OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /openrisc/tags/gnu-src/gcc-4.5.1/gcc-4.5.1-or32-1.0rc2/fixincludes/tests/base/bits
    from Rev 266 to Rev 384
    Reverse comparison

Rev 266 → Rev 384

/huge_val.h
0,0 → 1,27
/* DO NOT EDIT THIS FILE.
 
It has been auto-edited by fixincludes from:
 
"fixinc/tests/inc/bits/huge_val.h"
 
This had to be done to correct non-standard usages in the
original, manufacturer supplied header file. */
 
 
 
#if defined( HUGE_VAL_HEX_CHECK )
#define HUGE_VAL (__builtin_huge_val())
 
#endif /* HUGE_VAL_HEX_CHECK */
 
 
#if defined( HUGE_VALF_HEX_CHECK )
#define HUGE_VALF (__builtin_huge_valf())
 
#endif /* HUGE_VALF_HEX_CHECK */
 
 
#if defined( HUGE_VALL_HEX_CHECK )
#define HUGE_VALL (__builtin_huge_vall())
 
#endif /* HUGE_VALL_HEX_CHECK */
huge_val.h Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: string2.h =================================================================== --- string2.h (nonexistent) +++ string2.h (revision 384) @@ -0,0 +1,23 @@ +/* DO NOT EDIT THIS FILE. + + It has been auto-edited by fixincludes from: + + "fixinc/tests/inc/bits/string2.h" + + This had to be done to correct non-standard usages in the + original, manufacturer supplied header file. */ + + + +#if defined( GLIBC_C99_INLINE_3_CHECK ) +# if defined(__cplusplus) || defined(__GNUC_STDC_INLINE__) +# define __STRING_INLINE inline +# else +# define __STRING_INLINE extern __inline +# endif +#endif /* GLIBC_C99_INLINE_3_CHECK */ + + +#if defined( GLIBC_STRNCPY_CHECK ) +# define strncpy(dest, src, n) __builtin_strncpy (dest, src, n) +#endif /* GLIBC_STRNCPY_CHECK */
string2.h Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.