OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /or1200_soc/trunk/boards/de1_board/syn/debug
    from Rev 21 to Rev 24
    Reverse comparison

Rev 21 → Rev 24

/DE1_TOP.qsf
28,7 → 28,7
set_global_assignment -name TOP_LEVEL_ENTITY top
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "5.1 SP2"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:25:28 APRIL 24, 2006"
set_global_assignment -name LAST_QUARTUS_VERSION 9.0
set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP1"
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
492,107 → 492,10
set_global_assignment -name SEARCH_PATH "c:\\qaz\\_cvs_work\\units\\or1200_soc\\sw\\load_this_to_ram"
set_global_assignment -name SEARCH_PATH "c:\\qaz\\_cvs_work\\units\\or1200_soc\\src"
set_global_assignment -name SEARCH_PATH "c:\\qaz\\_cvs_work\\units\\or1200_soc\\boards\\de1_board\\src"
set_global_assignment -name VERILOG_FILE ../../src/top.v
set_global_assignment -name VERILOG_FILE ../../src/boot_vector_rom.v
set_global_assignment -name VERILOG_FILE ../../src/boot_rom_0.v
set_global_assignment -name VERILOG_FILE ../../src/boot_rom_1.v
set_global_assignment -name VERILOG_FILE ../../src/boot_rom_2.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_boot.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_gpio.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_mem_bank_1.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_mem_bank_2.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_mem_bank_3.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_peripherals.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_ram.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_registers.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_system.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_top.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_size_bridge/src/wb_size_bridge.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_size_bridge/src/asram_if.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_size_bridge/src/async_mem_if.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_top.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_arb.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_master_if.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_msel.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_pri_dec.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_pri_enc.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_rf.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_slave_if.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_wb.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/raminfr.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_debug_if.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_receiver.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_regs.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_rfifo.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_sync_flops.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_tfifo.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_top.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_transmitter.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_xcv_ram32x8d.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_alu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_amultp2_32x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_cfgr.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_cpu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ctrl.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dc_fsm.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dc_ram.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dc_tag.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dc_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dmmu_tlb.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dmmu_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dpram_32x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dpram_256x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_du.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_except.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_freeze.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_genpc.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_gmultp2_32x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ic_fsm.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ic_ram.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ic_tag.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ic_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_if.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_immu_tlb.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_immu_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_iwb_biu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_lsu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_mem2reg.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_mult_mac.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_operandmuxes.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_pic.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_pm.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_qmem_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_reg2mem.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_rf.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_rfram_generic.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_sb.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_sb_fifo.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_32x24.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_64x14.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_64x22.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_64x24.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_128x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_256x21.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_512x20.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_1024x8.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_1024x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_1024x32_bw.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_2048x8.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_2048x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_2048x32_bw.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_sprs.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_tpram_32x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_tt.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_wb_biu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_wbmux.v
set_global_assignment -name VERILOG_FILE ../../../../../gpio/rtl/verilog/gpio_top.v
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name SIGNALTAP_FILE stp1.stp
set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_ack_i" -section_id auto_signaltap_0
1025,7 → 928,6
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[213] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_we_o" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=128" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0
1062,7 → 964,346
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[223] -to sw[9] -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=224" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=224" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=1365" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=25033" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=33966" -section_id auto_signaltap_0
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_or1k_defines.v
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_wb_defines.v
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_defines.v
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_wb_module.v
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_crc32.v
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_or1k_biu.v
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_or1k_module.v
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_or1k_status_reg.v
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_top.v
set_global_assignment -name VERILOG_FILE ../../../../../adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_wb_biu.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_adv_dbg.v
set_global_assignment -name VERILOG_FILE ../../../../src/altera_virtual_jtag.v
set_global_assignment -name VERILOG_FILE ../../../../src/amf_sld_virtual_jtag.v
set_global_assignment -name VERILOG_FILE ../../src/top.v
set_global_assignment -name VERILOG_FILE ../../src/boot_vector_rom.v
set_global_assignment -name VERILOG_FILE ../../src/boot_rom_0.v
set_global_assignment -name VERILOG_FILE ../../src/boot_rom_1.v
set_global_assignment -name VERILOG_FILE ../../src/boot_rom_2.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_boot.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_gpio.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_mem_bank_1.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_mem_bank_2.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_mem_bank_3.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_peripherals.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_ram.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_registers.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_system.v
set_global_assignment -name VERILOG_FILE ../../../../src/soc_top.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_size_bridge/src/wb_size_bridge.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_size_bridge/src/asram_if.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_size_bridge/src/async_mem_if.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_top.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_arb.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_master_if.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_msel.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_pri_dec.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_pri_enc.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_rf.v
set_global_assignment -name VERILOG_FILE ../../../../../wb_conmax/rtl/verilog/wb_conmax_slave_if.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_wb.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/raminfr.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_debug_if.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_receiver.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_regs.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_rfifo.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_sync_flops.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_tfifo.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_top.v
set_global_assignment -name VERILOG_FILE ../../../../../uart16550/rtl/verilog/uart_transmitter.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_xcv_ram32x8d.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_alu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_amultp2_32x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_cfgr.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_cpu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ctrl.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dc_fsm.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dc_ram.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dc_tag.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dc_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dmmu_tlb.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dmmu_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dpram_32x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_dpram_256x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_du.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_except.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_freeze.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_genpc.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_gmultp2_32x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ic_fsm.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ic_ram.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ic_tag.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_ic_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_if.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_immu_tlb.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_immu_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_iwb_biu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_lsu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_mem2reg.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_mult_mac.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_operandmuxes.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_pic.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_pm.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_qmem_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_reg2mem.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_rf.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_rfram_generic.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_sb.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_sb_fifo.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_32x24.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_64x14.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_64x22.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_64x24.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_128x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_256x21.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_512x20.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_1024x8.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_1024x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_1024x32_bw.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_2048x8.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_2048x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_spram_2048x32_bw.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_sprs.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_top.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_tpram_32x32.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_tt.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_wb_biu.v
set_global_assignment -name VERILOG_FILE ../../../../../or1k/or1200/rtl/verilog/or1200_wbmux.v
set_global_assignment -name VERILOG_FILE ../../../../../gpio/rtl/verilog/gpio_top.v
set_global_assignment -name SIGNALTAP_FILE stp1.stp
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[0] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_ack_i" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[1] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[2] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[3] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[4] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[5] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[6] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[7] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[8] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[9] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[10] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[11] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[12] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[13] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[14] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[15] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[16] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[17] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[18] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[19] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[20] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[21] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[22] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[23] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[24] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[25] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[26] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[27] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[28] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[29] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[30] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[31] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[32] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[33] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_cyc_o" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[34] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[35] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[36] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[37] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[38] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[39] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[40] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[41] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[42] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[43] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[44] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[45] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[46] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[47] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[48] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[49] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[50] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[51] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[52] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[53] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[54] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[55] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[56] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[57] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[58] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[59] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[60] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[61] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[62] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[63] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[64] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[65] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_i[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[66] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[67] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[68] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[69] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[70] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[71] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[72] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[73] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[74] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[75] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[76] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[77] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[78] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[79] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[80] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[81] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[82] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[83] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[84] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[85] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[86] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[87] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[88] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[89] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[90] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[91] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[92] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[93] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[94] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[95] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[96] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[97] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_dat_o[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[98] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_err_i" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[99] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_rst_i" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[100] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_rty_i" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[101] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_sel_o[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[102] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_sel_o[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[103] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_sel_o[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[104] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_sel_o[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[105] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_stb_o" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[106] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_we_o" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[107] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_ack_i" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[108] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[109] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[110] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[111] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[112] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[113] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[114] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[115] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[116] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[117] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[118] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[119] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[120] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[121] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[122] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[123] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[124] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[125] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[126] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[127] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[128] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[129] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[130] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[131] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[132] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[133] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[134] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[135] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[136] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[137] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[138] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[139] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[140] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_cyc_o" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[141] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[142] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[143] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[144] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[145] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[146] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[147] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[148] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[149] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[150] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[151] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[152] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[153] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[154] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[155] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[156] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[157] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[158] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[159] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[160] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[161] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[162] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[163] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[164] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[165] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[166] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[167] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[168] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[169] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[170] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[171] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[172] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[173] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[174] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[175] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[176] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[177] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[178] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[179] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[180] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[181] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[182] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[183] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[184] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[185] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[186] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[187] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[188] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[189] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[190] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[191] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[192] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[193] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[194] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[195] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[196] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[197] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[198] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[199] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[200] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[201] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[202] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[203] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[204] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_o[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[205] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_err_i" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[206] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[207] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rty_i" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[208] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_sel_o[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[209] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_sel_o[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[210] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_sel_o[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[211] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_sel_o[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[212] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_stb_o" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[213] -to "soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_we_o" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[214] -to sw[0] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[215] -to sw[1] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[216] -to sw[2] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[217] -to sw[3] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[218] -to sw[4] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[219] -to sw[5] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[220] -to sw[6] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[221] -to sw[7] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[222] -to sw[8] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_storage_qualifier_in[223] -to sw[9] -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_BITS=224" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=1590" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=225" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_GAP_RECORD=1" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_MODE=TRANSITIONAL" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=3250" -section_id auto_signaltap_0
set_global_assignment -name CDF_FILE DE1_control_panel.cdf
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
/stp1.stp
1,10 → 1,10
<session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: EP2C15/20 (0x020B30DD)" sof_file="de1_top.sof" top_level_entity="top">
<display_tree>
<display_branch instance="auto_signaltap_0" log="log: 2009/04/16 11:14:18 #1" signal_set="signal_set: 2009/03/27 16:59:10 #0" trigger="trigger: 2009/04/16 11:14:18 #0"/>
<display_tree gui_logging_enabled="0">
<display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>
</display_tree>
<instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">
<node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>
<signal_set is_expanded="true" name="signal_set: 2009/03/27 16:13:30 #0">
<signal_set is_expanded="true" name="signal_set: 2009/03/27 16:59:10 #0">
<clock name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_clk_i" polarity="posedge" tap_mode="classic"/>
<config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="128" trigger_in_enable="no" trigger_out_enable="no"/>
<top_entity/>
224,6 → 224,16
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_sel_o[3]" tap_mode="classic" type="combinatorial"/>
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_stb_o" tap_mode="classic" type="combinatorial"/>
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_we_o" tap_mode="classic" type="combinatorial"/>
<wire name="sw[0]" tap_mode="classic" type="input pin"/>
<wire name="sw[1]" tap_mode="classic" type="input pin"/>
<wire name="sw[2]" tap_mode="classic" type="input pin"/>
<wire name="sw[3]" tap_mode="classic" type="input pin"/>
<wire name="sw[4]" tap_mode="classic" type="input pin"/>
<wire name="sw[5]" tap_mode="classic" type="input pin"/>
<wire name="sw[6]" tap_mode="classic" type="input pin"/>
<wire name="sw[7]" tap_mode="classic" type="input pin"/>
<wire name="sw[8]" tap_mode="classic" type="input pin"/>
<wire name="sw[9]" tap_mode="classic" type="input pin"/>
</trigger_input_vec>
<data_input_vec>
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_ack_i" tap_mode="classic" type="combinatorial"/>
440,6 → 450,16
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_sel_o[3]" tap_mode="classic" type="combinatorial"/>
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_stb_o" tap_mode="classic" type="combinatorial"/>
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_we_o" tap_mode="classic" type="combinatorial"/>
<wire name="sw[0]" tap_mode="classic" type="input pin"/>
<wire name="sw[1]" tap_mode="classic" type="input pin"/>
<wire name="sw[2]" tap_mode="classic" type="input pin"/>
<wire name="sw[3]" tap_mode="classic" type="input pin"/>
<wire name="sw[4]" tap_mode="classic" type="input pin"/>
<wire name="sw[5]" tap_mode="classic" type="input pin"/>
<wire name="sw[6]" tap_mode="classic" type="input pin"/>
<wire name="sw[7]" tap_mode="classic" type="input pin"/>
<wire name="sw[8]" tap_mode="classic" type="input pin"/>
<wire name="sw[9]" tap_mode="classic" type="input pin"/>
</data_input_vec>
<storage_qualifier_input_vec>
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_ack_i" tap_mode="classic" type="combinatorial"/>
656,6 → 676,16
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_sel_o[3]" tap_mode="classic" type="combinatorial"/>
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_stb_o" tap_mode="classic" type="combinatorial"/>
<wire name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_we_o" tap_mode="classic" type="combinatorial"/>
<wire name="sw[0]" tap_mode="classic" type="input pin"/>
<wire name="sw[1]" tap_mode="classic" type="input pin"/>
<wire name="sw[2]" tap_mode="classic" type="input pin"/>
<wire name="sw[3]" tap_mode="classic" type="input pin"/>
<wire name="sw[4]" tap_mode="classic" type="input pin"/>
<wire name="sw[5]" tap_mode="classic" type="input pin"/>
<wire name="sw[6]" tap_mode="classic" type="input pin"/>
<wire name="sw[7]" tap_mode="classic" type="input pin"/>
<wire name="sw[8]" tap_mode="classic" type="input pin"/>
<wire name="sw[9]" tap_mode="classic" type="input pin"/>
</storage_qualifier_input_vec>
</signal_vec>
<presentation>
890,6 → 920,18
<net is_signal_inverted="no" name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_err_i"/>
<net is_signal_inverted="no" name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i"/>
<net is_signal_inverted="no" name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rty_i"/>
<bus is_signal_inverted="no" link="all" name="sw" order="lsb_to_msb" radix="hex" state="collapse" type="input pin">
<net is_signal_inverted="no" name="sw[0]"/>
<net is_signal_inverted="no" name="sw[1]"/>
<net is_signal_inverted="no" name="sw[2]"/>
<net is_signal_inverted="no" name="sw[3]"/>
<net is_signal_inverted="no" name="sw[4]"/>
<net is_signal_inverted="no" name="sw[5]"/>
<net is_signal_inverted="no" name="sw[6]"/>
<net is_signal_inverted="no" name="sw[7]"/>
<net is_signal_inverted="no" name="sw[8]"/>
<net is_signal_inverted="no" name="sw[9]"/>
</bus>
</data_view>
<setup_view>
<bus is_signal_inverted="no" link="all" name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o" order="lsb_to_msb" radix="hex" state="collapse" type="combinatorial">
1122,12 → 1164,25
<net is_signal_inverted="no" name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_err_i"/>
<net is_signal_inverted="no" name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i"/>
<net is_signal_inverted="no" name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rty_i"/>
<bus is_signal_inverted="no" link="all" name="sw" order="lsb_to_msb" radix="hex" state="collapse" type="input pin">
<net is_signal_inverted="no" name="sw[0]"/>
<net is_signal_inverted="no" name="sw[1]"/>
<net is_signal_inverted="no" name="sw[2]"/>
<net is_signal_inverted="no" name="sw[3]"/>
<net is_signal_inverted="no" name="sw[4]"/>
<net is_signal_inverted="no" name="sw[5]"/>
<net is_signal_inverted="no" name="sw[6]"/>
<net is_signal_inverted="no" name="sw[7]"/>
<net is_signal_inverted="no" name="sw[8]"/>
<net is_signal_inverted="no" name="sw[9]"/>
</bus>
</setup_view>
</presentation>
<trigger CRC="C35DAF7F" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/03/27 16:13:30 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<trigger attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2010/02/15 10:57:10 #0" position="post" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="transitional" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
1134,7 → 1189,8
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="yes" name="condition2" type="basic">
<level enabled="yes" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
1141,14 → 1197,10
</level>
</events>
<storage_qualifier_events>
<transitional>0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<transitional>10000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000100000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
1168,28 → 1220,12
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/03/27 16:19:14 #0" power_up_mode="false" sample_depth="0" trigger_position="-1"/>
<extradata/>
<data name="log: 2010/02/15 10:57:10 #1" power_up_mode="false" sample_depth="128" trigger_position="112">0000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001000000101101111111010110010111111111111111100000000000000000000000000000000000111100010000000000001000000000000000011100110110101000000100000000000000000000000000000000010000000000001000000000000000000000011010000000000000011001101100111111110101100101111111111111111000000000000000000000000000000000001111100100000000000010000000000000000111001101101010000001000000000000000000000000000000000100000000000010000000000000000001000110100000000000000110011011001011111110000010000111010111111110000000000000000000000000000000000011111001000000000000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001100110110000111111100000100001111111111111100000000000000000000000000000000000111100010000000000001000000000000000011100110110101000000100000000000000000000000000000000010000000000001000000000000000000000011010000000000000010000011100101111111000001000011111111111111000000000000000000000000000000000001111100100000000000010000000000000000111001101101010000001000000000000000000000000000000000100000000000010000000000000000001000110100000000000000100000111001000100010000000000101001100000000000000000000000000000000000000000011111001000000000000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001000001110000001000100000000000000000000000000000000000000000000000000000000000111100010000000000001000000000000000011100110110101000000100000000000000000000000000000000010000000000001000000000000000000000011010000000000000011000011100100010001000000000000000000000000000000000000000000000000000000000001111100100000000000010000000000000000111001101101010000001000000000000000000000000000000000100000000000010000000000000000001000110100000000000000110000111001000000010000001000111010100000000000000000000000000000000000000000011111001000000000000100000000000000001110011011010100000010000000000000000000000000000000001000000000000100000000000000000000001101000000000000001100001110000000000100000010001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111111000000100000000000000000000000000001000000000000000110001011111000111111000011010000000000000010001011100100000001000000100010001000000000000000000000000000000000000000000001111100100000000100001000000000000000111001001111110000001000000000000000000000000000010000000000000001100010111110001111110000110100000000000000100010111001000000010000001000111110100000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100011110000001101000000000000001000101110010000000100000010001111101000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000010001011100100000001100001000011110010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000100010111000000000011000010000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001100101110010000000110000100000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000011001011100110000000000000000011000000100000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000110010111000100000000000000010001000001000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001000011110011000000000000000100010000010000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000010000111100100000000000001101011100010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000100001111000000000000000011000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001100011110010000000000000110000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000011000111100100000001100001100010000010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000110001111000000000011000011000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110010011110100000000010011111000100000000000000100000000000000011000101111100000000000001101000000000000001000111110010000000110000110000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111101000000000100111110001000000000000001000000000000000110001011111000000000100011010000000000000010001111100110000001100001010011001010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111010000000001001111100010000000000000010000000000000001100010111110000000000000110100000000000000100011111000100000011000010110100010000000000000000000000000000000000000000000011110001000000000000100000000000000001100011011011100000000010011111000100000000000000000000000000000000000000000000011111000001101000000000000001100111110011000000110000101101000100000000000000000000000000000000000000000000111110010000000010001000000000000000011000110110110000000000000000000010010000000000000000000000000000000000000000000111110000011010000000000000011001111100110000001100001010001011010000000000000000000000000000000000000000001111100100000000000010000000000000000110001101101000000000000000000000000000000000000000000000000000000000000000000001111000000110100000000000000110011111001100000011000010100010110100000000000000000000000000000000000000000011111001000000000100000000000000000001011000000001000000000000010000000000000000001000000101000000000000100000000000000101000001101000000000000001100111110011000000110000101000101101000000000000000000000000000000000000000000111110010000000011000000000000000000010110000000010000000000000110000000000000000010000001010000000000001000000000000001010000011010000000000000011001111100110000001010000110001011010000000000000000000000000000000000000000001111100100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000010000000110100000000000000110011111001100000010100001100010110100000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100111110010000000101000011000101001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011001111100000000001010000110000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100000000101000000010100001100000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000000001011000000101000101001101001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010000000010010000001010001011000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000110000000101100000010100010110000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100000001011000000101000101001001001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011000000010010000001010001011000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100010000101100000010100010110000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000100001010000000101000000001111001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010001000010000000001010000000000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000110010000101000000010100000000000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100100001011000000000000000001100100100000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011001000010010000000000000001011001001000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100001000101100000000000000010110010010000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000010001010000000000000000101010000000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010000100010000000000000000000000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000100000010101000000000000000000000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001000000101010000000100000010001000001000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000010000001010000000001000000100000000000000000000000000000000000000000000000000001111000100000000010000000000000000000101100000000000000000000001100000000000000000100000010100000000000010000000000000000000000110100000000000000110000010101000000010000001000000000000000000000000000000000000000000000000000011111001000000000100000000000000000001011000000000000000000000011000000000000000001000000101000000000000100000000000000000010001101000000000000001100000101010010010000000000001000010000000000000000000000000000000000000000000111110010000000001000000000000000000010110000000000000000000000110000000000000000010000001010000000000001000000000000000000000011010000000000000011000001010000100100000000000000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001001111100000000000000000000000000000000000000000000000000000000000000000001111100000110100000000000000100010010101001001000000000000000000000000000000000000000000000000000000000000011111001000000001000010000000000000001110010011111000010000000000000001100010111110000000000000000000000000000000000011111000001101000000000000001000100101010010010000000000001110001000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000111100000011010000000000000010001001010100100100000000000011100010000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100010010101000000010000010000111010100000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000100101000000000100000100001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011000001011100000001000001000010001000000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110000010111000000011010010000111100100000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100000101100000000110100100000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000010001001011100000001101001000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100010010111111111111110111111110010001111110000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000100101101111111111101111101011111011111100000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011001001011111111111111011111010111110111111000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110010010111000000000000011000111000110111110000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100100101100000000000000110000101011101111100000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011001100011100000000000001100001010111011111000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110011000111111111101011000000111110111111110000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100110001101111111010110000111111111111111100000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000010000010011111111110101100001111111111111111000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100000100111000000000001000000001000001000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000001001100000000000010000010010000010000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011000010011100000000000100000100100000100000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110000100111000000000000000010101000000000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100001001100000000000000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000010001010011100000000000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000100010100111000000000000100100100000110010000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001000101001100000000000001001000100010100100000000000000000000000000000000000000111100010000000000000100000000000000011100100111100000100000100111110001000101111100000000000000000000000000000000000000000000011010000000000000011001010011100000000000010010001000101001000000000000000000000000000000000000001111100100000000000001000000000000000111001001111000001000001001111100010001011111000000000000000000000000000000000000000001000110100000000000000110010100111000010000000000000010001000000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110000010000010011111000100010111110000000000000000000000000000000000000000000001101000000000000001100101001100000100000000000000000000000000000000000000000000000000000000000000111100010000000000000000000000000000011000100100010000100000100111110001000101111100000000001000100000000001001000000111110000011010000000000000010000110011100001000000000000000000000000000000000000000000000000000000000000001111100100000000100000000000000000000110001001000101110010000000000000010001100000000000000010001000000000010010000001111100000110100000000000000100001100111000010000000000010101000000000000000000000000000000000000000000000011111001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000011110000001101000000000000001000011001110000100000000000101010000000000000000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000100011010000000000000010000110011100000000000000001010100000000000000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000000110100000000000000100001100110000000000000000000000000000000000000000000000000000000000000000000011110001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000000000000111001000000000000001000110000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000101110010000000000000010001100000101111111000001000011100011111111000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000011100100000000000000100011000000011111110000010000011101111111110000000000000000000000000000000000011110001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000000000000111001000000000000001100110000010111111100000100000111011111111100000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000101110010000000000000011001100000100010001000000000010101110000000000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000011100100000000000000110011000000000100010000000000100010000000000000000000000000000000000000000000011110001000000000000000000000000000001100010010000011100100010100000111000111000000000000000100010000000000100100000000000000111001000000000000001000001000010001000100000000001000100000000000000000000000000000000000000000000111110010000000000000000000000000000011000100100000111001000101000001110001110000000000000001000100000000001001000000000000101110010000000000000010000010000100000001000000100011100011000000000000000000000000000000000000000001111100100000000000000000000000000000110001001000001110010001010000011100011100000000000000010001000000000010010000000000000011100100000000000000100000100000000000010000001000010000010000000000000000000000000000000000000000011110001000000000000010000000000000001110010011111011100100010100000111000111000000000100000000000000011000101111100011111100111001000000000000001100001000010000000100000010000100000100000000000000000000000000000000000000000111110010000000010000100000000000000011100100111110111001000101000001110001110000000001000000000000000110001011111000111111001110010000000000000011000010000100000001000000100010100111000000000000000000000000000000000000000001111100100000000000001000000000000000111001001111001110010001001111100010001100000000010000000000000001100010111110001111000011100100000000000000110000100001000000010000001000101001110000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110011100100010011111000100011000000000100000000000000011000101111100000000010111001000000000000001100001000010010010100000000001010011000000000000000000000000000000000000000000111110010000000000000100000000000000011100100111100111001000100111110001000110000000001000000000000000110001011111000000000001110010000000000000011000010000000100101000000000000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001001111001110010001001111100010001100000000010000000000000001100010111110000000000011100100000000000000100010100001001001010000000000000000000000000000000000000000000000000000000000011111001000000000000010000000000000001110010011110011100100010011111000100011000000000100000000000000011000101111100000000010111001000000000000001000101000010110111111101111111000100001101000000000000000000000000000000000000111110010000000000000100000000000000011100100111100111001000100111110001000110000000001000000000000000110001011111000000000001110010000000000000010001010000001101111111011110010011100011010000000000000000000000000000000000001111000100000000000001000000000000000110001001111101110010001001111100010001100000000110100000000000000110001100110001111110011100100000000000000110010100001011011111110111100100111000110100000000000000000000000000000000000011111001000000001000010000000000000001100010011111011100100010011111000100011000000001101000000000000001100011001100011111100111001000000000000001100101000010110111111101111101010100001101000000000000000000000000000000000000111110010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000111100001110010000000000000011001010000101101111111011111010101000011010000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001011100100000000000000110010100001000000000000000010101000000000000000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000111001000000000000001100101000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000000000000010100000000000000010001110110100000000000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001000101000000000000000100011101101011111110000010000111010111111110000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000001010000000000000001000111011000111111100000100001111111111111100000000000000000000000000000000000111100010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000000000000010100000000000000011001110110101111111000001000011111111111111000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001000101000000000000000110011101101000100010000000000101001100000000000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000001010000000000000001100111011000001000100000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000100111100111001001001100110011001110000000011010000000000000011000110011000000000000010100000000000000010000001110100010001000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110001001111001110010010011001100110011100000000110100000000000000110001100110000000001000101000000000000000100000011101000000010000001000111010100000000000000000000000000000000000000000011111001000000000000010000000000000001100010011110011100100100110011001100111000000001101000000000000001100011001100000000000001010000000000000001000000111000000000100000010001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100000111110111001001001100110011001110000000001000000000000000110000101111000111111000010100000000000000011000001110100000001000000100010001000000000000000000000000000000000000000000001111100100000000100001000000000000000111000001111101110010010011001100110011100000000010000000000000001100001011110001111110000101000000000000000110000011101000000010000001010111110100000000000000000000000000000000000000000011111001000000000000010000000000000001110000011110011100100000101111000100011000000000100000000000000011000010111100011110000001010000000000000001100000111010000000100000010101111101000000000000000000000000000000000000000000111110010000000000000100000000000000011100000111100111001000001011110001000110000000001000000000000000110000101111000000000100010100000000000000011000001110100000001100001011011110010000000000000000000000000000000000000000001111100100000000000001000000000000000111000001111001110010000010111100010001100000000010000000000000001100001011110000000000000101000000000000000110000011100000000011000010100000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110000011110011100100000101111000100011000000000100000000000000011000010111100000000000001010000000000000001000100111010000000110000101000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100000111100111001000001011110001000110000000001000000000000000110000101111000000000100010100000000000000010001001110100000000000000000000100000010000000000000000000000000000000000000001111100100000000</data>
<extradata>11B1BB11B11B1B11B11B11B11B11B11B1B11B11B11B11B11B11B1BB11B1B11B11B11B11B1BB11B11B11B11B1B11B11B11B11B1B11B11B1B1TB11B11B11B1B11B</extradata>
</log>
<log>
<data name="log: 2009/03/27 16:28:38 #0" power_up_mode="false" sample_depth="0" trigger_position="-1"/>
<extradata/>
</log>
<log>
<data name="log: 2009/03/27 16:45:28 #0" power_up_mode="false" sample_depth="128" trigger_position="16">00000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/03/27 16:47:05 #0" power_up_mode="false" sample_depth="128" trigger_position="16">00000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/03/27 16:47:34 #0" power_up_mode="false" sample_depth="128" trigger_position="16">00000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000010000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000001000010100100000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000100001000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000100001010010000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000010000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000001000010100100000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000100001000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000100001010010000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000010000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000001000010100100000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000100001000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000100001010010000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000001000010000000000000000000000000000000000000000000111100</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
</signal_set>
<signal_set is_expanded="true" name="signal_set: 2009/03/27 16:59:10 #0">
<signal_set global_temp="1" is_expanded="true" name="signal_set: 2009/03/27 16:59:10 #0">
<clock name="soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_clk_i" polarity="posedge" tap_mode="classic"/>
<config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="128" trigger_in_enable="no" trigger_out_enable="no"/>
<top_entity/>
2363,121 → 2399,10
</bus>
</setup_view>
</presentation>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/03/27 16:59:10 #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<trigger CRC="CB261C9" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2010/02/15 16:07:33 #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="transitional" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="yes" name="condition2" type="basic">
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/03/27 17:07:45 #0" power_up_mode="false" sample_depth="128" trigger_position="16">0000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000001101100000101000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000011011000001010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000110110000010100000000000000000000000000000000000000000000000000000000000000000001111100000000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/03/27 17:07:53 #0" power_up_mode="false" sample_depth="128" trigger_position="16">0000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000010000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000100001010010000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000001000010100100000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000100001000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000010000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000100001010010000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000001000010100100000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000100001000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000010000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000100001010010000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000001000010100100000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000100001000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/03/27 17:09:23 #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="yes" name="condition2" type="basic">
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/03/27 17:09:23 #1" power_up_mode="false" sample_depth="128" trigger_position="16">0000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000001010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000001010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000010100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000000010000000101000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000001000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000010100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000101000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000010000000000000000000000000100001010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000100000100001010010000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000001000010100100000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000100001000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000010000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000100001010010000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000001000010100100000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000100001000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000010000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000100001010010000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000000100000010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000100000000000000000000000001000000100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000001000010100100000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000010000000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000001000000000100010000000000000010000100000000000000000000000000000000000000000001111100000000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/03/27 17:23:32 #0" power_up_mode="false" sample_depth="128" trigger_position="16">0000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000100001100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000001000011000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000000000000010000000000000000000000000000001010100000000000010000110000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000100001100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000001000011000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000100000001010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000001000000010100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000010000000101000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000100000001000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000000100001010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000001001000010100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000100000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100010000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/03/27 17:46:41 #0" power_up_mode="false" sample_depth="128" trigger_position="16">0000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000100001100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000001000011000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000000000000010000000000000000000000000000001010100000000000010000110000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000100001100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000001000011000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000100000001010000000010000010000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001000000000000000000000000000000000000000000000000000000000000000000000001000000010100000000100000100001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010010000000000000000000000000000000000000000000000001000000000000000000000010000000101000000001000001000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100100000000000000000000000000000000000000000000000000000000000000000000000100000001000000000010000010000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000000100001010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010000010000000000000010000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000100000000000000000000001001000010100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000100000000000000000010101000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001000000000000000000101010000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001100000000100000110000000000000000000000000000000000000000000000000000000000000000000000010000000000000100011000000001000001100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000011000000001000001100000000000000000000000000000000000000000000000000000000000000000000000100000000000001000110000000010000011000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000110000000010000011000000000000000000000000000000000000000000000000000010000000000000000001000000000000010001100000000100000110000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001100000000100000110000000000000000000000000000000000000000000000000000000000000000000000010000000000000000011000000001000001100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000011000000001000001100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000110000000010000011000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000001000010000010000010100100000000000000000000000000000000000000000000000000000000000000000001000100000000010010000100000100000101001000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000010000100000100000101001000000000000000000000000000000000000000000000000000000000000000000010001000000000100100001000001000001010010000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000100001000001000001010010000000000000000000000000000000000000000000000001000000000000000000100010000000001001000010000010000010100100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000001000010000010000010100100000000000000000000000000000000000000000000000000000000000000000001000100000000000010000100000100000101001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000000000000000000000000000000010000001000000101000000000000100001100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000000000000000000000000000000100000010000001010000000000001000011000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000000000000010000000000000000001000000100000010100000000000010000110000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000000000000100001100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000100000100001010011100000000000000000000000000000000000000000000000000000000000000000100010010000001000000001000001000010100111000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000001000001000010100111000000000000000000000000000000000000000000000000000000000000000001000100100000010000000010000010000101001110000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000010000010000101001110000000000000000000000000000000000000000000000100000000000000000010001001000000100000000100000100001010011100000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000100000100001010011100000000000000000000000000000000000000000000000000000000000000000100010010000000000000001000001000010100111000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000001000000010000010001000000000000001000010000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000010000000100000100010000000000000010000100000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000100000001000001000100000000000000100001000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000001000000010000000001000000000000001000010000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000010001000100000100000000000000001010100000000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000100010001000001000000000000000010101000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000001000100010000010000000000000000101010000000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000010001000100000000000000000000001010100000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000001111111000001000011101010111111000000000000000000000000000000000000000000000000000000000000100000011000001011111110000010000111010101111110000000000000000000000000000000000011111000000000000000000000000000000000000000000000011111110000010000111010101111110000000000000000000000000000000000000000000000000000000000001000000110000010111111100000100001110101011111100000000000000000000000000000000000111110000000000000000000000000000000000000000000000111111100000100001110101011111100000000000000000000000000000000000000000100000000000000000010000001100000101111111000001000011101010111111000000000000000000000000000000000001111100000000000000000000000000000000000000000000001111111000001000011101010111111000000000000000000000000000000000000000000000000000000000000100000011000000011111110000010000111010101111110000000000000000000000000000000000011110000000000000000000000000000000000000000000000011111110000010000111010101111110000000000000000000000000000000000000000000000000000000000001000000110000000111111100000100001110101011111100000000000000000000000000000000000111100000000000000000000000000000000000000000000000010010100000000001010011000000000000000000000000000000000000000000000000000000000000000000010001001100000100100101000000000010100110000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000100101000000000010100110000000000000000000000000000000000000000000000000000000000000000000100010011000001001001010000000000101001100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000001001010000000000101001100000000000000000000000000000000000000000000000010000000000000000001000100110000010010010100000000001010011000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000010010100000000001010011000000000000000000000000000000000000000000000000000000000000000000010001001100000000100101000000000010100110000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000010101000000000010101110000000000000000000000000000000000000000000000000000000000000000000100000000100001000101010000000000101011100000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000101010000000000101011100000000000000000000000000000000000000000000000000000000000000000001000000001000010001010100000000001010111000000000000000000000000000000000000000000111110000000000000000000000000000000000000000000000001010100000000001010111000000000000000000000000000000000000000000000000100000000000000000010000000010000100010101000000000010101110000000000000000000000000000000000000000001111100000000000000000000000000000000000000000000000010101000000000010101110000000000000000000000000000000000000000000000000000000000000000000100000000100000000101010000000000101011100000000000000000000000000000000000000000011110000000000000010000000000000000001110001111111000001110111010111011010011011000000000000000000000000000000000000011111100000000000000000001000100001000010000110100000000001010011100000000000000000000000000000000000000000111110000000000000100000000000000000011100011111110000011101110101110110100110110000000000000000000000000000000000000111111000000000000000000010001000010000100001101000000000010100111000000000000000000000000000000000000000001111100000000000101000000000000000000111000111111100000111011101011101101001101100000000000000000000000000000000000001111111000000000000000000100010000100001000011010000000000101001110000000000000000000000000000000000000000011111000000000000010000000000000000001110001111110000001110111010111011010011011000000000000000000000000000000000000011110000000000000000000001000100001000000000110100000000001010011100000000000000000000000000000000000000000111100000000000000100000000000000000011000111111110000011101110101110110100110110000000000000000000000000000000000000111111000000000000000000010000001010000110101010000001100001100000100011000000000000000000000000000000000001111100000000000001000000000000000000110001111111100000111011101011101101001101100000000000000000000000000000000000001111110000000000000000000100000010100001101010100000011000011000001000110000000000000000000000000000000000011111000000000001010000000000000000001100011111111000001110111010111011010011011000000000000000000000000000000000000011111110000000000000000001000000101000011010101000000110000110000010001100000000000000000000000000000000000111110000000000000100000000000000000011000111111100000011101110101110110100110110000000000000000000000000000000000000111100000000000000000000010000001010000010101010000001100001100000100011000000000000000000000000000000000001111000000000000001000000000000000000111001111111100000111011101011101101001101100000000000000000000000000000000000001111110000000000000000000100010010100001000110000000010100011000000000000000000000000000000000000000000000011111000000000000010000000000000000001110011111111000001110111010111011010011011000000000000000000000000000000000000011111100000000000000000001000100101000010001100000000101000110000000000000000000000000000000000000000000000111110000000000010100000000000000000011100111111110000011101110101110110100110110000000000000000000000000000000000000111111100000000000000000010001001010000100011000000001010001100000000000000000000000000000000000000000000001111100000000000001000000000000000000111001111111000000111011101011101101001101100000000000000000000000000000000000001111000000000000000000000100010010100000000110000000010100011000000000000000000000000000000000000000000000011110000000000000010000000000000000001110011111110000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000001000000011000011000100110010110000101101101110100000000000000000000000000000000000111110000000000000100000000000000000011100111111100000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000010000000110000110001001100101100001011011011101000000000000000000000000000000000001111100000000000001000000000000000000111001111111000000111011101011101101001101100000000000000000000000000000000000000000001000000000000000000100000001100001100010011001011000010110110111010000000000000000000000000000000000011111000000000000010000000000000000001110011111110000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000001000000011000001000100110010110000101101101110100000000000000000000000000000000000111100000000000000100000000000000000011100111111100000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000010001000110000110000001010000110001010010000000000000000000000000000000000000000001111100000000000001000000000000000000111001111111000000111011101011101101001101100000000000000000000000000000000000000000000000000000000000000100010001100001100000010100001100010100100000000000000000000000000000000000000000011111000000000000010000000000000000001110011111110000001110111010111011010011011000000000000000000000000000000000000000000010000000000000000001000100011000011000000101000011000101001000000000000000000000000000000000000000000111110000000000000100000000000000000011100111111100000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000010001000110000010000001010000110001010010000000000000000000000000000000000000000001111000000000000001000000000000000000111001111111000000111011101011101101001101100000000000000000000000000000000000000000000000000000000000000100000011100001001100010100000000101001100000000000000000000000000000000000000000011111000000000000010000000000000000001110011111110000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000001000000111000010011000101000000001010011000000000000000000000000000000000000000000111110000000000000100000000000000000011100111111100000011101110101110110100110110000000000000000000000000000000000000000000100000000000000000010000001110000100110001010000000010100110000000000000000000000000000000000000000001111100000000000001000000000000000000111001111111000000111011101011101101001101100000000000000000000000000000000000000000000000000000000000000100000011100000001100010100000000101001100000000000000000000000000000000000000000011110000000000000010000000000000000001110011111110000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000001000100111000010000000101010111000101001000000000000000000000000000000000000000000111110000000000000100000000000000000011100111111100000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000010001001110000100000001010101110001010010000000000000000000000000000000000000000001111100000000000001000000000000000000111001111111000000111011101011101101001101100000000000000000000000000000000000000000001000000000000000000100010011100001000000010101011100010100100000000000000000000000000000000000000000011111000000000000010000000000000000001110011111110000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000001000100111000000000000101010111000101001000000000000000000000000000000000000000000111100000000000000000000000000000000011000000000010000011101110101110110100110110010001001000110001101011011011101000111111000000000000000000010000000001000100000001010001100010000010000000000000000000000000000000000000000001111100000000000000000000000000000000110000000000100000111011101011101101001101100100010010001100011010110110111010001111110000000000000000000100000000010001000000010100011000100000100000000000000000000000000000000000000000011111000000000001000000000000000000001100000000001000001110111010111011010011011001000100100011000110101101101110100011111110000000000000000001000000000100010000000101000110001000001000000000000000000000000000000000000000000111110000000000000000000000000000000011000000000000000011101110101110110100110110010001001000110001101011011011101000111100000000000000000000010000000001000000000001010001100010000010000000000000000000000000000000000000000001111000000000000000000000000000000000110000000000000000111011101011101101001101100100010010001100011010110110111010000000000000000000000000000100010000010001000011000000000100011000000000000000000000000000000000000000000000011111000000000000000000000000000000001100000000000000001110111010111011010011011001000100100011000110101101101110100000000000000000000000000001000100000100010000110000000001000110000000000000000000000000000000000000000000000111110000000000000000000000000000000011000000000000000011101110101110110100110110010001001000110001101011011011101000000000100000000000000000010001000001000100001100000000010001100000000000000000000000000000000000000000000001111100000000000000000000000000000000110000000000000000111011101011101101001101100100010010001100011010110110111010000000000000000000000000000100010000010000000011000000000100011000000000000000000000000000000000000000000000011110000000000000000000000000000000001100000000001000001110111010111011010011011000000000000000000000000000000000000011111000000000000000000001000000100100010111111000010110001110001111011100000000000000000000000000000000000111110000000000000000000000000000000011000000000010000011101110101110110100110110000000000000000000000000000000000000111110000000000000000000010000001001000101111110000101100011100011110111000000000000000000000000000000000001111100000000000100000000000000000000110000000000100000111011101011101101001101100000000000000000000000000000000000001111101000000000000000000100000010010001011111100001011000111000111101110000000000000000000000000000000000011111000000000000000000000000000000001100000000000000001110111010111011010011011000000000000000000000000000000000000011110000000000000000000001000000100100000111111000010110001110001111011100000000000000000000000000000000000111100000000000000000000000000000000011000000000000000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000010001001001000101111110000001101001010010000011000000000000000000000000000000000001111100000000000000000000000000000000110000000000000000111011101011101101001101100000000000000000000000000000000000000000000000000000000000000100010010010001011111100000011010010100100000110000000000000000000000000000000000011111000000000000000000000000000000001100000000000000001110111010111011010011011000000000000000000000000000000000000000000010000000000000000001000100100100010111111000000110100101001000001100000000000000000000000000000000000111110000000000000000000000000000000011000000000000000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000010001001001000001111110000001101001010010000011000000000000000000000000000000000001111000000000000000000000000000000000110000000000000000111011101011101101001101100000000000000000000000000000000000000000000000000000000000000100000001010001000000000100000010010100110000000000000000000000000000000000000000011111000000000000000000000000000000001100000000000000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000001000000010100010000000001000000100101001100000000000000000000000000000000000000000111110000000000000000000000000000000011000000000000000011101110101110110100110110000000000000000000000000000000000000000000100000000000000000010000000101000100000000010000001001010011000000000000000000000000000000000000000001111100000000000000000000000000000000110000000000000000111011101011101101001101100000000000000000000000000000000000000000000000000000000000000100000001010000000000000100000010010100110000000000000000000000000000000000000000011110000000000000000000000000000000001100000000000000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000001000100010100010000000001000101100101101010000000000000000000000000000000000000000111110000000000000000000000000000000011000000000000000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000010001000101000100000000010001011001011010100000000000000000000000000000000000000001111100000000000000000000000000000000110000000000000000111011101011101101001101100000000000000000000000000000000000000000001000000000000000000100010001010001000000000100010110010110101000000000000000000000000000000000000000011111000000000000000000000000000000001100000000000000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000001000100010100000000000001000101100101101010000000000000000000000000000000000000000111100000000000000000000000000000000011000000000000000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000010000001101000100110000110000000001100110000000000000000000000000000000000000000001111100000000000000000000000000000000110000000000000000111011101011101101001101100000000000000000000000000000000000000000000000000000000000000100000011010001001100001100000000011001100000000000000000000000000000000000000000011111000000000000000000000000000000001100000000000000001110111010111011010011011000000000000000000000000000000000000000000010000000000000000001000000110100010011000011000000000110011000000000000000000000000000000000000000000111110000000000000000000000000000000011000000000000000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000010000001101000000110000110000000001100110000000000000000000000000000000000000000001111000000000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/04/15 16:37:24 #0" power_up_mode="false" sample_depth="128" trigger_position="16">0000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100000000011000010010001000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000110000100100010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000000001100001001000100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100000000011000010010001000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000110000100100010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000000001100001000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100000000011000010001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000110000100011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000000000101000000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100000000001010000001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000010100000011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000000000000100000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100000000000001000001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000000010000011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000100000000100000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100001000000001000001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000010000000010000011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010001100000000100000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100011000000001000001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000110000000010000011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010001100000000100000110000011000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100011000000001000001100000110000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000110000000010000011000001100000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010001100000000100000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100011000000001000001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000001000000000000000000000000000000101000110000000010000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001000001100000000100000000000000000000000000000000000000000000000000000111100010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010000011000000001000000000000000000000000000000000000000000000000000001111000100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000110000000010000000000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100000000100000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100011000000001000000000000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000110000000010000000000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100000000100000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100011000000001000001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000110000000010000010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100000000100000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100011000001001010001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000110000010010100010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100000100101000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100011001000001000001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000110010000010000010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100100000100000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100001001000001000001010010000010000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000010010000010000010100100000100000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010000100100000100000101001000001000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100101011000001000001010010000011000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101001010110000010000010100100000110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010010101100000100000101001000001100000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010110101011000101000001010011010111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101101010110001010000010100110101110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001011010101100010100000101001101011100000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100101011000001000001011010011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101001010110000010000010110100111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000010000000000000000000000100000001010010101100000100000101101001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010000101011000001000010011110011111000000000000000000000000000000000001111000100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101001010110000010000100111100111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010010101100000100001001111001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100101011000001000010011110011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101001010110000010000100111100111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010010101100000100001001111001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100101011000001000001101000011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101001010110000010000011010000111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010010101100000100000110100001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100101010001010000001101000011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101001010100010100000011010000111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010010101000101000000110100001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100101010000000100001101000011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101001010100000001000011010000111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010010101000000010000110100001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100000100000000100001101000011100000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101000001000000001000011010000111000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010000010000000010000110100001110000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100000000000000100001101000011100000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101000000000000001000011010000111000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010000000000000010000110100001110000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100000000000000100001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101000000000000001000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010000000000000010000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100000000000000100001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101000000000000001000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000000000100001010000000000000010000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000100000000000000000000000000000000000000000000000000001111000100000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000000000000001000000000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000000000000010000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000001001000010100000000000000100000000000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000000000000001000000000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000000000000010000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000001001000010100000000000000100001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000000000000001000010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000000000000010000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000001001000010100000000001001010001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000000000010010100010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000000000100101000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000001001000010100000000100000100001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000000001000001000010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000000010000010000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000001001000010100000100100000100001010010000001000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000001001000001000010100100000010000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000010010000010000101001000000100000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000001001000010100001000100000100001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000010001000001000010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000100010000010000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000001001000010100001000100000100001010010010000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000010001000001000010100100100000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000100100001010000100010000010000101001001000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000001001000010100001000100000100001010011100100000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000010010000101000010001000001000010100111001000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000000000000000000010000000000000000000000100100001010000100010000010000101001110010000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000000000000000000000000000001001000010000001000100000100001100011100100000000000000000000000000000000000001111000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000001000101000010001000001000011000111001000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000010001010000100010000010000110001110010000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000100010100001000100000100001100011100100000000000000000000000000000000000001111100100000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:38:10 #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="no" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
2484,7 → 2409,7
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="yes" name="condition2" type="basic">
<level enabled="yes" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[28]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[29]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|dwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
2491,10 → 2416,8
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<transitional>10000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000100000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
2514,589 → 2437,17
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:38:10 #1" power_up_mode="false" sample_depth="128" trigger_position="16">0010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
<data global_temp="1" name="log: 2010/02/15 16:07:33 #1" power_up_mode="false" sample_depth="128" trigger_position="16">0000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001000101110000000000110010110010000001000010000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000011001011100100000001100101100100000010000100000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000110010111001000111011000010110100101100000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001100101110000001110110000101000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000010000111100100011101100001010000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000100001111001100000000001000000001010001000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001000011110001000000000010000111010100010000000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000011000111100110000000000100001110101000100000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000110001111001000000000000000010101000000000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001100011110000000000000000000000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000010001111100100000000000000000000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000100011111001000000001010011000100000100000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001000111110000000000010100110000000000000000000000000000000000000000000000000000111100010000000000000100000000000000011000000011100000100000100101110001000101011100000000010000000000001000000000000000000001000010000000000000011001111100100000000101001100000000000000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000000000100000000000010000000000000000001010000100000000000000110011111001000010000000000100011000000000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000000001000000000000100000000000000000000100001000000000000001100111110000000100000000001000000000000000000000000000000000000000000000000000111100010000000000000000000000000000001010000000011000000000010000000000100111110100000000000000000000000000000000000111110001000010000000000000010000000010100001000000000010000000000000000000000000000000000000000000000000001111100100000000100000000000000000000010100000000110000000000100000000001001111101000000000000000000000000000000000001111100010000100000000000000100000000101000010000000000100000000000000000000000000000000000000000000000000011111001000000000000000000000000000000101000000000100000000001000000000010011111010000000000000000000000000000000000011110000100001000000000000001000000001010000100000000001000000000000000000000000000000000000000000000000000111110010000000000000000000000000000001010000000001000000000010000000000100111110100000000000000000000000000000000000000000101000010000000000000010000000010111001110010000010001011011100110000000000000000000000000000000000001111100100000000000000000000000000000010100000000010000000000100000000001001111101000000000000000000000000000000000000000000010000100000000000000100000000100110011100100000110111010111001100000000000000000000000000000000000011110001000000000000000000000000000000101000000000100000000001000000000010011111010000000000000000000000000000000000000000000100001000000000000001100000001011100111001000001101110101110011000000000000000000000000000000000000111110010000000000000000000000000000001010000000001000000000010000000000100111110100000000000000000000000000000000000000000101000010000000000000011000000010100110001000000000010101110000000000000000000000000000000000000000001111100100000000000000000000000000000010100000000010000000000100000000001001111101000000000000000000000000000000000000000000010000100000000000000110000000100001100010000000000100010000000000000000000000000000000000000000000011110001000000000000000000000000000000101000000000100000000001000000000010011111010000000000000000000000000000000000000000000100001000000000000001000100001010011000100000000001000100000000000000000000000000000000000000000000111110010000000000000000000000000000001010000000001000000000010000000000100111110100000000000000000000000000000000000000000101000010000000000000010001000010101111111111111111110001000110011000000000000000000000000000000000001111100100000000000000000000000000000010100000000010000000000100000000001001111101000000000000000000000000000000000000000000010000100000000000000100010000100011111111111111101101110001100110000000000000000000000000000000000011110001000000000000010000000000000001100010001111000010000010010111000100010101111000000000010000000000100111110100011111100100001000000000000001100100001010111111111111111011011100011001100000000000000000000000000000000000111110010000000010000100000000000000011000100011110000100000100101110001000101011110000000000100000000001001111101000111111001000010000000000000011001000010101111111111111110010101110110011000000000000000000000000000000000001111100100000000000001000000000000000110001000111000001001111011110100000001010111100000000001000000000010011111010001111000010000100000000000000110010000101011111111111111100101011101100110000000000000000000000000000000000011111001000000000000010000000000000001100010001110000010011110111101000000010101111000000000010000000000100111110100000000010100001000000000000001100100001010000100100000000001010011000000000000000000000000000000000000000000111110010000000000000100000000000000011000100011100000100111101111010000000101011110000000000100000000001001111101000000000001000010000000000000011001000010000001001000000000000000000000000000000000000000000000000000000000001111000100000000000001000000000000000110001000111000001001111011110100000001010111100000000001000000000010011111010000000000000000100000000000000100000111101000010010000000000000000000000000000000000000000000000000000000000011111001000000000000010000000000000001100010001110000010011110111101000000010101111000000000010000000000100111110100000000010000001000000000000001000001111010111111100000100001110001111111100000000000000000000000000000000000111110010000000000000100000000000000011000100011100000100111101111010000000101011110000000000100000000001001111101000000000000000010000000000000010000011110001111111000001000001110111111111000000000000000000000000000000000001111000100000000000001000000000000000111000000111100001001111011110100000001010111110011100000000000000110011001100001111110000000100000000000000110000111101011111110000010000011101111111110000000000000000000000000000000000011111001000000001000010000000000000001110000001111000010011110111101000000010101111100111000000000000001100110011000011111100000001000000000000001100001111010111111100000100001010011111111100000000000000000000000000000000000111110010000000000000100000000000000011100000011100000100101101001101001011101011111001110000000000000011001100110000111100000000010000000000000011000011110101111111000001000010100111111111000000000000000000000000000000000001111100100000000000001000000000000000111000000111000001001011010011010010111010111110011100000000000000110011001100000000001000000100000000000000110000111101000100010000000000101011100000000000000000000000000000000000000000011111001000000000000010000000000000001110000001110000010010110100110100101110101111100111000000000000001100110011000000000000000001000000000000001100001111000001000100000000001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100000011100000100101101001101001011101011111001110000000000000011001100110000000000000000010000000000000010001011110100010001000000000010001000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111000001001011010011010010111010111110011100000000000000110011001100000000001000000100000000000000100010111101000000010000001000111000110000000000000000000000000000000000000000011111001000000000000010000000000000001110000001110000010010110100110100101110101111100111000000000000001100110011000000000000000001000000000000001000101111000000000100000010000100000100000000000000000000000000000000000000000111100010000000000000100000000000000011000000011110000100101101001101001011101011100001000000000000000110001010111000111111000000010000000000000011001011110100000001000000100001000001000000000000000000000000000000000000000001111100100000000100001000000000000000110000000111100001001011010011010010111010111000010000000000000001100010101110001111110000000100000000000000110010111101000000010000001000101001110000000000000000000000000000000000000000011111001000000000000010000000000000001100000001110000010000010010111000100010101110000100000000000000011000101011100011110000000001000000000000001100101111010000000100000010001010011100000000000000000000000000000000000000000111110010000000000000100000000000000011000000011100000100000100101110001000101011100001000000000000000110001010111000000000100000010000000000000011001011110100100101000000000010100110000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000010000000000000001100010101110000000000000000100000000000000110010111100001001010000000000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001100000001110000010000010010111000100010101110000100000000000000011000101011100000000000000001000000000000001000011111010010010100000000000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011000000011100000100000100101110001000101011100001000000000000000110001010111000000000100000010000000000000010000111110100011000000001100001100000000000000000000000000000000000000000000001111100100000000000001000000000000000110000000111000001000001001011100010001010111000010000000000000001100010101110000000000000000100000000000000100001111100000110000000011000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110011110111000010000010010111000100010101110100001000000000000001000010001000011111100000001000000000000001100011111010001100000000110000000000000000000000000000000000000000000000000000111110010000000010000100000000000000011100111101110000100000100101110001000101011101000010000000000000010000100010000111111000000010000000000000011000111110100011000000001100001010010000000000000000000000000000000000000000001111100100000000000001000000000000000111001111011000001000000010001010000011010111010000100000000000000100001000100001111000000000100000000000000110001111101000110000000011000010100100000000000000000000000000000000000000000011111001000000000000010000000000000001110011110110000010000000100010100000110101110100001000000000000001000010001000000000010000001000000000000001100011111010001000110000110000101101000110100000000000000000000000000000000000111110010000000000000100000000000000011100111101100000100000001000101000001101011101000010000000000000010000100010000000000000000010000000000000011000111110000010001100001100010001110001101000000000000000000000000000000000001111000100000000000001000000000000000111001111011000001000000010001010000011010111010000100000000000000100001000100000000000000000100000000000000100011111101000100011000011000100011100011010000000000000000000000000000000000011111001000000000000010000000000000001110011110110000010000000100010100000110101110100001000000000000001000010001000000000010000001000000000000001000111111010000000000000001001110001000000000000000000000000000000000000000000111110010000000000000100000000000000011100111101100000100000001000101000001101011101000010000000000000010000100010000000000000000010000000000000010001111110000000000000000010000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001111011000001000000010001010000011010111010000100000000000000100001000100000000000000000100000000000000110011111101000000000000000100000000000000000000000000000000000000000000000000011111001000000000000010000000000000001110011110110000010000000100010100000110101110100001000000000000001000010001000000000010000001000000000000001100111111010000000110000110001000001000000000000000000000000000000000000000000111110010000000000000100000000000000011100111101100000100000001000101000001101011101000010000000000000010000100010000000000000000010000000000000011001111110000000001100001100000000000000000000000000000000000000000000000000001111000100000000000001000000000000000111001111011000001000000010001010000011010111010000100000000000000100001000100000000000000000100000000000000100000000011000000011000011000000000000000000000000000000000000000000000000000011111001000000000000010000000000000001110011110110000010000000100010100000110101110100001000000000000001000010001000000000010000001000000000000001000000000110000000010000101001000001000000000000000000000000000000000000000000111110010000000000000100000000000000011100111101100000100000001000101000001101011101000010000000000000010000100010000000000000000010000000000000010000000001000000000100001010000000000000000000000000000000000000000000000000001111000100000000000010000000000000000111000001101100001000000010001010000011010111000000000000000000000000000000000001111100000000100000000000000110000000011000000001000010100000000000000000000000000000000000000000000000000011111001000000001000100000000000000001110000011011001101000000000000000100010110000000000000000000000000000000000000011111000000001000000000000001100000000110000000010000101111000000000000000000000000000000000000000000000000111110010000000000001000000000000000011100000110100011010000100110000110010101100000000000000000000000000000000000000111100000000010000000000000011000000001100000000100001011110000000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111100110100001001100001100101011000000000000000000000000000000000000001111100000000100000000000000110000000011000000001000010111100000000000000000000000000000000000000000000000011111001000000001000010000000000000001110000001111110011100000000000000110011001100000000000000000000000000000000000011111000000001000000000000001100000000110000000111101111111000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000111100000000010000000000000011000000001100000001111011111110000000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001000000100000000000000110000000011011111111111111111100010001111100000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000000001000000000000001100000000100111111111111111011011111011111000000000000000000000000000000000000111100010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000000000000000010000000000000010001000001101111111111111110110111110111110000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001000000100000000000000100010000011000000001000001100111010100000000000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000000001000000000000001000100000100000000010000011001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000000000000111100000000000000011001101110100000000100000110010001000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001001111000000000000000110011011101011111110000010000111010101110110000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000011110000000000000001100110111000111111100000100001011110011101100000000000000000000000000000000000111100010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000000000000111100000000000000010000011110101111111000001000010111100111011000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001001111000000000000000100000111101000100010000000000101011100000000000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000011110000000000000001000001111000001000100000000001000100000000000000000000000000000000000000000000111100010000000000000100000000000000011100000011101100111101101001101001111110011000000000000000000000000000000000000000000000111100000000000000011000011110100010001000000000010001000000000000000000000000000000000000000000001111100100000000000001000000000000000111000000111011001111011010011010011111100110000000000000000000000000000000000000000001001111000000000000000110000111101000000010000001000111010110001000000000000000000000000000000000000011111001000000000000010000000000000001110000001110110011110110100110100111111001100000000000000000000000000000000000000000000011110000000000000001100001111000000000100000010001100101100010000000000000000000000000000000000000111100010000000000000100000000000000011100011110111100111101101001101001111110011000001000000000000000111000000111000111111000111100000000000000010001011110100000001000000100011001011000100000000000000000000000000000000000001111100100000000100001000000000000000111000111101111001111011010011010011111100110000010000000000000001110000001110001111110001111000000000000000100010111101000000010000001000101011110001000000000000000000000000000000000000011111001000000000000010000000000000001110001111010110011100100000111000110011001100000100000000000000011100000011100011110000011110000000000000001000101111010000000100000010001010111100010000000000000000000000000000000000000111110010000000000000100000000000000011100011110101100111001000001110001100110011000001000000000000000111000000111000000000100111100000000000000010001011110100100101000000000010100110000000000000000000000000000000000000000001111100100000000000001000000000000000111000111101011001110010000011100011001100110000010000000000000001110000001110000000000001111000000000000000100010111100001001010000000000000000000000000000000000000000000000000000000000011110001000000000000010000000000000001110001111010110011100100000111000110011001100000100000000000000011100000011100000000000011110000000000000001100101111010010010100000000000000000000000000000000000000000000000000000000000111110010000000000000100000000000000011100011110101100111001000001110001100110011000001000000000000000111000000111000000000100111100000000000000011001011110100010101000000000010100111000000000000000000000000000000000000000001111100100000000000001000000000000000111000111101011001110010000011100011001100110000010000000000000001110000001110000000000001111000000000000000110010111100000101010000000000010000010000000000000000000000000000000000000000011110001000000000000010000000000000001100001111011110011100100000111000110011001100000001000000000000001100100000100011111100011110000000000000001000011111010001010100000000000100000100000000000000000000000000000000000000000111110010000000010000100000000000000011000011110111100111001000001110001100110011000000010000000000000011001000001000111111000111100000000000000010000111110100010101000000000010100111000000000000000000000000000000000000000001111100100000000000001000000000000000110000111101011001110011000000100001011100110000000100000000000000110010000010001111000001111000000000000000100001111101000101010000000000101001110000000000000000000000000000000000000000011111001000000000000010000000000000001100011111011110011100110000001000010111001100000000000000000000001010000000000011111100011110000000000000001000011111010001010100000000001010011100000000000000000000000000000000000000000111110010000000010000100000000000000011000111110111100111001100000010000101110011000000000000000000000010100000000000111111000111100000000000000010000111110100010101000000000010100111000000000000000000000000000000000000000001111100100000000000001000000000000000110001111101011001110000000000000001001100110000000000000000000000101000000000001111000001111000000000000000100001111101000101010000000000101001110000000000000000000000000000000000000000011111001000000000000010000000000000001100011111010110011100000000000000010011001100000000000000000000001010000000000000000010011110000000000000001000011111010000110100000000001010111100000000000000000000000000000000000000000111110010000000000000100000000000000011000111110101100111000000000000000100110011000000000000000000000010100000000000000000000111100000000000000010000111110000001101000000000011001001000000000000000000000000000000000000000001111000100000000000001000000000000000110001111101011001110000000000000001001100110000000000000000000000101000000000000000000001111000000000000000110001111101000011010000000000110010010000000000000000000000000000000000000000011111001000000000000010000000000000001100011111010110011100000000000000010011001100000000000000000000001010000000000000000010011110000000000000001100011111010001110100000000001010011010000000000000000000000000000000000000000111110010000000000000100000000000000011000111110101100111000000000000000100110011000000000000000000000010100000000000000000000111100000000000000011000111110000011101000000000000100000100000000000000000000000000000000000000001111000100000000000001000000000000000111001111101111001110000000000000001001100110000000000000000000000000001000000001111110001111000000000000000100011111101000111010000000000001000001000000000000000000000000000000000000000011111001000000001000010000000000000001110011111011110011100000000000000010011001100000000000000000000000000010000000011111100011110000000000000001000111111010001110100000000001010011010000000000000000000000000000000000000000111110010000000000000100000000000000011100111110101100111000001000000000100110011000000000000000000000000000100000000111100000111100000000000000010001111110100011101000000000010100110100000000000000000000000000000000000000001111100100000000000001000000000000000110000000011111001110000010000000001001100110000000000000000000000000000000000001111110001111000000000000000100011111101000111010000000000101001101000000000000000000000000000000000000000011111001000000001000010000000000000001100000000111110011100000100000000010011001100000000000000000000000000000000000011111100011110000000000000001000111111010001110100000000001010011010000000000000000000000000000000000000000111110010000000000000100000000000000011000000001101100111000000000000000100110011000000000000000000000000000000000000111100000111100000000000000010001111110100011101000000000010100110100000000000000000000000000000000000000001111100100000000000001000000000000000110000000011011001110000000000000001001100110000000000000000000000000000000000000000001001111000000000000000100011111101000000110000000000101011101000000000000000000000000000000000000000011111001000000000000010000000000000001100000000110110011100000000000000010011001100000000000000000000000000000000000000000000011110000000000000001000111111000000001100000000001010100010000000000000000000000000000000000000000111100010000000000000100000000000000011000000001101100111000000000000000100110011000000000000000000000000000000000000000000000111100000000000000011001111110100000011000000000010101000100000000000000000000000000000000000000001111100100000000000001000000000000000110000000011011001110000000000000001001100110000000000000000000000000000000000000000001001111000000000000000110011111101000100110000000000101001111000000000000000000000000000000000000000011111001000000000000010000000000000001100000000110110011100000000000000010011001100000000000000000000000000000000000000000000011110000000000000001100111111000001001100000000000110000110000000000000000000000000000000000000000111100010000000000000100000000000000011100000001111100111000000000000000100110011000000000000000000000010100000000000111111000111100000000000000010000000001100010011000000000001100001100000000000000000000000000000000000000001111100100000000100001000000000000000111000000011111001110000000000000001001100110000000000000000000000101000000000001111110001111000000000000000100000000011000100110000000000101001111000000000000000000000000000000000000000011111001000000000000010000000000000001110000000110110011100000000000000010011001100000000000000000000001010000000000011110000011110000000000000001000000000110001001100000000001010011110000000000000000000000000000000000000000111110010000000000000100000000000000011000100001111100111000000000000000100110011000000000000000000000000000000000000111111000111100000000000000010000000001100010011000000000010100111100000000000000000000000000000000000000001111100100000000100001000000000000000110001000011111001110000000000000001001100110000000000000000000000000000000000001111110001111000000000000000100000000011000100110000000000101001111000000000000000000000000000000000000000011111001000000000000010000000000000001100010000110110011100000000000000010011001100000000000000000000000000000000000011110000011110000000000000001000000000110001001100000000001010011110000000000000000000000000000000000000000111110010000000000000100000000000000011000100001101100111000000000000000100110011000000000000000000000000000000000000000000100111100000000000000010000000001100001011000000000010101111100000000000000000000000000000000000000001111100100000000000001000000000000000110001000011011001110000000000000001001100110000000000000000000000000000000000000000000001111000000000000000100000000010000010110000000000111010011000000000000000000000000000000000000000011110001000000000000010000000000000001100010000110110011100000000000000010011001100000000000000000000000000000000000000000000011110000000000000001100000000110000101100000000001110100110000000000000000000000000000000000000000111110010000000000000100000000000000011000100001101100111000000000000000100110011000000000000000000000000000000000000000000100111100000000000000011000000001100011011000000000010100110010000000000000000000000000000000000000001111100100000000000001000000000000000110001000011011001110000000000000001001100110000000000000000000000000000000000000000000001111000000000000000110000000010000110110000000000000100000100000000000000000000000000000000000000011110001000000000000010000000000000001110010000111110011100000000000000010011001100010100000000000000011000001001000011111100011110000000000000001000100000110001101100000000000001000001000000000000000000000000000000000000000111110010000000010000100000000000000011100100001111100111000000000000000100110011000101000000000000000110000010010000111111000111100000000000000010001000001100011011000000000010100110010000000000000000000000000000000000000001111100100000000</data>
<extradata>11B11B11B11B11B1TB1B11B11B11B1B11B11B1B11B11B1B11B11B1B11B11B11B11B11B1B11B1BB1BB11B11B1B11B11B11B1B11B11B11B1B11B11B11B1B11B11B</extradata>
</log>
<log>
<data name="log: 2009/04/15 16:38:14 #0" power_up_mode="false" sample_depth="128" trigger_position="16">0010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/04/15 16:38:16 #0" power_up_mode="false" sample_depth="128" trigger_position="16">0010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/04/15 16:38:17 #0" power_up_mode="false" sample_depth="128" trigger_position="16">0010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/04/15 16:38:19 #0" power_up_mode="false" sample_depth="128" trigger_position="16">0010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000</data>
<extradata>1111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:42:40 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="yes" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:42:40 #1" power_up_mode="false" sample_depth="127" trigger_position="-1">00101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111000101111110100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001011111100000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110111111010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001101111110100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110011011111101000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110111111000000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000100000001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001000000011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100010000000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000100000001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011000000011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110000000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111001100000001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011000000010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010100000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000101000001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110001010000011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010100000100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001101000001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011010000011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100110100000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001101000001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001001000011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010010000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111000100100001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001001000010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110010000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001100100001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110011001000011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110010000100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000101100001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001011000011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100010110000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000101100001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011011000011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110110000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111001101100001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011011000010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010001000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000100010001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110001000100011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010001000100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001100010001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011000100011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100110001000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001100010001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001010100011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010101000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111000101010001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001010100010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110101000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001101010001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110011010100011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110101000100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000100110001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001001100011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100010011000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000100110001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011001100011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110011000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111001100110001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011001100010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010111000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000101110001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110001011100011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010111000100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001101110001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011011100011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100110111000110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001101110001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001000010011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010000100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111000100001001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001000010010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110000100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001100001001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110011000010011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110000100100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000101001001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001010010011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100010100100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000101001001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011010010011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110100100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111001101001001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011010010010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010010100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000100101001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110001001010011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010010100100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001100101001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011001010011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100110010100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001100101001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001011010011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010110100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111000101101001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001011010010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110110100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001101101001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110011011010011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110110100100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000100011001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001000110011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100010001100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000100011001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011000110011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110001100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111001100011001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011000110010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010101100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000101011001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110001010110011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010101100100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001101011001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110011010110011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010100101010000110111100110101100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001101011001000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001001110011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100010011100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101001010100001101111000100111001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000010010101000011011110001001110010000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110011100110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111001100111001100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001010010101000011011110011001110011000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000100101010000110111100110011100100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001001010100001101111000101111001100000111011101011101101001101100000000000000000000000000000000000001111100100000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:44:00 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="no" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:44:00 #1" power_up_mode="false" sample_depth="128" trigger_position="64">0000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000010000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000100100010000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000001000000000000000000000000000000000000000000000000001100001001000100000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010010001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000110000100100010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000000001100001001000100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100000000011000010010001000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000110000100100010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000000001100001001000100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100000000011000010001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000110000100011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000000001100001000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100000000001010000001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000010100000011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000000000101000000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100000000000001000001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000000000000010000011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000000000000100000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100001000000001000001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000010000000010000011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010000100000000100000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100011000000001000001101000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000110000000010000011010000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010001100000000100000110100000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100011000000001000001100000110000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000110000000010000011000001100000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000000000000000000000000000000000001010001100000000100000110000011000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010100011000000001000001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000101000110000000010000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010100000000000001110000111110000000000000000000000000000000000000000010000000000000000000000000000001010001100000000100000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101000000000000011100001111100000000000000000000000000000000000000000000000000000000000000000000000010000011000000001000000000000000000000000000000000000000000000000000001111000100000000000000000000000000000000000000000000101010000000000000111000011111000000000000000000000000000000000000000000000000000000000000000000000000100000110000000010000000000000000000000000000000000000000000000000000011110001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100000000100000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100011000000001000000000000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000110000000010000000000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100000000100000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100011000000001000000000000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000110000000010000010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100000000100000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100011000000001000001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000110000010010100010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100000100101000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100011000001001010001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000110010000010000010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010001100100000100000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100011001000001000001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101000010010000010000010100100000100000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010000100100000100000101001000001000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100001001000001000001010010000010000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101001010110000010000010100100000110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010010101100000100000101001000001100000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010100101011000001000001010010000011000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101101010110001010000010100110101110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001011010101100010100000101001101011100000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000010110101011000101000001010011010111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000101001010110000010000010110100111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000001010010101100000100000101101001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000100000000000000000000001000000010100101011000001000001011010011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000100001010110000010000100111100111110000000000000000000000000000000000011110001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010010101100000100001001111001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100101011000001000010011110011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101001010110000010000100111100111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010010101100000100001001111001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100101011000001000010011110011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000101001010110000010000011010000111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000100001010010101100000100000110100001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000001000010100101011000001000001101000011111000000000000000000000000000000000001111100100000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:44:25 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="no" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="no" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:44:25 #1" power_up_mode="false" sample_depth="128" trigger_position="64">0010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:44:43 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="no" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="yes" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:44:43 #1" power_up_mode="false" sample_depth="128" trigger_position="64">0010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:44:57 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="yes" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:44:57 #1" power_up_mode="false" sample_depth="127" trigger_position="-1">01000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:49:11 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="no" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:49:11 #1" power_up_mode="false" sample_depth="128" trigger_position="64">0000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000001100000000100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001000001100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001100001100010000010000000000000000000000000000000000000000000000000000000000000000000000000000000101000000011000011000100000100000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000000011000011000100000100000000000000000000000000000000000000000000000000000000000000000000000000000001010000000110000110001000001000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000000000110000110001000001000000000000000000000000000000000000000000000000100000000000000000000000000000010100000001100001100010000010000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000000001100001100010000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000011000011000100000100000000000000000000000000000000000000000011110000100000000000000000000000000000000000000000000000011000011000100000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000110001000001000000000000000000000000000000000000000000111100001000000000000000000000000000000000000000001110011000000110000110100001010100000000000000000000000000000000000000000000000000000000000000001000000010111100110000001100001101000010101000000000000000000000000000000000001111100010000000000000000000000000000000000000000111100110000001100001101000010101000000000000000000000000000000000001111100000000000000000000000010000000101111001100000011000011010000101010000000000000000000000000000000000011111000100000000000000000000000000000000000000001111001100000011000011010000101010000000000000000000000000000000000011111010000000000000000000000100000001011110011000000110000110100001010100000000000000000000000000000000000111110001000000000000000000000000000000000000000011110011000000110000110100001010100000000000000000000000000000000000111110000000000000000000000001000000010011100110000001100001101000010101000000000000000000000000000000000001111000010000000000000000000000000000000000000000110001111100100011001011011111100000000000000000000000000000000000001111100000000000000000000000000010000101100011111001000110010110111111000000000000000000000000000000000000011111000100000001000000000000000000000000000000001000110000000010000011000000000000000000000000000000000000000000000011111000000000000000000000000000100001010001100000000100000110000000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000001100000000100000110000000000000000000000000000000000000000000000111100000000000000000000000000001000010100011000000001000001100000000000000000000000000000000000000000000001111100010000000000011000000001000001100000000000100000111011101011101101001101100000000000000000000000000000000000001111100000000000000000000000000010000101000001110111010111011010011011000000000000000000000000000000000000011111000100000000000110000000010000011000000000001000001110111010111011010011011000000000000000000000000000000000000011111010000000000000000000000000100001011000111110010001100101101111110000000000000000000000000000000000000111110001000000010001100000000100000110000000000010000011101110101110110100110110000000000000000000000000000000000000111110000000000000000000000000001000010010001111100100011001011011111100000000000000000000000000000000000001111000010000000000011000000001000001100000000000000000111011101011101101001101100000000000000000000000000000000000001111000000000000000000000000010010000101000011001010000000101001100000000000000000000000000000000000000000011111000100000000000110000000010000011000000000000000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000000000100100001010000110010100000001010011000000000000000000000000000000000000000000111110001000000000001100000000100000110000000000000000011101110101110110100110110000000000000000000000000000000000000000000100000000000000000000001001000010100001100101000000010100110000000000000000000000000000000000000000001111100010000000000011000000001000001100000000000000000111011101011101101001101100000000000000000000000000000000000000000000000000000000000000000010010000100000011001010000000101001100000000000000000000000000000000000000000011110000100000000000110000000010000011000000000000000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000000000000010001010000000000000000001000000110000000000000000000000000000000000000000111110001000000000001100000000100000110000000000000000011101110101110110100110110000000000000000000000000000000000000000000000000000000000000000000000100010100000000000000000010000001100000000000000000000000000000000000000001111100010000000000011000000001000001100000000000000000111011101011101101001101100000000000000000000000000000000000000000001000000000000000000000000001000101000000000000000000100000011000000000000000000000000000000000000000011111000100000000000110000000010000011000000000000000001110111010111011010011011000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000001000000110000000000000000000000000000000000000000111100001000000000000000000000000000000000000000010000000000000000101010000000000010001111010101010111001111111100000111111000000000000000000000001000100010100000000000000001010100000000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000100000000000000001010100000000000100011110101010101110011111111000001111110000000000000000000000010001000101000000000000000010101000000000000000000000000000000000000000000000011111000100000000000000000000000000000000000000001000000000000000010101000000000001000111101010101011100111111110000011111110000000000000000000000100010001010000000000000000101010000000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000010000000000000000101010000000000010001111010101010111001111111100000111111000000000000000000000001000100010000000000000000001010100000000000000000000000000000000000000000000001111000010000000000000000000000000000000000000000100000000000100000010001000010000100011110101010101110011111111000001111110000000000000000000000000011000101000000000001000000100010000100000000000000000000000000000000000000011111000100000001000000000000000000000000000000001100011110101010101110011111111001000111101010101011100111111110000011111100000000000000000000000000110001011000111101010101011100111111110000000000000000000000000000000000000111110001000000000000000000000000000000000000000001000111101010101011100111111110010001111010101010111001111111100000111100000000000000000000000000001100010110001111010101010111001111111100000000000000000000000000000000000001111100010000000000000000000000000000000000000000010001111010101010111001111111100100011110101010101110011111111000000000000000000000000000000000000011000101100011110101010101110011111111000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000000000001000000100010000100001000111101010101011100111111110000000000010000000000000000000000000110001010000000000010000001000100001000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000000000000010000001000100001000010001111010101010111001111111100000000000000000000000000000000000001100010000000000000100000010001000010000000000000000000000000000000000000001111000010000000000000000000000000000000000000000000010100000001100001100000000000100011110101010101110011111111000000000000000000000000000000000000001110101000101000000011000011000000000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000101000000011000011000000000001000111101010101011100111111110000000000000000000000000000000000000011101010001010000000110000110000000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000001010000000110000110000000000010001111010101010111001111111100000000000100000000000000000000000000111010100010100000001100001100000000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000010100000001100001100000000000100011110101010101110011111111000000000000000000000000000000000000001110100000101000000011000011000000000000000000000000000000000000000000000011110000100000000000000000000000000000000000000000111111100001001100111000100001111000111101010101011100111111110000000000000000000000000000000000100011101011111111000010011001110001000011100000000000000000000000000000000000111110001000000000000000000000000000000000000000001111111000010011001110001000011110001111010101010111001111111100000000000000000000000000000000001000111010111111110000100110011100010000111000000000000000000000000000000000001111100010000000000000000000000000000000000000000011111110000100110011100010000111100011110101010101110011111111000000000001000000000000000000000010001110101111111100001001100111000100001110000000000000000000000000000000000011111000100000000000000000000000000000000000000000111111100001001100111000100001111000111101010101011100111111110000000000000000000000000000000000100011101001111111000010011001110001000011100000000000000000000000000000000000111100001000000000000000000000000000000000000000001000000110010101000101001000000010001111010101010111001111111100000000000000000000000000000000000001111010110000001100101010001010010000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000010000001100101010001010010000000100011110101010101110011111111000000000000000000000000000000000000011110101100000011001010100010100100000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000100000011001010100010100100000001000111101010101011100111111110000000000010000000000000000000000000111101011000000110010101000101001000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000001000000110010101000101001000000010001111010101010111001111111100000000000000000000000000000000000001111010010000001100101010001010010000000000000000000000000000000000000000001111000010000000000000000000000000000000000000000010000001100000010001010010000000100011110101010101110011111111000000000000000000000000000000000010011110101100000011000000100010100100000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000100000011000000100010100100000001000111101010101011100111111110000000000000000000000000000000000100111101011000000110000001000101001000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000001000000110000001000101001000000010001111010101010111001111111100000000000100000000000000000000001001111010110000001100000010001010010000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000010000001100000010001010010000000100011110101010101110011111111000000000000000000000000000000000010011110100100000011000000100010100100000000000000000000000000000000000000000011110000100000000000000000000000000000000000000000000110010100000000011001100000001000111101010101011100111111110000000000000000000000000000000000000000011010001100101000000000110011000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000001100101000000000110011000000010001111010101010111001111111100000000000000000000000000000000000000000110100011001010000000001100110000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000011001010000000001100110000000100011110101010101110011111111000000000001000000000000000000000000000001101000110010100000000011001100000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000110010100000000011001100000001000111101010101011100111111110000000000000000000000000000000000000000011000001100101000000000110011000000000000000000000000000000000000000000111100001000000000000000000000000000000000000000000000000000000011001110001000000010001111010101010111001111111100000000000000000000000000000000001000000110100000000000000110011100010000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000000000000000110011100010000000100011110101010101110011111111000000000000000000000000000000000010000001101000000000000001100111000100000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000000000000001100111000100000001000111101010101011100111111110000000000010000000000000000000000100000011010000000000000011001110001000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000000000000000011001110001000000010001111010101010111001111111100000000000000000000000000000000001000000110000000000000000110011100010000000000000000000000000000000000000000001111000010000000010000000000100000000100100000000100000000000000000000000000000000111111111001000111111101100001110001000110000000000000000000000000010001101000110000100000000011001100000000000000000000000000000000000000000011111000100000000100000000001000000001001000000001000000000000000000000000000000001111111110010001111111011000011100010001100000000000000000000000000100011010001100001000000000110011000000000000000000000000000000000000000000111110001000000001000000000010000000010010000000010000000000000000000000000000000011111111100100011111110110000111000100011100000000000000000000000001000110100011000010000000001100110000000000000000000000000000000000000000001111100010000000010000000000100000000100100000000100000000000000000000000000000000111111111001000111111101100001110001000110000000000000000000000000010001100000110000100000000011001100000000000000000000000000000000000000000011110000100000001100000000001000000001001000000001000000000000000000000000000000001111111110010001111111011000011100010001100000000000000000000000100100011010000000000010001001110001110000000000000000000000000000000000000000111110001000000001000000000010000000010010000000000000000000000000000000000000000011111111100100011111110110000111000100000000000000000000000000001001000110100000000000100010011100011100000000000000000000000000000000000000001111100010000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:50:30 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="no" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="no" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:50:30 #1" power_up_mode="false" sample_depth="128" trigger_position="64">0100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/04/15 16:50:35 #0" power_up_mode="false" sample_depth="128" trigger_position="64">0100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110000000000010001000011110010000000000000000000000000000000000000000001111000010000000110000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010010011100111111111110111111110010011111110000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111000000000000000000101010000000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110000000001010000010011000010000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111000000000001000001001001001001000000000000000000000000000000000000000111100001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110000000000010001000011110010000000000000000000000000000000000000000001111000010000000110000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010010011100111111111110111111110010011111110000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111000000000000000000101010000000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110000000001010000010011000010000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111000000000001000001001001001001000000000000000000000000000000000000000111100001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110000000000010001000011110010000000000000000000000000000000000000000001111000010000000110000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010010011100111111111110111111110010011111110000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111000000000000000000101010000000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110000000001010000010011000010000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111000000000001000001001001001001000000000000000000000000000000000000000111100001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110000000000010001000011110010000000000000000000000000000000000000000001111000010000000110000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010010011100111111111110111111110010011111110000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111000000000000000000101010000000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110000000001010000010011000010000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111000000000001000001001001001001000000000000000000000000000000000000000111100001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110000000000010001000011110010000000000000000000000000000000000000000001111000010000000110000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010010011100111111111110111111110010011111110000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111000000000000000000101010000000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110000000001010000010011000010000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111000000000001000001001001001001000000000000000000000000000000000000000111100001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110000000000010001000011110010000000000000000000000000000000000000000001111000010000000110000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010010011100111111111110111111110010011111110000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010111000000000000000000101010000000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001110000000001010000010011000010000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000111000000000001000001001001001001000000000000000000000000000000000000000111100001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110000000000010001000011110010000000000000000000000000000000000000000001111000010000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:50:44 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="no" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:50:44 #1" power_up_mode="false" sample_depth="128" trigger_position="64">0000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000100000000000000000000000000000000000000000100011110101010101110011111111000000000000000000000000000000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000010000000001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000000000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001100001100010000010000000000000000000000000000000000000000000000000000000000000000000000000000000101000000011000011000100000100000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000000011000011000100000100000000000000000000000000000000000000000000000000000000000000000000000000000001010000000110000110001000001000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000000000110000110001000001000000000000000000000000000000000000000000000000100000000000000000000000000000010100000001100001100010000010000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000000001100001100010000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000011000011000100000100000000000000000000000000000000000000000011110000100000000000000000000000000000000000000000000000011000011000100000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000110000110001000001000000000000000000000000000000000000000000111100001000000000000000000000000000000000000000001110011000000110000110100001010100000000000000000000000000000000000000000000000000000000000000001000000010111100110000001100001101000010101000000000000000000000000000000000001111100010000000000000000000000000000000000000000111100110000001100001101000010101000000000000000000000000000000000001111100000000000000000000000010000000101111001100000011000011010000101010000000000000000000000000000000000011111000100000000000000000000000000000000000000001111001100000011000011010000101010000000000000000000000000000000000011111010000000000000000000000100000001011110011000000110000110100001010100000000000000000000000000000000000111110001000000000000000000000000000000000000000011110011000000110000110100001010100000000000000000000000000000000000111110000000000000000000000001000000010011100110000001100001101000010101000000000000000000000000000000000001111000010000000000000000000000000000000000000000110001111100100011001011011111100000000000000000000000000000000000001111100000000000000000000000000010000101100011111001000110010110111111000000000000000000000000000000000000011111000100000001000000000000000000000000000000001100011110101010101110011111111000000000000000000000000000000000000011111000000000000000000000000000100001011000111101010101011100111111110000000000000000000000000000000000000111110001000000000000000000000000000000000000000001000111101010101011100111111110000000000000000000000000000000000000111100000000000000000000000000001000010110001111010101010111001111111100000000000000000000000000000000000001111100010000000000000000000000000000000000000000010001111010101010111001111111100000000000000000000000000000000000000000000000000000000000000000000010000101100011110101010101110011111111000000000000000000000000000000000000011111000100000000000000000000000000000000000000000100011111001000110010110111111000000000000000000000000000000000000000000010000000000000000000000000100001011000111110010001100101101111110000000000000000000000000000000000000111110001000000000000000000000000000000000000000001000111110010001100101101111110000000000000000000000000000000000000000000000000000000000000000000001000010010001111100100011001011011111100000000000000000000000000000000000001111000010000000000000000000000000000000000000000010001111100100011001011011111100000000000000000000000000000000000000000000000000000000000000000000010000100100011111001000110010110111111000000000000000000000000000000000000011110000100000000000000000000000000000000000000000100011111001000110010110111111000000000000000000000000000000000000000000000000000000000000000000000100001001000111110010001100101101111110000000000000000000000000000000000000111100001000000000000000000000000000000000000000001000111110010001100101101111110000000000000000000000000000000000000000000000000000000000000000000001000010010001111100100011001011011111100000000000000000000000000000000000001111000010000000000000000000000000000000000000000010001111100100011001011011111100000000000000000000000000000000000000000000000000000000000000000000010000100100011111001000110010110111111000000000000000000000000000000000000011110000100000000000000000000000000000000000000000000000011000011000011100111001000000000000000000000000000000000000000000000100000000000000000000000000000110000000110000110000111001110010000000000000000000000000000000000000111110001000000000000000000000000000000000000000000000000110000110000111001110010000000000000000000000000000000000000000000001000000000000000000000000000001100000001100001100001110011100100000000000000000000000000000000000001111100010000000000000000000000000000000000000000000000001100001100001110011100100000000000000000000000000000000000000000001010000000000000000000000000000011000000011000011000011100111001000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000000011000011000011100111001000000000000000000000000000000000000000000000100000000000000000000000000000100000000110000110000111001110010000000000000000000000000000000000000111100001000000000000000000000000000000000000000001111111111111111111000000101111100000000000000000000000000000000000000000001000000000000000000001000000001111111111111111111110000001011111000000000000000000000000000000000001111100010000000000000000000000000000000000000000011111111111111111110000001011111000000000000000000000000000000000000000000010000000000000000000010000000011111111111111111111100000010111110000000000000000000000000000000000011111000100000000000000000000000000000000000000000111111111111111111100000010111110000000000000000000000000000000000000000010100000000000000000000100000000111111111111111111111000000101111100000000000000000000000000000000000111110001000000000000000000000000000000000000000001111111111111111111000000101111100000000000000000000000000000000000000000001000000000000000000001000000001011111111111111111110000001011111000000000000000000000000000000000001111000010000000000000000000000000000000000000000010000000101000101011100010000000000000000000000000000000000000000000000000010000000000000000000000010000011100000001010001010111000100000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000100000001010001010111000100000000000000000000000000000000000000000000000000100000000000000000000000100000111000000010100010101110001000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000001000000010100010101110001000000000000000000000000000000000000000000000000101000000000000000000000001000001110000000101000101011100010000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000010000000101000101011100010000000000000000000000000000000000000000000000000010000000000000000000000010000010100000001010001010111000100000000000000000000000000000000000000000011110000100000000000000000000000000000000000000000000000011000011000011100111000000000000000000000000000000000000000000000000100000000000000000000000011011010000000110000110000111001110000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000000000110000110000111001110000000000000000000000000000000000000000000000001000000000000000000000000110110100000001100001100001110011100000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000000001100001100001110011100000000000000000000000000000000000000000000001010000000000000000000000001101101000000011000011000011100111000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000000011000011000011100111000000000000000000000000000000000000000000000000100000000000000000000000011011000000000110000110000111001110000000000000000000000000000000000000000111100001000000000000000000000000000000000000000000000000110000110000111001110000000000000000000000000000000000000000000000001000000000000000000000000110110000000001100001100001110011100000000000000000000000000000000000000001111000010000000000000000000000000000000000000000000010100000000110001100000000000000000000000000000000000000000000000000000010000000000000000000010001101101000101000000001100011000000000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000101000000001100011000000000000000000000000000000000000000000000000000000100000000000000000000100011011010001010000000011000110000000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000001010000000011000110000000000000000000000000000000000000000000000000000101000000000000000000001000110110100010100000000110001100000000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000010100000000110001100000000000000000000000000000000000000000000000000000010000000000000000000010001101100000101000000001100011000000000000000000000000000000000000000000000011110000100000000000000000000000000000000000000000000000011000011000011100111001000000000000000000000000000000000000000000000100000000000000000000000111011010000000110000110000111001110010000000000000000000000000000000000000111110001000000000000000000000000000000000000000000000000110000110000111001110010000000000000000000000000000000000000000000001000000000000000000000001110110100000001100001100001110011100100000000000000000000000000000000000001111100010000000000000000000000000000000000000000000000001100001100001110011100100000000000000000000000000000000000000000001010000000000000000000000011101101000000011000011000011100111001000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000000011000011000011100111001000000000000000000000000000000000000000000000100000000000000000000000111011000000000110000110000111001110010000000000000000000000000000000000000111100001000000000000000000000000000000000000000001000000011000101000101101000000000000000000000000000000000000000000000000001000000000000000000001001110110110000000110001010001011010000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000010000000110001010001011010000000000000000000000000000000000000000000000000010000000000000000000010011101101100000001100010100010110100000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000100000001100010100010110100000000000000000000000000000000000000000000000010100000000000000000000100111011011000000011000101000101101000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000001000000011000101000101101000000000000000000000000000000000000000000000000001000000000000000000001001110110010000000110001010001011010000000000000000000000000000000000000000001111000010000000000000000000000000000000000000000000000001010000010011000010000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000000010100000100110000100000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000000000101000001001100001000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000000001010000010011000010000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000000000000000000000000000000000000000000000100000100100100100100000000000000000000000000000000000000000000000100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000000000000000000000000000000000000000000000001000001001001001001000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000000000010000010010010010010000000000000000000000000000000000000000000001010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000000000000000000000000000000000000000000000100000100100100100100000000000000000000000000000000000000000000000100000000000000000000100000111000000000001000001001001001001000000000000000000000000000000000000000111100001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000001001110000000000010001000011110010000000000000000000000000000000000000000001111000010000000110000000000000000000101100000000100000000000001100000000000000000000000000000000000000000000000000000010100010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000011000000000000000000000000000000000000000000000000000000100000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000110000000000000000000000000000000000000000000000000000000000101000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
<log>
<data name="log: 2009/04/15 16:54:41 #0" power_up_mode="false" sample_depth="127" trigger_position="-1">01000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011100000000000100010000111100100000000000000000000000000000000000000000011110000100000001100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000100100111011111111111101111111100100111111100000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000001001001110111111111111011111111001001111111000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000010010011101111111111110111111110010011111110000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100111001111111111101111111100100111111100000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110100000000000000001010100000000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001011101000000000000000010101000000000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000010111010000000000000000101010000000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000101110000000000000000001010100000000000000000000000000000000000000000000001111000010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011101000000010100000100110000100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111010000000101000001001100001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000001110100000001010000010011000010000000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011100000000010100000100110000100000000000000000000000000000000000000000011110000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000101000001001100001000000000000000000000000000000000000000000111100001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110100000000010000010010010010010000000000000000000000000000000000000001111100010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000011101000000000100000100100100100100000000000000000000000000000000000000011111000100000000100000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000100000111010000000001000001001001001001000000000000000000000000000000000000000111110001000000001000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000001110000000000010000010010010010010000000000000000000000000000000000000001111000010000000010000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000000010100010000000000000000000000010011101000000000100010000111100100000000000000000000000000000000000000000011111000100000000100000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000000101000100000000000000000000000100111010000000001000100001111001000000000000000000000000000000000000000000111110001000000001000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000001010101000000000000000000000001001110100000000010001000011110010000000000000000000000000000000000000000001111100010000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:56:04 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="no" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="no" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:56:05 #0" power_up_mode="false" sample_depth="128" trigger_position="64">0010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:56:23 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="yes" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[14]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[15]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[16]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[18]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[19]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[1]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[21]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[23]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[24]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[25]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[27]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[2]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[30]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[3]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[4]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[6]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[7]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_adr_o[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:56:23 #1" power_up_mode="false" sample_depth="128" trigger_position="64">0010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100010000111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111000100001111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001011111111110111111110001000011111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100010000111100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111001100001111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110011000011111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010111111111101111111100110000111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111001100001111000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110001010011111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100010100111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101111111111011111111000101001111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110001010011110000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100110100111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111001101001111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001011111111110111111110011010011111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100110100111100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111000100101111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110001001011111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010111111111101111111100010010111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111000100101111000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110011001011111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100110010111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101111111111011111111001100101111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110011001011110000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100010110111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111000101101111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001011111111110111111110001011011111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100010110111100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111001101101111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110011011011111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010111111111101111111100110110111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111001101101111000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110001000111111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100010001111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101111111111011111111000100011111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110001000111110000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100110001111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111001100011111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001011111111110111111110011000111111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100110001111100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111000101011111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110001010111111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010111111111101111111100010101111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111000101011111000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110011010111111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100110101111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101111111111011111111001101011111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110011010111110000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100010011111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111000100111111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001011111111110111111110001001111111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100010011111100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111001100111111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110011001111111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010111111111101111111100110011111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111001100111111000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110001011111111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100010111111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000101111111111011111111000101111111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000011111111110111111110001011111110000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100110111111110000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000001111111111011111111001101111111100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001011111111110111111110011011111111000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000111111111101111111100110111111100000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000000000000000000001001000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000100000000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001011000000001000000000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010000000000000000000010110000000010000000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000001111000100000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" is_expanded="true" name="trigger: 2009/04/15 16:57:41 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="yes" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[14]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[15]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[16]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[18]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[19]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[1]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[21]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[23]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[24]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[25]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[27]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[2]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[30]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[3]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[4]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[6]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[7]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/15 16:57:41 #1" power_up_mode="false" sample_depth="128" trigger_position="64">0001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000100101101010000000001100110001000101000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000001001011010100000000011001100010001010000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000010010110101000000000110011000100000100000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000100101101010000000001100110001000001000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000001001011010100000000011001100010000010000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000010010110101000000000110011000100000100000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000100101101010000000001100110001000001000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000100001000000000000000001001011010100000000011001100010000010000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000010010110100000000000110011000000000000000000000000000000000000000000000000000011110001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000100101101000000000001100110000000000000000000000000000000000000000000000000000111100010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000000000111010100000000011001100000000000000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000000001110101000000000110011000000000000000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000000011101010000000001100110000000000000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000000000111010100000000011001100000000000000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000000001110101000000000110011000000000000000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000000011101010000000001100110000100010000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000000000111010100000000011001100001000100000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000000001110101000000000110011000010001000000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000000011101010000000000100010000100010000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000000000111010100000000001000100001000100000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000000001110101000000000010001000010001000000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000000011101010000000000000000000100010000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000000000111010100000000000000000001000100000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000000001110101000000000000000000010001000000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000000011101010000000000000000000100010000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000000000111010100000000000000000001000100000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000000001110101000000000000000000010001000000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000000011101010011000000000000000100010000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000000000111010100110000000000000001000100000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000000001110101001100000000000000010001000000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000000011101010011000000000000000100010110000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000000000111010100110000000000000001000101100000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000000000010000000000000000000001110101001100000000000000010001011000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000000011101010011000000000000000100010000000000000000000000000000000000000000000111110010000000000010101000000000000011000010111100010101001000111110101101001111100000000000000000000000000000000000000000000001000000000000000000000111010100110000000000000001000100000000000000000000000000000000000000000001111100100000000000101010000000000000110000101111000101010010001111101011010011111000000000000000000000000000000000000000001000010000000000000000000001110101001100000000000000010001000000000000000000000000000000000000000000011111001000000000001010100000000000001100001011110001010100100011111010110100111110000000000000000000000000000000000000000000000100000000000000000000011101000011000000000000000000000000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110110001000000000000001000010000000000000000000000000000000000000000000111110000001000000000000000001000111010100110000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101100010000000000000010000100000000000000000000000000000000000000000001111100000010000000000000000010001110101001100000000000000000000000000000000000000000000000000000000000000011111001000000001010100010000000000000000010011011000100000000000000100001000000000000000000000000000000000000000000011111000000100000000000000000100011101010011000000000000000000000000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000111100000001000000000000000001000111010100110000000000000000000000000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000010000000000000000010001110101001100000000000000000000000000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000100000000000000000100011101010011000000000000101110001000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000001000000000000000001000111010100110000000000001011100010000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000010000000000000000010001110101001100000000000010111000100000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000100000000000000000100011101010011000101101001101110001000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000001000000000000000001000111010100110001011010011011100010000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000010000000000000000010001110101001100010110100110111000100000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000100000000000000000100011101010011000001100001101110001000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000001000000000000000001000111010100110000011000011011100010000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000010000000000000000010001110101001100000110000110111000100000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000100000000000000000100011101010110001001100001101110001000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000001000000000000000001000111010101100010011000011011100010000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000010000000000000000010001110101011000100110000110111000100000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000100000000000000000100011101010000000001100001101110001000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000001000000000000000001000111010100000000011000011011100010000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000010000000000000000010001110101000000000110000110111000100000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000100000000000000000100011101010000000001100001101110001000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000001000000000000000001000111010100000000011000011011100010000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000010000000000000000010001110101000000000110000110111000100000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000100000000000000000100011101010000000001100001101110101000000000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000001000000000000000001000111010100000000011000011011101010000000000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000010000000000000000010001110101000000000110000110111010100000000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000000100000000000000000100011101000000000001100001001000100000000000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010000100000000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100001000000001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010001000000000000001000010000000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010000100000000000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100011000000001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000110000000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100010000000000000010001100000000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100011000000000000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000010100000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010000101000000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000100000000000000100001010000001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000010100000000000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010001101000000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100011010000001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010001000000000000001000110100000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010001101000000000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100001001000001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000010010000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100010000000000000010000100100000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100001001000000000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000110010000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010001100100000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000100000000000000100011001000001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000110010000000000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010000101100000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100001011000001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010001000000000000001000010110000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010000101100000000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100011011000001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000110110000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100010000000000000010001101100000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100011011000000000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000010001000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010000100010000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000100000000000000100001000100001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000010001000000000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010001100010000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100011000100001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010001000000000000001000110001000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010001100010000000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100001010100001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000010101000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100010000000000000010000101010000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100001010100000000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000110101000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010001101010000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000100000000000000100011010100001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000110101000000000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010000100110000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100001001100001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010001000000000000001000010011000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010000100110000000000111011101011101101001101100000000000000000000000000000000000001111000100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100011001100001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000110011000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000100010000000000000010001100110000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100011001100000000001110111010111011010011011000000000000000000000000000000000000011110001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000010111000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010000101110000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000001000100000000000000100001011100001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000000001000000000000001000010111000000000011101110101110110100110110000000000000000000000000000000000000111100010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010001101110000100000111011101011101101001101100000000000000000000000000000000000001111100100000000001010001000000000000000001001101000010000000000000010000100000000000000000000000000000000000000000000000000000100000000000000100011011100001000001110111010111011010011011000000000000000000000000000000000000011111001000000000010100010000000000000000010011010000100000000000000100001000000000000000000000000000000000000000000000000010001000000000000001000110111000010000011101110101110110100110110000000000000000000000000000000000000111110010000000000101000100000000000000000100110100001000000000000001000010000000000000000000000000000000000000000000000000000010000000000000010001101110000000000111011101011101101001101100000000000000000000000000000000000001111000100000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
<trigger CRC="84AE61C9" attribute_mem_mode="false" gap_record="true" name="trigger: 2009/04/16 11:14:18 #0" position="center" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_in="dont_care" trigger_out="active high" trigger_type="circular">
<power_up_trigger position="pre" storage_qualifier_disabled="no" trigger_in="dont_care" trigger_out="active high"/>
<events use_custom_flow_control="no">
<level enabled="yes" name="condition1" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_rst_i' == falling edge
<power_up enabled="yes">
</power_up>
<op_node>
<op_node left="381" top="200" type="Advanced Trigger Level Result"/>
</op_node>
</level>
<level enabled="no" name="condition2" type="basic">'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[0]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[10]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[11]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[12]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[13]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[14]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[15]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[16]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[17]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[18]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[19]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[1]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[20]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[21]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[22]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[23]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[24]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[25]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[26]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[27]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[28]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[29]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[2]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[30]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[31]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[3]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[4]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[5]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[6]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[7]' == high &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[8]' == low &amp;&amp; 'soc_top:i_or1200_soc_top|or1200_top:i_or1200_top|iwb_dat_i[9]' == low
<power_up enabled="yes">
</power_up>
<op_node/>
</level>
</events>
<storage_qualifier_events>
<transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
<pwr_up_transitional>00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
</pwr_up_transitional>
</transitional>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
<storage_qualifier_level type="basic">
<power_up>
</power_up>
<op_node/>
</storage_qualifier_level>
</storage_qualifier_events>
<log>
<data name="log: 2009/04/16 11:14:18 #1" power_up_mode="false" sample_depth="128" trigger_position="64">0000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000001000000000000100001100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000000000001000011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000010000110000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000101000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000001010000001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000001010000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000010100000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000010100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000101000000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000000000000100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000001000001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000000000000001000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000010000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000000000000010000011000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000100000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000100000000100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010100001000000001000001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000001000000001000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010000000010000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000010000000010000011000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000100000000100000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001100000000100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011000000001000001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000011000000001000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000101000110000000010000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000110000000010000011000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001100000000100000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001100000000100000110000011000000000000000000000000000000000000000000000000000000000000000000000000000010100011000000001000001100000110000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000011000000001000001100000110000000000000000000000000000000000000000000000000000000000000000000000000000101000110000000010000011000001100000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000110000000010000011000001100000000000000000000000000000000000000000000000000000000000000000000000000001010001100000000100000110000011000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001100000000100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011000000001000001100000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000011000000001000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000101000110000000010000011000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000110000000010000011000000000000000000000000000000000000000000000000000010000000000000000000000000000001010001100000000100000110000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000011000000001000000000000000000000000000000000000000000000000000001111000100000000000000000000000000000000000000000000011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110000000010000000000000000000000000000000000000000000000000000011110001000000000000000000000000000000000000000000000110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001010001100000000100000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010100011000000001000000000000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000110000000010000000000000000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001010001100000000100000000000000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010100011000000001000000000000000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000011000000001000001010010000000000000000000000000000000000000000000000000000000000000000000000010000000101000110000000010000010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000110000000010000010100100000000000000000000000000000000000000000000000000000000000000000000000100000001010001100000000100000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001100000000100000101001000000000000000000000000000000000000000000000000000000000000000000000001000000010100011000000001000001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000011000001001010001010010000000000000000000000000000000000000000000000000000000000000000000000010000000101000110000010010100010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000110000010010100010100100000000000000000000000000000000000000000000000000000000000000000000000100000001010001100000100101000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001100000100101000101001000000000000000000000000000000000000000000000000000000000000000000000001000000010100011000001001010001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000011001000001000001010010000000000000000000000000000000000000000000000000000000000000000000000010000000101000110010000010000010100100000000000000000000000000000000000000000011111001000000000000000000000000000000000000000000000110010000010000010100100000000000000000000000000000000000000000000000000000000000000000000000100000001010001100100000100000101001000000000000000000000000000000000000000000111110010000000000000000000000000000000000000000000001100100000100000101001000000000000000000000000000000000000000000000000000000000000000000000001000000010100011001000001000001010010000000000000000000000000000000000000000001111100100000000000000000000000000000000000000000000001001000001000001010010000010000000000000000000000000000000000000000000000000000000000000000010000000101000010010000010000010100100000100000000000000000000000000000000000011111001000000000000000000000000000000000000000000000010010000010000010100100000100000000000000000000000000000000000000000000000000000000000000000100000001010000100100000100000101001000001000000000000000000000000000000000000111110010000000000000000000000000000000000000000000000100100000100000101001000001000000000000000000000000000000000000000000000000000000000000000001000000010100001001000001000001010010000010000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101011000001000001010010000011000000000000000000000000000000000000000000000000000000000000000010000000101001010110000010000010100100000110000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010110000010000010100100000110000000000000000000000000000000000000000000000000000000000000000100000001010010101100000100000101001000001100000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101100000100000101001000001100000000000000000000000000000000000000000000000000000000000000001000000010100101011000001000001010010000011000000000000000000000000000000000001111100100000000000000000000000000000000000000000010101011000101000001010011010111000000000000000000000000000000000000000000000000000000000000000010000000101101010110001010000010100110101110000000000000000000000000000000000011111001000000000000000000000000000000000000000000101010110001010000010100110101110000000000000000000000000000000000000000000000000000000000000000100000001011010101100010100000101001101011100000000000000000000000000000000000111110010000000000000000000000000000000000000000001010101100010100000101001101011100000000000000000000000000000000000000000000000000000000000000001000000010110101011000101000001010011010111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101011000001000001011010011111000000000000000000000000000000000000000000000000000000000000000010000000101001010110000010000010110100111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010110000010000010110100111110000000000000000000000000000000000000000000000000000000000000000100000001010010101100000100000101101001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101100000100000101101001111100000000000000000000000000000000000000000100000000000000000000001000000010100101011000001000001011010011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101011000001000010011110011111000000000000000000000000000000000000000000000000000000000000000010000000100001010110000010000100111100111110000000000000000000000000000000000011110001000000000000000000000000000000000000000000001010110000010000100111100111110000000000000000000000000000000000000000000000000000000000000000000100001010010101100000100001001111001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101100000100001001111001111100000000000000000000000000000000000000000000000000000000000000000001000010100101011000001000010011110011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101011000001000010011110011111000000000000000000000000000000000000000000000000000000000000000000010000101001010110000010000100111100111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010110000010000100111100111110000000000000000000000000000000000000000000000000000000000000000000100001010010101100000100001001111001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101100000100001001111001111100000000000000000000000000000000000000000000000000000000000000000001000010100101011000001000010011110011111000000000000000000000000000000000001111100100000000000000000000000000000000000000000000101011000001000001101000011111000000000000000000000000000000000000000000000000000000000000000000010000101001010110000010000011010000111110000000000000000000000000000000000011111001000000000000000000000000000000000000000000001010110000010000011010000111110000000000000000000000000000000000000000000000000000000000000000000100001010010101100000100000110100001111100000000000000000000000000000000000111110010000000000000000000000000000000000000000000010101100000100000110100001111100000000000000000000000000000000000000000000000000000000000000000001000010100101011000001000001101000011111000000000000000000000000000000000001111100100000000</data>
<extradata>1111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111</extradata>
</log>
</trigger>
</signal_set>
<position_info>
<single attribute="active tab" value="0"/>
<single attribute="data horizontal scroll position" value="22"/>
<single attribute="data vertical scroll position" value="6"/>
<single attribute="setup horizontal scroll position" value="0"/>
<single attribute="setup vertical scroll position" value="6"/>
<single attribute="data horizontal scroll position" value="11"/>
<single attribute="data vertical scroll position" value="0"/>
<single attribute="setup horizontal scroll position" value="18"/>
<single attribute="setup vertical scroll position" value="0"/>
<single attribute="zoom level denominator" value="1"/>
<single attribute="zoom level numerator" value="4"/>
<single attribute="zoom offset denominator" value="1"/>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.