OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /or1200_soc/trunk/src
    from Rev 12 to Rev 2
    Reverse comparison

Rev 12 → Rev 2

/ram/ram_1p_32x65536.v
0,0 → 1,41
// --------------------------------------------------------------------
//
// --------------------------------------------------------------------
 
 
module ram_1p_32x65536( data, addr, we, clk, q );
 
parameter DATA_WIDTH = 32;
parameter ADDR_WIDTH = 16;
parameter MEM_INIT = 0;
input [(DATA_WIDTH-1):0] data;
input [(ADDR_WIDTH-1):0] addr;
input we;
input clk;
output [(DATA_WIDTH-1):0] q;
// Declare the RAM variable
reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];
reg [ADDR_WIDTH-1:0] addr_reg;
always @ (posedge clk)
begin
// Write
if (we) ram[addr] <= data;
addr_reg <= addr;
end
// Read returns NEW data at addr if we == 1'b1. This is the
// natural behavior of TriMatrix memory blocks in Single Port
// mode
assign q = ram[addr_reg];
 
generate
if( MEM_INIT != 0 )
initial
$readmemh( MEM_INIT, ram );
endgenerate
 
endmodule
/ram/ram_1p_32x2048.v
0,0 → 1,41
// --------------------------------------------------------------------
//
// --------------------------------------------------------------------
 
 
module ram_1p_32x2048( data, addr, we, clk, q );
 
parameter DATA_WIDTH = 32;
parameter ADDR_WIDTH = 11;
parameter MEM_INIT = "../../sw/load_this_to_ram/qmem.txt";
input [(DATA_WIDTH-1):0] data;
input [(ADDR_WIDTH-1):0] addr;
input we;
input clk;
output [(DATA_WIDTH-1):0] q;
// Declare the RAM variable
reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];
reg [ADDR_WIDTH-1:0] addr_reg;
always @ (posedge clk)
begin
// Write
if (we) ram[addr] <= data;
addr_reg <= addr;
end
// Read returns NEW data at addr if we == 1'b1. This is the
// natural behavior of TriMatrix memory blocks in Single Port
// mode
assign q = ram[addr_reg];
 
generate
if( MEM_INIT != 0 )
initial
$readmemh( MEM_INIT, ram );
endgenerate
 
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.