OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /or1k/trunk/mp3/sw
    from Rev 598 to Rev 1765
    Reverse comparison

Rev 598 → Rev 1765

/support/reset-nocache.S
0,0 → 1,53
#include "spr_defs.h"
 
.extern _main
.global _reset_except
_reset_except:
l.movhi r0, 0x0
l.slli r0,r0,16
l.addi r1,r0,0x0
l.addi r2,r0,0x0
l.addi r3,r0,0x0
l.addi r4,r0,0x0
l.addi r5,r0,0x0
l.addi r6,r0,0x0
l.addi r7,r0,0x0
l.addi r8,r0,0x0
l.movhi r9,0x5678
l.addi r9,r9,0x1234
l.addi r10,r0,0x0
l.addi r11,r0,0x0
l.addi r12,r0,0x0
l.addi r13,r0,0x0
l.addi r14,r0,0x0
l.addi r15,r0,0x0
l.addi r16,r0,0x0
l.addi r17,r0,0x0
l.addi r18,r0,0x0
l.addi r19,r0,0x0
l.addi r20,r0,0x0
l.addi r21,r0,0x0
l.addi r22,r0,0x0
l.addi r23,r0,0x0
l.addi r24,r0,0x0
l.addi r25,r0,0x0
l.movhi r26,0x1234
l.addi r26,r26,0x5678
l.addi r27,r0,0x0
l.addi r28,r0,0x0
l.addi r29,r0,0x0
l.addi r30,r0,0x0
l.addi r31,r0,0x0
 
/* Set stack pointer */
l.movhi r1,hi(0x801fff00)
l.addi r1,r1,lo(0x801fff00)
l.addi r1,r1,-4
 
/* Jump to main */
l.movhi r2,hi(_main)
l.ori r2,r2,lo(_main)
l.jr r2
l.addi r2,r0,0
 
support/reset-nocache.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: support/support.c =================================================================== --- support/support.c (nonexistent) +++ support/support.c (revision 1765) @@ -0,0 +1,110 @@ +/* Support */ + +#include +#include "support.h" + +#if OR1K + +/* Start function, called by reset exception handler. */ +void reset () +{ + int i = main(); + exit (i); +} + +/* return value by making a syscall */ +void exit (int i) +{ + asm("l.add r3,r0,%0": : "r" (i)); + asm("l.nop 1"); +} + +/* activate printf support in simulator */ +void printf(const char *fmt, ...) +{ + va_list args; + va_start(args, fmt); + asm("l.addi\tr3,%0,0": :"r" (fmt)); + asm("l.addi\tr4,%0,0": :"r" (args)); + asm("l.nop 3"); +} + +/* print long */ +void report(unsigned long value) +{ + asm("l.nop 2"); + return; +} + +/* just to satisfy linker */ +void __main() +{ +} + +/* start_TIMER */ +void start_timer(int x) +{ +} + +/* read_TIMER */ +/* Returns a value since started in uS */ +unsigned int read_timer(int x) +{ + unsigned long count = 0; + + /* Read the Time Stamp Counter */ +/* asm("simrdtsc %0" :"=r" (count)); + asm("l.nop X"); */ + return count; +} + +/* For writing into SPR. */ +void mtspr(unsigned long spr, unsigned long value) +{ + asm("l.mtspr\t\t%0,%1,0": : "r" (spr), "r" (value)); +} + +/* For reading SPR. */ +unsigned long mfspr(unsigned long spr) +{ + unsigned long value; + asm("l.mfspr\t\t%0,%1,0" : "=r" (value) : "r" (spr)); + return value; +} + +#else +void report(unsigned long value) +{ + return; +} + +/* start_TIMER */ +void start_timer(int tmrnum) +{ + return; +} + +/* read_TIMER */ +/* Returns a value since started in uS */ +unsigned int read_timer(int tmrnum) +{ + struct timeval tv; + struct timezone tz; + + gettimeofday(&tv, &tz); + + return(tv.tv_sec*1000000+tv.tv_usec); +} + +#endif + +void *memcpy (void *__restrict dstvoid, + __const void *__restrict srcvoid, size_t length) +{ + char *dst = dstvoid; + const char *src = srcvoid; + + while (length--) + *dst++ = *src++; + return dst; +}
support/support.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: support/support.h =================================================================== --- support/support.h (nonexistent) +++ support/support.h (revision 1765) @@ -0,0 +1,65 @@ +/* Support file for or32 tests. This file should is included + in each test. It calls main() function and add support for + basic functions */ + +#ifndef SUPPORT_H +#define SUPPORT_H + +#include +#include +#include + +#if OR1K +#include <_ansi.h> + +/* Register access macros */ +#define REG8(add) *((volatile unsigned char *)(add)) +#define REG16(add) *((volatile unsigned short *)(add)) +#define REG32(add) *((volatile unsigned long *)(add)) + +void printf(const char *fmt, ...); + +/* For writing into SPR. */ +void mtspr(unsigned long spr, unsigned long value); + +/* For reading SPR. */ +unsigned long mfspr(unsigned long spr); + +#else /* OR1K */ + +#include + +#endif /* OR1K */ + +/* Function to be called at entry point - not defined here. */ +int main (); + +/* Prints out a value */ +void report(unsigned long value); + +/* return value by making a syscall */ +extern void exit (int i) __attribute__ ((__noreturn__)); + +/* memcpy clone */ +extern void *memcpy (void *__restrict __dest, + __const void *__restrict __src, size_t __n); + +/* Timer functions */ +extern void start_timer(int); +extern unsigned int read_timer(int); + +extern unsigned long excpt_buserr; +extern unsigned long excpt_dpfault; +extern unsigned long excpt_ipfault; +extern unsigned long excpt_lpint; +extern unsigned long excpt_align; +extern unsigned long excpt_illinsn; +extern unsigned long excpt_hpint; +extern unsigned long excpt_dtlbmiss; +extern unsigned long excpt_itlbmiss; +extern unsigned long excpt_range; +extern unsigned long excpt_syscall; +extern unsigned long excpt_break; +extern unsigned long excpt_trap; + +#endif
support/support.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: support/reset-icdc.S =================================================================== --- support/reset-icdc.S (nonexistent) +++ support/reset-icdc.S (revision 1765) @@ -0,0 +1,70 @@ +#include "spr_defs.h" + + .extern _main + .global _reset_except + +_reset_except: + l.movhi r0, 0x0 + l.slli r0,r0,16 + l.addi r1,r0,0x0 + l.addi r2,r0,0x0 + l.addi r3,r0,0x0 + l.addi r4,r0,0x0 + l.addi r5,r0,0x0 + l.addi r6,r0,0x0 + l.addi r7,r0,0x0 + l.addi r8,r0,0x0 + l.addi r9,r0,0x1234 + l.addi r10,r0,0x0 + l.addi r11,r0,0x0 + l.addi r12,r0,0x0 + l.addi r13,r0,0x0 + l.addi r14,r0,0x0 + l.addi r15,r0,0x0 + l.addi r16,r0,0x0 + l.addi r17,r0,0x0 + l.addi r18,r0,0x0 + l.addi r19,r0,0x0 + l.addi r20,r0,0x0 + l.addi r21,r0,0x0 + l.addi r22,r0,0x0 + l.addi r23,r0,0x0 + l.addi r24,r0,0x0 + l.addi r25,r0,0x0 + l.addi r26,r0,0x0 + l.addi r27,r0,0x0 + l.addi r28,r0,0x0 + l.addi r29,r0,0x0 + l.addi r30,r0,0x0 + l.addi r31,r0,0x0 + + /* Flush IC & DC */ + l.addi r10,r0,0 + l.addi r11,r0,8192 +loop: + l.mtspr r0,r10,SPR_ICBIR + l.mtspr r0,r10,SPR_DCBIR + l.sfne r10,r11 + l.bf loop + l.addi r10,r10,16 + + /* Enable IC & DC */ + l.addi r10,r0,(SPR_SR_ICE|SPR_SR_DCE|SPR_SR_SUPV) + l.mtspr r0,r10,SPR_SR + l.nop + l.nop + l.nop + l.nop + l.nop + + /* Set stack pointer */ + l.movhi r1,hi(0x801fff00) + l.addi r1,r1,lo(0x801fff00) + l.addi r1,r1,-4 + + /* Jump to main */ + l.movhi r2,hi(_main) + l.ori r2,r2,lo(_main) + l.jr r2 + l.addi r2,r0,0 +
support/reset-icdc.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: support/reset-dc.S =================================================================== --- support/reset-dc.S (nonexistent) +++ support/reset-dc.S (revision 1765) @@ -0,0 +1,69 @@ +#include "spr_defs.h" + + .extern _main + .global _reset_except + +_reset_except: + l.movhi r0, 0x0 + l.slli r0,r0,16 + l.addi r1,r0,0x0 + l.addi r2,r0,0x0 + l.addi r3,r0,0x0 + l.addi r4,r0,0x0 + l.addi r5,r0,0x0 + l.addi r6,r0,0x0 + l.addi r7,r0,0x0 + l.addi r8,r0,0x0 + l.addi r9,r0,0x1234 + l.addi r10,r0,0x0 + l.addi r11,r0,0x0 + l.addi r12,r0,0x0 + l.addi r13,r0,0x0 + l.addi r14,r0,0x0 + l.addi r15,r0,0x0 + l.addi r16,r0,0x0 + l.addi r17,r0,0x0 + l.addi r18,r0,0x0 + l.addi r19,r0,0x0 + l.addi r20,r0,0x0 + l.addi r21,r0,0x0 + l.addi r22,r0,0x0 + l.addi r23,r0,0x0 + l.addi r24,r0,0x0 + l.addi r25,r0,0x0 + l.addi r26,r0,0x0 + l.addi r27,r0,0x0 + l.addi r28,r0,0x0 + l.addi r29,r0,0x0 + l.addi r30,r0,0x0 + l.addi r31,r0,0x0 + + /* Flush DC */ + l.addi r10,r0,0 + l.addi r11,r0,8192 +loop: + l.mtspr r0,r10,SPR_DCBIR + l.sfne r10,r11 + l.bf loop + l.addi r10,r10,16 + + /* Enable DC */ + l.addi r10,r0,(SPR_SR_DCE|SPR_SR_SUPV) + l.mtspr r0,r10,SPR_SR + l.nop + l.nop + l.nop + l.nop + l.nop + + /* Set stack pointer */ + l.movhi r1,hi(0x801fff00) + l.addi r1,r1,lo(0x801fff00) + l.addi r1,r1,-4 + + /* Jump to main */ + l.movhi r2,hi(_main) + l.ori r2,r2,lo(_main) + l.jr r2 + l.addi r2,r0,0 +
support/reset-dc.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: support/except.S =================================================================== --- support/except.S (nonexistent) +++ support/except.S (revision 1765) @@ -0,0 +1,109 @@ +#include "spr_defs.h" + +.section .text + +.org 0x100 + +_reset: + l.nop + l.j _reset_except + l.nop + +.org 0x200 + +_except_200: + l.nop + l.j _buserr_except + l.nop + +.org 0x300 + +_except_300: + l.nop + l.j _dpf_except + l.nop + +.org 0x400 + +_except_400: + l.nop + l.j _ipf_except + l.nop + +.org 0x500 + +_except_500: + l.nop + l.j _lpint_except + l.nop + +.org 0x600 + +_except_600: + l.nop + l.j _align_except + l.nop + +.org 0x700 + +_except_700: + l.nop + l.j _illegal_except + l.nop + +.org 0x800 + +_except_800: + l.nop + l.j _hpint_except + l.nop + +.org 0x900 + +_except_900: + l.nop + l.j _dtlbmiss_except + l.nop + +.org 0xa00 + +_except_a00: + l.nop + l.j _itlbmiss_except + l.nop + +.org 0xb00 + +_except_b00: + l.nop + l.j _range_except + l.nop + +.org 0xc00 + +_except_c00: + l.nop + l.j _syscall_except + l.nop + +.org 0xd00 + +_except_d00: + l.nop + l.j _res1_except + l.nop + +.org 0xe00 + +_except_e00: + l.nop + l.j _trap_except + l.nop + +.org 0xf00 + +_except_f00: + l.nop + l.j _res2_except + l.nop +
support/except.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: support/spr_defs.h =================================================================== --- support/spr_defs.h (nonexistent) +++ support/spr_defs.h (revision 1765) @@ -0,0 +1,417 @@ +/* spr_defs.h -- Defines OR1K architecture specific special-purpose registers + Copyright (C) 1999 Damjan Lampret, lampret@opencores.org + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + +/* This file is also used by microkernel test bench. Among +others it is also used in assembly file(s). */ + +/* Definition of special-purpose registers (SPRs) */ + +#define MAX_GRPS (32) +#define MAX_SPRS_PER_GRP_BITS (11) +#define MAX_SPRS_PER_GRP (1 << MAX_SPRS_PER_GRP_BITS) +#define MAX_SPRS (0x10000) + +/* Base addresses for the groups */ +#define SPRGROUP_SYS (0<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DMMU (1<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IMMU (2<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DC (3<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IC (4<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_MAC (5<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_D (6<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PC (7<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PM (8<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PIC (9<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_TT (10<< MAX_SPRS_PER_GRP_BITS) + +/* System control and status group */ +#define SPR_VR (SPRGROUP_SYS + 0) +#define SPR_UPR (SPRGROUP_SYS + 1) +#define SPR_CPUCFGR (SPRGROUP_SYS + 2) +#define SPR_DMMUCFGR (SPRGROUP_SYS + 3) +#define SPR_IMMUCFGR (SPRGROUP_SYS + 4) +#define SPR_DCCFGR (SPRGROUP_SYS + 5) +#define SPR_ICCFGR (SPRGROUP_SYS + 6) +#define SPR_DCFGR (SPRGROUP_SYS + 7) +#define SPR_PCCFGR (SPRGROUP_SYS + 8) +#define SPR_NPC (SPRGROUP_SYS + 16) /* CZ 21/06/01 */ +#define SPR_SR (SPRGROUP_SYS + 17) /* CZ 21/06/01 */ +#define SPR_PPC (SPRGROUP_SYS + 18) /* CZ 21/06/01 */ +#define SPR_EPCR_BASE (SPRGROUP_SYS + 32) /* CZ 21/06/01 */ +#define SPR_EPCR_LAST (SPRGROUP_SYS + 47) /* CZ 21/06/01 */ +#define SPR_EEAR_BASE (SPRGROUP_SYS + 48) +#define SPR_EEAR_LAST (SPRGROUP_SYS + 63) +#define SPR_ESR_BASE (SPRGROUP_SYS + 64) +#define SPR_ESR_LAST (SPRGROUP_SYS + 79) + +/* Data MMU group */ +#define SPR_DMMUCR (SPRGROUP_DMMU + 0) +#define SPR_DTLBMR_BASE(WAY) (SPRGROUP_DMMU + 0x200 + (WAY) * 0x200) +#define SPR_DTLBMR_LAST(WAY) (SPRGROUP_DMMU + 0x2ff + (WAY) * 0x200) +#define SPR_DTLBTR_BASE(WAY) (SPRGROUP_DMMU + 0x300 + (WAY) * 0x200) +#define SPR_DTLBTR_LAST(WAY) (SPRGROUP_DMMU + 0x3ff + (WAY) * 0x200) + +/* Instruction MMU group */ +#define SPR_IMMUCR (SPRGROUP_IMMU + 0) +#define SPR_ITLBMR_BASE(WAY) (SPRGROUP_IMMU + 0x200 + (WAY) * 0x200) +#define SPR_ITLBMR_LAST(WAY) (SPRGROUP_IMMU + 0x2ff + (WAY) * 0x200) +#define SPR_ITLBTR_BASE(WAY) (SPRGROUP_IMMU + 0x300 + (WAY) * 0x200) +#define SPR_ITLBTR_LAST(WAY) (SPRGROUP_IMMU + 0x3ff + (WAY) * 0x200) + +/* Data cache group */ +#define SPR_DCCR (SPRGROUP_DC + 0) +#define SPR_DCBPR (SPRGROUP_DC + 1) +#define SPR_DCBFR (SPRGROUP_DC + 2) +#define SPR_DCBIR (SPRGROUP_DC + 3) +#define SPR_DCBWR (SPRGROUP_DC + 4) +#define SPR_DCBLR (SPRGROUP_DC + 5) +#define SPR_DCR_BASE(WAY) (SPRGROUP_DC + 0x200 + (WAY) * 0x200) +#define SPR_DCR_LAST(WAY) (SPRGROUP_DC + 0x3ff + (WAY) * 0x200) + +/* Instruction cache group */ +#define SPR_ICCR (SPRGROUP_IC + 0) +#define SPR_ICBPR (SPRGROUP_IC + 1) +#define SPR_ICBIR (SPRGROUP_IC + 2) +#define SPR_ICBLR (SPRGROUP_IC + 3) +#define SPR_ICR_BASE(WAY) (SPRGROUP_IC + 0x200 + (WAY) * 0x200) +#define SPR_ICR_LAST(WAY) (SPRGROUP_IC + 0x3ff + (WAY) * 0x200) + +/* MAC group */ +#define SPR_MACLO (SPRGROUP_MAC + 1) +#define SPR_MACHI (SPRGROUP_MAC + 2) + +/* Debug group */ +#define SPR_DVR(N) (SPRGROUP_D + (N)) +#define SPR_DCR(N) (SPRGROUP_D + 8 + (N)) +#define SPR_DMR1 (SPRGROUP_D + 16) +#define SPR_DMR2 (SPRGROUP_D + 17) +#define SPR_DWCR0 (SPRGROUP_D + 18) +#define SPR_DWCR1 (SPRGROUP_D + 19) +#define SPR_DSR (SPRGROUP_D + 20) +#define SPR_DRR (SPRGROUP_D + 21) + +/* Performance counters group */ +#define SPR_PCCR(N) (SPRGROUP_PC + (N)) +#define SPR_PCMR(N) (SPRGROUP_PC + 8 + (N)) + +/* Power management group */ +#define SPR_PMR (SPRGROUP_PM + 0) + +/* PIC group */ +#define SPR_PICMR (SPRGROUP_PIC + 0) +#define SPR_PICPR (SPRGROUP_PIC + 1) +#define SPR_PICSR (SPRGROUP_PIC + 2) + +/* Tick Timer group */ +#define SPR_TTMR (SPRGROUP_TT + 0) +#define SPR_TTCR (SPRGROUP_TT + 1) + +/* + * Bit definitions for the Version Register + * + */ +#define SPR_VR_VER 0xffff0000 /* Processor version */ +#define SPR_VR_REV 0x0000003f /* Processor revision */ + +/* + * Bit definitions for the Unit Present Register + * + */ +#define SPR_UPR_UP 0x00000001 /* UPR present */ +#define SPR_UPR_DCP 0x00000002 /* Data cache present */ +#define SPR_UPR_ICP 0x00000004 /* Instruction cache present */ +#define SPR_UPR_DMP 0x00000008 /* Data MMU present */ +#define SPR_UPR_IMP 0x00000010 /* Instruction MMU present */ +#define SPR_UPR_OB32P 0x00000020 /* ORBIS32 present */ +#define SPR_UPR_OB64P 0x00000040 /* ORBIS64 present */ +#define SPR_UPR_OF32P 0x00000080 /* ORFPX32 present */ +#define SPR_UPR_OF64P 0x00000100 /* ORFPX64 present */ +#define SPR_UPR_OV32P 0x00000200 /* ORVDX32 present */ +#define SPR_UPR_OV64P 0x00000400 /* ORVDX64 present */ +#define SPR_UPR_DUP 0x00000800 /* Debug unit present */ +#define SPR_UPR_PCUP 0x00001000 /* Performance counters unit present */ +#define SPR_UPR_PMP 0x00002000 /* Power management present */ +#define SPR_UPR_PICP 0x00004000 /* PIC present */ +#define SPR_UPR_TTP 0x00008000 /* Tick timer present */ +#define SPR_UPR_SRP 0x00010000 /* Shadow registers present */ +#define SPR_UPR_RES 0x00fe0000 /* ORVDX32 present */ +#define SPR_UPR_CUST 0xff000000 /* Custom units */ + +/* + * Bit definitions for the Supervision Register + * + */ +#define SPR_SR_CID 0xf0000000 /* Context ID */ +#define SPR_SR_PXR 0x00008000 /* Partial exception recognition */ +#define SPR_SR_EP 0x00004000 /* Exception Prefix */ +#define SPR_SR_DSX 0x00002000 /* Delay Slot Exception */ +#define SPR_SR_OVE 0x00001000 /* Overflow flag Exception */ +#define SPR_SR_OV 0x00000800 /* Overflow flag */ +#define SPR_SR_CY 0x00000400 /* Carry flag */ +#define SPR_SR_F 0x00000200 /* Condition Flag */ +#define SPR_SR_CE 0x00000100 /* CID Enable */ +#define SPR_SR_LEE 0x00000080 /* Little Endian Enable */ +#define SPR_SR_IME 0x00000040 /* Instruction MMU Enable */ +#define SPR_SR_DME 0x00000020 /* Data MMU Enable */ +#define SPR_SR_ICE 0x00000010 /* Instruction Cache Enable */ +#define SPR_SR_DCE 0x00000008 /* Data Cache Enable */ +#define SPR_SR_EIR 0x00000004 /* External Interrupt Recognition */ +#define SPR_SR_EXR 0x00000002 /* Exception Recognition */ +#define SPR_SR_SUPV 0x00000001 /* Supervisor mode */ + +/* + * Bit definitions for the Data MMU Control Register + * + */ +#define SPR_DMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_DMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_DMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_DMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Instruction MMU Control Register + * + */ +#define SPR_IMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_IMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_IMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_IMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Data TLB Match Register + * + */ +#define SPR_DTLBMR_V 0x00000001 /* Valid */ +#define SPR_DTLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_DTLBMR_CID 0x0000003c /* Context ID */ +#define SPR_DTLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_DTLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Data TLB Translate Register + * + */ +#define SPR_DTLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_DTLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_DTLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_DTLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_DTLBTR_A 0x00000010 /* Accessed */ +#define SPR_DTLBTR_D 0x00000020 /* Dirty */ +#define SPR_DTLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_DTLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_DTLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_DTLBTR_SWE 0x00000200 /* Supervisor Write Enable */ +#define SPR_DTLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for the Instruction TLB Match Register + * + */ +#define SPR_ITLBMR_V 0x00000001 /* Valid */ +#define SPR_ITLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_ITLBMR_CID 0x0000003c /* Context ID */ +#define SPR_ITLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_ITLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Instruction TLB Translate Register + * + */ +#define SPR_ITLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_ITLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_ITLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_ITLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_ITLBTR_A 0x00000010 /* Accessed */ +#define SPR_ITLBTR_D 0x00000020 /* Dirty */ +#define SPR_ITLBTR_SXE 0x00000040 /* User Read Enable */ +#define SPR_ITLBTR_UXE 0x00000080 /* User Write Enable */ +#define SPR_ITLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for Data Cache Control register + * + */ +#define SPR_DCCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Insn Cache Control register + * + */ +#define SPR_ICCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Debug Control registers + * + */ +#define SPR_DCR_DP 0x00000001 /* DVR/DCR present */ +#define SPR_DCR_CC 0x0000000e /* Compare condition */ +#define SPR_DCR_SC 0x00000010 /* Signed compare */ +#define SPR_DCR_CT 0x000000e0 /* Compare to */ + +/* Bit results with SPR_DCR_CC mask */ +#define SPR_DCR_CC_MASKED 0x00000000 +#define SPR_DCR_CC_EQUAL 0x00000001 +#define SPR_DCR_CC_LESS 0x00000002 +#define SPR_DCR_CC_LESSE 0x00000003 +#define SPR_DCR_CC_GREAT 0x00000004 +#define SPR_DCR_CC_GREATE 0x00000005 +#define SPR_DCR_CC_NEQUAL 0x00000006 + +/* Bit results with SPR_DCR_CT mask */ +#define SPR_DCR_CT_DISABLED 0x00000000 +#define SPR_DCR_CT_IFEA 0x00000020 +#define SPR_DCR_CT_LEA 0x00000040 +#define SPR_DCR_CT_SEA 0x00000060 +#define SPR_DCR_CT_LD 0x00000080 +#define SPR_DCR_CT_SD 0x000000a0 +#define SPR_DCR_CT_LSEA 0x000000c0 + +/* + * Bit definitions for Debug Mode 1 register + * + */ +#define SPR_DMR1_CW0 0x00000003 /* Chain watchpoint 0 */ +#define SPR_DMR1_CW1 0x0000000c /* Chain watchpoint 1 */ +#define SPR_DMR1_CW2 0x00000030 /* Chain watchpoint 2 */ +#define SPR_DMR1_CW3 0x000000c0 /* Chain watchpoint 3 */ +#define SPR_DMR1_CW4 0x00000300 /* Chain watchpoint 4 */ +#define SPR_DMR1_CW5 0x00000c00 /* Chain watchpoint 5 */ +#define SPR_DMR1_CW6 0x00003000 /* Chain watchpoint 6 */ +#define SPR_DMR1_CW7 0x0000c000 /* Chain watchpoint 7 */ +#define SPR_DMR1_CW8 0x00030000 /* Chain watchpoint 8 */ +#define SPR_DMR1_CW9 0x000c0000 /* Chain watchpoint 9 */ +#define SPR_DMR1_CW10 0x00300000 /* Chain watchpoint 10 */ +#define SPR_DMR1_ST 0x00400000 /* Single-step trace*/ +#define SPR_DMR1_BT 0x00800000 /* Branch trace */ +#define SPR_DMR1_DXFW 0x01000000 /* Disable external force watchpoint */ + +/* + * Bit definitions for Debug Mode 2 register + * + */ +#define SPR_DMR2_WCE0 0x00000001 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_WCE1 0x00000002 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_AWTC 0x00001ffc /* Assign watchpoints to counters */ +#define SPR_DMR2_WGB 0x00ffe000 /* Watchpoints generating breakpoint */ + +/* + * Bit definitions for Debug watchpoint counter registers + * + */ +#define SPR_DWCR_COUNT 0x0000ffff /* Count */ +#define SPR_DWCR_MATCH 0xffff0000 /* Match */ + +/* + * Bit definitions for Debug stop register + * + */ +#define SPR_DSR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DSR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DSR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DSR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DSR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DSR_AE 0x00000020 /* Alignment exception */ +#define SPR_DSR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DSR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DSR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DSR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DSR_RE 0x00000400 /* Range exception */ +#define SPR_DSR_SCE 0x00000800 /* System call exception */ +#define SPR_DSR_SSE 0x00001000 /* Single Step Exception */ +#define SPR_DSR_TE 0x00002000 /* Trap exception */ + +/* + * Bit definitions for Debug reason register + * + */ +#define SPR_DRR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DRR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DRR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DRR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DRR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DRR_AE 0x00000020 /* Alignment exception */ +#define SPR_DRR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DRR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DRR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DRR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DRR_RE 0x00000400 /* Range exception */ +#define SPR_DRR_SCE 0x00000800 /* System call exception */ +#define SPR_DRR_TE 0x00001000 /* Trap exception */ + +/* + * Bit definitions for Performance counters mode registers + * + */ +#define SPR_PCMR_CP 0x00000001 /* Counter present */ +#define SPR_PCMR_UMRA 0x00000002 /* User mode read access */ +#define SPR_PCMR_CISM 0x00000004 /* Count in supervisor mode */ +#define SPR_PCMR_CIUM 0x00000008 /* Count in user mode */ +#define SPR_PCMR_LA 0x00000010 /* Load access event */ +#define SPR_PCMR_SA 0x00000020 /* Store access event */ +#define SPR_PCMR_IF 0x00000040 /* Instruction fetch event*/ +#define SPR_PCMR_DCM 0x00000080 /* Data cache miss event */ +#define SPR_PCMR_ICM 0x00000100 /* Insn cache miss event */ +#define SPR_PCMR_IFS 0x00000200 /* Insn fetch stall event */ +#define SPR_PCMR_LSUS 0x00000400 /* LSU stall event */ +#define SPR_PCMR_BS 0x00000800 /* Branch stall event */ +#define SPR_PCMR_DTLBM 0x00001000 /* DTLB miss event */ +#define SPR_PCMR_ITLBM 0x00002000 /* ITLB miss event */ +#define SPR_PCMR_DDS 0x00004000 /* Data dependency stall event */ +#define SPR_PCMR_WPE 0x03ff8000 /* Watchpoint events */ + +/* + * Bit definitions for the Power management register + * + */ +#define SPR_PMR_SDF 0x0000000f /* Slow down factor */ +#define SPR_PMR_DME 0x00000010 /* Doze mode enable */ +#define SPR_PMR_SME 0x00000020 /* Sleep mode enable */ +#define SPR_PMR_DCGE 0x00000040 /* Dynamic clock gating enable */ +#define SPR_PMR_SUME 0x00000080 /* Suspend mode enable */ + +/* + * Bit definitions for PICMR + * + */ +#define SPR_PICMR_IUM 0xfffffffc /* Interrupt unmask */ + +/* + * Bit definitions for PICPR + * + */ +#define SPR_PICPR_IPRIO 0xfffffffc /* Interrupt priority */ + +/* + * Bit definitions for PICSR + * + */ +#define SPR_PICSR_IS 0xffffffff /* Interrupt status */ + +/* + * Bit definitions for Tick Timer Control Register + * + */ +#define SPR_TTCR_PERIOD 0x0fffffff /* Time Period */ +#define SPR_TTMR_PERIOD SPR_TTCR_PERIOD +#define SPR_TTMR_IP 0x10000000 /* Interrupt Pending */ +#define SPR_TTMR_IE 0x20000000 /* Interrupt Enable */ +#define SPR_TTMR_RT 0x40000000 /* Restart tick */ +#define SPR_TTMR_SR 0x80000000 /* Single run */ +#define SPR_TTMR_CR 0xc0000000 /* Continuous run */ +#define SPR_TTMR_M 0xc0000000 /* Tick mode */
support/spr_defs.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: support/xess-flash.ld =================================================================== --- support/xess-flash.ld (nonexistent) +++ support/xess-flash.ld (revision 1765) @@ -0,0 +1,29 @@ +MEMORY + { + ram : ORIGIN = 0x00000000, LENGTH = 0x00200000 + } + +SECTIONS +{ + .reset : + { + *(.reset) + _src_beg = .; + } > ram + .text : + AT ( ADDR (.reset) + SIZEOF (.reset) ) + { + _dst_beg = .; + *(.text) + } > ram + .data : + AT ( ADDR (.reset) + SIZEOF (.reset) + SIZEOF (.text)) + { + *(.data) + _dst_end = .; + } > ram + .bss : + { + *(.bss) + } > ram +}
support/xess-flash.ld Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: support/reset-ic.S =================================================================== --- support/reset-ic.S (nonexistent) +++ support/reset-ic.S (revision 1765) @@ -0,0 +1,69 @@ +#include "spr_defs.h" + + .extern _main + .global _reset_except + +_reset_except: + l.movhi r0, 0x0 + l.slli r0,r0,16 + l.addi r1,r0,0x0 + l.addi r2,r0,0x0 + l.addi r3,r0,0x0 + l.addi r4,r0,0x0 + l.addi r5,r0,0x0 + l.addi r6,r0,0x0 + l.addi r7,r0,0x0 + l.addi r8,r0,0x0 + l.addi r9,r0,0x1234 + l.addi r10,r0,0x0 + l.addi r11,r0,0x0 + l.addi r12,r0,0x0 + l.addi r13,r0,0x0 + l.addi r14,r0,0x0 + l.addi r15,r0,0x0 + l.addi r16,r0,0x0 + l.addi r17,r0,0x0 + l.addi r18,r0,0x0 + l.addi r19,r0,0x0 + l.addi r20,r0,0x0 + l.addi r21,r0,0x0 + l.addi r22,r0,0x0 + l.addi r23,r0,0x0 + l.addi r24,r0,0x0 + l.addi r25,r0,0x0 + l.addi r26,r0,0x0 + l.addi r27,r0,0x0 + l.addi r28,r0,0x0 + l.addi r29,r0,0x0 + l.addi r30,r0,0x0 + l.addi r31,r0,0x0 + + /* Flush IC */ + l.addi r10,r0,0 + l.addi r11,r0,8192 +loop: + l.mtspr r0,r10,SPR_ICBIR + l.sfne r10,r11 + l.bf loop + l.addi r10,r10,16 + + /* Enable IC */ + l.addi r10,r0,(SPR_SR_ICE|SPR_SR_SUPV) + l.mtspr r0,r10,SPR_SR + l.nop + l.nop + l.nop + l.nop + l.nop + + /* Set stack pointer */ + l.movhi r1,hi(0x801fff00) + l.addi r1,r1,lo(0x801fff00) + l.addi r1,r1,-4 + + /* Jump to main */ + l.movhi r2,hi(_main) + l.ori r2,r2,lo(_main) + l.jr r2 + l.addi r2,r0,0 +
support/reset-ic.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: support/Makefile =================================================================== --- support/Makefile (nonexistent) +++ support/Makefile (revision 1765) @@ -0,0 +1,22 @@ +all: support.o reset-nocache.o reset-ic.o reset-dc.o reset-icdc.o except.o + +support.o: support.c + or32-rtems-gcc -O2 -c -o $@ $? + +reset-nocache.o: reset-nocache.S + or32-rtems-gcc -O2 -c -o $@ $? + +reset-ic.o: reset-ic.S + or32-rtems-gcc -O2 -c -o $@ $? + +reset-dc.o: reset-dc.S + or32-rtems-gcc -O2 -c -o $@ $? + +reset-icdc.o: reset-icdc.S + or32-rtems-gcc -O2 -c -o $@ $? + +except.o: except.S + or32-rtems-gcc -O2 -c -o $@ $? + +clean: + rm -rf *.o Index: ints/ints1.S =================================================================== --- ints/ints1.S (nonexistent) +++ ints/ints1.S (revision 1765) @@ -0,0 +1,42 @@ +#include "../support/spr_defs.h" + .global _test + +# +# clear sanity counters +# +_test: + l.addi r10,r0,0 + l.addi r11,r0,0 + l.addi r12,r0,0 + l.addi r13,r0,0 + l.addi r14,r0,0 + l.addi r15,r0,0 + l.addi r7,r0,300 +_loop: + l.addi r10,r10,1 +# l.nop + l.addi r11,r11,1 + l.addi r12,r12,1 + l.sfeq r13,r7 + l.bnf _loop + l.addi r13,r13,1 + l.addi r14,r14,1 + + l.ori r8,r0,SPR_SR_EIR|SPR_SR_EXR + l.mfspr r7,r0,SPR_SR + l.xor r7,r7,r8 + l.mtspr r0,r7,SPR_SR + + l.nop + l.movhi r15,hi(0xdeadd9f8) + l.ori r15,r15,lo(0xdeadd9f8) + l.add r15,r15,r10 + l.add r15,r15,r11 + l.add r15,r15,r12 + l.add r15,r15,r13 + l.add r3,r15,r14 + l.jal _report + l.nop + l.jal _exit + l.nop + Index: ints/ints2.S =================================================================== --- ints/ints2.S (nonexistent) +++ ints/ints2.S (revision 1765) @@ -0,0 +1,42 @@ +#include "../support/spr_defs.h" + .global _test + +# +# clear sanity counters +# +_test: + l.addi r10,r0,0 + l.addi r11,r0,0 + l.addi r12,r0,0 + l.addi r13,r0,0 + l.addi r14,r0,0 + l.addi r7,r0,602 +_loop: + l.sfne r11,r7 + l.sw -0x100(r1),r11 + l.lwz r12,-0x100(r1) + l.bnf _stop + l.sfeq r11,r12 + l.bf _loop + l.addi r11,r11,1 + l.addi r15,r15,1 + l.nop +_stop: + l.nop + l.ori r8,r0,SPR_SR_EIR|SPR_SR_EXR + l.mfspr r7,r0,SPR_SR + l.xor r7,r7,r8 + l.mtspr r0,r7,SPR_SR + + l.movhi r15,hi(0xdeadd9f9) + l.ori r15,r15,lo(0xdeadd9f9) + l.add r15,r15,r10 + l.add r15,r15,r11 + l.add r15,r15,r12 + l.add r15,r15,r13 + l.add r3,r15,r14 + l.jal _report + l.nop + l.jal _exit + l.nop + Index: ints/Makefile =================================================================== --- ints/Makefile (nonexistent) +++ ints/Makefile (revision 1765) @@ -0,0 +1,82 @@ +common = ../support/except.o ../support/support.o +cases = ints1-nocache ints1-ic ints2-nocache ints2-ic ints1-dc ints2-dc ints1-icdc ints2-icdc ints3-nocache + +all: $(cases) + +ints1-nocache: $(common) ../support/reset-nocache.o handlers.o ints1.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +ints1-ic: $(common) ../support/reset-ic.o handlers.o ints1.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +ints2-nocache: $(common) ../support/reset-nocache.o handlers.o ints2.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +ints2-ic: $(common) ../support/reset-ic.o handlers.o ints2.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +ints1-dc: $(common) ../support/reset-dc.o handlers.o ints1.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +ints2-dc: $(common) ../support/reset-dc.o handlers.o ints2.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +ints1-icdc: $(common) ../support/reset-icdc.o handlers.o ints1.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +ints2-icdc: $(common) ../support/reset-icdc.o handlers.o ints2.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +ints3-nocache: $(common) ../support/reset-nocache.o handlers.o ints3.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +ints1.o: ints1.S + or32-rtems-gcc $? -c -o $@ + +ints2.o: ints2.S + or32-rtems-gcc $? -c -o $@ + +ints3.o: ints3.S + or32-rtems-gcc $? -c -o $@ + +handlers.o: handlers.S + or32-rtems-gcc $? -c -o $@ + +clean: + rm -f *.o *.or32 *.log *.bin *.srec *.hex Index: ints/handlers.S =================================================================== --- ints/handlers.S (nonexistent) +++ ints/handlers.S (revision 1765) @@ -0,0 +1,117 @@ + +#include "../support/spr_defs.h" + + .extern _test + .extern _test + .global _main + + +.global _main +.global _buserr_except +.global _dpf_except +.global _ipf_except +.global _lpint_except +.global _align_except +.global _illegal_except +.global _hpint_except +.global _dtlbmiss_except +.global _itlbmiss_except +.global _range_except +.global _syscall_except +.global _res1_except +.global _trap_except +.global _res2_except + +_buserr_except: +_dpf_except: +_ipf_except: +_align_except: +_illegal_except: +_dtlbmiss_except: +_itlbmiss_except: +_range_except: +_syscall_except: +_res1_except: +_trap_except: +_res2_except: + +.org 0x0500 +_lpint_except: + l.nop + l.nop + +# clear TTMR[IP] + l.addi r4,r0,SPR_TTMR + l.movhi r5,hi(SPR_TTMR_RT | SPR_TTMR_IE) + l.addi r5,r5,233 + l.mtspr r4,r5,0 # set TTMR + +# clear entire PICSR + l.movhi r4,hi(SPR_PICSR) + l.addi r4,r0,lo(SPR_PICSR) + l.addi r5,r0,0x0000 + l.mtspr r4,r5,0 # set PICSR + + l.nop + l.rfe + l.nop + l.nop + +.org 0x0800 +_hpint_except: + l.nop + l.nop + +# clear TTMR[IP] + l.addi r4,r0,SPR_TTMR + l.movhi r5,hi(SPR_TTMR_RT | SPR_TTMR_IE) + l.addi r5,r5,233 + l.mtspr r4,r5,0 # set TTMR + +# clear entire PICSR + l.movhi r4,hi(SPR_PICSR) + l.addi r4,r0,lo(SPR_PICSR) + l.addi r5,r0,0x0000 + l.mtspr r4,r5,0 # set PICSR + + l.nop + l.rfe + l.nop + l.nop + + +.org 0x2000 + +_main: + l.nop + l.nop + +# +# set tick to generate an interrupt every, let say 329 cycles +# + l.addi r4,r0,SPR_TTMR + l.movhi r5,hi(SPR_TTMR_RT | SPR_TTMR_IE) + l.addi r5,r5,329 + l.mtspr r4,r5,0 # set TTMR + +# +# unmask all ints +# + l.movhi r4,hi(SPR_PICMR) + l.addi r4,r0,lo(SPR_PICMR) + l.movhi r5,0xffff + l.addi r5,r5,0xffff + l.mtspr r4,r5,0 # set PICMR + +# +# Enable exceptions and interrupts +# + l.mfspr r5,r0,SPR_SR + l.ori r5,r5,SPR_SR_SUPV|SPR_SR_EXR|SPR_SR_EIR + l.mtspr r0,r5,SPR_SR # set SR + +# +# jump to main routine +# + l.j _test + l.nop Index: ints/ints.S =================================================================== --- ints/ints.S (nonexistent) +++ ints/ints.S (revision 1765) @@ -0,0 +1,148 @@ +#include "spr_defs.h" + + .extern main + .extern _src_beg + .extern _dst_beg + .extern _dst_end + .extern _c_reset + +.org 0x100 + +_reset: + l.nop + l.nop + l.movhi r0, 0x0 + l.slli r0,r0,16 + l.addi r1,r0,0x0 + l.addi r2,r0,0x0 + l.addi r3,r0,0x0 + l.addi r4,r0,0x0 + l.addi r5,r0,0x0 + l.addi r6,r0,0x0 + l.addi r7,r0,0x0 + l.addi r8,r0,0x0 + l.addi r9,r0,0x1234 + l.addi r10,r0,0x0 + l.addi r11,r0,0x0 + l.addi r12,r0,0x0 + l.addi r13,r0,0x0 + l.addi r14,r0,0x0 + l.addi r15,r0,0x0 + l.addi r16,r0,0x0 + l.addi r17,r0,0x0 + l.addi r18,r0,0x0 + l.addi r19,r0,0x0 + l.addi r20,r0,0x0 + l.addi r21,r0,0x0 + l.addi r22,r0,0x0 + l.addi r23,r0,0x0 + l.addi r24,r0,0x0 + l.addi r25,r0,0x0 + l.addi r26,r0,0x0 + l.addi r27,r0,0x0 + l.addi r28,r0,0x0 + l.addi r29,r0,0x0 + l.addi r30,r0,0x0 + l.addi r31,r0,0x0 + + l.movhi r2,hi(_main) + l.ori r2,r2,lo(_main) + l.jr r2 + l.addi r2,r0,0 + +.org 0x0500 +_lpint: + l.nop + l.nop + +# clear TTMR[IP] + l.addi r4,r0,SPR_TTMR + l.movhi r5,hi(SPR_TTMR_RT | SPR_TTMR_IE) + l.addi r5,r5,733 + l.mtspr r4,r5,0 # set TTMR + +# clear entire PICSR + l.movhi r4,hi(SPR_PICSR) + l.addi r4,r0,lo(SPR_PICSR) + l.addi r5,r0,0x0000 + l.mtspr r4,r5,0 # set PICSR + + l.nop + l.rfe + l.nop + l.nop + +.org 0x0800 +_hpint: + l.nop + l.nop + +# clear TTMR[IP] + l.addi r4,r0,SPR_TTMR + l.movhi r5,hi(SPR_TTMR_RT | SPR_TTMR_IE) + l.addi r5,r5,733 + l.mtspr r4,r5,0 # set TTMR + +# clear entire PICSR + l.movhi r4,hi(SPR_PICSR) + l.addi r4,r0,lo(SPR_PICSR) + l.addi r5,r0,0x0000 + l.mtspr r4,r5,0 # set PICSR + + l.nop + l.rfe + l.nop + l.nop + + +.org 0x2000 + +_main: + l.nop + l.nop + +# +# set tick to generate an interrupt every, let say 729 cycles +# + l.addi r4,r0,SPR_TTMR + l.movhi r5,hi(SPR_TTMR_RT | SPR_TTMR_IE) + l.addi r5,r5,729 + l.mtspr r4,r5,0 # set TTMR + +# +# unmask all ints +# + l.movhi r4,hi(SPR_PICMR) + l.addi r4,r0,lo(SPR_PICMR) + l.movhi r5,0xffff + l.addi r5,r5,0xffff + l.mtspr r4,r5,0 # set PICMR + +# +# Enable exceptions and interrupts +# + l.addi r5,r0,SPR_SR_SUPV|SPR_SR_EXR|SPR_SR_EIR + l.mtspr r0,r5,SPR_SR # set SR + +# +# clear sanity counters +# + l.addi r11,r0,0 + l.addi r12,r0,0 + l.addi r13,r0,0 + l.addi r14,r0,0 + l.addi r15,r0,0 +_loop: + l.addi r11,r11,1 + l.nop + l.addi r12,r12,1 + l.addi r13,r13,1 + l.j _loop + l.addi r14,r14,1 + l.addi r15,r15,1 + l.nop + l.nop + l.sys 203 + l.nop + + Index: ints/spr_defs.h =================================================================== --- ints/spr_defs.h (nonexistent) +++ ints/spr_defs.h (revision 1765) @@ -0,0 +1,400 @@ +/* spr_defs.h -- Defines OR1K architecture specific special-purpose registers + Copyright (C) 1999 Damjan Lampret, lampret@opencores.org + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + +/* This file is also used by microkernel test bench. Among +others it is also used in assembly file(s). */ + +/* Definition of special-purpose registers (SPRs) */ + +#define MAX_GRPS (32) +#define MAX_SPRS_PER_GRP_BITS (11) +#define MAX_SPRS_PER_GRP (1 << MAX_SPRS_PER_GRP_BITS) +#define MAX_SPRS (0x10000) + +/* Base addresses for the groups */ +#define SPRGROUP_SYS (0<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DMMU (1<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IMMU (2<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DC (3<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IC (4<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_MAC (5<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_D (6<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PC (7<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PM (8<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PIC (9<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_TT (10<< MAX_SPRS_PER_GRP_BITS) + +/* System control and status group */ +#define SPR_VR (SPRGROUP_SYS + 0) +#define SPR_UPR (SPRGROUP_SYS + 1) +#define SPR_CPUCFGR (SPRGROUP_SYS + 2) +#define SPR_DMMUCFGR (SPRGROUP_SYS + 3) +#define SPR_IMMUCFGR (SPRGROUP_SYS + 4) +#define SPR_DCCFGR (SPRGROUP_SYS + 5) +#define SPR_ICCFGR (SPRGROUP_SYS + 6) +#define SPR_DCFGR (SPRGROUP_SYS + 7) +#define SPR_PCCFGR (SPRGROUP_SYS + 8) +#define SPR_PC (SPRGROUP_SYS + 16) /* CZ 21/06/01 */ +#define SPR_SR (SPRGROUP_SYS + 17) /* CZ 21/06/01 */ +#define SPR_EPCR_BASE (SPRGROUP_SYS + 32) /* CZ 21/06/01 */ +#define SPR_EPCR_LAST (SPRGROUP_SYS + 47) /* CZ 21/06/01 */ +#define SPR_EEAR_BASE (SPRGROUP_SYS + 48) +#define SPR_EEAR_LAST (SPRGROUP_SYS + 63) +#define SPR_ESR_BASE (SPRGROUP_SYS + 64) +#define SPR_ESR_LAST (SPRGROUP_SYS + 79) + +/* Data MMU group */ +#define SPR_DMMUCR (SPRGROUP_DMMU + 0) +#define SPR_DTLBMR_BASE(WAY) (SPRGROUP_DMMU + 0x200 + (WAY) * 0x200) +#define SPR_DTLBMR_LAST(WAY) (SPRGROUP_DMMU + 0x2ff + (WAY) * 0x200) +#define SPR_DTLBTR_BASE(WAY) (SPRGROUP_DMMU + 0x300 + (WAY) * 0x200) +#define SPR_DTLBTR_LAST(WAY) (SPRGROUP_DMMU + 0x3ff + (WAY) * 0x200) + +/* Instruction MMU group */ +#define SPR_IMMUCR (SPRGROUP_IMMU + 0) +#define SPR_ITLBMR_BASE(WAY) (SPRGROUP_IMMU + 0x200 + (WAY) * 0x200) +#define SPR_ITLBMR_LAST(WAY) (SPRGROUP_IMMU + 0x2ff + (WAY) * 0x200) +#define SPR_ITLBTR_BASE(WAY) (SPRGROUP_IMMU + 0x300 + (WAY) * 0x200) +#define SPR_ITLBTR_LAST(WAY) (SPRGROUP_IMMU + 0x3ff + (WAY) * 0x200) + +/* Data cache group */ +#define SPR_DCCR (SPRGROUP_DC + 0) +#define SPR_DCBPR (SPRGROUP_DC + 1) +#define SPR_DCBFR (SPRGROUP_DC + 2) +#define SPR_DCBIR (SPRGROUP_DC + 3) +#define SPR_DCBWR (SPRGROUP_DC + 4) +#define SPR_DCBLR (SPRGROUP_DC + 5) +#define SPR_DCR_BASE(WAY) (SPRGROUP_DC + 0x200 + (WAY) * 0x200) +#define SPR_DCR_LAST(WAY) (SPRGROUP_DC + 0x3ff + (WAY) * 0x200) + +/* Instruction cache group */ +#define SPR_ICCR (SPRGROUP_IC + 0) +#define SPR_ICBPR (SPRGROUP_IC + 1) +#define SPR_ICBIR (SPRGROUP_IC + 2) +#define SPR_ICBLR (SPRGROUP_IC + 3) +#define SPR_ICR_BASE(WAY) (SPRGROUP_IC + 0x200 + (WAY) * 0x200) +#define SPR_ICR_LAST(WAY) (SPRGROUP_IC + 0x3ff + (WAY) * 0x200) + +/* MAC group */ +#define SPR_MACLO (SPRGROUP_MAC + 1) +#define SPR_MACHI (SPRGROUP_MAC + 2) + +/* Debug group */ +#define SPR_DVR(N) (SPRGROUP_D + (N)) +#define SPR_DCR(N) (SPRGROUP_D + 8 + (N)) +#define SPR_DMR1 (SPRGROUP_D + 16) +#define SPR_DMR2 (SPRGROUP_D + 17) +#define SPR_DWCR0 (SPRGROUP_D + 18) +#define SPR_DWCR1 (SPRGROUP_D + 19) +#define SPR_DSR (SPRGROUP_D + 20) +#define SPR_DRR (SPRGROUP_D + 21) +#define SPR_DIR (SPRGROUP_D + 22) + +/* Performance counters group */ +#define SPR_PCCR(N) (SPRGROUP_PC + (N)) +#define SPR_PCMR(N) (SPRGROUP_PC + 8 + (N)) + +/* Power management group */ +#define SPR_PMR (SPRGROUP_PM + 0) + +/* PIC group */ +#define SPR_PICMR (SPRGROUP_PIC + 0) +#define SPR_PICPR (SPRGROUP_PIC + 1) +#define SPR_PICSR (SPRGROUP_PIC + 2) + +/* Tick Timer group */ +#define SPR_TTMR (SPRGROUP_TT + 0) +#define SPR_TTCR (SPRGROUP_TT + 1) + +/* + * Bit definitions for the Version Register + * + */ +#define SPR_VR_VER 0xffff0000 /* Processor version */ +#define SPR_VR_REV 0x0000003f /* Processor revision */ + +/* + * Bit definitions for the Unit Present Register + * + */ +#define SPR_UPR_UP 0x00000001 /* UPR present */ +#define SPR_UPR_DCP 0x00000002 /* Data cache present */ +#define SPR_UPR_ICP 0x00000004 /* Instruction cache present */ +#define SPR_UPR_DMP 0x00000008 /* Data MMU present */ +#define SPR_UPR_IMP 0x00000010 /* Instruction MMU present */ +#define SPR_UPR_OB32P 0x00000020 /* ORBIS32 present */ +#define SPR_UPR_OB64P 0x00000040 /* ORBIS64 present */ +#define SPR_UPR_OF32P 0x00000080 /* ORFPX32 present */ +#define SPR_UPR_OF64P 0x00000100 /* ORFPX64 present */ +#define SPR_UPR_OV32P 0x00000200 /* ORVDX32 present */ +#define SPR_UPR_OV64P 0x00000400 /* ORVDX64 present */ +#define SPR_UPR_DUP 0x00000800 /* Debug unit present */ +#define SPR_UPR_PCUP 0x00001000 /* Performance counters unit present */ +#define SPR_UPR_PMP 0x00002000 /* Power management present */ +#define SPR_UPR_PICP 0x00004000 /* PIC present */ +#define SPR_UPR_TTP 0x00008000 /* Tick timer present */ +#define SPR_UPR_SRP 0x00010000 /* Shadow registers present */ +#define SPR_UPR_RES 0x00fe0000 /* ORVDX32 present */ +#define SPR_UPR_CUST 0xff000000 /* Custom units */ + +/* + * Bit definitions for the Supervision Register + * + */ +#define SPR_SR_CID 0xf0000000 /* Context ID */ +#define SPR_SR_PXR 0x00008000 /* Partial exception recognition */ +#define SPR_SR_EP 0x00004000 /* Exception Prefix */ +#define SPR_SR_DSX 0x00002000 /* Delay Slot Exception */ +#define SPR_SR_OVE 0x00001000 /* Overflow flag Exception */ +#define SPR_SR_OV 0x00000800 /* Overflow flag */ +#define SPR_SR_CY 0x00000400 /* Carry flag */ +#define SPR_SR_F 0x00000200 /* Condition Flag */ +#define SPR_SR_CE 0x00000100 /* CID Enable */ +#define SPR_SR_LEE 0x00000080 /* Little Endian Enable */ +#define SPR_SR_IME 0x00000040 /* Instruction MMU Enable */ +#define SPR_SR_DME 0x00000020 /* Data MMU Enable */ +#define SPR_SR_ICE 0x00000010 /* Instruction Cache Enable */ +#define SPR_SR_DCE 0x00000008 /* Data Cache Enable */ +#define SPR_SR_EIR 0x00000004 /* External Interrupt Recognition */ +#define SPR_SR_EXR 0x00000002 /* Exception Recognition */ +#define SPR_SR_SUPV 0x00000001 /* Supervisor mode */ + +/* + * Bit definitions for the Data MMU Control Register + * + */ +#define SPR_DMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_DMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_DMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_DMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Instruction MMU Control Register + * + */ +#define SPR_IMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_IMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_IMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_IMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Data TLB Match Register + * + */ +#define SPR_DTLBMR_V 0x00000001 /* Valid */ +#define SPR_DTLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_DTLBMR_CID 0x0000003c /* Context ID */ +#define SPR_DTLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_DTLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Data TLB Translate Register + * + */ +#define SPR_DTLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_DTLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_DTLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_DTLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_DTLBTR_A 0x00000010 /* Accessed */ +#define SPR_DTLBTR_D 0x00000020 /* Dirty */ +#define SPR_DTLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_DTLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_DTLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_DTLBTR_SWE 0x00000200 /* Supervisor Write Enable */ +#define SPR_DTLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for the Instruction TLB Match Register + * + */ +#define SPR_ITLBMR_V 0x00000001 /* Valid */ +#define SPR_ITLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_ITLBMR_CID 0x0000003c /* Context ID */ +#define SPR_ITLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_ITLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Instruction TLB Translate Register + * + */ +#define SPR_ITLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_ITLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_ITLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_ITLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_ITLBTR_A 0x00000010 /* Accessed */ +#define SPR_ITLBTR_D 0x00000020 /* Dirty */ +#define SPR_ITLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_ITLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_ITLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_ITLBTR_SWE 0x00000200 /* Supervisor Write Enable (not used actually) */ +#define SPR_ITLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for Data Cache Control register + * + */ +#define SPR_DCCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Insn Cache Control register + * + */ +#define SPR_ICCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Debug Control registers + * + */ +#define SPR_DCR_DP 0x00000001 /* DVR/DCR present */ +#define SPR_DCR_CC 0x0000000e /* Compare condition */ +#define SPR_DCR_SC 0x00000010 /* Signed compare */ +#define SPR_DCR_CT 0x000000e0 /* Compare to */ + +/* + * Bit definitions for Debug Mode 1 register + * + */ +#define SPR_DMR1_CW0 0x00000003 /* Chain watchpoint 0 */ +#define SPR_DMR1_CW1 0x0000000c /* Chain watchpoint 1 */ +#define SPR_DMR1_CW2 0x00000030 /* Chain watchpoint 2 */ +#define SPR_DMR1_CW3 0x000000c0 /* Chain watchpoint 3 */ +#define SPR_DMR1_CW4 0x00000300 /* Chain watchpoint 4 */ +#define SPR_DMR1_CW5 0x00000c00 /* Chain watchpoint 5 */ +#define SPR_DMR1_CW6 0x00003000 /* Chain watchpoint 6 */ +#define SPR_DMR1_CW7 0x0000c000 /* Chain watchpoint 7 */ +#define SPR_DMR1_CW8 0x00030000 /* Chain watchpoint 8 */ +#define SPR_DMR1_CW9 0x000c0000 /* Chain watchpoint 9 */ +#define SPR_DMR1_CW10 0x00300000 /* Chain watchpoint 10 */ +#define SPR_DMR1_ST 0x00400000 /* Single-step trace*/ +#define SPR_DMR1_BT 0x00800000 /* Branch trace */ +#define SPR_DMR1_DXFW 0x01000000 /* Disable external force watchpoint */ + +/* + * Bit definitions for Debug Mode 2 register + * + */ +#define SPR_DMR2_WCE0 0x00000001 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_WCE1 0x00000002 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_AWTC 0x00001ffc /* Assign watchpoints to counters */ +#define SPR_DMR2_WGB 0x00ffe000 /* Watchpoints generating breakpoint */ + +/* + * Bit definitions for Debug watchpoint counter registers + * + */ +#define SPR_DWCR_COUNT 0x0000ffff /* Count */ +#define SPR_DWCR_MATCH 0xffff0000 /* Match */ + +/* + * Bit definitions for Debug stop register + * + */ +#define SPR_DSR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DSR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DSR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DSR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DSR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DSR_AE 0x00000020 /* Alignment exception */ +#define SPR_DSR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DSR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DSR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DSR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DSR_RE 0x00000400 /* Range exception */ +#define SPR_DSR_SCE 0x00000800 /* System call exception */ +#define SPR_DSR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Debug reason register + * + */ +#define SPR_DRR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DRR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DRR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DRR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DRR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DRR_AE 0x00000020 /* Alignment exception */ +#define SPR_DRR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DRR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DRR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DRR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DRR_RE 0x00000400 /* Range exception */ +#define SPR_DRR_SCE 0x00000800 /* System call exception */ +#define SPR_DRR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Performance counters mode registers + * + */ +#define SPR_PCMR_CP 0x00000001 /* Counter present */ +#define SPR_PCMR_UMRA 0x00000002 /* User mode read access */ +#define SPR_PCMR_CISM 0x00000004 /* Count in supervisor mode */ +#define SPR_PCMR_CIUM 0x00000008 /* Count in user mode */ +#define SPR_PCMR_LA 0x00000010 /* Load access event */ +#define SPR_PCMR_SA 0x00000020 /* Store access event */ +#define SPR_PCMR_IF 0x00000040 /* Instruction fetch event*/ +#define SPR_PCMR_DCM 0x00000080 /* Data cache miss event */ +#define SPR_PCMR_ICM 0x00000100 /* Insn cache miss event */ +#define SPR_PCMR_IFS 0x00000200 /* Insn fetch stall event */ +#define SPR_PCMR_LSUS 0x00000400 /* LSU stall event */ +#define SPR_PCMR_BS 0x00000800 /* Branch stall event */ +#define SPR_PCMR_DTLBM 0x00001000 /* DTLB miss event */ +#define SPR_PCMR_ITLBM 0x00002000 /* ITLB miss event */ +#define SPR_PCMR_DDS 0x00004000 /* Data dependency stall event */ +#define SPR_PCMR_WPE 0x03ff8000 /* Watchpoint events */ + +/* + * Bit definitions for the Power management register + * + */ +#define SPR_PMR_SDF 0x00000001 /* Slow down factor */ +#define SPR_PMR_DME 0x00000002 /* Doze mode enable */ +#define SPR_PMR_SME 0x00000004 /* Sleep mode enable */ +#define SPR_PMR_DCGE 0x00000008 /* Dynamic clock gating enable */ +#define SPR_PMR_SUME 0x00000010 /* Suspend mode enable */ + +/* + * Bit definitions for PICMR + * + */ +#define SPR_PICMR_IUM 0xfffffffc /* Interrupt unmask */ + +/* + * Bit definitions for PICPR + * + */ +#define SPR_PICPR_IPRIO 0xfffffffc /* Interrupt priority */ + +/* + * Bit definitions for PICSR + * + */ +#define SPR_PICSR_IS 0xffffffff /* Interrupt status */ + +/* + * Bit definitions for Tick Timer Control Register + * + */ +#define SPR_TTCR_PERIOD 0x0fffffff /* Time Period */ +#define SPR_TTMR_PERIOD SPR_TTCR_PERIOD +#define SPR_TTMR_IP 0x10000000 /* Interrupt Pending */ +#define SPR_TTMR_IE 0x20000000 /* Interrupt Enable */ +#define SPR_TTMR_RT 0x40000000 /* Restart tick */ +#define SPR_TTMR_SR 0x80000000 /* Single run */ +#define SPR_TTMR_CR 0xc0000000 /* Continuous run */ +#define SPR_TTMR_M 0xc0000000 /* Tick mode */
ints/spr_defs.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: ints/excepts.txt =================================================================== --- ints/excepts.txt (nonexistent) +++ ints/excepts.txt (revision 1765) @@ -0,0 +1,31 @@ +Original in the or1k arch manual: +EXCEPTION EPCR NORMAL RESTART EPCR ESR[DSX]=1 RESTART ESR[DSX]=1 EEAR +Reset X X X X - +Bus Error PC EPCR PC-4 EPCR YES +Data Page Fault PC EPCR PC-4 EPCR YES +IPFault PC EPCR PC-4 EPCR YES +Low Priority EI PC EPCR+4 N/A N/A - +Alignment PC EPCR+4 PC-4 EPCR YES +Illegal Insn PC EPCR+4 PC-4 EPCR - +High Prio EI PC EPCR+4 N/A N/A - +D-TLB Miss PC EPCR PC-4 EPCR YES +I-TLB Miss PC EPCR PC-4 EPCR YES +Range PC EPCR+4 PC-4 EPCR - +System Call PC EPCR+4 N/A N/A - +Breakpoint PC EPCR+4 PC-4 EPCR - + +New (how or1ksim and or1200 work/whould work): +EXCEPTION EPCR NORMAL RESTART EPCR ESR[DSX]=1 RESTART ESR[DSX]=1 EEAR +Reset X X X X - +Bus Error PC EPCR PC-4 EPCR YES +Data Page Fault PC EPCR PC-4 EPCR YES +IPFault PC EPCR PC-4 EPCR YES +Low Priority EI PC+4 EPCR N/A N/A - +Alignment PC+4 EPCR PC-4 EPCR YES +Illegal Insn PC+4 EPCR PC-4 EPCR - +High Prio EI PC+4 EPCR N/A N/A - +D-TLB Miss PC EPCR PC-4 EPCR YES +I-TLB Miss PC EPCR PC-4 EPCR YES +Range PC EPCR PC-4 EPCR - +System Call PC+4 EPCR N/A N/A - +Trap PC+4 EPCR-4 PC-4 EPCR - Index: int_test/int_test.cfg =================================================================== --- int_test/int_test.cfg (nonexistent) +++ int_test/int_test.cfg (revision 1765) @@ -0,0 +1,40 @@ +section memory + pattern = 0x00 + type = unknown /* Fastest */ + + nmemories = 2 + device 0 + name = "RAM" + ce = 0 + baseaddr = 0x40000000 + size = 0x00200000 + delayr = 1 + delayw = 2 + enddevice + + device 1 + name = "FLASH" + ce = 1 + baseaddr = 0x00000000 + size = 0x00200000 + delayr = 10 + delayw = -1 + enddevice +end + +section sim + /* verbose = 1 */ + debug = 0 + profile = 0 + prof_fn = "sim.profile" + + history = 1 + exe_log = 0 + exe_log_fn = "executed.log" + clkcycle = 4ns +end + +section tick + enabled = 1 + irq = 0 +end
int_test/int_test.cfg Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: int_test/int_test.S =================================================================== --- int_test/int_test.S (nonexistent) +++ int_test/int_test.S (revision 1765) @@ -0,0 +1,319 @@ +/* Within the test we'll use following global variables: + + r16 interrupt counter + r17 current tick timer comparison counter + r18 sanity counter + r19 loop counter + r20 temp value of SR reg + r21 temp value of TTMR reg. + r23 RAM_START + + r25-r31 used by int handler + + The test do the following: + We set up the tick timer to trigger once and then we trigger interrupts incrementally + on every cycle in the specified test program; on interrupt handler we check if data computed + so far exactly matches precalculated values. If interrupt has returned incorreclty, we can + detect this using assertion routine at the end. +*/ + +#include "../support/spr_defs.h" +#define RAM_START 0x80080000 + +.org 0x100 + l.nop + l.j _main + l.nop + +.org 0x500 +# +# Interrupt handler +# + + l.addi r31,r3,0 +# get interrupted program pc + l.mfspr r25,r0,SPR_EPCR_BASE + +# calculate instruction address + l.movhi r26,hi(_ie_start) + l.ori r26,r26,lo(_ie_start) + l.addi r3,r25,0 #print insn index + l.nop 2 + l.sub r25,r25,r26 + l.addi r3,r25,0 #print insn index + l.nop 2 + + l.addi r3,r31,0 # restore r3 + l.sfeqi r25, 0x00 + l.bf _i00 + l.sfeqi r25, 0x04 + l.bf _i04 + l.sfeqi r25, 0x08 + l.bf _i08 + l.sfeqi r25, 0x0c + l.bf _i0c + l.sfeqi r25, 0x10 + l.bf _i10 + l.sfeqi r25, 0x14 + l.bf _i14 + l.sfeqi r25, 0x18 + l.bf _i18 + l.sfeqi r25, 0x1c + l.bf _i1c + l.sfeqi r25, 0x20 + l.bf _i20 + l.sfeqi r25, 0x24 + l.bf _i24 + l.sfeqi r25, 0x28 + l.bf _i28 + l.sfeqi r25, 0x2c + l.bf _i2c + l.sfeqi r25, 0x30 + l.bf _i30 + l.sfeqi r25, 0x34 + l.bf _i34 + l.sfeqi r25, 0x38 + l.bf _i38 + l.nop + +# value not defined +_die: + l.nop 2 #print r3 + + l.addi r3,r0,0xeeee + l.nop 2 + l.addi r3,r0,1 + l.nop 1 +1: + l.j 1b + l.nop + +.section .text +_main: + l.nop + l.nop + +# +# set tick counter to initial 3 cycles +# + l.addi r16,r0,0 + l.addi r17,r0,1 + l.addi r18,r0,0 + l.addi r19,r0,0 + l.addi r22,r0,0 + + l.movhi r23,hi(RAM_START) + l.ori r23,r23,lo(RAM_START) + +# +# unmask all ints +# + l.movhi r5,0xffff + l.ori r5,r5,0xffff + l.mtspr r0,r5,SPR_PICMR # set PICMR + +# Set r20 to hold enable exceptions and interrupts + l.mfspr r20,r0,SPR_SR + l.ori r20,r20,SPR_SR_SUPV|SPR_SR_EXR|SPR_SR_EIR|SPR_SR_F + +# Set r21 to hold value of TTMR + l.movhi r5,hi(SPR_TTMR_SR | SPR_TTMR_IE) + l.add r21,r5,r17 + +# +# MAIN LOOP +# +_main_loop: +# reinitialize memory and registers + l.addi r3,r0,0xaaaa + l.addi r9,r0,0xbbbb + l.sw 0(r23),r3 + l.sw 4(r23),r9 + l.sw 8(r23),r3 + +# Reinitializes tick timer + l.addi r17,r17,1 + l.mtspr r0,r0,SPR_TTCR # set TTCR + l.mtspr r0,r21,SPR_TTMR # set TTMR + l.mtspr r0,r0,SPR_TTCR # set TTCR + l.addi r21,r21,1 + +# Enable exceptions and interrupts + l.mtspr r0,r20,SPR_SR # set SR + +##### TEST CODE ##### +_ie_start: + l.movhi r3,0x1234 #00 + l.sw 0(r23),r3 #04 + l.movhi r3,hi(RAM_START) #08 + l.lwz r3,0(r3) #0c + l.movhi r3,hi(RAM_START) #10 + l.addi r3,r3,4 #14 + l.j 1f #18 + l.lwz r3,0(r3) #1c + l.addi r3,r3,1 #20 +1: + l.sfeqi r3,0xdead #24 + l.jal 2f #28 + l.addi r3,r0,0x5678 #2c + +_return_addr: +2: + l.bf _die #30 + l.sw 8(r23),r3 #34 +_ie_end: + l.nop #38 +##### END OF TEST CODE ##### + +# do some testing + + l.j _main_loop + l.nop + +_i00: + l.sfeqi r3,0xaaaa + l.bnf _die + l.nop + l.j _resume + l.nop +_i04: + l.movhi r26,0x1234 + l.sfeq r3,r26 + l.bnf _die + l.nop + l.lwz r26,0(r23) + l.sfeqi r26,0xaaaa + l.bnf _die + l.nop + l.j _resume + l.nop +_i08: + l.movhi r26,0x1234 + l.sfeq r3,r26 + l.bnf _die + l.nop + l.lwz r27,0(r23) + l.sfeq r27,r26 + l.bnf _die + l.nop + l.j _resume + l.nop +_i0c: + l.sfeq r3,r23 + l.bnf _die + l.nop + l.j _resume + l.nop +_i10: + l.movhi r26,0x1234 + l.sfeq r26,r3 + l.bnf _die + l.nop + l.j _resume + l.nop +_i14: + l.sfeq r3,r23 + l.bnf _die + l.nop + l.j _resume + l.nop +_i18: + l.addi r26,r23,4 + l.sfeq r3,r26 + l.bnf _die + l.nop + l.j _resume + l.nop +_i1c: + l.j _die + l.nop +_i20: + l.j _die + l.nop +_i24: + l.mfspr r26,r0,SPR_ESR_BASE + l.addi r30,r3,0 + l.addi r3,r26,0 + l.nop 2 + l.addi r3,r30,0 + l.andi r26,r26,SPR_SR_F + l.sfeq r26,r0 + l.bf _die + l.nop + l.sfeqi r3,0xbbbb + l.bnf _die + l.nop + l.j _resume + l.nop +_i28: + l.mfspr r26,r0,SPR_ESR_BASE + l.addi r30,r3,0 + l.addi r3,r26,0 + l.nop 2 + l.addi r3,r30,0 + l.andi r26,r26,SPR_SR_F + l.sfeq r26,r0 + l.bnf _die + l.nop + l.sfeqi r22,1 + l.bf _resume + l.addi r22,r0,1 + l.sfeqi r9,0xbbbb + l.bnf _die + l.nop + l.j _resume + l.nop +_i2c: + l.movhi r26,hi(_return_addr) + l.ori r26,r26,lo(_return_addr) + l.sfeq r9,r26 + l.bnf _die + l.nop + l.sfeqi r3,0xbbbb + l.bnf _die + l.nop + l.j _resume + l.nop +_i30: + l.sfeqi r3,0x5678 + l.bnf _die + l.nop + l.j _resume + l.nop +_i34: + l.sfeqi r3,0x5678 + l.bnf _die + l.nop + l.lwz r26,8(r23) + l.sfeqi r26,0xaaaa + l.bnf _die + l.nop + l.j _resume + l.nop +_i38: + l.lwz r26,8(r23) + l.sfeqi r26,0x5678 + l.bnf _die + l.nop +# +# mark finished ok +# + l.movhi r3,hi(0xdeaddead) + l.ori r3,r3,lo(0xdeaddead) + l.nop 2 + l.addi r3,r0,0 + l.nop 1 +_ok: + l.j _ok + l.nop + +_resume: + l.mfspr r27,r0,SPR_ESR_BASE + l.addi r26,r0,SPR_SR_EIR|SPR_SR_EXR + l.addi r28,r0,-1 + l.xor r26,r26,r28 + l.and r26,r26,r27 + l.mtspr r0,r26,SPR_ESR_BASE + + l.rfe + l.addi r3,r3,5 # should not be executed
int_test/int_test.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: int_test/Makefile =================================================================== --- int_test/Makefile (nonexistent) +++ int_test/Makefile (revision 1765) @@ -0,0 +1,39 @@ +#common = ../support/except.o ../support/support.o +common = +cases = int_test-nocache + +all: $(cases) + +int_test-nocache: $(common) int_test.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +basic-ic: $(common) basic.o ../support/reset-ic.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +basic-dc: $(common) basic.o ../support/reset-dc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +basic-icdc: $(common) basic.o ../support/reset-icdc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +int_test.o: int_test.S + or32-rtems-gcc -O0 $? -c -o $@ + +clean: + rm -f *.o *.or32 *.log *.bin *.srec *.hex Index: basic/basic.S =================================================================== --- basic/basic.S (nonexistent) +++ basic/basic.S (revision 1765) @@ -0,0 +1,475 @@ +/* Basic instruction set test */ +#include "../support/spr_defs.h" + +.global _main +.global _buserr_except +.global _dpf_except +.global _ipf_except +.global _lpint_except +.global _align_except +.global _illegal_except +.global _hpint_except +.global _dtlbmiss_except +.global _itlbmiss_except +.global _range_except +.global _syscall_except +.global _res1_except +.global _trap_except +.global _res2_except + +_buserr_except: +_dpf_except: +_ipf_except: +_lpint_except: +_align_except: +_illegal_except: +_hpint_except: +_dtlbmiss_except: +_itlbmiss_except: +_range_except: +_syscall_except: +_res1_except: +_trap_except: +_res2_except: + +_main: + l.nop + l.j _regs + l.nop + + .section .text +_regs: + l.addi r1,r0,0x1 + l.addi r2,r1,0x2 + l.addi r3,r2,0x4 + l.addi r4,r3,0x8 + l.addi r5,r4,0x10 + l.addi r6,r5,0x20 + l.addi r7,r6,0x40 + l.addi r8,r7,0x80 + l.addi r9,r8,0x100 + l.addi r10,r9,0x200 + l.addi r11,r10,0x400 + l.addi r12,r11,0x800 + l.addi r13,r12,0x1000 + l.addi r14,r13,0x2000 + l.addi r15,r14,0x4000 + l.addi r16,r15,0x8000 + + l.sub r31,r0,r1 + l.sub r30,r31,r2 + l.sub r29,r30,r3 + l.sub r28,r29,r4 + l.sub r27,r28,r5 + l.sub r26,r27,r6 + l.sub r25,r26,r7 + l.sub r24,r25,r8 + l.sub r23,r24,r9 + l.sub r22,r23,r10 + l.sub r21,r22,r11 + l.sub r20,r21,r12 + l.sub r19,r20,r13 + l.sub r18,r19,r14 + l.sub r17,r18,r15 + l.sub r16,r17,r16 + l.movhi r31,0x8000 + l.ori r31,r31,0x0040 + + l.mtspr r0,r16,0x1234 /* Should be 0xffff0012 */ + + l.sw 0(r31),r16 + +_mem: l.movhi r3,0x1234 + l.ori r3,r3,0x5678 + + l.sw 4(r31),r3 + + l.lbz r4,4(r31) + l.add r8,r8,r4 + l.sb 11(r31),r4 + l.lbz r4,5(r31) + l.add r8,r8,r4 + l.sb 10(r31),r4 + l.lbz r4,6(r31) + l.add r8,r8,r4 + l.sb 9(r31),r4 + l.lbz r4,7(r31) + l.add r8,r8,r4 + l.sb 8(r31),r4 + + l.lbs r4,8(r31) + l.add r8,r8,r4 + l.sb 7(r31),r4 + l.lbs r4,9(r31) + l.add r8,r8,r4 + l.sb 6(r31),r4 + l.lbs r4,10(r31) + l.add r8,r8,r4 + l.sb 5(r31),r4 + l.lbs r4,11(r31) + l.add r8,r8,r4 + l.sb 4(r31),r4 + + l.lhz r4,4(r31) + l.add r8,r8,r4 + l.sh 10(r31),r4 + l.lhz r4,6(r31) + l.add r8,r8,r4 + l.sh 8(r31),r4 + + l.lhs r4,8(r31) + l.add r8,r8,r4 + l.sh 6(r31),r4 + l.lhs r4,10(r31) + l.add r8,r8,r4 + l.sh 4(r31),r4 + + l.lwz r4,4(r31) + l.add r8,r8,r4 + + l.mtspr r0,r8,0x1234 /* Should be 0x12352af7 */ + + l.lwz r9,0(r31) + l.add r8,r9,r8 + l.sw 0(r31),r8 + +_arith: + l.addi r3,r0,1 + l.addi r4,r0,2 + l.addi r5,r0,-1 + l.addi r6,r0,-1 + l.addi r8,r0,0 + + l.sub r7,r5,r3 + l.sub r8,r3,r5 + l.add r8,r8,r7 + +# l.div r7,r7,r4 + l.add r9,r3,r4 + l.mul r7,r9,r7 +# l.divu r7,r7,r4 + l.add r8,r8,r7 + + l.mtspr r0,r8,0x1234 /* Should be 0x7ffffffe */ + + l.lwz r9,0(r31) + l.add r8,r9,r8 + l.sw 0(r31),r8 + +_log: + l.addi r3,r0,1 + l.addi r4,r0,2 + l.addi r5,r0,-1 + l.addi r6,r0,-1 + l.addi r8,r0,0 + + l.andi r8,r8,1 + l.and r8,r8,r3 + + l.xori r8,r5,0xa5a5 + l.xor r8,r8,r5 + + l.ori r8,r8,2 + l.or r8,r8,r4 + + l.mtspr r0,r8,0x1234 /* Should be 0xffffa5a7 */ + + l.lwz r9,0(r31) + l.add r8,r9,r8 + l.sw 0(r31),r8 + +_shift: + l.addi r3,r0,1 + l.addi r4,r0,2 + l.addi r5,r0,-1 + l.addi r6,r0,-1 + l.addi r8,r0,0 + + l.slli r8,r5,6 + l.sll r8,r8,r4 + + l.srli r8,r8,6 + l.srl r8,r8,r4 + + l.srai r8,r8,2 + l.sra r8,r8,r4 + + l.mtspr r0,r8,0x1234 /* Should be 0x000fffff */ + + l.lwz r9,0(r31) + l.add r8,r9,r8 + l.sw 0(r31),r8 + +_flag: + l.addi r3,r0,1 + l.addi r4,r0,-2 + l.addi r8,r0,0 + + l.sfeq r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfeq r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfeqi r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfeqi r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfne r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfne r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfnei r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfnei r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgtu r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgtu r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgtui r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgtui r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgeu r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgeu r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgeui r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgeui r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfltu r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfltu r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfltui r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfltui r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfleu r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfleu r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfleui r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfleui r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgts r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgts r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgtsi r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgtsi r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfges r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfges r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgesi r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfgesi r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sflts r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sflts r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfltsi r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfltsi r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfles r3,r3 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sfles r3,r4 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sflesi r3,1 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.sflesi r3,-2 + l.mfspr r5,r0,17 + l.andi r4,r5,0x200 + l.add r8,r8,r4 + + l.mtspr r0,r8,0x1234 /* Should be 0x00002800 */ + + l.lwz r9,0(r31) + l.add r8,r9,r8 + l.sw 0(r31),r8 + +_jump: + l.addi r8,r0,0 + + l.j _T1 + l.addi r8,r8,1 + +_T2: l.or r10,r0,r9 + l.jalr r10 + l.addi r8,r8,1 + +_T1: l.jal _T2 + l.addi r8,r8,1 + + l.sfeqi r0,0 + l.bf _T3 + l.addi r8,r8,1 + +_T3: l.sfeqi r0,1 + l.bf _T4 + l.addi r8,r8,1 + + l.addi r8,r8,1 + +_T4: l.sfeqi r0,0 + l.bnf _T5 + l.addi r8,r8,1 + + l.addi r8,r8,1 + +_T5: l.sfeqi r0,1 + l.bnf _T6 + l.addi r8,r8,1 + + l.addi r8,r8,1 + +_T6: l.movhi r3,hi(_T7) + l.ori r3,r0,lo(_T7) + l.mtspr r0,r3,32 + l.mfspr r5,r0,17 + l.mtspr r0,r5,64 + l.rfe + l.addi r8,r8,1 + + l.addi r8,r8,1 + +_T7: l.mtspr r0,r8,0x1234 /* Should be 0x00000000a */ + + l.lwz r9,0(r31) + l.add r8,r9,r8 + l.sw 0(r31),r8 + + l.lwz r9,0(r31) + l.movhi r3,0xcc69 + l.ori r3,r3,0xe5fb + l.add r3,r8,r3 /* Should be 0xdeaddead */ + l.jal _report + + l.nop + l.jal _exit + l.nop + l.nop +
basic/basic.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: basic/Makefile =================================================================== --- basic/Makefile (nonexistent) +++ basic/Makefile (revision 1765) @@ -0,0 +1,38 @@ +common = ../support/except.o ../support/support.o +cases = basic-nocache basic-ic basic-dc basic-icdc + +all: $(cases) + +basic-nocache: $(common) basic.o ../support/reset-nocache.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +basic-ic: $(common) basic.o ../support/reset-ic.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +basic-dc: $(common) basic.o ../support/reset-dc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +basic-icdc: $(common) basic.o ../support/reset-icdc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +basic.o: basic.S + or32-rtems-gcc -O0 $? -c -o $@ + +clean: + rm -f *.o *.or32 *.log *.bin *.srec *.hex Index: cbasic/cbasic.c =================================================================== --- cbasic/cbasic.c (nonexistent) +++ cbasic/cbasic.c (revision 1765) @@ -0,0 +1,248 @@ +/* Test basic c functionality. */ + +#define DEBUG 0 +#define DBGFINE 0 + +#include "../support/support.h" + +void buserr_except(){} +void dpf_except(){} +void ipf_except(){} +void lpint_except(){} +void align_except(){} +void illegal_except(){} +void hpint_except(){} +void dtlbmiss_except(){} +void itlbmiss_except(){} +void range_except(){} +void syscall_except(){} +void res1_except(){} +void trap_except(){} +void res2_except(){} + +signed long test_cond(int i) +{ + switch(i) { + case 1: + i += 1; + break; + case -1: + i -= 10; + break; + default: + return i; + } + + if (i == 2) /* normaly i == 2 */ + i += 1; + else + i -= 10; + + if (i > 2) /* normaly i == 3 */ + i += 1; + else + i -=10; + + if (i >= 4) /* normaly i == 4 */ + i += 1; + else + i -= 10; + + if (i <= 5) /* normaly i == 5 */ + i += 1; + else + i -= 10; + + if (i < 7) /* normaly i == 6 */ + i += 1; + else + i -= 10; + + if (i != 666) /* normaly i == 7 */ + i += 1; + else + i -= 10; + + return i; /* with initial i == 1 return 8 */ +} + +signed long test_loops(int i) +{ + int j = 0; + + for(; i < 10; i++) + j += 2; + + do { + i -= 3; + } while (j--); + + return i; +} + +signed long test_arith(int i) +{ + int mul = 0, div = 0; + int j; + + for(j = i; j < 40; j++) { + + mul += j*j*i; +#if 0 + report(mul); +#endif + div += mul + (j+5); +#if 0 + report(div); +#endif + } + + report (mul+div); + return (mul + div); +} + +signed long test_bitop(int i) +{ + int shl = 0, shr = 0, bit = 0; + int j; + + for(j = i; j < 35; j++) { + shl += 1 << j; +#if 0 + printf("%u. shl:%.8lx", j, shl); + report(shl); +#endif + shr += 0x80000000 >> j; +#if 0 + printf(" shr:%.8lx", shr); + report(shr); +#endif + bit += (~j ^ 0x11223344) & 0x33557788 + j | 0x11223344; +#if 0 + printf(" bit:%.8lx\n", bit); + report(bit); +#endif + } + + return (shl + shr + bit); +} + +signed long test_types(int i) +{ + unsigned char uc; + signed char sc; + unsigned short us; + signed short ss; + unsigned long ul; + signed long sl; + + int j; + + i ^= 0x10203040; + + for(j = 0; j < 10; j++) { + uc = i; + sc = i; + us = i; + ss = i; + ul = i; + sl = i; +#if 0 + printf("%u. i:%.8lx ", j, i); + printf("uc:%.8lx sc:%.8lx ", uc, sc); + report(uc); + report(sc); + printf("us:%.8lx ss:%.8lx ", us, ss); + report(us); + report(ss); + printf("ul:%.8lx sl:%.8lx\n", ul, sl); + report(ul); + report(sl); +#endif + i = uc + sc + us + ss + ul + sl; + } + + return i; +} + +signed long test_array(int i) +{ + char a1[] = "This test string MUST NOT be modified..."; + char a2[100]; + + report(a1[5]); + memcpy(a2, a1, 40); + report(a1[5]); + report(a2[5]); + report(i); + /* register reload test */ + i += a2[0] + a2[1] + a2[2] + a2[3] + a2[4] + a2[5] + a2[6] + a2[7] + + a2[8] + a2[9] + a2[10] + a2[11] + a2[12] + a2[13] + a2[14] + a2[15] + + a2[16] + a2[17] + a2[18] + a2[19] + a2[20] + a2[21] + a2[22] + a2[23] + + a2[24] + a2[25] + a2[26] + a2[27] + a2[28] + a2[29] + a2[30] + a2[31] + + a2[32] + a2[33] + a2[34] + a2[35] + a2[36] + a2[37] + a2[38] + a2[39]; + report(i); + + return i; +} + +int main() +{ + signed long result1 = 0; + signed long result2 = 0; + signed long result3 = 0; + +#if DEBUG + printf("Start...\n"); +#endif + result1 = test_cond(1); + result2 = test_cond(-1); + result3 -= result1 + result2; + report(result2); +#if DEBUG + printf("After test_cond: 0x%.8lx 0x%.8lx\n", result1, result2); +#endif + + result1 = test_loops(1); + result2 = test_loops(-1); + result3 -= result1 + result2; + report(result2); +#if DEBUG + printf("After test_loops: 0x%.8lx 0x%.8lx\n", result1, result2); +#endif + + result1 = test_arith(1); + result2 = test_arith(-1); + result3 -= result1 + result2; + report(result2); +#if DEBUG + printf("After test_arith: 0x%.8lx 0x%.8lx\n", result1, result2); +#endif + + result1 = test_bitop(1); + result2 = test_bitop(-1); + result3 -= result1 + result2; + report(result2); +#if DEBUG + printf("After test_bitop: 0x%.8lx 0x%.8lx\n", result1, result2); +#endif + + result1 = test_types(1); + result2 = test_types(-1); + result3 -= result1 + result2; + report(result2); +#if DEBUG + printf("After test_types: 0x%.8lx 0x%.8lx\n", result1, result2); +#endif + result1 = test_array(1); + result2 = test_array(-1); + result3 -= result1 + result2; + report(result2); +#if DEBUG + printf("After test_array: 0x%.8lx 0x%.8lx\n", result1, result2); +#endif + + printf("RESULT: %.8lx\n", result3-0x6cdd479d); + report(result3-0x6cdd401e); + exit(result3-0x6cdd401e); +}
cbasic/cbasic.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: cbasic/Makefile =================================================================== --- cbasic/Makefile (nonexistent) +++ cbasic/Makefile (revision 1765) @@ -0,0 +1,70 @@ + +cases = cbasic-nocache-O0 cbasic-nocache-O2 cbasic-ic-O0 cbasic-ic-O2 cbasic-dc-O0 cbasic-dc-O2 cbasic-icdc-O0 cbasic-icdc-O2 +common = ../support/except.o ../support/support.o + +all: $(cases) + +cbasic-nocache-O0: $(common) cbasic-O0.o ../support/reset-nocache.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +cbasic-nocache-O2: $(common) cbasic-O2.o ../support/reset-nocache.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +cbasic-ic-O0: $(common) cbasic-O0.o ../support/reset-ic.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +cbasic-ic-O2: $(common) cbasic-O2.o ../support/reset-ic.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +cbasic-dc-O0: $(common) cbasic-O0.o ../support/reset-dc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +cbasic-dc-O2: $(common) cbasic-O2.o ../support/reset-dc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +cbasic-icdc-O0: $(common) cbasic-O0.o ../support/reset-icdc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +cbasic-icdc-O2: $(common) cbasic-O2.o ../support/reset-icdc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +cbasic-O0.o: cbasic.c + or32-rtems-gcc -O0 $? -c -o $@ + +cbasic-O2.o: cbasic.c + or32-rtems-gcc -O2 $? -c -o $@ + +clean: + rm -f *.o *.or32 *.log *.bin *.srec *.hex Index: mul/mul.c =================================================================== --- mul/mul.c (nonexistent) +++ mul/mul.c (revision 1765) @@ -0,0 +1,130 @@ +/* Test l.mul, l.mac and l.macrc instructions */ + +#include "../support/support.h" + +void buserr_except(){} +void dpf_except(){} +void ipf_except(){} +void lpint_except(){} +void align_except(){} +void illegal_except(){} +void hpint_except(){} +void dtlbmiss_except(){} +void itlbmiss_except(){} +void range_except(){} +void syscall_except(){} +void res1_except(){} +void trap_except(){} +void res2_except(){} + +#define T1 0x5d9da965 +#define T2 0xd644c789 +#define T3 0xa703904d + +#ifndef OR1K + +#include +#define LONGEST long long + +LONGEST acc = 0; +#define MAC(x,y) {\ + /*printf ("[%08x,%08x]\t", (unsigned long)(x), (unsigned long)(y));*/\ + acc += (LONGEST)(x) * (LONGEST)(y);\ + /*printf ("(%08x,%08x)\n", (unsigned long)(acc >> 32), (unsigned long)(acc & 0xffffffff));*/\ +} +#define MACRC (macrc()) +static inline long macrc() { + long result = acc >> 28; + //printf ("<%08x>\n", (unsigned long)result); + acc = 0; + return result; +} +#else /* OR1K */ + +#define MAC(x,y) asm volatile ("l.mac\t%0,%1" : : "r" (x), "r" (y)) +#define MACRC macrc() +static inline long macrc() { + long x; + asm volatile ("l.macrc\t%0" : "=r" (x)); + return x; +} + +#endif /* SIM */ + +long test_mul (long a, long b) { + long t; + int i; + for (i = 0; i < 10; i++) { + t = a * b; + t += 153; + a = t - a * 17; + b = t + b * 13333; + + /*printf ("(%08x,%08x)", a, b);*/ + } + return a; +} + +long test_mac (long a, long b) { + long t = 1234567; + int i; + for (i = 0; i < 10; i++) { + MAC (a, b); + if (i & 3) { + a = t - a; + b = t + a; + } else { + a = MACRC; + } + MAC (a, 3); + MAC (a, 5); + MAC (a, 7); + //printf ("(%08x,%08x)", a, b); + } + return a; +} + +long test_mul_mac (long a, long b) { + long t = 1; + int i; + for (i = 0; i < 10; i++) { + a = a * 119; + MAC (a, b); + MAC (b, 423490431); + MAC (b, 113); + MAC (a, 997); + b = 87 * a * t; + if (i & 3) { + t = a * b; + a = t - a; + b = t + a; + } else { + a = MACRC; + } + // printf ("(%08x,%08x)", a, b); + } + return a; +} + +int main () { + unsigned t1 = test_mul (888888887, 0x87654321); + unsigned t2 = test_mac (888888887, 0x87654321); + unsigned t3 = test_mul_mac (888888887, 0x87654321); + printf ("%08x, expected %08x\n", t1, T1); + printf ("%08x, expected %08x\n", t2, T2); + printf ("%08x, expected %08x\n", t3, T3); + report (t1); + report (t2); + report (t3); + report (t1 ^ t2 ^ t3 ^ T1 ^ T2 ^ T3 ^ 0xdeaddead); + if (t1 != T1 || t2 != T2 || t3 != T3) { + printf ("Test failed!\n"); + if (t1 != T1) exit (1); + if (t2 != T2) exit (2); + if (t3 != T3) exit (3); + } else { + printf ("Test succesful.\n"); + exit (t1 ^ t2 ^ t3 ^ T1 ^ T2 ^ T3 ^ 0xdeaddead); + } +} + Index: mul/Makefile =================================================================== --- mul/Makefile (nonexistent) +++ mul/Makefile (revision 1765) @@ -0,0 +1,70 @@ + +cases = mul-nocache-O0 mul-nocache-O2 mul-ic-O0 mul-ic-O2 mul-dc-O0 mul-dc-O2 mul-icdc-O2 mul-icdc-O0 +common = ../support/except.o ../support/support.o + +all: $(cases) + +mul-nocache-O0: $(common) mul-O0.o ../support/reset-nocache.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +mul-nocache-O2: $(common) mul-O2.o ../support/reset-nocache.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +mul-ic-O0: $(common) mul-O0.o ../support/reset-ic.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +mul-ic-O2: $(common) mul-O2.o ../support/reset-ic.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +mul-dc-O0: $(common) mul-O0.o ../support/reset-dc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +mul-dc-O2: $(common) mul-O2.o ../support/reset-dc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +mul-icdc-O0: $(common) mul-O0.o ../support/reset-icdc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +mul-icdc-O2: $(common) mul-O2.o ../support/reset-icdc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +mul-O0.o: mul.c + or32-rtems-gcc -O0 $? -c -o $@ + +mul-O2.o: mul.c + or32-rtems-gcc -O2 $? -c -o $@ + +clean: + rm -f *.o *.or32 *.log *.bin *.srec *.hex Index: mmu/dmmu.S =================================================================== --- mmu/dmmu.S (nonexistent) +++ mmu/dmmu.S (revision 1765) @@ -0,0 +1,121 @@ +/* Basic instruction set test */ +#include "../support/spr_defs.h" + +.global _main +.global _buserr_except +.global _dpf_except +.global _ipf_except +.global _lpint_except +.global _align_except +.global _illegal_except +.global _hpint_except +.global _dtlbmiss_except +.global _itlbmiss_except +.global _range_except +.global _syscall_except +.global _res1_except +.global _trap_except +.global _res2_except + +_buserr_except: +_ipf_except: +_lpint_except: +_align_except: +_illegal_except: +_hpint_except: +_itlbmiss_except: +_range_except: +_syscall_except: +_res1_except: +_trap_except: +_res2_except: + l.nop + l.ori r3,r0,0xeeee + l.jal _report + l.nop + l.jal _exit + l.nop + +_dpf_except: + l.addi r14,r0,64 + l.movhi r5,hi(0x80000000|SPR_DTLBMR_V) + l.ori r5,r5,lo(0x80000000|SPR_DTLBMR_V) + l.mtspr r0,r5,SPR_DTLBMR_BASE(0) + l.movhi r5,hi(0x80100000|SPR_DTLBTR_SWE|SPR_DTLBTR_SRE) + l.ori r5,r5,lo(0x80100000|SPR_DTLBTR_SWE|SPR_DTLBTR_SRE) + l.mtspr r0,r5,SPR_DTLBTR_BASE(0) + l.rfe + l.nop + +_dtlbmiss_except: + // Valid entry, but no load/store access + l.addi r13,r0,128 + l.movhi r5,hi(0x80000000|SPR_DTLBMR_V) + l.ori r5,r5,lo(0x80000000|SPR_DTLBMR_V) + l.mtspr r0,r5,SPR_DTLBMR_BASE(0) + l.ori r5,r0,0 + l.mtspr r0,r5,SPR_DTLBTR_BASE(0) + l.rfe + l.nop + +// +// r4, r5 - used by exception handlers +// r7, r8 - used by main for setting TLB +// r10 - data pointer to magic words +// r11 - accumulator of magic words +// r12 - for loading/storing magic words +// r13, r14 - used ONLY by exception handlers for magic words + +_main: + l.nop + l.addi r11,r0,1 + l.addi r12,r0,1024 + l.movhi r10,hi(0x80100000) + l.ori r10,r10,lo(0x80100000) + l.sw 0(r10),r12 + l.addi r12,r0,1 + l.movhi r10,hi(0x80000000) + l.ori r10,r10,lo(0x80000000) + l.sw 0(r10),r12 + + // Invalidate entry + l.movhi r7,hi(0x80010000) + l.mtspr r0,r7,SPR_DTLBMR_BASE(0) + l.ori r7,r0,0 + l.mtspr r0,r7,SPR_DTLBTR_BASE(0) + + // Enable DMMU + l.ori r8,r0,SPR_SR_DME + l.mfspr r7,r0,SPR_SR + l.or r7,r7,r8 + l.mtspr r0,r7,SPR_SR + + // Invoke DTLB miss and DPF exceptions + l.sw 32(r10),r7 + + // Magic word read + l.add r12,r0,r0 + l.lwz r12,0(r10) + l.add r11,r11,r12 + l.add r11,r11,r13 + l.add r11,r11,r14 + + // Set cache inhibit (CI) bit + l.movhi r5,hi(0x80100000|SPR_DTLBTR_SWE|SPR_DTLBTR_SRE) + l.ori r5,r5,lo(0x80100000|SPR_DTLBTR_SWE|SPR_DTLBTR_SRE) + l.mtspr r0,r5,SPR_DTLBTR_BASE(0) + + // Read from external memory (must be checked manually) + l.lwz r12,32(r10) + + // Exit + l.nop + l.movhi r12,hi(0xdeadda6c) + l.ori r12,r12,lo(0xdeadda6c) + l.xor r3,r11,r12 + l.jal _report + l.nop + l.jal _exit + l.nop + l.nop +
mmu/dmmu.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mmu/immu.S =================================================================== --- mmu/immu.S (nonexistent) +++ mmu/immu.S (revision 1765) @@ -0,0 +1,129 @@ +/* Basic instruction set test */ +#include "../support/spr_defs.h" + +.global _main +.global _buserr_except +.global _dpf_except +.global _ipf_except +.global _lpint_except +.global _align_except +.global _illegal_except +.global _hpint_except +.global _dtlbmiss_except +.global _itlbmiss_except +.global _range_except +.global _syscall_except +.global _res1_except +.global _trap_except +.global _res2_except + +_buserr_except: +_dpf_except: +_lpint_except: +_align_except: +_illegal_except: +_hpint_except: +_dtlbmiss_except: +_range_except: +_syscall_except: +_res1_except: +_trap_except: +_res2_except: + l.nop + l.ori r3,r0,0xeeee + l.jal _report + l.nop + l.jal _exit + l.nop + +_ipf_except: + // Valid entry 1, 1:1, full access + l.addi r14,r0,64 + l.movhi r5,hi(0x00002000|SPR_ITLBMR_V) + l.ori r5,r5,lo(0x00002000|SPR_ITLBMR_V) + l.mtspr r0,r5,SPR_ITLBMR_BASE(0)+1 + l.movhi r5,hi(0x00002000|SPR_ITLBTR_SXE|SPR_ITLBTR_UXE) + l.ori r5,r5,lo(0x00002000|SPR_ITLBTR_SXE|SPR_ITLBTR_UXE) + l.mtspr r0,r5,SPR_ITLBTR_BASE(0)+1 + l.rfe + l.nop + +_itlbmiss_except: + // Valid entry 1, but no execute access + l.addi r13,r0,128 + l.movhi r5,hi(0x00002000|SPR_ITLBMR_V) + l.ori r5,r5,lo(0x00002000|SPR_ITLBMR_V) + l.mtspr r0,r5,SPR_ITLBMR_BASE(0)+1 + l.ori r5,r0,0 + l.mtspr r0,r5,SPR_ITLBTR_BASE(0)+1 + l.rfe + l.nop + +// +// r4, r5 - used by exception handlers +// r7, r8 - used by main for setting TLB +// r11 - accumulator of magic words +// r13, r14 - used ONLY by exception handlers for magic words + +_main: + l.nop + l.addi r11,r0,1 + + // Valid entry 0, 1:1, full access + l.movhi r5,hi(0x00000000|SPR_ITLBMR_V) + l.ori r5,r5,lo(0x00000000|SPR_ITLBMR_V) + l.mtspr r0,r5,SPR_ITLBMR_BASE(0) + l.movhi r5,hi(0x00000000|SPR_ITLBTR_UXE|SPR_ITLBTR_SXE) + l.ori r5,r5,lo(0x00000000|SPR_ITLBTR_UXE|SPR_ITLBTR_SXE) + l.mtspr r0,r5,SPR_ITLBTR_BASE(0) + + // Invalidate entry 1 + l.movhi r7,hi(0x00002000) + l.mtspr r0,r7,SPR_ITLBMR_BASE(0)+1 + l.ori r7,r0,0 + l.mtspr r0,r7,SPR_ITLBTR_BASE(0)+1 + + // Enable IMMU + l.ori r8,r0,SPR_SR_IME + l.mfspr r7,r0,SPR_SR + l.or r7,r7,r8 + l.mtspr r0,r7,SPR_SR + l.nop + l.nop + l.addi r11,r11,16 + + // Invoke ITLB miss and IPF exceptions + l.jal _immu_test + l.addi r11,r11,4 + + // Some more magic words + l.addi r11,r11,8 + l.add r11,r11,r13 + l.add r11,r11,r14 + + // Disable IMMU + l.ori r8,r0,SPR_SR_IME + l.mfspr r7,r0,SPR_SR + l.xor r7,r7,r8 + l.mtspr r0,r7,SPR_SR + l.nop + + // Exit + l.nop + l.movhi r12,hi(0xdeadde72) + l.ori r12,r12,lo(0xdeadde72) + l.xor r3,r11,r12 + l.jal _report + l.nop + l.jal _exit + l.nop + l.nop + + +.org 0x2000 + +_immu_test: + l.addi r11,r11,2 + l.jr r9 + l.nop +
mmu/immu.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mmu/Makefile =================================================================== --- mmu/Makefile (nonexistent) +++ mmu/Makefile (revision 1765) @@ -0,0 +1,69 @@ +common = ../support/except.o ../support/support.o +cases = dmmu-nocache dmmu-dc dmmu-ic dmmu-icdc immu-nocache immu-dc immu-ic immu-icdc + +all: $(cases) + +dmmu-nocache: $(common) dmmu.o ../support/reset-nocache.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +dmmu-dc: $(common) dmmu.o ../support/reset-dc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +dmmu-ic: $(common) dmmu.o ../support/reset-ic.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +dmmu-icdc: $(common) dmmu.o ../support/reset-icdc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +immu-nocache: $(common) immu.o ../support/reset-nocache.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +immu-dc: $(common) immu.o ../support/reset-dc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +immu-ic: $(common) immu.o ../support/reset-ic.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +immu-icdc: $(common) immu.o ../support/reset-icdc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +dmmu.o: dmmu.S + or32-rtems-gcc -O0 $? -c -o $@ + +immu.o: immu.S + or32-rtems-gcc -O0 $? -c -o $@ + +clean: + rm -f *.o *.or32 *.log *.bin *.srec *.hex Index: syscall/syscall.S =================================================================== --- syscall/syscall.S (nonexistent) +++ syscall/syscall.S (revision 1765) @@ -0,0 +1,62 @@ +#include "../support/spr_defs.h" + +.global _main +.global _buserr_except +.global _dpf_except +.global _ipf_except +.global _lpint_except +.global _align_except +.global _illegal_except +.global _hpint_except +.global _dtlbmiss_except +.global _itlbmiss_except +.global _range_except +.global _syscall_except +.global _res1_except +.global _trap_except +.global _res2_except + +_buserr_except: +_dpf_except: +_ipf_except: +_lpint_except: +_align_except: +_illegal_except: +_hpint_except: +_dtlbmiss_except: +_itlbmiss_except: +_range_except: +_syscall_except: +_res1_except: +_trap_except: +_res2_except: + l.addi r11,r11,20 + l.addi r11,r11,21 + l.rfe + l.addi r11,r11,22 + l.addi r11,r11,23 + l.nop + +.org 0x2000 + +_main: + l.nop + l.addi r11,r0,1 +_loop: + l.addi r11,r11,1 + l.addi r11,r11,2 + l.sys 13 + l.addi r11,r11,3 + l.addi r11,r11,4 + l.addi r11,r11,5 + l.nop + l.nop + l.nop + l.movhi r9,hi(0xdeadde74) + l.ori r9,r9,lo(0xdeadde74) + l.add r3,r11,r9 + l.jal _report + l.nop + l.jal _exit + l.nop + Index: syscall/Makefile =================================================================== --- syscall/Makefile (nonexistent) +++ syscall/Makefile (revision 1765) @@ -0,0 +1,38 @@ +common = ../support/except.o ../support/support.o +cases = syscall-nocache syscall-ic syscall-dc syscall-icdc + +all: $(cases) + +syscall-nocache: $(common) syscall.o ../support/reset-nocache.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +syscall-ic: $(common) syscall.o ../support/reset-ic.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +syscall-dc: $(common) syscall.o ../support/reset-dc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +syscall-icdc: $(common) syscall.o ../support/reset-icdc.o + or32-rtems-ld -T ../support/xess-flash.ld $? -o $@.or32 + or32-rtems-objcopy -O binary $@.or32 $@.bin + ../utils/bin2srec $@.bin > $@.srec + ../utils/bin2hex $@.bin > $@.hex + cp $@.hex ../../sim/src/$@.mem + +syscall.o: syscall.S + or32-rtems-gcc -O0 $? -c -o $@ + +clean: + rm -f *.o *.or32 *.log *.bin *.srec *.hex Index: syscall/spr_defs.h =================================================================== --- syscall/spr_defs.h (nonexistent) +++ syscall/spr_defs.h (revision 1765) @@ -0,0 +1,400 @@ +/* spr_defs.h -- Defines OR1K architecture specific special-purpose registers + Copyright (C) 1999 Damjan Lampret, lampret@opencores.org + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + +/* This file is also used by microkernel test bench. Among +others it is also used in assembly file(s). */ + +/* Definition of special-purpose registers (SPRs) */ + +#define MAX_GRPS (32) +#define MAX_SPRS_PER_GRP_BITS (11) +#define MAX_SPRS_PER_GRP (1 << MAX_SPRS_PER_GRP_BITS) +#define MAX_SPRS (0x10000) + +/* Base addresses for the groups */ +#define SPRGROUP_SYS (0<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DMMU (1<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IMMU (2<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DC (3<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IC (4<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_MAC (5<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_D (6<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PC (7<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PM (8<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PIC (9<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_TT (10<< MAX_SPRS_PER_GRP_BITS) + +/* System control and status group */ +#define SPR_VR (SPRGROUP_SYS + 0) +#define SPR_UPR (SPRGROUP_SYS + 1) +#define SPR_CPUCFGR (SPRGROUP_SYS + 2) +#define SPR_DMMUCFGR (SPRGROUP_SYS + 3) +#define SPR_IMMUCFGR (SPRGROUP_SYS + 4) +#define SPR_DCCFGR (SPRGROUP_SYS + 5) +#define SPR_ICCFGR (SPRGROUP_SYS + 6) +#define SPR_DCFGR (SPRGROUP_SYS + 7) +#define SPR_PCCFGR (SPRGROUP_SYS + 8) +#define SPR_PC (SPRGROUP_SYS + 16) /* CZ 21/06/01 */ +#define SPR_SR (SPRGROUP_SYS + 17) /* CZ 21/06/01 */ +#define SPR_EPCR_BASE (SPRGROUP_SYS + 32) /* CZ 21/06/01 */ +#define SPR_EPCR_LAST (SPRGROUP_SYS + 47) /* CZ 21/06/01 */ +#define SPR_EEAR_BASE (SPRGROUP_SYS + 48) +#define SPR_EEAR_LAST (SPRGROUP_SYS + 63) +#define SPR_ESR_BASE (SPRGROUP_SYS + 64) +#define SPR_ESR_LAST (SPRGROUP_SYS + 79) + +/* Data MMU group */ +#define SPR_DMMUCR (SPRGROUP_DMMU + 0) +#define SPR_DTLBMR_BASE(WAY) (SPRGROUP_DMMU + 0x200 + (WAY) * 0x200) +#define SPR_DTLBMR_LAST(WAY) (SPRGROUP_DMMU + 0x2ff + (WAY) * 0x200) +#define SPR_DTLBTR_BASE(WAY) (SPRGROUP_DMMU + 0x300 + (WAY) * 0x200) +#define SPR_DTLBTR_LAST(WAY) (SPRGROUP_DMMU + 0x3ff + (WAY) * 0x200) + +/* Instruction MMU group */ +#define SPR_IMMUCR (SPRGROUP_IMMU + 0) +#define SPR_ITLBMR_BASE(WAY) (SPRGROUP_IMMU + 0x200 + (WAY) * 0x200) +#define SPR_ITLBMR_LAST(WAY) (SPRGROUP_IMMU + 0x2ff + (WAY) * 0x200) +#define SPR_ITLBTR_BASE(WAY) (SPRGROUP_IMMU + 0x300 + (WAY) * 0x200) +#define SPR_ITLBTR_LAST(WAY) (SPRGROUP_IMMU + 0x3ff + (WAY) * 0x200) + +/* Data cache group */ +#define SPR_DCCR (SPRGROUP_DC + 0) +#define SPR_DCBPR (SPRGROUP_DC + 1) +#define SPR_DCBFR (SPRGROUP_DC + 2) +#define SPR_DCBIR (SPRGROUP_DC + 3) +#define SPR_DCBWR (SPRGROUP_DC + 4) +#define SPR_DCBLR (SPRGROUP_DC + 5) +#define SPR_DCR_BASE(WAY) (SPRGROUP_DC + 0x200 + (WAY) * 0x200) +#define SPR_DCR_LAST(WAY) (SPRGROUP_DC + 0x3ff + (WAY) * 0x200) + +/* Instruction cache group */ +#define SPR_ICCR (SPRGROUP_IC + 0) +#define SPR_ICBPR (SPRGROUP_IC + 1) +#define SPR_ICBIR (SPRGROUP_IC + 2) +#define SPR_ICBLR (SPRGROUP_IC + 3) +#define SPR_ICR_BASE(WAY) (SPRGROUP_IC + 0x200 + (WAY) * 0x200) +#define SPR_ICR_LAST(WAY) (SPRGROUP_IC + 0x3ff + (WAY) * 0x200) + +/* MAC group */ +#define SPR_MACLO (SPRGROUP_MAC + 1) +#define SPR_MACHI (SPRGROUP_MAC + 2) + +/* Debug group */ +#define SPR_DVR(N) (SPRGROUP_D + (N)) +#define SPR_DCR(N) (SPRGROUP_D + 8 + (N)) +#define SPR_DMR1 (SPRGROUP_D + 16) +#define SPR_DMR2 (SPRGROUP_D + 17) +#define SPR_DWCR0 (SPRGROUP_D + 18) +#define SPR_DWCR1 (SPRGROUP_D + 19) +#define SPR_DSR (SPRGROUP_D + 20) +#define SPR_DRR (SPRGROUP_D + 21) +#define SPR_DIR (SPRGROUP_D + 22) + +/* Performance counters group */ +#define SPR_PCCR(N) (SPRGROUP_PC + (N)) +#define SPR_PCMR(N) (SPRGROUP_PC + 8 + (N)) + +/* Power management group */ +#define SPR_PMR (SPRGROUP_PM + 0) + +/* PIC group */ +#define SPR_PICMR (SPRGROUP_PIC + 0) +#define SPR_PICPR (SPRGROUP_PIC + 1) +#define SPR_PICSR (SPRGROUP_PIC + 2) + +/* Tick Timer group */ +#define SPR_TTMR (SPRGROUP_TT + 0) +#define SPR_TTCR (SPRGROUP_TT + 1) + +/* + * Bit definitions for the Version Register + * + */ +#define SPR_VR_VER 0xffff0000 /* Processor version */ +#define SPR_VR_REV 0x0000003f /* Processor revision */ + +/* + * Bit definitions for the Unit Present Register + * + */ +#define SPR_UPR_UP 0x00000001 /* UPR present */ +#define SPR_UPR_DCP 0x00000002 /* Data cache present */ +#define SPR_UPR_ICP 0x00000004 /* Instruction cache present */ +#define SPR_UPR_DMP 0x00000008 /* Data MMU present */ +#define SPR_UPR_IMP 0x00000010 /* Instruction MMU present */ +#define SPR_UPR_OB32P 0x00000020 /* ORBIS32 present */ +#define SPR_UPR_OB64P 0x00000040 /* ORBIS64 present */ +#define SPR_UPR_OF32P 0x00000080 /* ORFPX32 present */ +#define SPR_UPR_OF64P 0x00000100 /* ORFPX64 present */ +#define SPR_UPR_OV32P 0x00000200 /* ORVDX32 present */ +#define SPR_UPR_OV64P 0x00000400 /* ORVDX64 present */ +#define SPR_UPR_DUP 0x00000800 /* Debug unit present */ +#define SPR_UPR_PCUP 0x00001000 /* Performance counters unit present */ +#define SPR_UPR_PMP 0x00002000 /* Power management present */ +#define SPR_UPR_PICP 0x00004000 /* PIC present */ +#define SPR_UPR_TTP 0x00008000 /* Tick timer present */ +#define SPR_UPR_SRP 0x00010000 /* Shadow registers present */ +#define SPR_UPR_RES 0x00fe0000 /* ORVDX32 present */ +#define SPR_UPR_CUST 0xff000000 /* Custom units */ + +/* + * Bit definitions for the Supervision Register + * + */ +#define SPR_SR_CID 0xf0000000 /* Context ID */ +#define SPR_SR_PXR 0x00008000 /* Partial exception recognition */ +#define SPR_SR_EP 0x00004000 /* Exception Prefix */ +#define SPR_SR_DSX 0x00002000 /* Delay Slot Exception */ +#define SPR_SR_OVE 0x00001000 /* Overflow flag Exception */ +#define SPR_SR_OV 0x00000800 /* Overflow flag */ +#define SPR_SR_CY 0x00000400 /* Carry flag */ +#define SPR_SR_F 0x00000200 /* Condition Flag */ +#define SPR_SR_CE 0x00000100 /* CID Enable */ +#define SPR_SR_LEE 0x00000080 /* Little Endian Enable */ +#define SPR_SR_IME 0x00000040 /* Instruction MMU Enable */ +#define SPR_SR_DME 0x00000020 /* Data MMU Enable */ +#define SPR_SR_ICE 0x00000010 /* Instruction Cache Enable */ +#define SPR_SR_DCE 0x00000008 /* Data Cache Enable */ +#define SPR_SR_EIR 0x00000004 /* External Interrupt Recognition */ +#define SPR_SR_EXR 0x00000002 /* Exception Recognition */ +#define SPR_SR_SUPV 0x00000001 /* Supervisor mode */ + +/* + * Bit definitions for the Data MMU Control Register + * + */ +#define SPR_DMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_DMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_DMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_DMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Instruction MMU Control Register + * + */ +#define SPR_IMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_IMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_IMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_IMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Data TLB Match Register + * + */ +#define SPR_DTLBMR_V 0x00000001 /* Valid */ +#define SPR_DTLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_DTLBMR_CID 0x0000003c /* Context ID */ +#define SPR_DTLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_DTLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Data TLB Translate Register + * + */ +#define SPR_DTLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_DTLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_DTLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_DTLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_DTLBTR_A 0x00000010 /* Accessed */ +#define SPR_DTLBTR_D 0x00000020 /* Dirty */ +#define SPR_DTLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_DTLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_DTLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_DTLBTR_SWE 0x00000200 /* Supervisor Write Enable */ +#define SPR_DTLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for the Instruction TLB Match Register + * + */ +#define SPR_ITLBMR_V 0x00000001 /* Valid */ +#define SPR_ITLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_ITLBMR_CID 0x0000003c /* Context ID */ +#define SPR_ITLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_ITLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Instruction TLB Translate Register + * + */ +#define SPR_ITLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_ITLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_ITLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_ITLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_ITLBTR_A 0x00000010 /* Accessed */ +#define SPR_ITLBTR_D 0x00000020 /* Dirty */ +#define SPR_ITLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_ITLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_ITLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_ITLBTR_SWE 0x00000200 /* Supervisor Write Enable (not used actually) */ +#define SPR_ITLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for Data Cache Control register + * + */ +#define SPR_DCCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Insn Cache Control register + * + */ +#define SPR_ICCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Debug Control registers + * + */ +#define SPR_DCR_DP 0x00000001 /* DVR/DCR present */ +#define SPR_DCR_CC 0x0000000e /* Compare condition */ +#define SPR_DCR_SC 0x00000010 /* Signed compare */ +#define SPR_DCR_CT 0x000000e0 /* Compare to */ + +/* + * Bit definitions for Debug Mode 1 register + * + */ +#define SPR_DMR1_CW0 0x00000003 /* Chain watchpoint 0 */ +#define SPR_DMR1_CW1 0x0000000c /* Chain watchpoint 1 */ +#define SPR_DMR1_CW2 0x00000030 /* Chain watchpoint 2 */ +#define SPR_DMR1_CW3 0x000000c0 /* Chain watchpoint 3 */ +#define SPR_DMR1_CW4 0x00000300 /* Chain watchpoint 4 */ +#define SPR_DMR1_CW5 0x00000c00 /* Chain watchpoint 5 */ +#define SPR_DMR1_CW6 0x00003000 /* Chain watchpoint 6 */ +#define SPR_DMR1_CW7 0x0000c000 /* Chain watchpoint 7 */ +#define SPR_DMR1_CW8 0x00030000 /* Chain watchpoint 8 */ +#define SPR_DMR1_CW9 0x000c0000 /* Chain watchpoint 9 */ +#define SPR_DMR1_CW10 0x00300000 /* Chain watchpoint 10 */ +#define SPR_DMR1_ST 0x00400000 /* Single-step trace*/ +#define SPR_DMR1_BT 0x00800000 /* Branch trace */ +#define SPR_DMR1_DXFW 0x01000000 /* Disable external force watchpoint */ + +/* + * Bit definitions for Debug Mode 2 register + * + */ +#define SPR_DMR2_WCE0 0x00000001 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_WCE1 0x00000002 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_AWTC 0x00001ffc /* Assign watchpoints to counters */ +#define SPR_DMR2_WGB 0x00ffe000 /* Watchpoints generating breakpoint */ + +/* + * Bit definitions for Debug watchpoint counter registers + * + */ +#define SPR_DWCR_COUNT 0x0000ffff /* Count */ +#define SPR_DWCR_MATCH 0xffff0000 /* Match */ + +/* + * Bit definitions for Debug stop register + * + */ +#define SPR_DSR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DSR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DSR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DSR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DSR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DSR_AE 0x00000020 /* Alignment exception */ +#define SPR_DSR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DSR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DSR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DSR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DSR_RE 0x00000400 /* Range exception */ +#define SPR_DSR_SCE 0x00000800 /* System call exception */ +#define SPR_DSR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Debug reason register + * + */ +#define SPR_DRR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DRR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DRR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DRR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DRR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DRR_AE 0x00000020 /* Alignment exception */ +#define SPR_DRR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DRR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DRR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DRR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DRR_RE 0x00000400 /* Range exception */ +#define SPR_DRR_SCE 0x00000800 /* System call exception */ +#define SPR_DRR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Performance counters mode registers + * + */ +#define SPR_PCMR_CP 0x00000001 /* Counter present */ +#define SPR_PCMR_UMRA 0x00000002 /* User mode read access */ +#define SPR_PCMR_CISM 0x00000004 /* Count in supervisor mode */ +#define SPR_PCMR_CIUM 0x00000008 /* Count in user mode */ +#define SPR_PCMR_LA 0x00000010 /* Load access event */ +#define SPR_PCMR_SA 0x00000020 /* Store access event */ +#define SPR_PCMR_IF 0x00000040 /* Instruction fetch event*/ +#define SPR_PCMR_DCM 0x00000080 /* Data cache miss event */ +#define SPR_PCMR_ICM 0x00000100 /* Insn cache miss event */ +#define SPR_PCMR_IFS 0x00000200 /* Insn fetch stall event */ +#define SPR_PCMR_LSUS 0x00000400 /* LSU stall event */ +#define SPR_PCMR_BS 0x00000800 /* Branch stall event */ +#define SPR_PCMR_DTLBM 0x00001000 /* DTLB miss event */ +#define SPR_PCMR_ITLBM 0x00002000 /* ITLB miss event */ +#define SPR_PCMR_DDS 0x00004000 /* Data dependency stall event */ +#define SPR_PCMR_WPE 0x03ff8000 /* Watchpoint events */ + +/* + * Bit definitions for the Power management register + * + */ +#define SPR_PMR_SDF 0x00000001 /* Slow down factor */ +#define SPR_PMR_DME 0x00000002 /* Doze mode enable */ +#define SPR_PMR_SME 0x00000004 /* Sleep mode enable */ +#define SPR_PMR_DCGE 0x00000008 /* Dynamic clock gating enable */ +#define SPR_PMR_SUME 0x00000010 /* Suspend mode enable */ + +/* + * Bit definitions for PICMR + * + */ +#define SPR_PICMR_IUM 0xfffffffc /* Interrupt unmask */ + +/* + * Bit definitions for PICPR + * + */ +#define SPR_PICPR_IPRIO 0xfffffffc /* Interrupt priority */ + +/* + * Bit definitions for PICSR + * + */ +#define SPR_PICSR_IS 0xffffffff /* Interrupt status */ + +/* + * Bit definitions for Tick Timer Control Register + * + */ +#define SPR_TTCR_PERIOD 0x0fffffff /* Time Period */ +#define SPR_TTMR_PERIOD SPR_TTCR_PERIOD +#define SPR_TTMR_IP 0x10000000 /* Interrupt Pending */ +#define SPR_TTMR_IE 0x20000000 /* Interrupt Enable */ +#define SPR_TTMR_RT 0x40000000 /* Restart tick */ +#define SPR_TTMR_SR 0x80000000 /* Single run */ +#define SPR_TTMR_CR 0xc0000000 /* Continuous run */ +#define SPR_TTMR_M 0xc0000000 /* Tick mode */
syscall/spr_defs.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: sim.cfg =================================================================== --- sim.cfg (nonexistent) +++ sim.cfg (revision 1765) @@ -0,0 +1,569 @@ +/* sim.cfg -- Simulator configuration script file + Copyright (C) 2001, Marko Mlinar, markom@opencores.org + +This file includes a lot of help about configurations and default one + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + + +/* INTRODUCTION + + The or1ksim have various parameters, which can be set in configuration + files. Multiple configurations may be used and switched between at + or1ksim startup. + By default, or1ksim loads condfiguration file from './sim.cfg' and if not + found it checks '~/.or1k/sim.cfg'. If even this file is not found or + all parameters are not defined, default configuration is used. + Users should not rely on default configuration, but rather redefine all + critical settings, since default configuration may differ in newer + versions of the or1ksim. + If multiple configurations are used, user can switch between them by + supplying -f option when starting simulator. + + This file may contain (standard C) only comments - no // support. + + Like normal configuration file, this file is divided in sections, + where each section is described in detail also. + + Some section also have subsections. One example of such subsection is + block: + + device + instance specific parameters... + enddevice + + which creates a device instance. +*/ + + +/* MEMORY SECTION + + This section specifies how is initial memory generated and which blocks + it consist of. + + type = random/unknown/pattern + specifies the initial memory values. 'random' parameter generate + random memory using seed 'random_seed' parameter. 'pattern' parameter + fills memory with 'pattern' parameter and 'unknown' does not specify + how memory should be generated - the fastest option. + + random_seed = + random seed for randomizer, used if type = random + + pattern = + pattern to fill memory, used if type = pattern + + nmemories = + number of memory instances connected + + instance specific: + baseaddr = + memory start address + + size = + memory size + + name = "" + memory block name + + ce = + chip enable index of the memory instance + + delayr = + cycles, required for read access, -1 if instance does not support reading + + delayw = + cycles, required for write access, -1 if instance does not support writing + + 16550 = 0/1 + 0, if this device is uart 16450 and 1, if it is 16550 + + log = "" + filename, where to log memory accesses to, no log, if log command is not specified +*/ + +section memory + /*random_seed = 12345 + type = random*/ + pattern = 0x00 + type = unknown /* Fastest */ + + nmemories = 3 + device 0 + name = "Flash" + ce = 0 + baseaddr = 0x00000000 + size = 0x00200000 + delayr = 10 + delayw = -1 + enddevice + + device 1 + name = "RAM1" + ce = 1 + baseaddr = 0x40000000 + size = 0x00200000 + delayr = 2 + delayw = 4 + enddevice + + device 2 + name = "RAM2" + ce = 2 + baseaddr = 0x80000000 + size = 0x00200000 + delayr = 2 + delayw = 4 + enddevice + +end + + +/* IMMU SECTION + + This section configures Instruction Memory Menangement Unit + + enabled = 0/1 + whether IMMU is enabled + (NOTE: UPR bit is set) + + nsets = + number of ITLB sets; must be power of two + + nways = + number of ITLB ways + + pagesize = + instruction page size; must be power of two + + entrysize = + instruction entry size in bytes + + ustates = + number of ITLB usage states (2, 3, 4 etc., max is 4) +*/ + +section immu + enabled = 0 + nsets = 32 + nways = 1 + pagesize = 8192 +end + + +/* DMMU SECTION + + This section configures Data Memory Menangement Unit + + enabled = 0/1 + whether DMMU is enabled + (NOTE: UPR bit is set) + + nsets = + number of DTLB sets; must be power of two + + nways = + number of DTLB ways + + pagesize = + data page size; must be power of two + + entrysize = + data entry size in bytes + + ustates = + number of DTLB usage states (2, 3, 4 etc., max is 4) +*/ + +section dmmu + enabled = 0 + nsets = 32 + nways = 1 + pagesize = 8192 +end + + +/* IC SECTION + + This section configures Instruction Cache + + enabled = 0/1 + whether IC is enabled + (NOTE: UPR bit is set) + + nsets = + number of IC sets; must be power of two + + nways = + number of IC ways + + blocksize = + IC block size in bytes; must be power of two + + ustates = + number of IC usage states (2, 3, 4 etc., max is 4) +*/ + +section ic + enabled = 0 + nsets = 512 + nways = 1 + blocksize = 16 +end + + +/* DC SECTION + + This section configures Data Cache + + enabled = 0/1 + whether DC is enabled + (NOTE: UPR bit is set) + + nsets = + number of DC sets; must be power of two + + nways = + number of DC ways + + blocksize = + DC block size in bytes; must be power of two + + ustates = + number of DC usage states (2, 3, 4 etc., max is 4) +*/ + +section dc + enabled = 0 + nsets = 512 + nways = 1 + blocksize = 16 +end + +/* SIM SECTION + + This section specifies how should sim behave. + + verbose = 0/1 + whether to print out extra messages + + debug = 0-9 + = 0 disabled debug messages + 1-9 level of sim debug information, greater the number more verbose is + the output + + profile = 0/1 + whether to generate profiling file 'sim.profile' + + prof_fn = "" + filename, where to generate profiling info, used + only if 'profile' is set + + history = 0/1 + whether instruction execution flow is tracked for + display by simulator hist command. Useful for + back-trace debugging. + + iprompt = 0/1 + whether we strart in interactive prompt + + exe_log = 0/1 + whether execution log should be generated + + exe_log_fn = "" + where to put execution log in, used only if 'exe_log' + is set + + clkcycle = [ps|ns|us|ms] + specifies time measurement for one cycle +*/ + +section sim + /* verbose = 1 */ + debug = 0 + profile = 0 + prof_fn = "sim.profile" + + history = 1 + /* iprompt = 0 */ + exe_log = 1 + exe_log_fn = "executed.log" + clkcycle = 4ns +end + + +/* SECTION VAPI + + This section configures Verification API, used for Advanced + Core Verification. + + enabled = 0/1 + whether to start VAPI server + + server_port = + TCP/IP port to start VAPI server on + + log_enabled = 0/1 + whether logging of VAPI requests is enabled + + vapi_fn = + specifies filename where to log into, if log_enabled is selected +*/ + +section VAPI + enabled = 0 + server_port = 9998 + log_enabled = 0 + vapi_log_fn = "vapi.log" +end + + +/* CPU SECTION + + This section specifies various CPU parameters. + + ver = + rev = + specifies version and revision of the CPU used + + upr = + changes the upr register + + superscalar = 0/1 + whether CPU is scalar or superscalar + (modify cpu/or32/execute.c to tune superscalar model) + + hazards = 0/1 + whether data hazards are tracked in superscalar CPU + and displayed by the simulator r command + + dependstats = 0/1 + whether inter-instruction dependencies are calculated + and displayed by simulator stats command. + + slp = 0/1 + calculation of subroutine level parallelism. Displayed + by simulator stats command. + + btic = 0/1 + enable branch target instruction cache model + + bpb = 0/1 + enable branch prediction buffer model + + parameters for CPU analysis +*/ + +section cpu + ver = 0x1200 + rev = 0x0001 + /* upr = */ + superscalar = 0 + hazards = 0 + dependstats = 0 + slp = 0 + btic = 0 + bpb = 0 +end + + +/* DEBUG SECTION + + This sections specifies how debug unit should behave. + + enabled = 0/1 + whether debug unit is enabled + + gdb_enabled = 0/1 + whether to start gdb server at 'server_port' port + + server_port = + TCP/IP port to start gdb server on, used only if gdb_enabled + is set + +section debug + enabled = 0 + gdb_enabled = 0 + server_port = 9999 +end + + +/* MC SECTION + + This section configures the memory controller + + enabled = 0/1 + whether memory controller is enabled + + baseaddr = + address of first MC register + + POC = + Power On Configuration register +*/ + +section mc + enabled = 0 + baseaddr = 0xa0000000 + POC = 0x00000008 /* Power on configuration register */ +end + + +/* UART SECTION + + This section configures UARTs + + enabled = 0/1 + whether uarts are enabled + + nuarts = + make specified number of instances, configure each + instance within device - enddevice construct. + + instance specific: + baseaddr = + address of first UART register for this device + + rx_file = "" + filename, where to read data from + + tx_file = "" + filename, where to write data to + + irq = + irq number for this device + + 16550 = 0/1 + 0, if this device is uart 16450 and 1, if it is 16550 + + jitter = + in msecs... time to block, -1 to disable it + + vapi_id = + VAPI id of this instance +*/ + +section uart + enabled = 0 + nuarts = 1 + + device 0 + baseaddr = 0x80000000 + irq = 2 + rxfile = "/tmp/uart0.rx" + txfile = "/tmp/uart0.tx" + jitter = -1 /* async behaviour */ + enddevice +end + + +/* DMA SECTION + + This section configures DMAs + + enabled = 0/1 + whether DMAs are enabled + + ndmas = + make specified number of instances, configure each + instance within device - enddevice construct. + + instance specific: + baseaddr = + address of first DMA register for this device + + irq = + irq number for this device + + vapi_id = + VAPI id of this instance +*/ + +section dma + enabled = 0 + ndmas = 1 + + device 0 + baseaddr = 0x90000000 + irq = 4 + enddevice +end + + +/* ETHERNET SECTION + + This section configures ethernets + + enabled = 0/1 + whether ethernets are enabled + + nethernets = + make specified number of instances, configure each + instance within device - enddevice construct. + + instance specific: + baseaddr = + address of first ethernet register for this device + + dma = + which controller is this ethernet "connected" to + + rx_channel = + DMA channel used for RX + + tx_channel = + DMA channel used for TX + + rx_file = "" + filename, where to read data from + + tx_file = "" + filename, where to write data to + + vapi_id = + VAPI id of this instance +*/ + +section ethernet + enabled = 0 + nethernets = 1 + + device 0 + baseaddr = 0x88000000 + dma = 0 + tx_channel = 0 + rx_channel = 1 + rxfile = "/tmp/eth0.rx" + txfile = "/tmp/eth0.tx" + enddevice +end + +/* TICK TIMER SECTION + + This section configures tick timer + + enabled = 0/1 + whether tick timer is enabled + + irq = + irq number +*/ + +section tick + enabled = 0 + irq = 3 +end
sim.cfg Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trap2/trap.S =================================================================== --- trap2/trap.S (nonexistent) +++ trap2/trap.S (revision 1765) @@ -0,0 +1,95 @@ +#include "spr_defs.h" + + .extern main + .extern _src_beg + .extern _dst_beg + .extern _dst_end + .extern _c_reset + +.org 0x100 + +_reset: + l.nop + l.nop + l.movhi r0, 0x0 + l.slli r0,r0,16 + l.addi r1,r0,0x0 + l.addi r2,r0,0x0 + l.addi r3,r0,0x0 + l.addi r4,r0,0x0 + l.addi r5,r0,0x0 + l.addi r6,r0,0x0 + l.addi r7,r0,0x0 + l.addi r8,r0,0x0 + l.addi r9,r0,0x1234 + l.addi r10,r0,0x0 + l.addi r11,r0,0x0 + l.addi r12,r0,0x0 + l.addi r13,r0,0x0 + l.addi r14,r0,0x0 + l.addi r15,r0,0x0 + l.addi r16,r0,0x0 + l.addi r17,r0,0x0 + l.addi r18,r0,0x0 + l.addi r19,r0,0x0 + l.addi r20,r0,0x0 + l.addi r21,r0,0x0 + l.addi r22,r0,0x0 + l.addi r23,r0,0x0 + l.addi r24,r0,0x0 + l.addi r25,r0,0x0 + l.addi r26,r0,0x0 + l.addi r27,r0,0x0 + l.addi r28,r0,0x0 + l.addi r29,r0,0x0 + l.addi r30,r0,0x0 + l.addi r31,r0,0x0 + + l.movhi r2,hi(_main) + l.ori r2,r2,lo(_main) + l.jr r2 + l.addi r2,r0,0 + +.org 0xd00 +_break: + l.nop + l.nop + l.rfe + l.nop + l.nop + +.org 0xe00 +_trap: + l.nop + l.nop + l.rfe + l.nop + l.nop + +.org 0x2000 + +_main: + l.nop + l.addi r5,r0,SPR_SR_SUPV|SPR_SR_EXR + l.mtspr r0,r5,SPR_SR + l.addi r5,r0,SPR_DSR_TE + l.mtspr r0,r5,SPR_DSR + l.nop + l.addi r11,r0,1 + l.addi r11,r0,2 + l.addi r11,r0,3 + l.trap 1 + l.addi r11,r0,4 + l.addi r11,r0,5 + l.j _forw + l.addi r11,r0,6 + l.addi r11,r0,7 + l.addi r11,r0,8 + l.addi r11,r0,9 +_forw: + l.addi r11,r0,10 + l.nop + l.nop + l.sys 203 + l.nop + Index: trap2/spr_defs.h =================================================================== --- trap2/spr_defs.h (nonexistent) +++ trap2/spr_defs.h (revision 1765) @@ -0,0 +1,401 @@ +/* spr_defs.h -- Defines OR1K architecture specific special-purpose registers + Copyright (C) 1999 Damjan Lampret, lampret@opencores.org + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + +/* This file is also used by microkernel test bench. Among +others it is also used in assembly file(s). */ + +/* Definition of special-purpose registers (SPRs) */ + +#define MAX_GRPS (32) +#define MAX_SPRS_PER_GRP_BITS (11) +#define MAX_SPRS_PER_GRP (1 << MAX_SPRS_PER_GRP_BITS) +#define MAX_SPRS (0x10000) + +/* Base addresses for the groups */ +#define SPRGROUP_SYS (0<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DMMU (1<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IMMU (2<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DC (3<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IC (4<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_MAC (5<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_D (6<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PC (7<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PM (8<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PIC (9<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_TT (10<< MAX_SPRS_PER_GRP_BITS) + +/* System control and status group */ +#define SPR_VR (SPRGROUP_SYS + 0) +#define SPR_UPR (SPRGROUP_SYS + 1) +#define SPR_CPUCFGR (SPRGROUP_SYS + 2) +#define SPR_DMMUCFGR (SPRGROUP_SYS + 3) +#define SPR_IMMUCFGR (SPRGROUP_SYS + 4) +#define SPR_DCCFGR (SPRGROUP_SYS + 5) +#define SPR_ICCFGR (SPRGROUP_SYS + 6) +#define SPR_DCFGR (SPRGROUP_SYS + 7) +#define SPR_PCCFGR (SPRGROUP_SYS + 8) +#define SPR_PC (SPRGROUP_SYS + 16) /* CZ 21/06/01 */ +#define SPR_SR (SPRGROUP_SYS + 17) /* CZ 21/06/01 */ +#define SPR_EPCR_BASE (SPRGROUP_SYS + 32) /* CZ 21/06/01 */ +#define SPR_EPCR_LAST (SPRGROUP_SYS + 47) /* CZ 21/06/01 */ +#define SPR_EEAR_BASE (SPRGROUP_SYS + 48) +#define SPR_EEAR_LAST (SPRGROUP_SYS + 63) +#define SPR_ESR_BASE (SPRGROUP_SYS + 64) +#define SPR_ESR_LAST (SPRGROUP_SYS + 79) + +/* Data MMU group */ +#define SPR_DMMUCR (SPRGROUP_DMMU + 0) +#define SPR_DTLBMR_BASE(WAY) (SPRGROUP_DMMU + 0x200 + (WAY) * 0x200) +#define SPR_DTLBMR_LAST(WAY) (SPRGROUP_DMMU + 0x2ff + (WAY) * 0x200) +#define SPR_DTLBTR_BASE(WAY) (SPRGROUP_DMMU + 0x300 + (WAY) * 0x200) +#define SPR_DTLBTR_LAST(WAY) (SPRGROUP_DMMU + 0x3ff + (WAY) * 0x200) + +/* Instruction MMU group */ +#define SPR_IMMUCR (SPRGROUP_IMMU + 0) +#define SPR_ITLBMR_BASE(WAY) (SPRGROUP_IMMU + 0x200 + (WAY) * 0x200) +#define SPR_ITLBMR_LAST(WAY) (SPRGROUP_IMMU + 0x2ff + (WAY) * 0x200) +#define SPR_ITLBTR_BASE(WAY) (SPRGROUP_IMMU + 0x300 + (WAY) * 0x200) +#define SPR_ITLBTR_LAST(WAY) (SPRGROUP_IMMU + 0x3ff + (WAY) * 0x200) + +/* Data cache group */ +#define SPR_DCCR (SPRGROUP_DC + 0) +#define SPR_DCBPR (SPRGROUP_DC + 1) +#define SPR_DCBFR (SPRGROUP_DC + 2) +#define SPR_DCBIR (SPRGROUP_DC + 3) +#define SPR_DCBWR (SPRGROUP_DC + 4) +#define SPR_DCBLR (SPRGROUP_DC + 5) +#define SPR_DCR_BASE(WAY) (SPRGROUP_DC + 0x200 + (WAY) * 0x200) +#define SPR_DCR_LAST(WAY) (SPRGROUP_DC + 0x3ff + (WAY) * 0x200) + +/* Instruction cache group */ +#define SPR_ICCR (SPRGROUP_IC + 0) +#define SPR_ICBPR (SPRGROUP_IC + 1) +#define SPR_ICBIR (SPRGROUP_IC + 2) +#define SPR_ICBLR (SPRGROUP_IC + 3) +#define SPR_ICR_BASE(WAY) (SPRGROUP_IC + 0x200 + (WAY) * 0x200) +#define SPR_ICR_LAST(WAY) (SPRGROUP_IC + 0x3ff + (WAY) * 0x200) + +/* MAC group */ +#define SPR_MACLO (SPRGROUP_MAC + 1) +#define SPR_MACHI (SPRGROUP_MAC + 2) + +/* Debug group */ +#define SPR_DVR(N) (SPRGROUP_D + (N)) +#define SPR_DCR(N) (SPRGROUP_D + 8 + (N)) +#define SPR_DMR1 (SPRGROUP_D + 16) +#define SPR_DMR2 (SPRGROUP_D + 17) +#define SPR_DWCR0 (SPRGROUP_D + 18) +#define SPR_DWCR1 (SPRGROUP_D + 19) +#define SPR_DSR (SPRGROUP_D + 20) +#define SPR_DRR (SPRGROUP_D + 21) +#define SPR_DIR (SPRGROUP_D + 22) + +/* Performance counters group */ +#define SPR_PCCR(N) (SPRGROUP_PC + (N)) +#define SPR_PCMR(N) (SPRGROUP_PC + 8 + (N)) + +/* Power management group */ +#define SPR_PMR (SPRGROUP_PM + 0) + +/* PIC group */ +#define SPR_PICMR (SPRGROUP_PIC + 0) +#define SPR_PICPR (SPRGROUP_PIC + 1) +#define SPR_PICSR (SPRGROUP_PIC + 2) + +/* Tick Timer group */ +#define SPR_TTMR (SPRGROUP_TT + 0) +#define SPR_TTCR (SPRGROUP_TT + 1) + +/* + * Bit definitions for the Version Register + * + */ +#define SPR_VR_VER 0xffff0000 /* Processor version */ +#define SPR_VR_REV 0x0000003f /* Processor revision */ + +/* + * Bit definitions for the Unit Present Register + * + */ +#define SPR_UPR_UP 0x00000001 /* UPR present */ +#define SPR_UPR_DCP 0x00000002 /* Data cache present */ +#define SPR_UPR_ICP 0x00000004 /* Instruction cache present */ +#define SPR_UPR_DMP 0x00000008 /* Data MMU present */ +#define SPR_UPR_IMP 0x00000010 /* Instruction MMU present */ +#define SPR_UPR_OB32P 0x00000020 /* ORBIS32 present */ +#define SPR_UPR_OB64P 0x00000040 /* ORBIS64 present */ +#define SPR_UPR_OF32P 0x00000080 /* ORFPX32 present */ +#define SPR_UPR_OF64P 0x00000100 /* ORFPX64 present */ +#define SPR_UPR_OV32P 0x00000200 /* ORVDX32 present */ +#define SPR_UPR_OV64P 0x00000400 /* ORVDX64 present */ +#define SPR_UPR_DUP 0x00000800 /* Debug unit present */ +#define SPR_UPR_PCUP 0x00001000 /* Performance counters unit present */ +#define SPR_UPR_PMP 0x00002000 /* Power management present */ +#define SPR_UPR_PICP 0x00004000 /* PIC present */ +#define SPR_UPR_TTP 0x00008000 /* Tick timer present */ +#define SPR_UPR_SRP 0x00010000 /* Shadow registers present */ +#define SPR_UPR_RES 0x00fe0000 /* ORVDX32 present */ +#define SPR_UPR_CUST 0xff000000 /* Custom units */ + +/* + * Bit definitions for the Supervision Register + * + */ +#define SPR_SR_CID 0xf0000000 /* Context ID */ +#define SPR_SR_PXR 0x00008000 /* Partial exception recognition */ +#define SPR_SR_EP 0x00004000 /* Exception Prefix */ +#define SPR_SR_DSX 0x00002000 /* Delay Slot Exception */ +#define SPR_SR_OVE 0x00001000 /* Overflow flag Exception */ +#define SPR_SR_OV 0x00000800 /* Overflow flag */ +#define SPR_SR_CY 0x00000400 /* Carry flag */ +#define SPR_SR_F 0x00000200 /* Condition Flag */ +#define SPR_SR_CE 0x00000100 /* CID Enable */ +#define SPR_SR_LEE 0x00000080 /* Little Endian Enable */ +#define SPR_SR_IME 0x00000040 /* Instruction MMU Enable */ +#define SPR_SR_DME 0x00000020 /* Data MMU Enable */ +#define SPR_SR_ICE 0x00000010 /* Instruction Cache Enable */ +#define SPR_SR_DCE 0x00000008 /* Data Cache Enable */ +#define SPR_SR_EIR 0x00000004 /* External Interrupt Recognition */ +#define SPR_SR_EXR 0x00000002 /* Exception Recognition */ +#define SPR_SR_SUPV 0x00000001 /* Supervisor mode */ + +/* + * Bit definitions for the Data MMU Control Register + * + */ +#define SPR_DMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_DMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_DMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_DMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Instruction MMU Control Register + * + */ +#define SPR_IMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_IMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_IMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_IMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Data TLB Match Register + * + */ +#define SPR_DTLBMR_V 0x00000001 /* Valid */ +#define SPR_DTLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_DTLBMR_CID 0x0000003c /* Context ID */ +#define SPR_DTLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_DTLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Data TLB Translate Register + * + */ +#define SPR_DTLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_DTLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_DTLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_DTLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_DTLBTR_A 0x00000010 /* Accessed */ +#define SPR_DTLBTR_D 0x00000020 /* Dirty */ +#define SPR_DTLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_DTLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_DTLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_DTLBTR_SWE 0x00000200 /* Supervisor Write Enable */ +#define SPR_DTLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for the Instruction TLB Match Register + * + */ +#define SPR_ITLBMR_V 0x00000001 /* Valid */ +#define SPR_ITLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_ITLBMR_CID 0x0000003c /* Context ID */ +#define SPR_ITLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_ITLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Instruction TLB Translate Register + * + */ +#define SPR_ITLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_ITLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_ITLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_ITLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_ITLBTR_A 0x00000010 /* Accessed */ +#define SPR_ITLBTR_D 0x00000020 /* Dirty */ +#define SPR_ITLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_ITLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_ITLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_ITLBTR_SWE 0x00000200 /* Supervisor Write Enable (not used actually) */ +#define SPR_ITLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for Data Cache Control register + * + */ +#define SPR_DCCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Insn Cache Control register + * + */ +#define SPR_ICCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Debug Control registers + * + */ +#define SPR_DCR_DP 0x00000001 /* DVR/DCR present */ +#define SPR_DCR_CC 0x0000000e /* Compare condition */ +#define SPR_DCR_SC 0x00000010 /* Signed compare */ +#define SPR_DCR_CT 0x000000e0 /* Compare to */ + +/* + * Bit definitions for Debug Mode 1 register + * + */ +#define SPR_DMR1_CW0 0x00000003 /* Chain watchpoint 0 */ +#define SPR_DMR1_CW1 0x0000000c /* Chain watchpoint 1 */ +#define SPR_DMR1_CW2 0x00000030 /* Chain watchpoint 2 */ +#define SPR_DMR1_CW3 0x000000c0 /* Chain watchpoint 3 */ +#define SPR_DMR1_CW4 0x00000300 /* Chain watchpoint 4 */ +#define SPR_DMR1_CW5 0x00000c00 /* Chain watchpoint 5 */ +#define SPR_DMR1_CW6 0x00003000 /* Chain watchpoint 6 */ +#define SPR_DMR1_CW7 0x0000c000 /* Chain watchpoint 7 */ +#define SPR_DMR1_CW8 0x00030000 /* Chain watchpoint 8 */ +#define SPR_DMR1_CW9 0x000c0000 /* Chain watchpoint 9 */ +#define SPR_DMR1_CW10 0x00300000 /* Chain watchpoint 10 */ +#define SPR_DMR1_ST 0x00400000 /* Single-step trace*/ +#define SPR_DMR1_BT 0x00800000 /* Branch trace */ +#define SPR_DMR1_DXFW 0x01000000 /* Disable external force watchpoint */ + +/* + * Bit definitions for Debug Mode 2 register + * + */ +#define SPR_DMR2_WCE0 0x00000001 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_WCE1 0x00000002 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_AWTC 0x00001ffc /* Assign watchpoints to counters */ +#define SPR_DMR2_WGB 0x00ffe000 /* Watchpoints generating breakpoint */ + +/* + * Bit definitions for Debug watchpoint counter registers + * + */ +#define SPR_DWCR_COUNT 0x0000ffff /* Count */ +#define SPR_DWCR_MATCH 0xffff0000 /* Match */ + +/* + * Bit definitions for Debug stop register + * + */ +#define SPR_DSR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DSR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DSR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DSR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DSR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DSR_AE 0x00000020 /* Alignment exception */ +#define SPR_DSR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DSR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DSR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DSR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DSR_RE 0x00000400 /* Range exception */ +#define SPR_DSR_SCE 0x00000800 /* System call exception */ +#define SPR_DSR_BE 0x00001000 /* Breakpoint exception */ +#define SPR_DSR_TE 0x00002000 /* Trap exception */ + +/* + * Bit definitions for Debug reason register + * + */ +#define SPR_DRR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DRR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DRR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DRR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DRR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DRR_AE 0x00000020 /* Alignment exception */ +#define SPR_DRR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DRR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DRR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DRR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DRR_RE 0x00000400 /* Range exception */ +#define SPR_DRR_SCE 0x00000800 /* System call exception */ +#define SPR_DRR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Performance counters mode registers + * + */ +#define SPR_PCMR_CP 0x00000001 /* Counter present */ +#define SPR_PCMR_UMRA 0x00000002 /* User mode read access */ +#define SPR_PCMR_CISM 0x00000004 /* Count in supervisor mode */ +#define SPR_PCMR_CIUM 0x00000008 /* Count in user mode */ +#define SPR_PCMR_LA 0x00000010 /* Load access event */ +#define SPR_PCMR_SA 0x00000020 /* Store access event */ +#define SPR_PCMR_IF 0x00000040 /* Instruction fetch event*/ +#define SPR_PCMR_DCM 0x00000080 /* Data cache miss event */ +#define SPR_PCMR_ICM 0x00000100 /* Insn cache miss event */ +#define SPR_PCMR_IFS 0x00000200 /* Insn fetch stall event */ +#define SPR_PCMR_LSUS 0x00000400 /* LSU stall event */ +#define SPR_PCMR_BS 0x00000800 /* Branch stall event */ +#define SPR_PCMR_DTLBM 0x00001000 /* DTLB miss event */ +#define SPR_PCMR_ITLBM 0x00002000 /* ITLB miss event */ +#define SPR_PCMR_DDS 0x00004000 /* Data dependency stall event */ +#define SPR_PCMR_WPE 0x03ff8000 /* Watchpoint events */ + +/* + * Bit definitions for the Power management register + * + */ +#define SPR_PMR_SDF 0x00000001 /* Slow down factor */ +#define SPR_PMR_DME 0x00000002 /* Doze mode enable */ +#define SPR_PMR_SME 0x00000004 /* Sleep mode enable */ +#define SPR_PMR_DCGE 0x00000008 /* Dynamic clock gating enable */ +#define SPR_PMR_SUME 0x00000010 /* Suspend mode enable */ + +/* + * Bit definitions for PICMR + * + */ +#define SPR_PICMR_IUM 0xfffffffc /* Interrupt unmask */ + +/* + * Bit definitions for PICPR + * + */ +#define SPR_PICPR_IPRIO 0xfffffffc /* Interrupt priority */ + +/* + * Bit definitions for PICSR + * + */ +#define SPR_PICSR_IS 0xffffffff /* Interrupt status */ + +/* + * Bit definitions for Tick Timer Control Register + * + */ +#define SPR_TTCR_PERIOD 0x0fffffff /* Time Period */ +#define SPR_TTMR_PERIOD SPR_TTCR_PERIOD +#define SPR_TTMR_IP 0x10000000 /* Interrupt Pending */ +#define SPR_TTMR_IE 0x20000000 /* Interrupt Enable */ +#define SPR_TTMR_RT 0x40000000 /* Restart tick */ +#define SPR_TTMR_SR 0x80000000 /* Single run */ +#define SPR_TTMR_CR 0xc0000000 /* Continuous run */ +#define SPR_TTMR_M 0xc0000000 /* Tick mode */
trap2/spr_defs.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trap2/Makefile =================================================================== --- trap2/Makefile (nonexistent) +++ trap2/Makefile (revision 1765) @@ -0,0 +1,16 @@ +CCFLAGS = -O2 -g -nostdlib + +all: trap.or32 + +trap.or32: trap.o + or32-rtems-gcc -nostdlib trap.o -o trap.or32 + or32-rtems-objcopy -O binary trap.or32 trap.bin + ../utils/bin2srec trap.bin > trap.srec + ../utils/bin2hex trap.bin > trap.hex + cp trap.hex ../../sim/src/flash.in + +trap.o: trap.S + or32-rtems-gcc $(CCFLAGS) trap.S -c -DOR1K + +clean: + rm -f *.o trap.or32 *.bin *.srec *.hex Index: setpc/setpc.S =================================================================== --- setpc/setpc.S (nonexistent) +++ setpc/setpc.S (revision 1765) @@ -0,0 +1,88 @@ +#include "spr_defs.h" + + .extern main + .extern _src_beg + .extern _dst_beg + .extern _dst_end + +.org 0x100 + +_reset: + l.nop + l.nop + l.movhi r0, 0x0 + l.slli r0,r0,16 + l.addi r1,r0,0x0 + l.addi r2,r0,0x0 + l.addi r3,r0,0x0 + l.addi r4,r0,0x0 + l.addi r5,r0,0x0 + l.addi r6,r0,0x0 + l.addi r7,r0,0x0 + l.addi r8,r0,0x0 + l.addi r9,r0,0x1234 + l.addi r10,r0,0x0 + l.addi r11,r0,0x0 + l.addi r12,r0,0x0 + l.addi r13,r0,0x0 + l.addi r14,r0,0x0 + l.addi r15,r0,0x0 + l.addi r16,r0,0x0 + l.addi r17,r0,0x0 + l.addi r18,r0,0x0 + l.addi r19,r0,0x0 + l.addi r20,r0,0x0 + l.addi r21,r0,0x0 + l.addi r22,r0,0x0 + l.addi r23,r0,0x0 + l.addi r24,r0,0x0 + l.addi r25,r0,0x0 + l.addi r26,r0,0x0 + l.addi r27,r0,0x0 + l.addi r28,r0,0x0 + l.addi r29,r0,0x0 + l.addi r30,r0,0x0 + l.addi r31,r0,0x0 + + l.movhi r2,hi(_main) + l.ori r2,r2,lo(_main) + l.jr r2 + l.addi r2,r0,0 + +.org 0x2000 + +_main: + l.nop + l.nop + +_loop: + l.addi r11,r11,1 + l.addi r11,r11,2 + l.addi r11,r11,3 + l.addi r4,r0,SPR_PC + l.movhi r5,hi(_setpc) + l.addi r5,r5,lo(_setpc) + l.mtspr r4,r5,0 # set PC + l.addi r11,r11,4 + l.j _stop + l.addi r11,r11,5 + l.nop + +.org 0x3000 + +_setpc: + l.addi r12,r12,1 + l.addi r12,r12,2 + +_stop: + l.addi r12,r12,3 + l.nop + l.movhi r15,hi(0xdeaddea1) + l.ori r15,r15,lo(0xdeaddea1) + l.add r15,r15,r11 + l.add r15,r15,r12 + l.mtspr r0,r15,0x1234 + l.nop + l.sys 203 + l.nop + Index: setpc/Makefile =================================================================== --- setpc/Makefile (nonexistent) +++ setpc/Makefile (revision 1765) @@ -0,0 +1,16 @@ +CCFLAGS = -O2 -g -nostdlib + +all: setpc.or32 + +setpc.or32: setpc.o + or32-rtems-gcc -nostdlib setpc.o -o setpc.or32 + or32-rtems-objcopy -O binary setpc.or32 setpc.bin + ../utils/bin2srec setpc.bin > setpc.srec + ../utils/bin2hex setpc.bin > setpc.hex + cp setpc.hex ../../sim/src/setpc.mem + +setpc.o: setpc.S + or32-rtems-gcc $(CCFLAGS) setpc.S -c -DOR1K + +clean: + rm -f *.o setpc.or32 *.bin *.srec *.hex Index: setpc/spr_defs.h =================================================================== --- setpc/spr_defs.h (nonexistent) +++ setpc/spr_defs.h (revision 1765) @@ -0,0 +1,400 @@ +/* spr_defs.h -- Defines OR1K architecture specific special-purpose registers + Copyright (C) 1999 Damjan Lampret, lampret@opencores.org + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + +/* This file is also used by microkernel test bench. Among +others it is also used in assembly file(s). */ + +/* Definition of special-purpose registers (SPRs) */ + +#define MAX_GRPS (32) +#define MAX_SPRS_PER_GRP_BITS (11) +#define MAX_SPRS_PER_GRP (1 << MAX_SPRS_PER_GRP_BITS) +#define MAX_SPRS (0x10000) + +/* Base addresses for the groups */ +#define SPRGROUP_SYS (0<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DMMU (1<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IMMU (2<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DC (3<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IC (4<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_MAC (5<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_D (6<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PC (7<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PM (8<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PIC (9<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_TT (10<< MAX_SPRS_PER_GRP_BITS) + +/* System control and status group */ +#define SPR_VR (SPRGROUP_SYS + 0) +#define SPR_UPR (SPRGROUP_SYS + 1) +#define SPR_CPUCFGR (SPRGROUP_SYS + 2) +#define SPR_DMMUCFGR (SPRGROUP_SYS + 3) +#define SPR_IMMUCFGR (SPRGROUP_SYS + 4) +#define SPR_DCCFGR (SPRGROUP_SYS + 5) +#define SPR_ICCFGR (SPRGROUP_SYS + 6) +#define SPR_DCFGR (SPRGROUP_SYS + 7) +#define SPR_PCCFGR (SPRGROUP_SYS + 8) +#define SPR_PC (SPRGROUP_SYS + 16) /* CZ 21/06/01 */ +#define SPR_SR (SPRGROUP_SYS + 17) /* CZ 21/06/01 */ +#define SPR_EPCR_BASE (SPRGROUP_SYS + 32) /* CZ 21/06/01 */ +#define SPR_EPCR_LAST (SPRGROUP_SYS + 47) /* CZ 21/06/01 */ +#define SPR_EEAR_BASE (SPRGROUP_SYS + 48) +#define SPR_EEAR_LAST (SPRGROUP_SYS + 63) +#define SPR_ESR_BASE (SPRGROUP_SYS + 64) +#define SPR_ESR_LAST (SPRGROUP_SYS + 79) + +/* Data MMU group */ +#define SPR_DMMUCR (SPRGROUP_DMMU + 0) +#define SPR_DTLBMR_BASE(WAY) (SPRGROUP_DMMU + 0x200 + (WAY) * 0x200) +#define SPR_DTLBMR_LAST(WAY) (SPRGROUP_DMMU + 0x2ff + (WAY) * 0x200) +#define SPR_DTLBTR_BASE(WAY) (SPRGROUP_DMMU + 0x300 + (WAY) * 0x200) +#define SPR_DTLBTR_LAST(WAY) (SPRGROUP_DMMU + 0x3ff + (WAY) * 0x200) + +/* Instruction MMU group */ +#define SPR_IMMUCR (SPRGROUP_IMMU + 0) +#define SPR_ITLBMR_BASE(WAY) (SPRGROUP_IMMU + 0x200 + (WAY) * 0x200) +#define SPR_ITLBMR_LAST(WAY) (SPRGROUP_IMMU + 0x2ff + (WAY) * 0x200) +#define SPR_ITLBTR_BASE(WAY) (SPRGROUP_IMMU + 0x300 + (WAY) * 0x200) +#define SPR_ITLBTR_LAST(WAY) (SPRGROUP_IMMU + 0x3ff + (WAY) * 0x200) + +/* Data cache group */ +#define SPR_DCCR (SPRGROUP_DC + 0) +#define SPR_DCBPR (SPRGROUP_DC + 1) +#define SPR_DCBFR (SPRGROUP_DC + 2) +#define SPR_DCBIR (SPRGROUP_DC + 3) +#define SPR_DCBWR (SPRGROUP_DC + 4) +#define SPR_DCBLR (SPRGROUP_DC + 5) +#define SPR_DCR_BASE(WAY) (SPRGROUP_DC + 0x200 + (WAY) * 0x200) +#define SPR_DCR_LAST(WAY) (SPRGROUP_DC + 0x3ff + (WAY) * 0x200) + +/* Instruction cache group */ +#define SPR_ICCR (SPRGROUP_IC + 0) +#define SPR_ICBPR (SPRGROUP_IC + 1) +#define SPR_ICBIR (SPRGROUP_IC + 2) +#define SPR_ICBLR (SPRGROUP_IC + 3) +#define SPR_ICR_BASE(WAY) (SPRGROUP_IC + 0x200 + (WAY) * 0x200) +#define SPR_ICR_LAST(WAY) (SPRGROUP_IC + 0x3ff + (WAY) * 0x200) + +/* MAC group */ +#define SPR_MACLO (SPRGROUP_MAC + 1) +#define SPR_MACHI (SPRGROUP_MAC + 2) + +/* Debug group */ +#define SPR_DVR(N) (SPRGROUP_D + (N)) +#define SPR_DCR(N) (SPRGROUP_D + 8 + (N)) +#define SPR_DMR1 (SPRGROUP_D + 16) +#define SPR_DMR2 (SPRGROUP_D + 17) +#define SPR_DWCR0 (SPRGROUP_D + 18) +#define SPR_DWCR1 (SPRGROUP_D + 19) +#define SPR_DSR (SPRGROUP_D + 20) +#define SPR_DRR (SPRGROUP_D + 21) +#define SPR_DIR (SPRGROUP_D + 22) + +/* Performance counters group */ +#define SPR_PCCR(N) (SPRGROUP_PC + (N)) +#define SPR_PCMR(N) (SPRGROUP_PC + 8 + (N)) + +/* Power management group */ +#define SPR_PMR (SPRGROUP_PM + 0) + +/* PIC group */ +#define SPR_PICMR (SPRGROUP_PIC + 0) +#define SPR_PICPR (SPRGROUP_PIC + 1) +#define SPR_PICSR (SPRGROUP_PIC + 2) + +/* Tick Timer group */ +#define SPR_TTMR (SPRGROUP_TT + 0) +#define SPR_TTCR (SPRGROUP_TT + 1) + +/* + * Bit definitions for the Version Register + * + */ +#define SPR_VR_VER 0xffff0000 /* Processor version */ +#define SPR_VR_REV 0x0000003f /* Processor revision */ + +/* + * Bit definitions for the Unit Present Register + * + */ +#define SPR_UPR_UP 0x00000001 /* UPR present */ +#define SPR_UPR_DCP 0x00000002 /* Data cache present */ +#define SPR_UPR_ICP 0x00000004 /* Instruction cache present */ +#define SPR_UPR_DMP 0x00000008 /* Data MMU present */ +#define SPR_UPR_IMP 0x00000010 /* Instruction MMU present */ +#define SPR_UPR_OB32P 0x00000020 /* ORBIS32 present */ +#define SPR_UPR_OB64P 0x00000040 /* ORBIS64 present */ +#define SPR_UPR_OF32P 0x00000080 /* ORFPX32 present */ +#define SPR_UPR_OF64P 0x00000100 /* ORFPX64 present */ +#define SPR_UPR_OV32P 0x00000200 /* ORVDX32 present */ +#define SPR_UPR_OV64P 0x00000400 /* ORVDX64 present */ +#define SPR_UPR_DUP 0x00000800 /* Debug unit present */ +#define SPR_UPR_PCUP 0x00001000 /* Performance counters unit present */ +#define SPR_UPR_PMP 0x00002000 /* Power management present */ +#define SPR_UPR_PICP 0x00004000 /* PIC present */ +#define SPR_UPR_TTP 0x00008000 /* Tick timer present */ +#define SPR_UPR_SRP 0x00010000 /* Shadow registers present */ +#define SPR_UPR_RES 0x00fe0000 /* ORVDX32 present */ +#define SPR_UPR_CUST 0xff000000 /* Custom units */ + +/* + * Bit definitions for the Supervision Register + * + */ +#define SPR_SR_CID 0xf0000000 /* Context ID */ +#define SPR_SR_PXR 0x00008000 /* Partial exception recognition */ +#define SPR_SR_EP 0x00004000 /* Exception Prefix */ +#define SPR_SR_DSX 0x00002000 /* Delay Slot Exception */ +#define SPR_SR_OVE 0x00001000 /* Overflow flag Exception */ +#define SPR_SR_OV 0x00000800 /* Overflow flag */ +#define SPR_SR_CY 0x00000400 /* Carry flag */ +#define SPR_SR_F 0x00000200 /* Condition Flag */ +#define SPR_SR_CE 0x00000100 /* CID Enable */ +#define SPR_SR_LEE 0x00000080 /* Little Endian Enable */ +#define SPR_SR_IME 0x00000040 /* Instruction MMU Enable */ +#define SPR_SR_DME 0x00000020 /* Data MMU Enable */ +#define SPR_SR_ICE 0x00000010 /* Instruction Cache Enable */ +#define SPR_SR_DCE 0x00000008 /* Data Cache Enable */ +#define SPR_SR_EIR 0x00000004 /* External Interrupt Recognition */ +#define SPR_SR_EXR 0x00000002 /* Exception Recognition */ +#define SPR_SR_SUPV 0x00000001 /* Supervisor mode */ + +/* + * Bit definitions for the Data MMU Control Register + * + */ +#define SPR_DMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_DMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_DMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_DMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Instruction MMU Control Register + * + */ +#define SPR_IMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_IMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_IMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_IMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Data TLB Match Register + * + */ +#define SPR_DTLBMR_V 0x00000001 /* Valid */ +#define SPR_DTLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_DTLBMR_CID 0x0000003c /* Context ID */ +#define SPR_DTLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_DTLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Data TLB Translate Register + * + */ +#define SPR_DTLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_DTLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_DTLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_DTLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_DTLBTR_A 0x00000010 /* Accessed */ +#define SPR_DTLBTR_D 0x00000020 /* Dirty */ +#define SPR_DTLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_DTLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_DTLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_DTLBTR_SWE 0x00000200 /* Supervisor Write Enable */ +#define SPR_DTLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for the Instruction TLB Match Register + * + */ +#define SPR_ITLBMR_V 0x00000001 /* Valid */ +#define SPR_ITLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_ITLBMR_CID 0x0000003c /* Context ID */ +#define SPR_ITLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_ITLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Instruction TLB Translate Register + * + */ +#define SPR_ITLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_ITLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_ITLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_ITLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_ITLBTR_A 0x00000010 /* Accessed */ +#define SPR_ITLBTR_D 0x00000020 /* Dirty */ +#define SPR_ITLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_ITLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_ITLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_ITLBTR_SWE 0x00000200 /* Supervisor Write Enable (not used actually) */ +#define SPR_ITLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for Data Cache Control register + * + */ +#define SPR_DCCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Insn Cache Control register + * + */ +#define SPR_ICCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Debug Control registers + * + */ +#define SPR_DCR_DP 0x00000001 /* DVR/DCR present */ +#define SPR_DCR_CC 0x0000000e /* Compare condition */ +#define SPR_DCR_SC 0x00000010 /* Signed compare */ +#define SPR_DCR_CT 0x000000e0 /* Compare to */ + +/* + * Bit definitions for Debug Mode 1 register + * + */ +#define SPR_DMR1_CW0 0x00000003 /* Chain watchpoint 0 */ +#define SPR_DMR1_CW1 0x0000000c /* Chain watchpoint 1 */ +#define SPR_DMR1_CW2 0x00000030 /* Chain watchpoint 2 */ +#define SPR_DMR1_CW3 0x000000c0 /* Chain watchpoint 3 */ +#define SPR_DMR1_CW4 0x00000300 /* Chain watchpoint 4 */ +#define SPR_DMR1_CW5 0x00000c00 /* Chain watchpoint 5 */ +#define SPR_DMR1_CW6 0x00003000 /* Chain watchpoint 6 */ +#define SPR_DMR1_CW7 0x0000c000 /* Chain watchpoint 7 */ +#define SPR_DMR1_CW8 0x00030000 /* Chain watchpoint 8 */ +#define SPR_DMR1_CW9 0x000c0000 /* Chain watchpoint 9 */ +#define SPR_DMR1_CW10 0x00300000 /* Chain watchpoint 10 */ +#define SPR_DMR1_ST 0x00400000 /* Single-step trace*/ +#define SPR_DMR1_BT 0x00800000 /* Branch trace */ +#define SPR_DMR1_DXFW 0x01000000 /* Disable external force watchpoint */ + +/* + * Bit definitions for Debug Mode 2 register + * + */ +#define SPR_DMR2_WCE0 0x00000001 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_WCE1 0x00000002 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_AWTC 0x00001ffc /* Assign watchpoints to counters */ +#define SPR_DMR2_WGB 0x00ffe000 /* Watchpoints generating breakpoint */ + +/* + * Bit definitions for Debug watchpoint counter registers + * + */ +#define SPR_DWCR_COUNT 0x0000ffff /* Count */ +#define SPR_DWCR_MATCH 0xffff0000 /* Match */ + +/* + * Bit definitions for Debug stop register + * + */ +#define SPR_DSR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DSR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DSR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DSR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DSR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DSR_AE 0x00000020 /* Alignment exception */ +#define SPR_DSR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DSR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DSR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DSR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DSR_RE 0x00000400 /* Range exception */ +#define SPR_DSR_SCE 0x00000800 /* System call exception */ +#define SPR_DSR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Debug reason register + * + */ +#define SPR_DRR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DRR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DRR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DRR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DRR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DRR_AE 0x00000020 /* Alignment exception */ +#define SPR_DRR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DRR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DRR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DRR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DRR_RE 0x00000400 /* Range exception */ +#define SPR_DRR_SCE 0x00000800 /* System call exception */ +#define SPR_DRR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Performance counters mode registers + * + */ +#define SPR_PCMR_CP 0x00000001 /* Counter present */ +#define SPR_PCMR_UMRA 0x00000002 /* User mode read access */ +#define SPR_PCMR_CISM 0x00000004 /* Count in supervisor mode */ +#define SPR_PCMR_CIUM 0x00000008 /* Count in user mode */ +#define SPR_PCMR_LA 0x00000010 /* Load access event */ +#define SPR_PCMR_SA 0x00000020 /* Store access event */ +#define SPR_PCMR_IF 0x00000040 /* Instruction fetch event*/ +#define SPR_PCMR_DCM 0x00000080 /* Data cache miss event */ +#define SPR_PCMR_ICM 0x00000100 /* Insn cache miss event */ +#define SPR_PCMR_IFS 0x00000200 /* Insn fetch stall event */ +#define SPR_PCMR_LSUS 0x00000400 /* LSU stall event */ +#define SPR_PCMR_BS 0x00000800 /* Branch stall event */ +#define SPR_PCMR_DTLBM 0x00001000 /* DTLB miss event */ +#define SPR_PCMR_ITLBM 0x00002000 /* ITLB miss event */ +#define SPR_PCMR_DDS 0x00004000 /* Data dependency stall event */ +#define SPR_PCMR_WPE 0x03ff8000 /* Watchpoint events */ + +/* + * Bit definitions for the Power management register + * + */ +#define SPR_PMR_SDF 0x00000001 /* Slow down factor */ +#define SPR_PMR_DME 0x00000002 /* Doze mode enable */ +#define SPR_PMR_SME 0x00000004 /* Sleep mode enable */ +#define SPR_PMR_DCGE 0x00000008 /* Dynamic clock gating enable */ +#define SPR_PMR_SUME 0x00000010 /* Suspend mode enable */ + +/* + * Bit definitions for PICMR + * + */ +#define SPR_PICMR_IUM 0xfffffffc /* Interrupt unmask */ + +/* + * Bit definitions for PICPR + * + */ +#define SPR_PICPR_IPRIO 0xfffffffc /* Interrupt priority */ + +/* + * Bit definitions for PICSR + * + */ +#define SPR_PICSR_IS 0xffffffff /* Interrupt status */ + +/* + * Bit definitions for Tick Timer Control Register + * + */ +#define SPR_TTCR_PERIOD 0x0fffffff /* Time Period */ +#define SPR_TTMR_PERIOD SPR_TTCR_PERIOD +#define SPR_TTMR_IP 0x10000000 /* Interrupt Pending */ +#define SPR_TTMR_IE 0x20000000 /* Interrupt Enable */ +#define SPR_TTMR_RT 0x40000000 /* Restart tick */ +#define SPR_TTMR_SR 0x80000000 /* Single run */ +#define SPR_TTMR_CR 0xc0000000 /* Continuous run */ +#define SPR_TTMR_M 0xc0000000 /* Tick mode */
setpc/spr_defs.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: cache/support/support.h =================================================================== --- cache/support/support.h (nonexistent) +++ cache/support/support.h (revision 1765) @@ -0,0 +1,45 @@ +/* Support file for or32 tests. This file should is included + in each test. It calls main() function and add support for + basic functions */ + +#ifndef SUPPORT_H +#define SUPPORT_H + +#include +#include <_ansi.h> +#include +#include + +#if OR1K +void printf(const char *fmt, ...); + +/* For writing into SPR. */ +void mtspr(unsigned long spr, unsigned long value); + +/* For reading SPR. */ +unsigned long mfspr(unsigned long spr); + +#else /* OR1K */ + +#include + +#endif /* OR1K */ + +/* Function to be called at entry point - not defined here. */ +int main (); + +/* Prints out a value */ +void report(unsigned long value); + +/* return value by making a syscall */ +extern void exit (int i) __attribute__ ((__noreturn__)); + +/* memcpy clone */ +extern void *memcpy (void *__restrict __dest, + __const void *__restrict __src, size_t __n); + +/* Timer functions */ +extern void start_timer(int); +extern unsigned int read_timer(int); + +#endif
cache/support/support.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: cache/support/spr_defs.h =================================================================== --- cache/support/spr_defs.h (nonexistent) +++ cache/support/spr_defs.h (revision 1765) @@ -0,0 +1,400 @@ +/* spr_defs.h -- Defines OR1K architecture specific special-purpose registers + Copyright (C) 1999 Damjan Lampret, lampret@opencores.org + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + +/* This file is also used by microkernel test bench. Among +others it is also used in assembly file(s). */ + +/* Definition of special-purpose registers (SPRs) */ + +#define MAX_GRPS (32) +#define MAX_SPRS_PER_GRP_BITS (11) +#define MAX_SPRS_PER_GRP (1 << MAX_SPRS_PER_GRP_BITS) +#define MAX_SPRS (0x10000) + +/* Base addresses for the groups */ +#define SPRGROUP_SYS (0<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DMMU (1<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IMMU (2<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DC (3<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IC (4<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_MAC (5<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_D (6<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PC (7<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PM (8<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PIC (9<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_TT (10<< MAX_SPRS_PER_GRP_BITS) + +/* System control and status group */ +#define SPR_VR (SPRGROUP_SYS + 0) +#define SPR_UPR (SPRGROUP_SYS + 1) +#define SPR_CPUCFGR (SPRGROUP_SYS + 2) +#define SPR_DMMUCFGR (SPRGROUP_SYS + 3) +#define SPR_IMMUCFGR (SPRGROUP_SYS + 4) +#define SPR_DCCFGR (SPRGROUP_SYS + 5) +#define SPR_ICCFGR (SPRGROUP_SYS + 6) +#define SPR_DCFGR (SPRGROUP_SYS + 7) +#define SPR_PCCFGR (SPRGROUP_SYS + 8) +#define SPR_PC (SPRGROUP_SYS + 16) /* CZ 21/06/01 */ +#define SPR_SR (SPRGROUP_SYS + 17) /* CZ 21/06/01 */ +#define SPR_EPCR_BASE (SPRGROUP_SYS + 32) /* CZ 21/06/01 */ +#define SPR_EPCR_LAST (SPRGROUP_SYS + 47) /* CZ 21/06/01 */ +#define SPR_EEAR_BASE (SPRGROUP_SYS + 48) +#define SPR_EEAR_LAST (SPRGROUP_SYS + 63) +#define SPR_ESR_BASE (SPRGROUP_SYS + 64) +#define SPR_ESR_LAST (SPRGROUP_SYS + 79) + +/* Data MMU group */ +#define SPR_DMMUCR (SPRGROUP_DMMU + 0) +#define SPR_DTLBMR_BASE(WAY) (SPRGROUP_DMMU + 0x200 + (WAY) * 0x200) +#define SPR_DTLBMR_LAST(WAY) (SPRGROUP_DMMU + 0x2ff + (WAY) * 0x200) +#define SPR_DTLBTR_BASE(WAY) (SPRGROUP_DMMU + 0x300 + (WAY) * 0x200) +#define SPR_DTLBTR_LAST(WAY) (SPRGROUP_DMMU + 0x3ff + (WAY) * 0x200) + +/* Instruction MMU group */ +#define SPR_IMMUCR (SPRGROUP_IMMU + 0) +#define SPR_ITLBMR_BASE(WAY) (SPRGROUP_IMMU + 0x200 + (WAY) * 0x200) +#define SPR_ITLBMR_LAST(WAY) (SPRGROUP_IMMU + 0x2ff + (WAY) * 0x200) +#define SPR_ITLBTR_BASE(WAY) (SPRGROUP_IMMU + 0x300 + (WAY) * 0x200) +#define SPR_ITLBTR_LAST(WAY) (SPRGROUP_IMMU + 0x3ff + (WAY) * 0x200) + +/* Data cache group */ +#define SPR_DCCR (SPRGROUP_DC + 0) +#define SPR_DCBPR (SPRGROUP_DC + 1) +#define SPR_DCBFR (SPRGROUP_DC + 2) +#define SPR_DCBIR (SPRGROUP_DC + 3) +#define SPR_DCBWR (SPRGROUP_DC + 4) +#define SPR_DCBLR (SPRGROUP_DC + 5) +#define SPR_DCR_BASE(WAY) (SPRGROUP_DC + 0x200 + (WAY) * 0x200) +#define SPR_DCR_LAST(WAY) (SPRGROUP_DC + 0x3ff + (WAY) * 0x200) + +/* Instruction cache group */ +#define SPR_ICCR (SPRGROUP_IC + 0) +#define SPR_ICBPR (SPRGROUP_IC + 1) +#define SPR_ICBIR (SPRGROUP_IC + 2) +#define SPR_ICBLR (SPRGROUP_IC + 3) +#define SPR_ICR_BASE(WAY) (SPRGROUP_IC + 0x200 + (WAY) * 0x200) +#define SPR_ICR_LAST(WAY) (SPRGROUP_IC + 0x3ff + (WAY) * 0x200) + +/* MAC group */ +#define SPR_MACLO (SPRGROUP_MAC + 1) +#define SPR_MACHI (SPRGROUP_MAC + 2) + +/* Debug group */ +#define SPR_DVR(N) (SPRGROUP_D + (N)) +#define SPR_DCR(N) (SPRGROUP_D + 8 + (N)) +#define SPR_DMR1 (SPRGROUP_D + 16) +#define SPR_DMR2 (SPRGROUP_D + 17) +#define SPR_DWCR0 (SPRGROUP_D + 18) +#define SPR_DWCR1 (SPRGROUP_D + 19) +#define SPR_DSR (SPRGROUP_D + 20) +#define SPR_DRR (SPRGROUP_D + 21) +#define SPR_DIR (SPRGROUP_D + 22) + +/* Performance counters group */ +#define SPR_PCCR(N) (SPRGROUP_PC + (N)) +#define SPR_PCMR(N) (SPRGROUP_PC + 8 + (N)) + +/* Power management group */ +#define SPR_PMR (SPRGROUP_PM + 0) + +/* PIC group */ +#define SPR_PICMR (SPRGROUP_PIC + 0) +#define SPR_PICPR (SPRGROUP_PIC + 1) +#define SPR_PICSR (SPRGROUP_PIC + 2) + +/* Tick Timer group */ +#define SPR_TTMR (SPRGROUP_TT + 0) +#define SPR_TTCR (SPRGROUP_TT + 1) + +/* + * Bit definitions for the Version Register + * + */ +#define SPR_VR_VER 0xffff0000 /* Processor version */ +#define SPR_VR_REV 0x0000003f /* Processor revision */ + +/* + * Bit definitions for the Unit Present Register + * + */ +#define SPR_UPR_UP 0x00000001 /* UPR present */ +#define SPR_UPR_DCP 0x00000002 /* Data cache present */ +#define SPR_UPR_ICP 0x00000004 /* Instruction cache present */ +#define SPR_UPR_DMP 0x00000008 /* Data MMU present */ +#define SPR_UPR_IMP 0x00000010 /* Instruction MMU present */ +#define SPR_UPR_OB32P 0x00000020 /* ORBIS32 present */ +#define SPR_UPR_OB64P 0x00000040 /* ORBIS64 present */ +#define SPR_UPR_OF32P 0x00000080 /* ORFPX32 present */ +#define SPR_UPR_OF64P 0x00000100 /* ORFPX64 present */ +#define SPR_UPR_OV32P 0x00000200 /* ORVDX32 present */ +#define SPR_UPR_OV64P 0x00000400 /* ORVDX64 present */ +#define SPR_UPR_DUP 0x00000800 /* Debug unit present */ +#define SPR_UPR_PCUP 0x00001000 /* Performance counters unit present */ +#define SPR_UPR_PMP 0x00002000 /* Power management present */ +#define SPR_UPR_PICP 0x00004000 /* PIC present */ +#define SPR_UPR_TTP 0x00008000 /* Tick timer present */ +#define SPR_UPR_SRP 0x00010000 /* Shadow registers present */ +#define SPR_UPR_RES 0x00fe0000 /* ORVDX32 present */ +#define SPR_UPR_CUST 0xff000000 /* Custom units */ + +/* + * Bit definitions for the Supervision Register + * + */ +#define SPR_SR_CID 0xf0000000 /* Context ID */ +#define SPR_SR_PXR 0x00008000 /* Partial exception recognition */ +#define SPR_SR_EP 0x00004000 /* Exception Prefix */ +#define SPR_SR_DSX 0x00002000 /* Delay Slot Exception */ +#define SPR_SR_OVE 0x00001000 /* Overflow flag Exception */ +#define SPR_SR_OV 0x00000800 /* Overflow flag */ +#define SPR_SR_CY 0x00000400 /* Carry flag */ +#define SPR_SR_F 0x00000200 /* Condition Flag */ +#define SPR_SR_CE 0x00000100 /* CID Enable */ +#define SPR_SR_LEE 0x00000080 /* Little Endian Enable */ +#define SPR_SR_IME 0x00000040 /* Instruction MMU Enable */ +#define SPR_SR_DME 0x00000020 /* Data MMU Enable */ +#define SPR_SR_ICE 0x00000010 /* Instruction Cache Enable */ +#define SPR_SR_DCE 0x00000008 /* Data Cache Enable */ +#define SPR_SR_EIR 0x00000004 /* External Interrupt Recognition */ +#define SPR_SR_EXR 0x00000002 /* Exception Recognition */ +#define SPR_SR_SUPV 0x00000001 /* Supervisor mode */ + +/* + * Bit definitions for the Data MMU Control Register + * + */ +#define SPR_DMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_DMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_DMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_DMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Instruction MMU Control Register + * + */ +#define SPR_IMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_IMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_IMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_IMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Data TLB Match Register + * + */ +#define SPR_DTLBMR_V 0x00000001 /* Valid */ +#define SPR_DTLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_DTLBMR_CID 0x0000003c /* Context ID */ +#define SPR_DTLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_DTLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Data TLB Translate Register + * + */ +#define SPR_DTLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_DTLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_DTLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_DTLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_DTLBTR_A 0x00000010 /* Accessed */ +#define SPR_DTLBTR_D 0x00000020 /* Dirty */ +#define SPR_DTLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_DTLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_DTLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_DTLBTR_SWE 0x00000200 /* Supervisor Write Enable */ +#define SPR_DTLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for the Instruction TLB Match Register + * + */ +#define SPR_ITLBMR_V 0x00000001 /* Valid */ +#define SPR_ITLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_ITLBMR_CID 0x0000003c /* Context ID */ +#define SPR_ITLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_ITLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Instruction TLB Translate Register + * + */ +#define SPR_ITLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_ITLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_ITLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_ITLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_ITLBTR_A 0x00000010 /* Accessed */ +#define SPR_ITLBTR_D 0x00000020 /* Dirty */ +#define SPR_ITLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_ITLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_ITLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_ITLBTR_SWE 0x00000200 /* Supervisor Write Enable (not used actually) */ +#define SPR_ITLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for Data Cache Control register + * + */ +#define SPR_DCCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Insn Cache Control register + * + */ +#define SPR_ICCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Debug Control registers + * + */ +#define SPR_DCR_DP 0x00000001 /* DVR/DCR present */ +#define SPR_DCR_CC 0x0000000e /* Compare condition */ +#define SPR_DCR_SC 0x00000010 /* Signed compare */ +#define SPR_DCR_CT 0x000000e0 /* Compare to */ + +/* + * Bit definitions for Debug Mode 1 register + * + */ +#define SPR_DMR1_CW0 0x00000003 /* Chain watchpoint 0 */ +#define SPR_DMR1_CW1 0x0000000c /* Chain watchpoint 1 */ +#define SPR_DMR1_CW2 0x00000030 /* Chain watchpoint 2 */ +#define SPR_DMR1_CW3 0x000000c0 /* Chain watchpoint 3 */ +#define SPR_DMR1_CW4 0x00000300 /* Chain watchpoint 4 */ +#define SPR_DMR1_CW5 0x00000c00 /* Chain watchpoint 5 */ +#define SPR_DMR1_CW6 0x00003000 /* Chain watchpoint 6 */ +#define SPR_DMR1_CW7 0x0000c000 /* Chain watchpoint 7 */ +#define SPR_DMR1_CW8 0x00030000 /* Chain watchpoint 8 */ +#define SPR_DMR1_CW9 0x000c0000 /* Chain watchpoint 9 */ +#define SPR_DMR1_CW10 0x00300000 /* Chain watchpoint 10 */ +#define SPR_DMR1_ST 0x00400000 /* Single-step trace*/ +#define SPR_DMR1_BT 0x00800000 /* Branch trace */ +#define SPR_DMR1_DXFW 0x01000000 /* Disable external force watchpoint */ + +/* + * Bit definitions for Debug Mode 2 register + * + */ +#define SPR_DMR2_WCE0 0x00000001 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_WCE1 0x00000002 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_AWTC 0x00001ffc /* Assign watchpoints to counters */ +#define SPR_DMR2_WGB 0x00ffe000 /* Watchpoints generating breakpoint */ + +/* + * Bit definitions for Debug watchpoint counter registers + * + */ +#define SPR_DWCR_COUNT 0x0000ffff /* Count */ +#define SPR_DWCR_MATCH 0xffff0000 /* Match */ + +/* + * Bit definitions for Debug stop register + * + */ +#define SPR_DSR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DSR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DSR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DSR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DSR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DSR_AE 0x00000020 /* Alignment exception */ +#define SPR_DSR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DSR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DSR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DSR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DSR_RE 0x00000400 /* Range exception */ +#define SPR_DSR_SCE 0x00000800 /* System call exception */ +#define SPR_DSR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Debug reason register + * + */ +#define SPR_DRR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DRR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DRR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DRR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DRR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DRR_AE 0x00000020 /* Alignment exception */ +#define SPR_DRR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DRR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DRR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DRR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DRR_RE 0x00000400 /* Range exception */ +#define SPR_DRR_SCE 0x00000800 /* System call exception */ +#define SPR_DRR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Performance counters mode registers + * + */ +#define SPR_PCMR_CP 0x00000001 /* Counter present */ +#define SPR_PCMR_UMRA 0x00000002 /* User mode read access */ +#define SPR_PCMR_CISM 0x00000004 /* Count in supervisor mode */ +#define SPR_PCMR_CIUM 0x00000008 /* Count in user mode */ +#define SPR_PCMR_LA 0x00000010 /* Load access event */ +#define SPR_PCMR_SA 0x00000020 /* Store access event */ +#define SPR_PCMR_IF 0x00000040 /* Instruction fetch event*/ +#define SPR_PCMR_DCM 0x00000080 /* Data cache miss event */ +#define SPR_PCMR_ICM 0x00000100 /* Insn cache miss event */ +#define SPR_PCMR_IFS 0x00000200 /* Insn fetch stall event */ +#define SPR_PCMR_LSUS 0x00000400 /* LSU stall event */ +#define SPR_PCMR_BS 0x00000800 /* Branch stall event */ +#define SPR_PCMR_DTLBM 0x00001000 /* DTLB miss event */ +#define SPR_PCMR_ITLBM 0x00002000 /* ITLB miss event */ +#define SPR_PCMR_DDS 0x00004000 /* Data dependency stall event */ +#define SPR_PCMR_WPE 0x03ff8000 /* Watchpoint events */ + +/* + * Bit definitions for the Power management register + * + */ +#define SPR_PMR_SDF 0x00000001 /* Slow down factor */ +#define SPR_PMR_DME 0x00000002 /* Doze mode enable */ +#define SPR_PMR_SME 0x00000004 /* Sleep mode enable */ +#define SPR_PMR_DCGE 0x00000008 /* Dynamic clock gating enable */ +#define SPR_PMR_SUME 0x00000010 /* Suspend mode enable */ + +/* + * Bit definitions for PICMR + * + */ +#define SPR_PICMR_IUM 0xfffffffc /* Interrupt unmask */ + +/* + * Bit definitions for PICPR + * + */ +#define SPR_PICPR_IPRIO 0xfffffffc /* Interrupt priority */ + +/* + * Bit definitions for PICSR + * + */ +#define SPR_PICSR_IS 0xffffffff /* Interrupt status */ + +/* + * Bit definitions for Tick Timer Control Register + * + */ +#define SPR_TTCR_PERIOD 0x0fffffff /* Time Period */ +#define SPR_TTMR_PERIOD SPR_TTCR_PERIOD +#define SPR_TTMR_IP 0x10000000 /* Interrupt Pending */ +#define SPR_TTMR_IE 0x20000000 /* Interrupt Enable */ +#define SPR_TTMR_RT 0x40000000 /* Restart tick */ +#define SPR_TTMR_SR 0x80000000 /* Single run */ +#define SPR_TTMR_CR 0xc0000000 /* Continuous run */ +#define SPR_TTMR_M 0xc0000000 /* Tick mode */
cache/support/spr_defs.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: cache/support/Makefile =================================================================== --- cache/support/Makefile (nonexistent) +++ cache/support/Makefile (revision 1765) @@ -0,0 +1,6 @@ + +support.o: support.c + or32-rtems-gcc -O2 -c -o support.o support.c + +clean: + rm -rf *.o Index: cache/support/support.c =================================================================== --- cache/support/support.c (nonexistent) +++ cache/support/support.c (revision 1765) @@ -0,0 +1,113 @@ +/* Support */ + +#include +#include "support.h" + +#if OR1K + +/* Start function, called by reset exception handler. */ +void reset () +{ + int i = main(); + exit (i); +} + +/* return value by making a syscall */ +void exit (int i) +{ + asm("l.add r3,r0,%0": : "r" (i)); + asm("l.sys 203"); +} + +/* activate printf support in simulator */ +void printf(const char *fmt, ...) +{ + va_list args; + va_start(args, fmt); + asm("l.addi\tr3,%0,0": :"r" (fmt)); + asm("l.addi\tr4,%0,0": :"r" (args)); + asm("l.sys 202"); +} + +/* print long */ +void report(unsigned long value) +{ + unsigned long spr = 0x1234; + asm("l.mtspr\t\t%0,%1,0x0" : : "r" (spr), "r" (value)); + return; +} + +/* just to satisfy linker */ +void __main() +{ +} + +/* start_TIMER */ +void start_timer(int x) +{ +} + +/* read_TIMER */ +/* Returns a value since started in uS */ +unsigned int read_timer(int x) +{ + unsigned long count = 0; + + /* Read the Time Stamp Counter */ +/* asm("simrdtsc %0" :"=r" (count)); */ + asm("l.sys 201"); + return count; +} + +/* For writing into SPR. */ +void mtspr(unsigned long spr, unsigned long value) +{ + asm("l.mtspr\t\t%0,%1,0": : "r" (spr), "r" (value)); +} + +/* For reading SPR. */ +unsigned long mfspr(unsigned long spr) +{ + unsigned long value; + asm("l.mfspr\t\t%0,%1,0" : "=r" (value) : "r" (spr)); + return value; +} + +#else +void report(unsigned long value) +{ + unsigned long spr = 0x1234; + printf("l.mtspr %x,%x\n", spr, value); + return; +} + +/* start_TIMER */ +void start_timer(int tmrnum) +{ + return; +} + +/* read_TIMER */ +/* Returns a value since started in uS */ +unsigned int read_timer(int tmrnum) +{ + struct timeval tv; + struct timezone tz; + + gettimeofday(&tv, &tz); + + return(tv.tv_sec*1000000+tv.tv_usec); +} + +#endif + +void *memcpy (void *__restrict dstvoid, + __const void *__restrict srcvoid, size_t length) +{ + char *dst = dstvoid; + const char *src = srcvoid; + + while (length--) + *dst++ = *src++; + return dst; +}
cache/support/support.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trap/trap.S =================================================================== --- trap/trap.S (nonexistent) +++ trap/trap.S (revision 1765) @@ -0,0 +1,93 @@ +#include "spr_defs.h" + + .extern main + .extern _src_beg + .extern _dst_beg + .extern _dst_end + .extern _c_reset + +.org 0x100 + +_reset: + l.nop + l.nop + l.movhi r0, 0x0 + l.slli r0,r0,16 + l.addi r1,r0,0x0 + l.addi r2,r0,0x0 + l.addi r3,r0,0x0 + l.addi r4,r0,0x0 + l.addi r5,r0,0x0 + l.addi r6,r0,0x0 + l.addi r7,r0,0x0 + l.addi r8,r0,0x0 + l.addi r9,r0,0x1234 + l.addi r10,r0,0x0 + l.addi r11,r0,0x0 + l.addi r12,r0,0x0 + l.addi r13,r0,0x0 + l.addi r14,r0,0x0 + l.addi r15,r0,0x0 + l.addi r16,r0,0x0 + l.addi r17,r0,0x0 + l.addi r18,r0,0x0 + l.addi r19,r0,0x0 + l.addi r20,r0,0x0 + l.addi r21,r0,0x0 + l.addi r22,r0,0x0 + l.addi r23,r0,0x0 + l.addi r24,r0,0x0 + l.addi r25,r0,0x0 + l.addi r26,r0,0x0 + l.addi r27,r0,0x0 + l.addi r28,r0,0x0 + l.addi r29,r0,0x0 + l.addi r30,r0,0x0 + l.addi r31,r0,0x0 + + l.movhi r2,hi(_main) + l.ori r2,r2,lo(_main) + l.jr r2 + l.addi r2,r0,0 + +.org 0xd00 +_break: + l.nop + l.nop + l.rfe + l.nop + l.nop + +.org 0xe00 +_trap: + l.nop + l.nop + l.rfe + l.nop + l.nop + +.org 0x2000 + +_main: + l.nop + l.addi r5,r0,SPR_SR_SUPV|SPR_SR_EXR + l.mtspr r0,r5,SPR_SR + l.nop + l.addi r11,r0,1 + l.addi r11,r0,3 + l.addi r11,r0,5 + l.trap 1 + l.addi r11,r0,10 + l.addi r11,r0,15 + l.j _forw + l.addi r11,r0,20 + l.addi r11,r0,25 +_forw: + l.addi r11,r0,30 + l.trap 1 + l.addi r11,r0,35 + l.nop + l.nop + l.sys 203 + l.nop + Index: trap/spr_defs.h =================================================================== --- trap/spr_defs.h (nonexistent) +++ trap/spr_defs.h (revision 1765) @@ -0,0 +1,400 @@ +/* spr_defs.h -- Defines OR1K architecture specific special-purpose registers + Copyright (C) 1999 Damjan Lampret, lampret@opencores.org + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + +/* This file is also used by microkernel test bench. Among +others it is also used in assembly file(s). */ + +/* Definition of special-purpose registers (SPRs) */ + +#define MAX_GRPS (32) +#define MAX_SPRS_PER_GRP_BITS (11) +#define MAX_SPRS_PER_GRP (1 << MAX_SPRS_PER_GRP_BITS) +#define MAX_SPRS (0x10000) + +/* Base addresses for the groups */ +#define SPRGROUP_SYS (0<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DMMU (1<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IMMU (2<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DC (3<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IC (4<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_MAC (5<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_D (6<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PC (7<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PM (8<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PIC (9<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_TT (10<< MAX_SPRS_PER_GRP_BITS) + +/* System control and status group */ +#define SPR_VR (SPRGROUP_SYS + 0) +#define SPR_UPR (SPRGROUP_SYS + 1) +#define SPR_CPUCFGR (SPRGROUP_SYS + 2) +#define SPR_DMMUCFGR (SPRGROUP_SYS + 3) +#define SPR_IMMUCFGR (SPRGROUP_SYS + 4) +#define SPR_DCCFGR (SPRGROUP_SYS + 5) +#define SPR_ICCFGR (SPRGROUP_SYS + 6) +#define SPR_DCFGR (SPRGROUP_SYS + 7) +#define SPR_PCCFGR (SPRGROUP_SYS + 8) +#define SPR_PC (SPRGROUP_SYS + 16) /* CZ 21/06/01 */ +#define SPR_SR (SPRGROUP_SYS + 17) /* CZ 21/06/01 */ +#define SPR_EPCR_BASE (SPRGROUP_SYS + 32) /* CZ 21/06/01 */ +#define SPR_EPCR_LAST (SPRGROUP_SYS + 47) /* CZ 21/06/01 */ +#define SPR_EEAR_BASE (SPRGROUP_SYS + 48) +#define SPR_EEAR_LAST (SPRGROUP_SYS + 63) +#define SPR_ESR_BASE (SPRGROUP_SYS + 64) +#define SPR_ESR_LAST (SPRGROUP_SYS + 79) + +/* Data MMU group */ +#define SPR_DMMUCR (SPRGROUP_DMMU + 0) +#define SPR_DTLBMR_BASE(WAY) (SPRGROUP_DMMU + 0x200 + (WAY) * 0x200) +#define SPR_DTLBMR_LAST(WAY) (SPRGROUP_DMMU + 0x2ff + (WAY) * 0x200) +#define SPR_DTLBTR_BASE(WAY) (SPRGROUP_DMMU + 0x300 + (WAY) * 0x200) +#define SPR_DTLBTR_LAST(WAY) (SPRGROUP_DMMU + 0x3ff + (WAY) * 0x200) + +/* Instruction MMU group */ +#define SPR_IMMUCR (SPRGROUP_IMMU + 0) +#define SPR_ITLBMR_BASE(WAY) (SPRGROUP_IMMU + 0x200 + (WAY) * 0x200) +#define SPR_ITLBMR_LAST(WAY) (SPRGROUP_IMMU + 0x2ff + (WAY) * 0x200) +#define SPR_ITLBTR_BASE(WAY) (SPRGROUP_IMMU + 0x300 + (WAY) * 0x200) +#define SPR_ITLBTR_LAST(WAY) (SPRGROUP_IMMU + 0x3ff + (WAY) * 0x200) + +/* Data cache group */ +#define SPR_DCCR (SPRGROUP_DC + 0) +#define SPR_DCBPR (SPRGROUP_DC + 1) +#define SPR_DCBFR (SPRGROUP_DC + 2) +#define SPR_DCBIR (SPRGROUP_DC + 3) +#define SPR_DCBWR (SPRGROUP_DC + 4) +#define SPR_DCBLR (SPRGROUP_DC + 5) +#define SPR_DCR_BASE(WAY) (SPRGROUP_DC + 0x200 + (WAY) * 0x200) +#define SPR_DCR_LAST(WAY) (SPRGROUP_DC + 0x3ff + (WAY) * 0x200) + +/* Instruction cache group */ +#define SPR_ICCR (SPRGROUP_IC + 0) +#define SPR_ICBPR (SPRGROUP_IC + 1) +#define SPR_ICBIR (SPRGROUP_IC + 2) +#define SPR_ICBLR (SPRGROUP_IC + 3) +#define SPR_ICR_BASE(WAY) (SPRGROUP_IC + 0x200 + (WAY) * 0x200) +#define SPR_ICR_LAST(WAY) (SPRGROUP_IC + 0x3ff + (WAY) * 0x200) + +/* MAC group */ +#define SPR_MACLO (SPRGROUP_MAC + 1) +#define SPR_MACHI (SPRGROUP_MAC + 2) + +/* Debug group */ +#define SPR_DVR(N) (SPRGROUP_D + (N)) +#define SPR_DCR(N) (SPRGROUP_D + 8 + (N)) +#define SPR_DMR1 (SPRGROUP_D + 16) +#define SPR_DMR2 (SPRGROUP_D + 17) +#define SPR_DWCR0 (SPRGROUP_D + 18) +#define SPR_DWCR1 (SPRGROUP_D + 19) +#define SPR_DSR (SPRGROUP_D + 20) +#define SPR_DRR (SPRGROUP_D + 21) +#define SPR_DIR (SPRGROUP_D + 22) + +/* Performance counters group */ +#define SPR_PCCR(N) (SPRGROUP_PC + (N)) +#define SPR_PCMR(N) (SPRGROUP_PC + 8 + (N)) + +/* Power management group */ +#define SPR_PMR (SPRGROUP_PM + 0) + +/* PIC group */ +#define SPR_PICMR (SPRGROUP_PIC + 0) +#define SPR_PICPR (SPRGROUP_PIC + 1) +#define SPR_PICSR (SPRGROUP_PIC + 2) + +/* Tick Timer group */ +#define SPR_TTMR (SPRGROUP_TT + 0) +#define SPR_TTCR (SPRGROUP_TT + 1) + +/* + * Bit definitions for the Version Register + * + */ +#define SPR_VR_VER 0xffff0000 /* Processor version */ +#define SPR_VR_REV 0x0000003f /* Processor revision */ + +/* + * Bit definitions for the Unit Present Register + * + */ +#define SPR_UPR_UP 0x00000001 /* UPR present */ +#define SPR_UPR_DCP 0x00000002 /* Data cache present */ +#define SPR_UPR_ICP 0x00000004 /* Instruction cache present */ +#define SPR_UPR_DMP 0x00000008 /* Data MMU present */ +#define SPR_UPR_IMP 0x00000010 /* Instruction MMU present */ +#define SPR_UPR_OB32P 0x00000020 /* ORBIS32 present */ +#define SPR_UPR_OB64P 0x00000040 /* ORBIS64 present */ +#define SPR_UPR_OF32P 0x00000080 /* ORFPX32 present */ +#define SPR_UPR_OF64P 0x00000100 /* ORFPX64 present */ +#define SPR_UPR_OV32P 0x00000200 /* ORVDX32 present */ +#define SPR_UPR_OV64P 0x00000400 /* ORVDX64 present */ +#define SPR_UPR_DUP 0x00000800 /* Debug unit present */ +#define SPR_UPR_PCUP 0x00001000 /* Performance counters unit present */ +#define SPR_UPR_PMP 0x00002000 /* Power management present */ +#define SPR_UPR_PICP 0x00004000 /* PIC present */ +#define SPR_UPR_TTP 0x00008000 /* Tick timer present */ +#define SPR_UPR_SRP 0x00010000 /* Shadow registers present */ +#define SPR_UPR_RES 0x00fe0000 /* ORVDX32 present */ +#define SPR_UPR_CUST 0xff000000 /* Custom units */ + +/* + * Bit definitions for the Supervision Register + * + */ +#define SPR_SR_CID 0xf0000000 /* Context ID */ +#define SPR_SR_PXR 0x00008000 /* Partial exception recognition */ +#define SPR_SR_EP 0x00004000 /* Exception Prefix */ +#define SPR_SR_DSX 0x00002000 /* Delay Slot Exception */ +#define SPR_SR_OVE 0x00001000 /* Overflow flag Exception */ +#define SPR_SR_OV 0x00000800 /* Overflow flag */ +#define SPR_SR_CY 0x00000400 /* Carry flag */ +#define SPR_SR_F 0x00000200 /* Condition Flag */ +#define SPR_SR_CE 0x00000100 /* CID Enable */ +#define SPR_SR_LEE 0x00000080 /* Little Endian Enable */ +#define SPR_SR_IME 0x00000040 /* Instruction MMU Enable */ +#define SPR_SR_DME 0x00000020 /* Data MMU Enable */ +#define SPR_SR_ICE 0x00000010 /* Instruction Cache Enable */ +#define SPR_SR_DCE 0x00000008 /* Data Cache Enable */ +#define SPR_SR_EIR 0x00000004 /* External Interrupt Recognition */ +#define SPR_SR_EXR 0x00000002 /* Exception Recognition */ +#define SPR_SR_SUPV 0x00000001 /* Supervisor mode */ + +/* + * Bit definitions for the Data MMU Control Register + * + */ +#define SPR_DMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_DMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_DMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_DMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Instruction MMU Control Register + * + */ +#define SPR_IMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_IMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_IMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_IMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Data TLB Match Register + * + */ +#define SPR_DTLBMR_V 0x00000001 /* Valid */ +#define SPR_DTLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_DTLBMR_CID 0x0000003c /* Context ID */ +#define SPR_DTLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_DTLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Data TLB Translate Register + * + */ +#define SPR_DTLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_DTLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_DTLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_DTLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_DTLBTR_A 0x00000010 /* Accessed */ +#define SPR_DTLBTR_D 0x00000020 /* Dirty */ +#define SPR_DTLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_DTLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_DTLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_DTLBTR_SWE 0x00000200 /* Supervisor Write Enable */ +#define SPR_DTLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for the Instruction TLB Match Register + * + */ +#define SPR_ITLBMR_V 0x00000001 /* Valid */ +#define SPR_ITLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_ITLBMR_CID 0x0000003c /* Context ID */ +#define SPR_ITLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_ITLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Instruction TLB Translate Register + * + */ +#define SPR_ITLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_ITLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_ITLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_ITLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_ITLBTR_A 0x00000010 /* Accessed */ +#define SPR_ITLBTR_D 0x00000020 /* Dirty */ +#define SPR_ITLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_ITLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_ITLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_ITLBTR_SWE 0x00000200 /* Supervisor Write Enable (not used actually) */ +#define SPR_ITLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for Data Cache Control register + * + */ +#define SPR_DCCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Insn Cache Control register + * + */ +#define SPR_ICCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Debug Control registers + * + */ +#define SPR_DCR_DP 0x00000001 /* DVR/DCR present */ +#define SPR_DCR_CC 0x0000000e /* Compare condition */ +#define SPR_DCR_SC 0x00000010 /* Signed compare */ +#define SPR_DCR_CT 0x000000e0 /* Compare to */ + +/* + * Bit definitions for Debug Mode 1 register + * + */ +#define SPR_DMR1_CW0 0x00000003 /* Chain watchpoint 0 */ +#define SPR_DMR1_CW1 0x0000000c /* Chain watchpoint 1 */ +#define SPR_DMR1_CW2 0x00000030 /* Chain watchpoint 2 */ +#define SPR_DMR1_CW3 0x000000c0 /* Chain watchpoint 3 */ +#define SPR_DMR1_CW4 0x00000300 /* Chain watchpoint 4 */ +#define SPR_DMR1_CW5 0x00000c00 /* Chain watchpoint 5 */ +#define SPR_DMR1_CW6 0x00003000 /* Chain watchpoint 6 */ +#define SPR_DMR1_CW7 0x0000c000 /* Chain watchpoint 7 */ +#define SPR_DMR1_CW8 0x00030000 /* Chain watchpoint 8 */ +#define SPR_DMR1_CW9 0x000c0000 /* Chain watchpoint 9 */ +#define SPR_DMR1_CW10 0x00300000 /* Chain watchpoint 10 */ +#define SPR_DMR1_ST 0x00400000 /* Single-step trace*/ +#define SPR_DMR1_BT 0x00800000 /* Branch trace */ +#define SPR_DMR1_DXFW 0x01000000 /* Disable external force watchpoint */ + +/* + * Bit definitions for Debug Mode 2 register + * + */ +#define SPR_DMR2_WCE0 0x00000001 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_WCE1 0x00000002 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_AWTC 0x00001ffc /* Assign watchpoints to counters */ +#define SPR_DMR2_WGB 0x00ffe000 /* Watchpoints generating breakpoint */ + +/* + * Bit definitions for Debug watchpoint counter registers + * + */ +#define SPR_DWCR_COUNT 0x0000ffff /* Count */ +#define SPR_DWCR_MATCH 0xffff0000 /* Match */ + +/* + * Bit definitions for Debug stop register + * + */ +#define SPR_DSR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DSR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DSR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DSR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DSR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DSR_AE 0x00000020 /* Alignment exception */ +#define SPR_DSR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DSR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DSR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DSR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DSR_RE 0x00000400 /* Range exception */ +#define SPR_DSR_SCE 0x00000800 /* System call exception */ +#define SPR_DSR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Debug reason register + * + */ +#define SPR_DRR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DRR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DRR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DRR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DRR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DRR_AE 0x00000020 /* Alignment exception */ +#define SPR_DRR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DRR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DRR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DRR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DRR_RE 0x00000400 /* Range exception */ +#define SPR_DRR_SCE 0x00000800 /* System call exception */ +#define SPR_DRR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Performance counters mode registers + * + */ +#define SPR_PCMR_CP 0x00000001 /* Counter present */ +#define SPR_PCMR_UMRA 0x00000002 /* User mode read access */ +#define SPR_PCMR_CISM 0x00000004 /* Count in supervisor mode */ +#define SPR_PCMR_CIUM 0x00000008 /* Count in user mode */ +#define SPR_PCMR_LA 0x00000010 /* Load access event */ +#define SPR_PCMR_SA 0x00000020 /* Store access event */ +#define SPR_PCMR_IF 0x00000040 /* Instruction fetch event*/ +#define SPR_PCMR_DCM 0x00000080 /* Data cache miss event */ +#define SPR_PCMR_ICM 0x00000100 /* Insn cache miss event */ +#define SPR_PCMR_IFS 0x00000200 /* Insn fetch stall event */ +#define SPR_PCMR_LSUS 0x00000400 /* LSU stall event */ +#define SPR_PCMR_BS 0x00000800 /* Branch stall event */ +#define SPR_PCMR_DTLBM 0x00001000 /* DTLB miss event */ +#define SPR_PCMR_ITLBM 0x00002000 /* ITLB miss event */ +#define SPR_PCMR_DDS 0x00004000 /* Data dependency stall event */ +#define SPR_PCMR_WPE 0x03ff8000 /* Watchpoint events */ + +/* + * Bit definitions for the Power management register + * + */ +#define SPR_PMR_SDF 0x00000001 /* Slow down factor */ +#define SPR_PMR_DME 0x00000002 /* Doze mode enable */ +#define SPR_PMR_SME 0x00000004 /* Sleep mode enable */ +#define SPR_PMR_DCGE 0x00000008 /* Dynamic clock gating enable */ +#define SPR_PMR_SUME 0x00000010 /* Suspend mode enable */ + +/* + * Bit definitions for PICMR + * + */ +#define SPR_PICMR_IUM 0xfffffffc /* Interrupt unmask */ + +/* + * Bit definitions for PICPR + * + */ +#define SPR_PICPR_IPRIO 0xfffffffc /* Interrupt priority */ + +/* + * Bit definitions for PICSR + * + */ +#define SPR_PICSR_IS 0xffffffff /* Interrupt status */ + +/* + * Bit definitions for Tick Timer Control Register + * + */ +#define SPR_TTCR_PERIOD 0x0fffffff /* Time Period */ +#define SPR_TTMR_PERIOD SPR_TTCR_PERIOD +#define SPR_TTMR_IP 0x10000000 /* Interrupt Pending */ +#define SPR_TTMR_IE 0x20000000 /* Interrupt Enable */ +#define SPR_TTMR_RT 0x40000000 /* Restart tick */ +#define SPR_TTMR_SR 0x80000000 /* Single run */ +#define SPR_TTMR_CR 0xc0000000 /* Continuous run */ +#define SPR_TTMR_M 0xc0000000 /* Tick mode */
trap/spr_defs.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trap/Makefile =================================================================== --- trap/Makefile (nonexistent) +++ trap/Makefile (revision 1765) @@ -0,0 +1,16 @@ +CCFLAGS = -O2 -g -nostdlib + +all: trap.or32 + +trap.or32: trap.o + or32-rtems-gcc -nostdlib trap.o -o trap.or32 + or32-rtems-objcopy -O binary trap.or32 trap.bin + ../utils/bin2srec trap.bin > trap.srec + ../utils/bin2hex trap.bin > trap.hex + cp trap.hex ../../sim/src/flash.in + +trap.o: trap.S + or32-rtems-gcc $(CCFLAGS) trap.S -c -DOR1K + +clean: + rm -f *.o trap.or32 *.bin *.srec *.hex Index: utils/bin2hex.c =================================================================== --- utils/bin2hex.c (nonexistent) +++ utils/bin2hex.c (revision 1765) @@ -0,0 +1,32 @@ +#include + +/* Number of bytes before line is broken + For example if target flash is 8 bits wide, + define BREAK as 1. If it is 16 bits wide, + define it as 2 etc. +*/ +#define BREAK 1 + +int main(int argc, char **argv) +{ + + FILE *fd; + int c; + int i = 0; + + if(argc < 2) { + printf("no input file specified\n"); + exit(1); + } + + fd = fopen( argv[1], "r" ); + + while ((c = fgetc(fd)) != EOF) { + printf("%.2lx", c); + if (++i == BREAK) { + printf("\n"); + i = 0; + } + } + return 0; +} Index: utils/Makefile =================================================================== --- utils/Makefile (nonexistent) +++ utils/Makefile (revision 1765) @@ -0,0 +1,21 @@ +all: dummy + +bin2c: bin2c.c + gcc -O2 -o bin2c bin2c.c + +bin2srec: bin2srec.c + gcc -O2 -o bin2srec bin2srec.c + +loader: loader.c + gcc -O2 -o loader loader.c + +bin2flimg: bin2flimg.c + gcc -O2 -o bin2flimg bin2flimg.c + +bin2hex: bin2hex.c + gcc -O2 -o bin2hex bin2hex.c + +dummy: bin2c bin2srec loader bin2flimg bin2hex + +clean: + rm -rf bin2c bin2srec loader bin2flimg bin2hex *~ *.bak Index: utils/bin2flimg.c =================================================================== --- utils/bin2flimg.c (nonexistent) +++ utils/bin2flimg.c (revision 1765) @@ -0,0 +1,38 @@ +#include + +int main(int argc, char **argv) +{ + + FILE *fd; + int c, i, j, width; + unsigned long word; + + if(argc < 3) { + printf("no input file specified"); + exit(1); + } + + width = atoi(argv[1]); + + fd = fopen( argv[2], "r" ); + + while (!feof(fd)) { + j = 0; + word = 0; + while (j < width) { + c = fgetc(fd); + if (c == EOF) { + c = 0; + } + word = (word << 8) + c; + j++; + } + if(width == 1) + printf("%.2lx\n", word); + else if(width == 2) + printf("%.4lx\n", word); + else + printf("%.8lx\n", word); + } + return 0; +} Index: utils/loader.c =================================================================== --- utils/loader.c (nonexistent) +++ utils/loader.c (revision 1765) @@ -0,0 +1,123 @@ +/* Small utility that makes flash image. */ + +#include +#include +#include +#include +#include +#include +#include "../mad-xess/fsyst.h" + +unsigned int swap (unsigned int x) { + return (x & 0xFF) << 24 + | (x & 0xFF00) << 8 + | (x & 0xFF0000) >> 8 + | (x & 0xFF000000) >> 24; +} + +/* Copies data from fi to fo. Returns nonzero + if error. */ +int copy_into (FILE *fo, FILE *fi) +{ + char buf[8192]; + int bread; + do + { + bread = fread (&buf, 1, sizeof(buf), fi); + if (bread != fwrite (&buf, 1, bread, fo)) + return 1; + } while (bread == sizeof(buf)); + return 0; +} + +/* Writes file to fo and returns error. */ +int write_file (FILE *fo, struct file_struct *file) +{ + unsigned int u; + int ok = 0; + u = swap(file->length); + printf("%08x:%08x\n", file->length, u); + if (fwrite(&u, sizeof(unsigned long), 1, fo)) + ok = 1; + u = swap(file->type); + if (fwrite(&u, sizeof(unsigned long), 1, fo) && ok) + return 0; + fprintf (stderr, "Cannot write to file.\n"); + return 1; +} + +int main(int argc, char *argv[]) +{ + int i; + FILE *fo; + struct file_struct file; + + if (argc <= 1) + { + printf ("Usage: loader image_file.mfs [file.mp3 [...]]\n"); + return 1; + } + + if ((fo = fopen (argv[1], "wb+")) == NULL) + { + fprintf (stderr, "Cannot open output file '%s'\n", argv[1]); + return 2; + } + + file.type = FT_ROOT; + file.length = HEADER_SIZE; + if (write_file (fo, &file)) + return 3; + + for (i = 2; i < argc; i++) + { + FILE *fi = fopen (argv[i], "rb"); + struct stat fi_stat; + int align; + if (!fi) + { + fprintf (stderr, "Cannot open input file '%s'\n", argv[i]); + return 1; + } + stat (argv[i], &fi_stat); + printf ("Track %i: %s (size %i)\n", i - 1, argv[i], (int)fi_stat.st_size); + + file.type = FT_TRACK_NO; + file.length = HEADER_SIZE + sizeof (unsigned int); + file.data[0] = swap(i - 1); + if (write_file (fo, &file)) + return 3; + if (!fwrite (&file.data[0], sizeof (unsigned int), 1, fo)) + { + fprintf (stderr, "Cannot write to file.\n"); + return 3; + } + + file.type = FT_TRACK_NAME; + align = (4 - ((strlen (argv[i]) + 1) & 3)) & 3; + file.length = HEADER_SIZE + strlen (argv[i]) + 1 + align; + if (write_file (fo, &file)) + return 3; + if (!fwrite (argv[i], strlen (argv[i]) + 1 + align, 1, fo)) + { + fprintf (stderr, "Cannot write to file.\n"); + return 3; + } + + file.type = FT_TRACK_DATA; + align = (4 - (fi_stat.st_size & 3)) & 3; + file.length = HEADER_SIZE + fi_stat.st_size + align; + if (write_file (fo, &file)) + return 3; + copy_into(fo, fi); + fwrite (&align, 1, align, fo); + fclose (fi); + } + file.type = FT_END; + file.length = 0; + if (write_file (fo, &file)) + return 3; + printf ("Done.\n"); + fclose (fo); + return 0; +} Index: utils/bin2srec.c =================================================================== --- utils/bin2srec.c (nonexistent) +++ utils/bin2srec.c (revision 1765) @@ -0,0 +1,43 @@ +#include + +#define SMARK "S214" +#define SADDR 0x000000 +#define INIT_ADDR 0x100100 +#define SCHKSUM 0xff + +int main(int argc, char **argv) +{ + + FILE *fd; + int c, i, j; + unsigned long addr = INIT_ADDR; + unsigned char chksum; + + if(argc < 2) + error("no input file specified"); + + fd = fopen( argv[1], "r" ); + + while (!feof(fd)) { + j = 0; + chksum = SCHKSUM; + printf("%s%.6lx", SMARK, addr); + while (j < 16) { + c = fgetc(fd); + if (c == EOF) { + c = 0; + } + printf("%.2lx", c); + chksum -= c; + j++; + } + + chksum -= addr & 0xff; + chksum -= (addr >> 8) & 0xff; + chksum -= (addr >> 16) & 0xff; + chksum -= 0x14; + printf("%.2lx\r\n", chksum); + addr += 16; + } + return 0; +} Index: utils/bin2c.c =================================================================== --- utils/bin2c.c (nonexistent) +++ utils/bin2c.c (revision 1765) @@ -0,0 +1,24 @@ +#include + +int main(void) +{ + + int c, i = 0; + + printf("#ifdef HAVE_CONFIG_H\n"); + printf("# include \"config.h\"\n"); + printf("#endif\n\n"); + printf("#ifdef EMBED\n"); + + printf("unsigned char flash_data[] = {\n"); + + while((c = getchar()) != EOF) { + printf("0x%.2x, ", c); + if(!(i % 32)) + printf("\n"); + i++; + } + + printf(" };\n"); + printf("#endif\n"); +} Index: utils/merge2srec =================================================================== --- utils/merge2srec (nonexistent) +++ utils/merge2srec (revision 1765) @@ -0,0 +1,10 @@ +#!/bin/sh + +LINE_NB=`wc -l < $1` +MIN=`expr $LINE_NB - 1` + +head -$MIN $1 > out.exo + +cat $2 >> out.exo +tail -1 $1 >> out.exo +
utils/merge2srec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/simmem.cfg =================================================================== --- mad-xess/simmem.cfg (nonexistent) +++ mad-xess/simmem.cfg (revision 1765) @@ -0,0 +1,3 @@ +00000000 00200000 flash 0 5 5 +40000000 00000010 RAM 2 1 1 +80000000 00200000 RAM 1 1 2 Index: mad-xess/sim.cfg =================================================================== --- mad-xess/sim.cfg (nonexistent) +++ mad-xess/sim.cfg (revision 1765) @@ -0,0 +1,89 @@ +/* sim.cfg -- Simulator configuration script file + Copyright (C) 2001, Marko Mlinar, markom@opencores.org + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + +section memory + memory_table_file = "simmem.cfg" + /*random_seed = 12345 + type = random*/ + pattern = 0x00 + type = unknown /* Fastest */ +end + +section cpu + ver = 0x1200 + rev = 0x0001 + /* upr = */ + superscalar = 0 + hazards = 0 + history = 0 + dependstats = 0 + dependency = 0 + slp = 0 + btic_sim = 0 + bpb = 0 +end + +section debug + /*enabled = 0 + gdb_enabled = 0*/ + server_port = 9999 +end + +section sim + debug = 0 + verbose = 0 + profile = 0 + prof_fn = "sim.profile" + + /* iprompt = 0 */ + exe_log = 1 + exe_log_fn = "executed.log" +end + +section mc + enabled = 0 + baseaddr = 0xa0000000 + POC = 0x00000008 /* Power on configuration register */ +end + +section uart + enabled = 0 + nuarts = 1 + + device 0 + baseaddr = 0x80000000 + rxfile = "/tmp/uart0.rx" + txfile = "/tmp/uart0.tx" + jitter = -1 /* async behaviour */ + enddevice +end + +section dma + enabled = 0 + ndmas = 1 + + device 0 + baseaddr = 0x90000000 + irq = 4 + enddevice +end + +section VAPI + enabled = 0 +end
mad-xess/sim.cfg Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/README =================================================================== --- mad-xess/README (nonexistent) +++ mad-xess/README (revision 1765) @@ -0,0 +1,24 @@ +This is MP3 decoder for OpenRISC configured for the xess board. + +Make the mp3 player with: + + ./makes2 songs/Beethoven-fuer_elise-16.mp3 songs/Moonlight-16.mp3 + +This should build mp3 decoder for or32 and also put these two +songs in the memory image. + +You get three outputs: + +- minimad : this is executable in COFF binary format that you can use +on the simulator (type "sim minimad", uncomment the OR1K_SIM define +in config.h to get PCM output in a file audiosim.pcm, which you can hear +using command "play -t raw -r 22050 -s w -f s -c 2 audiosim.pcm") + +- minimad.s2 : this is srecord siutable to be merged with bitstream (*.exo) +that is burned into flash memory in xess board +(type "../utils/merge2srec xfpga_top.exo minimad.s2" to get out.exo and then +load this to xess board) + +- minimad.mem : this file is used to initialize flash model for the RTL +simulation (sim/ncsim/run/run_sim is expecting this file so you have to +build this decoder before you run the simulation).
mad-xess/README Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/minimad.c =================================================================== --- mad-xess/minimad.c (nonexistent) +++ mad-xess/minimad.c (revision 1765) @@ -0,0 +1,416 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: minimad.c,v 1.4 2001-11-07 10:44:11 simons Exp $ + */ + +#ifdef HAVE_CONFIG_H +#include "config.h" +#endif + +#ifndef EMBED +#include +#include +#include +#include +#include +#include +#endif +#include "audio.h" +#include "mad.h" +#include "fsyst.h" + +volatile int button; +//#define OR1K_SIM + +#define AUDIO_DBG 0 + +#if AUDIO_DBG +extern unsigned short wave_dump[(0x40000/2)]; +extern int wave_seg_index[0x10000]; +extern int wave_seg_nb; +extern int wave_index; +#endif + +#define SRAM_BASE 0x80000000 +#define SUCCESS_CODE 0x11223344 +#define REG32(x) (*(volatile unsigned long *)(x)) +#ifdef OR1K_SIM +#define BUTTON_STATE (0) +#else +#define BUTTON_STATE (!((*((volatile unsigned long *)0x40000000)) & 0x80000000)) +#endif + +void report1(unsigned long value) +{ + unsigned long spr = 0x1234; + asm("l.mtspr\t\t%0,%1,0x0" : : "r" (spr), "r" (value)); + return; +} +/* +int test_button(void) +{ + if(BUTTON_STATE) { + button = 1; + return 0; + } + else { + if(button == 1) { + while(1) { + int l = 0; + int i,j,k; + for(i = 0; i < 3; i++) { + for(j = 0; j < 100000; j++) + k = BUTTON_STATE; + l += k; + } + if(l == 0) { + button = 0; + return 1; + } + } + } + else { + button = 0; + return 0; + } + } + return 0; +} +*/ +int test_button(void) +{ + if(BUTTON_STATE) + while(1) { + int l = 0; + int i,j,k; + for(i = 0; i < 3; i++) { + for(j = 0; j < 100000; j++) + k = BUTTON_STATE; + l += k; + } + if(l == 0) { + button = 0; + return 1; + } + } + return 0; +} + +# ifdef EMBED +int main(); + +void c_reset() +{ + register unsigned long i asm("r5"); + register int longgggjump asm("r3"); + register unsigned long main_ofs asm("r4"); +// memcpy((void *)0x80000000, (void *)0, 0x1000); +/* for (i=0x100; i < 0x13000; i+=4) + *(unsigned long *)(0x80000000+i) = *(unsigned long *)(0x0+i); + main_ofs = (unsigned long)main; + asm("l.movhi r3,0x8000"); + asm("l.add r3,r3,%0" : : "r" (main_ofs)); + asm("l.jr r3"); + asm("l.nop"); +*/ + main(0,0); +} +# endif + +/* Root file location. */ +unsigned char *root_file; + +static int nchan = 0, speed = 0; +# ifndef EMBED +FILE *fo; +int output_s(unsigned char const *ptr, unsigned int len); +# endif + +static void +output(struct mad_pcm *pcm) +{ + unsigned int nchannels; +# ifndef EMBED + union audio_control control; +# endif + mad_fixed_t *ch1, *ch2; + + nchannels = pcm->channels; + + if (nchannels != nchan || speed != pcm->samplerate) + { +# ifndef EMBED + control.command = AUDIO_COMMAND_CONFIG; + + control.config.channels = nchannels; + control.config.speed = pcm->samplerate; + printf ("%i ", pcm->samplerate); + if (audio_oss(&control) == -1) + return; +# endif + nchan = nchannels; + speed = pcm->samplerate; + } + ch1 = &pcm->samples[0][0]; + ch2 = &pcm->samples[1][0]; + + if (nchan == 1) + ch2 = ch1; + + { + unsigned char data[MAX_NSAMPLES * 4 * 2]; + unsigned int len; + + len = audio_pcm_s16le(data, pcm->length, ch1, ch2); +# ifndef EMBED + output_s(data, len); +# endif + } + return; +} +#ifdef EMBED +void report(unsigned long value) +{ + unsigned long spr = 0x1234; + asm("l.mtspr\t\t%0,%1,0x0" : : "r" (spr), "r" (value)); + return; +} +#endif + +struct mad_pcm pcm; +/* Generates beep of 32 samples with sampling frequency + freq, vould = 0..31. */ +static void genbeep (int freq, int volume) +{ + int o = MAD_F_ONE/256 * volume, i; + pcm.length = 32; + pcm.samplerate = freq; + pcm.channels = 1; + for (i = 0; i < 8; i++) + { + pcm.samples[0][i] = i * o; +// report(i); + } + for (i = 0; i < 8; i++) + pcm.samples[0][i+8] = (8 - i) * o; + output (&pcm); + for (i = 0; i < 16; i++) + pcm.samples[0][16+i] = -pcm.samples[0][i]; + output (&pcm); +} + +static void short_beep () +{ + int i; + for (i = 0; i < 5; i++) + genbeep(44100, 8); +} + +/* private message buffer */ + +struct buffer { + unsigned char *start; + unsigned long length; +}; + +/* 2. called when more input is needed; refill stream buffer */ + +static +enum mad_flow input(void *data, + struct mad_stream *stream) +{ + struct buffer *buffer = data; + + if (!buffer->length) + return MAD_FLOW_STOP; + + mad_stream_buffer(stream, buffer->start, buffer->length); + + buffer->length = 0; + + return MAD_FLOW_CONTINUE; +} + +/* 4. called to handle a decoding error */ + +static +enum mad_flow error(void *data, + struct mad_stream *stream, + struct mad_frame *frame) +{ +#ifndef EMBED + struct buffer *buffer = data; + fprintf(stderr, "decoding error 0x%04x at byte offset %u\n", + stream->error, stream->this_frame - buffer->start); +#endif + + return MAD_FLOW_STOP; +} + +/* 5. put it all together */ + +static +int decode(unsigned char *start, unsigned long length) +{ + struct buffer buffer; + struct mad_decoder decoder; + int result = 0; + + buffer.start = start; + buffer.length = length; + + /* configure input, and error functions */ + + mad_decoder_init(&decoder, &buffer, + input, error); + + /* start the decoder */ + + result = mad_decoder_run(&decoder, MAD_DECODER_MODE_SYNC); + + mad_decoder_finish(&decoder); + + return result; +} + +#ifndef EMBED +static void *init_flash (char *filename) +{ + struct stat f_stat; + void *flash; + FILE *f = fopen (filename, "rb"); + if (!f) + return NULL; + stat (filename, &f_stat); + flash = malloc (f_stat.st_size); + if (!flash) + return NULL; + if (fread (flash, 1, f_stat.st_size, f) != f_stat.st_size) + return NULL; + fclose (f); + return flash; +} +#else +extern unsigned char flash_data[]; +#endif + + +void __main() {} + +int main(int argc, char *argv[]) +{ + int i; + int result; + + +#ifndef EMBED + union audio_control control; + if (argc != 2) + { + printf ("Usage: minimad image_file.mfs\n"); + return 1; + } + if (!(root_file = (char *) init_flash (argv[1]))) + { + fprintf (stderr, "Error loading image file '%s'\n", argv[1]); + return 2; + } + + control.command = AUDIO_COMMAND_INIT; + control.init.path = NULL; + if (audio_oss(&control) == -1) { + printf("audio %s\n", audio_error); + return 3; + } + fo = fopen ("audio.pcm", "wb+"); +#else + /* In EMBEDDED applications we have flash data linked. */ + root_file = &flash_data[0]; +#ifdef OR1K_SIM + asm volatile ("l.mtspr r0,r0,0x0FFFD"); +#endif +#endif + button = 0; + + + for (i = 1;; i++) { + struct file_struct *fs, *datat; + fs = find_track_no (i, ROOT_FILE); +// if (!fs) break; + if(!fs) { + i = 1; + fs = find_track_no (i, ROOT_FILE); + } + datat = track_data (fs); + short_beep(); + +# ifndef EMBED + { + unsigned u, v; + u = swap(datat->length); + v = swap(datat->data[0]); + printf ("Track %u: %s\n", i, track_name (fs)); + printf ("%08x:%08x:%08x\n", datat->data[0], v, u); + } +# endif + result = decode((unsigned char *)&datat->data[0], swap(datat->length) - sizeof(struct file_struct) + sizeof (unsigned int)); + if(result != 1) { + while(!test_button()); + } + } + + short_beep(); +#ifndef EMBED + control.command = AUDIO_COMMAND_FINISH; + if (audio_oss(&control) == -1) { + printf("audio %s\n", audio_error); + return 3; + } + free (root_file); + printf ("Done.\n"); + fclose (fo); +#else +#ifdef OR1K_SIM + asm volatile("l.mtspr r0,r0,0x0FFFF"); +#endif +#endif + +#if AUDIO_DBG +report1(wave_index); +report1(wave_seg_nb); + +report1(wave_seg_index[10]); +report1(wave_dump[wave_seg_index[10]]); + +report1(wave_seg_index[11]); +report1(wave_dump[wave_seg_index[11]]); + +report1(wave_seg_index[12]); +report1(wave_dump[wave_seg_index[12]]); +#endif + +while(1) { + REG32(SRAM_BASE + 0x00) = (SUCCESS_CODE >> 0) & 0x000000ff; + REG32(SRAM_BASE + 0x40) = (SUCCESS_CODE >> 8) & 0x000000ff; + REG32(SRAM_BASE + 0x80) = (SUCCESS_CODE >> 16) & 0x000000ff; + REG32(SRAM_BASE + 0xc0) = (SUCCESS_CODE >> 24) & 0x000000ff; + } + return 0; +} +
mad-xess/minimad.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/_ansi.h =================================================================== --- mad-xess/_ansi.h (nonexistent) +++ mad-xess/_ansi.h (revision 1765) @@ -0,0 +1,71 @@ +/* Provide support for both ANSI and non-ANSI environments. */ + +/* Some ANSI environments are "broken" in the sense that __STDC__ cannot be + relied upon to have it's intended meaning. Therefore we must use our own + concoction: _HAVE_STDC. Always use _HAVE_STDC instead of __STDC__ in newlib + sources! + + To get a strict ANSI C environment, define macro __STRICT_ANSI__. This will + "comment out" the non-ANSI parts of the ANSI header files (non-ANSI header + files aren't affected). */ + +#ifndef _ANSIDECL_H_ +#define _ANSIDECL_H_ + +#include + +/* First try to figure out whether we really are in an ANSI C environment. */ +/* FIXME: This probably needs some work. Perhaps sys/config.h can be + prevailed upon to give us a clue. */ + +#ifdef __STDC__ +#define _HAVE_STDC +#endif + +#ifdef _HAVE_STDC +#define _PTR void * +#define _AND , +#define _NOARGS void +#define _CONST const +#define _VOLATILE volatile +#define _SIGNED signed +#define _DOTS , ... +#define _VOID void +#define _EXFUN(name, proto) name proto +#define _DEFUN(name, arglist, args) name(args) +#define _DEFUN_VOID(name) name(_NOARGS) +#define _CAST_VOID (void) +#ifndef _LONG_DOUBLE +#define _LONG_DOUBLE long double +#endif +#ifndef _PARAMS +#define _PARAMS(paramlist) paramlist +#endif +#else +#define _PTR char * +#define _AND ; +#define _NOARGS +#define _CONST +#define _VOLATILE +#define _SIGNED +#define _DOTS +#define _VOID void +#define _EXFUN(name, proto) name() +#define _DEFUN(name, arglist, args) name arglist args; +#define _DEFUN_VOID(name) name() +#define _CAST_VOID +#define _LONG_DOUBLE double +#ifndef _PARAMS +#define _PARAMS(paramlist) () +#endif +#endif + +/* Support gcc's __attribute__ facility. */ + +#ifdef __GNUC__ +#define _ATTRIBUTE(attrs) __attribute__ (attrs) +#else +#define _ATTRIBUTE(attrs) +#endif + +#endif /* _ANSIDECL_H_ */
mad-xess/_ansi.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/xess.ld =================================================================== --- mad-xess/xess.ld (nonexistent) +++ mad-xess/xess.ld (revision 1765) @@ -0,0 +1,30 @@ +MEMORY + { + reset : ORIGIN = 0x00000100, LENGTH = 0x00001f00 + ram : ORIGIN = 0x80000000, LENGTH = 0x00200000 + } + +SECTIONS +{ + .reset : + { + *(.reset) + _src_beg = .; + } > reset + .text : + AT ( ADDR (.reset) + SIZEOF (.reset) ) + { + _dst_beg = .; + *(.text) + } > ram + .data : + AT ( ADDR (.reset) + SIZEOF (.reset) + SIZEOF (.text)) + { + *(.data) + _dst_end = .; + } > ram + .bss : + { + *(.bss) + } > ram +}
mad-xess/xess.ld Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/makes2 =================================================================== --- mad-xess/makes2 (nonexistent) +++ mad-xess/makes2 (revision 1765) @@ -0,0 +1,8 @@ +#!/bin/sh +../utils/loader tmp.mfs $@ +../utils/bin2c < tmp.mfs > flash.c +make +or32-rtems-objcopy -O binary minimad minimad.bin +../utils/bin2srec minimad.bin > minimad.s2 +../utils/bin2flimg 1 minimad.bin > minimad.mem +
mad-xess/makes2 Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/audio.c =================================================================== --- mad-xess/audio.c (nonexistent) +++ mad-xess/audio.c (revision 1765) @@ -0,0 +1,171 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: audio.c,v 1.3 2001-11-06 17:01:07 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "audio.h" +# include "mad.h" + +#ifndef EMBED +# include +# include +extern FILE *fo; +int printf(char *fmt, ...); +#endif + +char const *audio_error; + +static mad_fixed_t left_err, right_err; + +#define AUDIO_DBG 0 + +#if AUDIO_DBG +//unsigned short wave_dump[(0x40000/2)]; +//int wave_seg_index[0x10000]; +int wave_seg_nb = 0; +int wave_index = 0; +#endif + +/* + * NAME: audio_linear_dither() + * DESCRIPTION: generic linear sample quantize and dither routine + */ +inline +signed long audio_linear_dither(unsigned int bits, mad_fixed_t sample, + mad_fixed_t *error, struct audio_stats *stats) +{ + mad_fixed_t quantized; + + /* dither */ + sample += *error; + +# if 1 + /* clip */ + quantized = sample; + if (sample >= stats->peak_sample) { + if (sample >= MAD_F_ONE) { + quantized = MAD_F_ONE - 1; + ++stats->clipped_samples; + if (sample - quantized > stats->peak_clipping && + mad_f_abs(*error) < (MAD_F_ONE >> (MAD_F_FRACBITS + 1 - bits))) + stats->peak_clipping = sample - quantized; + } + stats->peak_sample = quantized; + } + else if (sample < -stats->peak_sample) { + if (sample < -MAD_F_ONE) { + quantized = -MAD_F_ONE; + ++stats->clipped_samples; + if (quantized - sample > stats->peak_clipping && + mad_f_abs(*error) < (MAD_F_ONE >> (MAD_F_FRACBITS + 1 - bits))) + stats->peak_clipping = quantized - sample; + } + stats->peak_sample = -quantized; + } +# else + /* clip */ + quantized = sample; + if (sample >= MAD_F_ONE) + quantized = MAD_F_ONE - 1; + else if (sample < -MAD_F_ONE) + quantized = -MAD_F_ONE; +# endif + + /* quantize */ + quantized &= ~((1L << (MAD_F_FRACBITS + 1 - bits)) - 1); + + /* error */ + *error = sample - quantized; + + /* scale */ + return quantized >> (MAD_F_FRACBITS + 1 - bits); +} + + +static struct audio_stats stats; + +/* + * NAME: audio_pcm_s16le() + * DESCRIPTION: write a block of signed 16-bit little-endian PCM samples + */ +unsigned int audio_pcm_s16le(unsigned char *data, unsigned int nsamples, + mad_fixed_t const *left, mad_fixed_t const *right) +{ + unsigned int len; + register signed int sample0, sample1; + + len = nsamples; +#if AUDIO_DBG +// wave_seg_index[wave_seg_nb] = wave_index; +// wave_seg_nb++; +report(wave_index); +#endif + + while (len--) { + sample0 = audio_linear_dither(16, *left++, &left_err, &stats); + sample1 = audio_linear_dither(16, *right++, &right_err, &stats); + +#ifdef EMBED +#ifdef OR1K +#ifndef OR1K_SIM + *(volatile unsigned short *)0x40000000 = sample0; +// *(volatile unsigned long *)0x40000000 = sample0; +// *(volatile unsigned long *)0x40000000 = sample1; +// *(volatile unsigned long *)0x40000000 = sample1; + +#if AUDIO_DBG +// wave_dump[wave_index] = (unsigned short)sample0; + wave_index++; +// wave_dump[wave_index] = (unsigned short)sample1; + wave_index++; +#endif + +#else +// asm volatile("l.mtspr r0,%0,0x01234" : : "r" (sample0 | (sample1 << 16))); + asm volatile("l.mtspr r0,%0,0x0FFFE" : : "r" (sample0 >> 0)); + asm volatile("l.mtspr r0,%0,0x0FFFE" : : "r" (sample0 >> 8)); + asm volatile("l.mtspr r0,%0,0x0FFFE" : : "r" (sample1 >> 0)); + asm volatile("l.mtspr r0,%0,0x0FFFE" : : "r" (sample1 >> 8)); +#endif +#else + printf("l.mtspr (0x0000FFFE) <- %x\n", (sample0 >> 0)); + printf("l.mtspr (0x0000FFFE) <- %x\n", (sample0 >> 8)); + printf("l.mtspr (0x0000FFFE) <- %x\n", (sample1 >> 0)); + printf("l.mtspr (0x0000FFFE) <- %x\n", (sample1 >> 8)); +#endif +#else + data[0] = sample0 >> 0; + data[1] = sample0 >> 8; + data[2] = sample1 >> 0; + data[3] = sample1 >> 8; + if (fo) { + fputc (data[0], fo); + fputc (data[1], fo); + fputc (data[2], fo); + fputc (data[3], fo); + } + data += 4; +#endif + } + return nsamples * 2 * 2; +}
mad-xess/audio.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/reset.S =================================================================== --- mad-xess/reset.S (nonexistent) +++ mad-xess/reset.S (revision 1765) @@ -0,0 +1,162 @@ + .section .reset + .extern _main + .extern _src_beg + .extern _dst_beg + .extern _dst_end + .extern _main + .extern _c_reset + +_reset: + l.nop + l.nop + l.movhi r0, 0x0 + l.slli r0,r0,16 + l.addi r1,r0,0x0 + l.addi r2,r0,0x0 + l.addi r3,r0,0x0 + l.addi r4,r0,0x0 + l.addi r5,r0,0x0 + l.addi r6,r0,0x0 + l.addi r7,r0,0x0 + l.addi r8,r0,0x0 + l.addi r9,r0,0x1234 + l.addi r10,r0,0x0 + l.addi r11,r0,0x0 + l.addi r12,r0,0x0 + l.addi r13,r0,0x0 + l.addi r14,r0,0x0 + l.addi r15,r0,0x0 + l.addi r16,r0,0x0 + l.addi r17,r0,0x0 + l.addi r18,r0,0x0 + l.addi r19,r0,0x0 + l.addi r20,r0,0x0 + l.addi r21,r0,0x0 + l.addi r22,r0,0x0 + l.addi r23,r0,0x0 + l.addi r24,r0,0x0 + l.addi r25,r0,0x0 + l.addi r26,r0,0x0 + l.addi r27,r0,0x0 + l.addi r28,r0,0x0 + l.addi r29,r0,0x0 + l.addi r30,r0,0x0 + l.addi r31,r0,0x0 + + /* Copy form flash to sram */ + + l.movhi r3,hi(_src_beg) + l.ori r3,r3,lo(_src_beg) + l.movhi r4,hi(_dst_beg) + l.ori r4,r4,lo(_dst_beg) + l.movhi r5,hi(_dst_end) + l.ori r5,r5,lo(_dst_end) + l.sub r5,r5,r4 + l.sfeqi r5,0 + l.bf 2f + l.nop +1: l.lwz r6,0(r3) + l.sw 0(r4),r6 + l.addi r3,r3,4 + l.addi r4,r4,4 + l.addi r5,r5,-4 + l.sfgtsi r5,0 + l.bf 1b + l.nop + +2: + + /* Verify sram data */ +/* l.movhi r3,hi(_src_beg) + l.ori r3,r3,lo(_src_beg) + l.addi r3,r3,4 + l.movhi r4,hi(_dst_beg) + l.ori r4,r4,lo(_dst_beg) + l.addi r4,r4,4 + l.movhi r5,hi(_dst_end) + l.ori r5,r5,lo(_dst_end) + l.sub r5,r5,r4 + l.sfeqi r5,0 + l.bf 2f + l.nop +1: l.lwz r6,0(r3) + l.lwz r7,0(r4) + l.sfeq r6,r7 + l.bnf img_err + l.nop + l.addi r3,r3,4 + l.addi r4,r4,4 + l.addi r5,r5,-4 + l.sfgtsi r5,0 + l.bf 1b + l.nop +2: +*/ + l.movhi r1,hi(0x80200000) + l.addi r1,r1,lo(0x80200000) + l.addi r1,r1,-4 + + l.movhi r2,hi(_main) + l.ori r2,r2,lo(_main) + l.jr r2 + l.addi r2,r0,0 + +img_err: + l.movhi r15,hi(0x80000000) + l.addi r15,r15,lo(0x80000000) + + l.addi r8,r6,0 + l.addi r9,r7,0 + l.addi r10,r3,0 + l.addi r11,r4,0 + + l.sw 0(r15),r8 + + l.srli r8,r8,8 + l.sw 0(r15),r8 + + l.srli r8,r8,8 + l.sw 0(r15),r8 + + l.srli r8,r8,8 + l.sw 0(r15),r8 + + l.sw 0(r15),r10 + + l.srli r10,r10,8 + l.sw 0(r15),r10 + + l.srli r10,r10,8 + l.sw 0(r15),r10 + + l.srli r10,r10,8 + l.sw 0(r15),r10 + + + l.sw 0(r15),r9 + + l.srli r9,r9,8 + l.sw 0(r15),r9 + + l.srli r9,r9,8 + l.sw 0(r15),r9 + + l.srli r9,r9,8 + l.sw 0(r15),r9 + + l.sw 0(r15),r11 + + l.srli r11,r11,8 + l.sw 0(r15),r11 + + l.srli r11,r11,8 + l.sw 0(r15),r11 + + l.srli r11,r11,8 + l.sw 0(r15),r11 + + l.addi r8,r0,0xee + l.sw 0(r15),r8 + + l.j img_err + l.nop
mad-xess/reset.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/memmove.c =================================================================== --- mad-xess/memmove.c (nonexistent) +++ mad-xess/memmove.c (revision 1765) @@ -0,0 +1,148 @@ +/* +FUNCTION + <>---move possibly overlapping memory + +INDEX + memmove + +ANSI_SYNOPSIS + #include + void *memmove(void *<[dst]>, const void *<[src]>, size_t <[length]>); + +TRAD_SYNOPSIS + #include + void *memmove(<[dst]>, <[src]>, <[length]>) + void *<[dst]>; + void *<[src]>; + size_t <[length]>; + +DESCRIPTION + This function moves <[length]> characters from the block of + memory starting at <<*<[src]>>> to the memory starting at + <<*<[dst]>>>. <> reproduces the characters correctly + at <<*<[dst]>>> even if the two areas overlap. + + +RETURNS + The function returns <[dst]> as passed. + +PORTABILITY +<> is ANSI C. + +<> requires no supporting OS subroutines. + +QUICKREF + memmove ansi pure +*/ +#ifdef HAVE_CONFIG_H +# include "config.h" +#endif + +#ifdef EMBED +#include +#include <_ansi.h> +#include +#include + +/* Nonzero if either X or Y is not aligned on a "long" boundary. */ +#define UNALIGNED(X, Y) \ + (((long)X & (sizeof (long) - 1)) | ((long)Y & (sizeof (long) - 1))) + +/* How many bytes are copied each iteration of the 4X unrolled loop. */ +#define BIGBLOCKSIZE (sizeof (long) << 2) + +/* How many bytes are copied each iteration of the word copy loop. */ +#define LITTLEBLOCKSIZE (sizeof (long)) + +/* Threshhold for punting to the byte copier. */ +#define TOO_SMALL(LEN) ((LEN) < BIGBLOCKSIZE) + +/*SUPPRESS 20*/ +_PTR +_DEFUN (memmove, (dst_void, src_void, length), + _PTR dst_void _AND + _CONST _PTR src_void _AND + size_t length) +{ +#if defined(PREFER_SIZE_OVER_SPEED) || defined(__OPTIMIZE_SIZE__) + char *dst = dst_void; + _CONST char *src = src_void; + + if (src < dst && dst < src + length) + { + /* Have to copy backwards */ + src += length; + dst += length; + while (length--) + { + *--dst = *--src; + } + } + else + { + while (length--) + { + *dst++ = *src++; + } + } + + return dst_void; +#else + char *dst = dst_void; + _CONST char *src = src_void; + long *aligned_dst; + _CONST long *aligned_src; + int len = length; + + if (src < dst && dst < src + len) + { + /* Destructive overlap...have to copy backwards */ + src += len; + dst += len; + while (len--) + { + *--dst = *--src; + } + } + else + { + /* Use optimizing algorithm for a non-destructive copy to closely + match memcpy. If the size is small or either SRC or DST is unaligned, + then punt into the byte copy loop. This should be rare. */ + if (!TOO_SMALL(len) && !UNALIGNED (src, dst)) + { + aligned_dst = (long*)dst; + aligned_src = (long*)src; + + /* Copy 4X long words at a time if possible. */ + while (len >= BIGBLOCKSIZE) + { + *aligned_dst++ = *aligned_src++; + *aligned_dst++ = *aligned_src++; + *aligned_dst++ = *aligned_src++; + *aligned_dst++ = *aligned_src++; + len -= BIGBLOCKSIZE; + } + + /* Copy one long word at a time if possible. */ + while (len >= LITTLEBLOCKSIZE) + { + *aligned_dst++ = *aligned_src++; + len -= LITTLEBLOCKSIZE; + } + + /* Pick up any residual with a byte copier. */ + dst = (char*)aligned_dst; + src = (char*)aligned_src; + } + + while (len--) + { + *dst++ = *src++; + } + } + + return dst_void; +#endif /* not PREFER_SIZE_OVER_SPEED */ +} +#endif
mad-xess/memmove.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/audio.h =================================================================== --- mad-xess/audio.h (nonexistent) +++ mad-xess/audio.h (revision 1765) @@ -0,0 +1,105 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: audio.h,v 1.3 2001-11-06 17:01:07 simons Exp $ + */ + +# ifndef AUDIO_H +# define AUDIO_H + +# include "mad.h" + +# define MAX_NSAMPLES (1152 * 3) /* allow for resampled frame */ + +enum audio_command { + AUDIO_COMMAND_INIT, + AUDIO_COMMAND_CONFIG, + AUDIO_COMMAND_FINISH +}; + +enum audio_mode { + AUDIO_MODE_ROUND = 0x0001, + AUDIO_MODE_DITHER = 0x0002 +}; + +struct audio_stats { + unsigned long clipped_samples; + mad_fixed_t peak_clipping; + mad_fixed_t peak_sample; +}; + +union audio_control { + enum audio_command command; + + struct audio_init { + enum audio_command command; + char const *path; + } init; + + struct audio_config { + enum audio_command command; + unsigned int channels; + unsigned int speed; + } config; + + struct audio_play { + enum audio_command command; + unsigned int nsamples; + mad_fixed_t const *samples[2]; + enum audio_mode mode; + struct audio_stats *stats; + } play; + + struct audio_finish { + enum audio_command command; + } finish; +}; + +extern char const *audio_error; + +typedef int audio_ctlfunc_t(union audio_control *); + +audio_ctlfunc_t *audio_output(char const **); + +audio_ctlfunc_t audio_oss; +audio_ctlfunc_t audio_empeg; +audio_ctlfunc_t audio_sun; +audio_ctlfunc_t audio_win32; + +audio_ctlfunc_t audio_raw; +audio_ctlfunc_t audio_wave; +audio_ctlfunc_t audio_snd; +audio_ctlfunc_t audio_hex; +audio_ctlfunc_t audio_null; + +signed long audio_linear_round(unsigned int, mad_fixed_t, + struct audio_stats *); +signed long audio_linear_dither(unsigned int, mad_fixed_t, mad_fixed_t *, + struct audio_stats *); + +unsigned int audio_pcm_s16le(unsigned char *, unsigned int, + mad_fixed_t const *, mad_fixed_t const *); + +unsigned char audio_mulaw_round(mad_fixed_t); +unsigned char audio_mulaw_dither(mad_fixed_t, mad_fixed_t *); + +unsigned int audio_pcm_mulaw(unsigned char *, unsigned int, + mad_fixed_t const *, mad_fixed_t const *, + enum audio_mode, struct audio_stats *); + +# endif
mad-xess/audio.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/layer3.c =================================================================== --- mad-xess/libmad/layer3.c (nonexistent) +++ mad-xess/libmad/layer3.c (revision 1765) @@ -0,0 +1,2412 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: layer3.c,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "global.h" + +# ifndef EMBED +# include +# include +# include +# ifdef HAVE_LIMITS_H +# include +# else +# define CHAR_BIT 8 +# endif +#else + +# define assert(x) +# define CHAR_BIT 8 +#endif + +# include "fixed.h" +# include "bit.h" +# include "stream.h" +# include "frame.h" +# include "huffman.h" +# include "layer3.h" + +/* --- Layer III ----------------------------------------------------------- */ + +enum { + count1table_select = 0x01, + scalefac_scale = 0x02, + preflag = 0x04, + mixed_block_flag = 0x08 +}; + +struct sideinfo { + unsigned int main_data_begin; + unsigned int private_bits; + + unsigned char scfsi[2]; + + struct granule { + struct channel { + /* from side info */ + unsigned short part2_3_length; + unsigned short big_values; + unsigned short global_gain; + unsigned short scalefac_compress; + + unsigned char flags; + unsigned char block_type; + unsigned char table_select[3]; + unsigned char subblock_gain[3]; + unsigned char region0_count; + unsigned char region1_count; + + /* from main_data */ + unsigned char scalefac[39]; /* scalefac_l and/or scalefac_s */ + } ch[2]; + } gr[2]; +}; + +/* + * scalefactor bit lengths + * derived from section 2.4.2.7 of ISO/IEC 11172-3 + */ +static +struct { + unsigned char slen1; + unsigned char slen2; +} const sflen_table[16] = { + { 0, 0 }, { 0, 1 }, { 0, 2 }, { 0, 3 }, + { 3, 0 }, { 1, 1 }, { 1, 2 }, { 1, 3 }, + { 2, 1 }, { 2, 2 }, { 2, 3 }, { 3, 1 }, + { 3, 2 }, { 3, 3 }, { 4, 2 }, { 4, 3 } +}; + +/* + * number of LSF scalefactor band values + * derived from section 2.4.3.2 of ISO/IEC 13818-3 + */ +static +unsigned char const nsfb_table[6][3][4] = { + { { 6, 5, 5, 5 }, + { 9, 9, 9, 9 }, + { 6, 9, 9, 9 } }, + + { { 6, 5, 7, 3 }, + { 9, 9, 12, 6 }, + { 6, 9, 12, 6 } }, + + { { 11, 10, 0, 0 }, + { 18, 18, 0, 0 }, + { 15, 18, 0, 0 } }, + + { { 7, 7, 7, 0 }, + { 12, 12, 12, 0 }, + { 6, 15, 12, 0 } }, + + { { 6, 6, 6, 3 }, + { 12, 9, 9, 6 }, + { 6, 12, 9, 6 } }, + + { { 8, 8, 5, 0 }, + { 15, 12, 9, 0 }, + { 6, 18, 9, 0 } } +}; + +/* + * MPEG-1 scalefactor band widths + * derived from Table B.8 of ISO/IEC 11172-3 + */ +static +unsigned char const sfb_48000_long[] = { + 4, 4, 4, 4, 4, 4, 6, 6, 6, 8, 10, + 12, 16, 18, 22, 28, 34, 40, 46, 54, 54, 192 +}; + +static +unsigned char const sfb_44100_long[] = { + 4, 4, 4, 4, 4, 4, 6, 6, 8, 8, 10, + 12, 16, 20, 24, 28, 34, 42, 50, 54, 76, 158 +}; + +static +unsigned char const sfb_32000_long[] = { + 4, 4, 4, 4, 4, 4, 6, 6, 8, 10, 12, + 16, 20, 24, 30, 38, 46, 56, 68, 84, 102, 26 +}; + +static +unsigned char const sfb_48000_short[] = { + 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 6, + 6, 6, 6, 6, 6, 10, 10, 10, 12, 12, 12, 14, 14, + 14, 16, 16, 16, 20, 20, 20, 26, 26, 26, 66, 66, 66 +}; + +static +unsigned char const sfb_44100_short[] = { + 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 6, + 6, 6, 8, 8, 8, 10, 10, 10, 12, 12, 12, 14, 14, + 14, 18, 18, 18, 22, 22, 22, 30, 30, 30, 56, 56, 56 +}; + +static +unsigned char const sfb_32000_short[] = { + 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 4, 6, + 6, 6, 8, 8, 8, 12, 12, 12, 16, 16, 16, 20, 20, + 20, 26, 26, 26, 34, 34, 34, 42, 42, 42, 12, 12, 12 +}; + +static +unsigned char const sfb_48000_mixed[] = { + /* long */ 4, 4, 4, 4, 4, 4, 6, 6, + /* short */ 4, 4, 4, 6, 6, 6, 6, 6, 6, 10, + 10, 10, 12, 12, 12, 14, 14, 14, 16, 16, + 16, 20, 20, 20, 26, 26, 26, 66, 66, 66 +}; + +static +unsigned char const sfb_44100_mixed[] = { + /* long */ 4, 4, 4, 4, 4, 4, 6, 6, + /* short */ 4, 4, 4, 6, 6, 6, 8, 8, 8, 10, + 10, 10, 12, 12, 12, 14, 14, 14, 18, 18, + 18, 22, 22, 22, 30, 30, 30, 56, 56, 56 +}; + +static +unsigned char const sfb_32000_mixed[] = { + /* long */ 4, 4, 4, 4, 4, 4, 6, 6, + /* short */ 4, 4, 4, 6, 6, 6, 8, 8, 8, 12, + 12, 12, 16, 16, 16, 20, 20, 20, 26, 26, + 26, 34, 34, 34, 42, 42, 42, 12, 12, 12 +}; + +/* + * MPEG-2 scalefactor band widths + * derived from Table B.2 of ISO/IEC 13818-3 + */ +static +unsigned char const sfb_24000_long[] = { + 6, 6, 6, 6, 6, 6, 8, 10, 12, 14, 16, + 18, 22, 26, 32, 38, 46, 54, 62, 70, 76, 36 +}; + +static +unsigned char const sfb_22050_long[] = { + 6, 6, 6, 6, 6, 6, 8, 10, 12, 14, 16, + 20, 24, 28, 32, 38, 46, 52, 60, 68, 58, 54 +}; + +# define sfb_16000_long sfb_22050_long + +static +unsigned char const sfb_24000_short[] = { + 4, 4, 4, 4, 4, 4, 4, 4, 4, 6, 6, 6, 8, + 8, 8, 10, 10, 10, 12, 12, 12, 14, 14, 14, 18, 18, + 18, 24, 24, 24, 32, 32, 32, 44, 44, 44, 12, 12, 12 +}; + +static +unsigned char const sfb_22050_short[] = { + 4, 4, 4, 4, 4, 4, 4, 4, 4, 6, 6, 6, 6, + 6, 6, 8, 8, 8, 10, 10, 10, 14, 14, 14, 18, 18, + 18, 26, 26, 26, 32, 32, 32, 42, 42, 42, 18, 18, 18 +}; + +static +unsigned char const sfb_16000_short[] = { + 4, 4, 4, 4, 4, 4, 4, 4, 4, 6, 6, 6, 8, + 8, 8, 10, 10, 10, 12, 12, 12, 14, 14, 14, 18, 18, + 18, 24, 24, 24, 30, 30, 30, 40, 40, 40, 18, 18, 18 +}; + +static +unsigned char const sfb_24000_mixed[] = { + /* long */ 6, 6, 6, 6, 6, 6, + /* short */ 6, 6, 6, 8, 8, 8, 10, 10, 10, 12, + 12, 12, 14, 14, 14, 18, 18, 18, 24, 24, + 24, 32, 32, 32, 44, 44, 44, 12, 12, 12 +}; + +static +unsigned char const sfb_22050_mixed[] = { + /* long */ 6, 6, 6, 6, 6, 6, + /* short */ 6, 6, 6, 6, 6, 6, 8, 8, 8, 10, + 10, 10, 14, 14, 14, 18, 18, 18, 26, 26, + 26, 32, 32, 32, 42, 42, 42, 18, 18, 18 +}; + +static +unsigned char const sfb_16000_mixed[] = { + /* long */ 6, 6, 6, 6, 6, 6, + /* short */ 6, 6, 6, 8, 8, 8, 10, 10, 10, 12, + 12, 12, 14, 14, 14, 18, 18, 18, 24, 24, + 24, 30, 30, 30, 40, 40, 40, 18, 18, 18 +}; + +/* + * MPEG 2.5 scalefactor band widths + * derived from public sources + */ +# define sfb_12000_long sfb_16000_long +# define sfb_11025_long sfb_12000_long + +static +unsigned char const sfb_8000_long[] = { + 12, 12, 12, 12, 12, 12, 16, 20, 24, 28, 32, + 40, 48, 56, 64, 76, 90, 2, 2, 2, 2, 2 +}; + +# define sfb_12000_short sfb_16000_short +# define sfb_11025_short sfb_12000_short + +static +unsigned char const sfb_8000_short[] = { + 8, 8, 8, 8, 8, 8, 8, 8, 8, 12, 12, 12, 16, + 16, 16, 20, 20, 20, 24, 24, 24, 28, 28, 28, 36, 36, + 36, 2, 2, 2, 2, 2, 2, 2, 2, 2, 26, 26, 26 +}; + +# define sfb_12000_mixed sfb_16000_mixed +# define sfb_11025_mixed sfb_12000_mixed + +/* the 8000 Hz short block scalefactor bands do not break after the first 36 + frequency lines, so this is probably wrong */ +static +unsigned char const sfb_8000_mixed[] = { + /* long */ 12, 12, 12, + /* short */ 4, 4, 4, 8, 8, 8, 12, 12, 12, 16, 16, 16, + 20, 20, 20, 24, 24, 24, 28, 28, 28, 36, 36, 36, + 2, 2, 2, 2, 2, 2, 2, 2, 2, 26, 26, 26 +}; + +static +struct { + unsigned char const *l; + unsigned char const *s; + unsigned char const *m; +} const sfbwidth_table[9] = { + { sfb_48000_long, sfb_48000_short, sfb_48000_mixed }, + { sfb_44100_long, sfb_44100_short, sfb_44100_mixed }, + { sfb_32000_long, sfb_32000_short, sfb_32000_mixed }, + { sfb_24000_long, sfb_24000_short, sfb_24000_mixed }, + { sfb_22050_long, sfb_22050_short, sfb_22050_mixed }, + { sfb_16000_long, sfb_16000_short, sfb_16000_mixed }, + { sfb_12000_long, sfb_12000_short, sfb_12000_mixed }, + { sfb_11025_long, sfb_11025_short, sfb_11025_mixed }, + { sfb_8000_long, sfb_8000_short, sfb_8000_mixed } +}; + +/* + * scalefactor band preemphasis (used only when preflag is set) + * derived from Table B.6 of ISO/IEC 11172-3 + */ +static +unsigned char const pretab[22] = { + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, 1, 1, 1, 2, 2, 3, 3, 3, 2, 0 +}; + +/* + * table for requantization + * + * rq_table[x].mantissa * 2^(rq_table[x].exponent) = x^(4/3) + * MM: shortened table + */ +#define RQ_START_BITS 7 +static +struct fixedfloat { + unsigned long mantissa : 27; + unsigned short exponent : 5; +} const rq_table[512] = { +# include "rq_short.dat" +}; + +/* + * fractional powers of two + * used for requantization and joint stereo decoding + * + * root_table[3 + x] = 2^(x/4) + */ +static +mad_fixed_t const root_table[7] = { + MAD_F(0x09837f05) /* 2^(-3/4) == 0.59460355750136 */, + MAD_F(0x0b504f33) /* 2^(-2/4) == 0.70710678118655 */, + MAD_F(0x0d744fcd) /* 2^(-1/4) == 0.84089641525371 */, + MAD_F(0x10000000) /* 2^( 0/4) == 1.00000000000000 */, + MAD_F(0x1306fe0a) /* 2^(+1/4) == 1.18920711500272 */, + MAD_F(0x16a09e66) /* 2^(+2/4) == 1.41421356237310 */, + MAD_F(0x1ae89f99) /* 2^(+3/4) == 1.68179283050743 */ +}; + +/* + * coefficients for aliasing reduction + * derived from Table B.9 of ISO/IEC 11172-3 + * + * c[] = { -0.6, -0.535, -0.33, -0.185, -0.095, -0.041, -0.0142, -0.0037 } + * cs[i] = 1 / sqrt(1 + c[i]^2) + * ca[i] = c[i] / sqrt(1 + c[i]^2) + */ +static +mad_fixed_t const cs[8] = { + +MAD_F(0x0db84a81) /* +0.857492926 */, +MAD_F(0x0e1b9d7f) /* +0.881741997 */, + +MAD_F(0x0f31adcf) /* +0.949628649 */, +MAD_F(0x0fbba815) /* +0.983314592 */, + +MAD_F(0x0feda417) /* +0.995517816 */, +MAD_F(0x0ffc8fc8) /* +0.999160558 */, + +MAD_F(0x0fff964c) /* +0.999899195 */, +MAD_F(0x0ffff8d3) /* +0.999993155 */ +}; + +static +mad_fixed_t const ca[8] = { + -MAD_F(0x083b5fe7) /* -0.514495755 */, -MAD_F(0x078c36d2) /* -0.471731969 */, + -MAD_F(0x05039814) /* -0.313377454 */, -MAD_F(0x02e91dd1) /* -0.181913200 */, + -MAD_F(0x0183603a) /* -0.094574193 */, -MAD_F(0x00a7cb87) /* -0.040965583 */, + -MAD_F(0x003a2847) /* -0.014198569 */, -MAD_F(0x000f27b4) /* -0.003699975 */ +}; + +/* + * IMDCT coefficients for short blocks + * derived from section 2.4.3.4.10.2 of ISO/IEC 11172-3 + * + * imdct_s[i/even][k] = cos((PI / 24) * (2 * (i / 2) + 7) * (2 * k + 1)) + * imdct_s[i /odd][k] = cos((PI / 24) * (2 * (6 + (i-1)/2) + 7) * (2 * k + 1)) + */ +static +mad_fixed_t const imdct_s[6][6] = { +# include "imdct_s.dat" +}; + +# if !defined(ASO_IMDCT) +/* + * windowing coefficients for long blocks + * derived from section 2.4.3.4.10.3 of ISO/IEC 11172-3 + * + * window_l[i] = sin((PI / 36) * (i + 1/2)) + */ +static +mad_fixed_t const window_l[36] = { + MAD_F(0x00b2aa3e) /* 0.043619387 */, MAD_F(0x0216a2a2) /* 0.130526192 */, + MAD_F(0x03768962) /* 0.216439614 */, MAD_F(0x04cfb0e2) /* 0.300705800 */, + MAD_F(0x061f78aa) /* 0.382683432 */, MAD_F(0x07635284) /* 0.461748613 */, + MAD_F(0x0898c779) /* 0.537299608 */, MAD_F(0x09bd7ca0) /* 0.608761429 */, + MAD_F(0x0acf37ad) /* 0.675590208 */, MAD_F(0x0bcbe352) /* 0.737277337 */, + MAD_F(0x0cb19346) /* 0.793353340 */, MAD_F(0x0d7e8807) /* 0.843391446 */, + + MAD_F(0x0e313245) /* 0.887010833 */, MAD_F(0x0ec835e8) /* 0.923879533 */, + MAD_F(0x0f426cb5) /* 0.953716951 */, MAD_F(0x0f9ee890) /* 0.976296007 */, + MAD_F(0x0fdcf549) /* 0.991444861 */, MAD_F(0x0ffc19fd) /* 0.999048222 */, + MAD_F(0x0ffc19fd) /* 0.999048222 */, MAD_F(0x0fdcf549) /* 0.991444861 */, + MAD_F(0x0f9ee890) /* 0.976296007 */, MAD_F(0x0f426cb5) /* 0.953716951 */, + MAD_F(0x0ec835e8) /* 0.923879533 */, MAD_F(0x0e313245) /* 0.887010833 */, + + MAD_F(0x0d7e8807) /* 0.843391446 */, MAD_F(0x0cb19346) /* 0.793353340 */, + MAD_F(0x0bcbe352) /* 0.737277337 */, MAD_F(0x0acf37ad) /* 0.675590208 */, + MAD_F(0x09bd7ca0) /* 0.608761429 */, MAD_F(0x0898c779) /* 0.537299608 */, + MAD_F(0x07635284) /* 0.461748613 */, MAD_F(0x061f78aa) /* 0.382683432 */, + MAD_F(0x04cfb0e2) /* 0.300705800 */, MAD_F(0x03768962) /* 0.216439614 */, + MAD_F(0x0216a2a2) /* 0.130526192 */, MAD_F(0x00b2aa3e) /* 0.043619387 */, +}; +# endif /* ASO_IMDCT */ + +/* + * windowing coefficients for short blocks + * derived from section 2.4.3.4.10.3 of ISO/IEC 11172-3 + * + * window_s[i] = sin((PI / 12) * (i + 1/2)) + */ +static +mad_fixed_t const window_s[12] = { + MAD_F(0x0216a2a2) /* 0.130526192 */, MAD_F(0x061f78aa) /* 0.382683432 */, + MAD_F(0x09bd7ca0) /* 0.608761429 */, MAD_F(0x0cb19346) /* 0.793353340 */, + MAD_F(0x0ec835e8) /* 0.923879533 */, MAD_F(0x0fdcf549) /* 0.991444861 */, + MAD_F(0x0fdcf549) /* 0.991444861 */, MAD_F(0x0ec835e8) /* 0.923879533 */, + MAD_F(0x0cb19346) /* 0.793353340 */, MAD_F(0x09bd7ca0) /* 0.608761429 */, + MAD_F(0x061f78aa) /* 0.382683432 */, MAD_F(0x0216a2a2) /* 0.130526192 */, +}; + +/* + * coefficients for intensity stereo processing + * derived from section 2.4.3.4.9.3 of ISO/IEC 11172-3 + * + * is_ratio[i] = tan(i * (PI / 12)) + * is_table[i] = is_ratio[i] / (1 + is_ratio[i]) + */ +static +mad_fixed_t const is_table[7] = { + MAD_F(0x00000000) /* 0.000000000 */, + MAD_F(0x0361962f) /* 0.211324865 */, + MAD_F(0x05db3d74) /* 0.366025404 */, + MAD_F(0x08000000) /* 0.500000000 */, + MAD_F(0x0a24c28c) /* 0.633974596 */, + MAD_F(0x0c9e69d1) /* 0.788675135 */, + MAD_F(0x10000000) /* 1.000000000 */ +}; + +/* + * coefficients for LSF intensity stereo processing + * derived from section 2.4.3.2 of ISO/IEC 13818-3 + * + * is_lsf_table[0][i] = (1 / sqrt(sqrt(2)))^(i + 1) + * is_lsf_table[1][i] = (1 / sqrt(2))^(i + 1) + */ +static +mad_fixed_t const is_lsf_table[2][15] = { + { + MAD_F(0x0d744fcd) /* 0.840896415 */, + MAD_F(0x0b504f33) /* 0.707106781 */, + MAD_F(0x09837f05) /* 0.594603558 */, + MAD_F(0x08000000) /* 0.500000000 */, + MAD_F(0x06ba27e6) /* 0.420448208 */, + MAD_F(0x05a8279a) /* 0.353553391 */, + MAD_F(0x04c1bf83) /* 0.297301779 */, + MAD_F(0x04000000) /* 0.250000000 */, + MAD_F(0x035d13f3) /* 0.210224104 */, + MAD_F(0x02d413cd) /* 0.176776695 */, + MAD_F(0x0260dfc1) /* 0.148650889 */, + MAD_F(0x02000000) /* 0.125000000 */, + MAD_F(0x01ae89fa) /* 0.105112052 */, + MAD_F(0x016a09e6) /* 0.088388348 */, + MAD_F(0x01306fe1) /* 0.074325445 */ + }, { + MAD_F(0x0b504f33) /* 0.707106781 */, + MAD_F(0x08000000) /* 0.500000000 */, + MAD_F(0x05a8279a) /* 0.353553391 */, + MAD_F(0x04000000) /* 0.250000000 */, + MAD_F(0x02d413cd) /* 0.176776695 */, + MAD_F(0x02000000) /* 0.125000000 */, + MAD_F(0x016a09e6) /* 0.088388348 */, + MAD_F(0x01000000) /* 0.062500000 */, + MAD_F(0x00b504f3) /* 0.044194174 */, + MAD_F(0x00800000) /* 0.031250000 */, + MAD_F(0x005a827a) /* 0.022097087 */, + MAD_F(0x00400000) /* 0.015625000 */, + MAD_F(0x002d413d) /* 0.011048543 */, + MAD_F(0x00200000) /* 0.007812500 */, + MAD_F(0x0016a09e) /* 0.005524272 */ + } +}; + +/* + * NAME: III_sideinfo() + * DESCRIPTION: decode frame side information from a bitstream + */ +static +enum mad_error III_sideinfo(struct mad_bitptr *ptr, unsigned int nch, + int lsf, struct sideinfo *si, + unsigned int *data_bitlen, + unsigned int *priv_bitlen) +{ + unsigned int ngr, gr, ch, i; + enum mad_error result = 0; + + *data_bitlen = 0; + *priv_bitlen = lsf ? ((nch == 1) ? 1 : 2) : ((nch == 1) ? 5 : 3); + + si->main_data_begin = mad_bit_read(ptr, lsf ? 8 : 9); + si->private_bits = mad_bit_read(ptr, *priv_bitlen); + + ngr = 1; + if (!lsf) { + ngr = 2; + + for (ch = 0; ch < nch; ++ch) + si->scfsi[ch] = mad_bit_read(ptr, 4); + } + + for (gr = 0; gr < ngr; ++gr) { + struct granule *granule = &si->gr[gr]; + + for (ch = 0; ch < nch; ++ch) { + struct channel *channel = &granule->ch[ch]; + + channel->part2_3_length = mad_bit_read(ptr, 12); + channel->big_values = mad_bit_read(ptr, 9); + channel->global_gain = mad_bit_read(ptr, 8); + channel->scalefac_compress = mad_bit_read(ptr, lsf ? 9 : 4); + + *data_bitlen += channel->part2_3_length; + + if (channel->big_values > 288 && result == 0) + result = MAD_ERROR_BADBIGVALUES; + + channel->flags = 0; + + /* window_switching_flag */ + if (mad_bit_read(ptr, 1)) { + channel->block_type = mad_bit_read(ptr, 2); + + if (channel->block_type == 0 && result == 0) + result = MAD_ERROR_BADBLOCKTYPE; + + if (!lsf && channel->block_type == 2 && si->scfsi[ch] && result == 0) + result = MAD_ERROR_BADSCFSI; + + channel->region0_count = 7; + channel->region1_count = 36; + + if (mad_bit_read(ptr, 1)) + channel->flags |= mixed_block_flag; + else if (channel->block_type == 2) + channel->region0_count = 8; + + for (i = 0; i < 2; ++i) + channel->table_select[i] = mad_bit_read(ptr, 5); + +# if defined(DEBUG) + channel->table_select[2] = 4; /* not used */ +# endif + + for (i = 0; i < 3; ++i) + channel->subblock_gain[i] = mad_bit_read(ptr, 3); + } + else { + channel->block_type = 0; + + for (i = 0; i < 3; ++i) + channel->table_select[i] = mad_bit_read(ptr, 5); + + channel->region0_count = mad_bit_read(ptr, 4); + channel->region1_count = mad_bit_read(ptr, 3); + } + + /* [preflag,] scalefac_scale, count1table_select */ + channel->flags |= mad_bit_read(ptr, lsf ? 2 : 3); + } + } + + return result; +} + +/* + * NAME: III_scalefactors_lsf() + * DESCRIPTION: decode channel scalefactors for LSF from a bitstream + */ +static +unsigned int III_scalefactors_lsf(struct mad_bitptr *ptr, + struct channel *channel, + struct channel *gr1ch, int mode_extension) +{ + struct mad_bitptr start; + unsigned int scalefac_compress, index, slen[4], part, n, i; + unsigned char const *nsfb; + + start = *ptr; + + scalefac_compress = channel->scalefac_compress; + index = (channel->block_type == 2) ? + ((channel->flags & mixed_block_flag) ? 2 : 1) : 0; + + if (!((mode_extension & 0x1) && gr1ch)) { + if (scalefac_compress < 400) { + slen[0] = (scalefac_compress >> 4) / 5; + slen[1] = (scalefac_compress >> 4) % 5; + slen[2] = (scalefac_compress % 16) >> 2; + slen[3] = scalefac_compress % 4; + + nsfb = nsfb_table[0][index]; + } + else if (scalefac_compress < 500) { + scalefac_compress -= 400; + + slen[0] = (scalefac_compress >> 2) / 5; + slen[1] = (scalefac_compress >> 2) % 5; + slen[2] = scalefac_compress % 4; + slen[3] = 0; + + nsfb = nsfb_table[1][index]; + } + else { + scalefac_compress -= 500; + + slen[0] = scalefac_compress / 3; + slen[1] = scalefac_compress % 3; + slen[2] = 0; + slen[3] = 0; + + channel->flags |= preflag; + + nsfb = nsfb_table[2][index]; + } + + n = 0; + for (part = 0; part < 4; ++part) { + for (i = 0; i < nsfb[part]; ++i) + channel->scalefac[n++] = mad_bit_read(ptr, slen[part]); + } + + while (n < 39) + channel->scalefac[n++] = 0; + } + else { /* (mode_extension & 0x1) && gr1ch (i.e. ch == 1) */ + scalefac_compress >>= 1; + + if (scalefac_compress < 180) { + slen[0] = scalefac_compress / 36; + slen[1] = (scalefac_compress % 36) / 6; + slen[2] = (scalefac_compress % 36) % 6; + slen[3] = 0; + + nsfb = nsfb_table[3][index]; + } + else if (scalefac_compress < 244) { + scalefac_compress -= 180; + + slen[0] = (scalefac_compress % 64) >> 4; + slen[1] = (scalefac_compress % 16) >> 2; + slen[2] = scalefac_compress % 4; + slen[3] = 0; + + nsfb = nsfb_table[4][index]; + } + else { + scalefac_compress -= 244; + + slen[0] = scalefac_compress / 3; + slen[1] = scalefac_compress % 3; + slen[2] = 0; + slen[3] = 0; + + nsfb = nsfb_table[5][index]; + } + + n = 0; + for (part = 0; part < 4; ++part) { + unsigned int max, is_pos; + + max = (1 << slen[part]) - 1; + + for (i = 0; i < nsfb[part]; ++i) { + is_pos = mad_bit_read(ptr, slen[part]); + + channel->scalefac[n] = is_pos; + gr1ch->scalefac[n++] = (is_pos == max); + } + } + + while (n < 39) { + channel->scalefac[n] = 0; + gr1ch->scalefac[n++] = 0; /* apparently not illegal */ + } + } + + return mad_bit_length(&start, ptr); +} + +/* + * NAME: III_scalefactors() + * DESCRIPTION: decode channel scalefactors of one granule from a bitstream + */ +static +unsigned int III_scalefactors(struct mad_bitptr *ptr, struct channel *channel, + struct channel const *gr0ch, unsigned int scfsi) +{ + struct mad_bitptr start; + unsigned int slen1, slen2, sfbi; + + start = *ptr; + + slen1 = sflen_table[channel->scalefac_compress].slen1; + slen2 = sflen_table[channel->scalefac_compress].slen2; + + if (channel->block_type == 2) { + unsigned int nsfb; + + sfbi = 0; + + nsfb = (channel->flags & mixed_block_flag) ? 8 + 3 * 3 : 6 * 3; + while (nsfb--) + channel->scalefac[sfbi++] = mad_bit_read(ptr, slen1); + + nsfb = 6 * 3; + while (nsfb--) + channel->scalefac[sfbi++] = mad_bit_read(ptr, slen2); + + nsfb = 1 * 3; + while (nsfb--) + channel->scalefac[sfbi++] = 0; + } + else { /* channel->block_type != 2 */ + if (scfsi & 0x8) { + for (sfbi = 0; sfbi < 6; ++sfbi) + channel->scalefac[sfbi] = gr0ch->scalefac[sfbi]; + } + else { + for (sfbi = 0; sfbi < 6; ++sfbi) + channel->scalefac[sfbi] = mad_bit_read(ptr, slen1); + } + + if (scfsi & 0x4) { + for (sfbi = 6; sfbi < 11; ++sfbi) + channel->scalefac[sfbi] = gr0ch->scalefac[sfbi]; + } + else { + for (sfbi = 6; sfbi < 11; ++sfbi) + channel->scalefac[sfbi] = mad_bit_read(ptr, slen1); + } + + if (scfsi & 0x2) { + for (sfbi = 11; sfbi < 16; ++sfbi) + channel->scalefac[sfbi] = gr0ch->scalefac[sfbi]; + } + else { + for (sfbi = 11; sfbi < 16; ++sfbi) + channel->scalefac[sfbi] = mad_bit_read(ptr, slen2); + } + + if (scfsi & 0x1) { + for (sfbi = 16; sfbi < 21; ++sfbi) + channel->scalefac[sfbi] = gr0ch->scalefac[sfbi]; + } + else { + for (sfbi = 16; sfbi < 21; ++sfbi) + channel->scalefac[sfbi] = mad_bit_read(ptr, slen2); + } + + channel->scalefac[21] = 0; + } + + return mad_bit_length(&start, ptr); +} + +/* + * NAME: III_exponents() + * DESCRIPTION: calculate scalefactor exponents + */ +static +void III_exponents(struct channel const *channel, + unsigned char const *sfbwidth, signed int exponents[39]) +{ + signed int gain; + unsigned int scalefac_multiplier, sfbi; + + gain = (signed int) channel->global_gain - 210; + scalefac_multiplier = (channel->flags & scalefac_scale) ? 2 : 1; + + if (channel->block_type == 2) { + unsigned int l; + signed int gain0, gain1, gain2; + + sfbi = l = 0; + + if (channel->flags & mixed_block_flag) { + unsigned int premask; + + premask = (channel->flags & preflag) ? ~0 : 0; + + /* long block subbands 0-1 */ + + while (l < 36) { + exponents[sfbi] = gain - + (signed int) ((channel->scalefac[sfbi] + (pretab[sfbi] & premask)) << + scalefac_multiplier); + + l += sfbwidth[sfbi++]; + } + } + + /* this is probably wrong for 8000 Hz short/mixed blocks */ + + gain0 = gain - 8 * (signed int) channel->subblock_gain[0]; + gain1 = gain - 8 * (signed int) channel->subblock_gain[1]; + gain2 = gain - 8 * (signed int) channel->subblock_gain[2]; + + while (l < 576) { + exponents[sfbi + 0] = gain0 - + (signed int) (channel->scalefac[sfbi + 0] << scalefac_multiplier); + exponents[sfbi + 1] = gain1 - + (signed int) (channel->scalefac[sfbi + 1] << scalefac_multiplier); + exponents[sfbi + 2] = gain2 - + (signed int) (channel->scalefac[sfbi + 2] << scalefac_multiplier); + + l += 3 * sfbwidth[sfbi]; + sfbi += 3; + } + } + else { /* channel->block_type != 2 */ + if (channel->flags & preflag) { + for (sfbi = 0; sfbi < 22; ++sfbi) { + exponents[sfbi] = gain - + (signed int) ((channel->scalefac[sfbi] + pretab[sfbi]) << + scalefac_multiplier); + } + } + else { + for (sfbi = 0; sfbi < 22; ++sfbi) { + exponents[sfbi] = gain - + (signed int) (channel->scalefac[sfbi] << scalefac_multiplier); + } + } + } +} + +/* + * NAME: III_requantize() + * DESCRIPTION: requantize one (positive) value + */ +static +mad_fixed_t III_requantize(unsigned int value, signed int exp) +{ + mad_fixed_t requantized; + signed int frac; + struct fixedfloat const *power; + + /* + * long blocks: + * xr[i] = sign(is[i]) * abs(is[i])^(4/3) * + * 2^((1/4) * (global_gain - 210)) * + * 2^-(scalefac_multiplier * + * (scalefac_l[sfb] + preflag * pretab[sfb])) + * + * short blocks: + * xr[i] = sign(is[i]) * abs(is[i])^(4/3) * + * 2^((1/4) * (global_gain - 210 - 8 * subblock_gain[w])) * + * 2^-(scalefac_multiplier * scalefac_s[sfb][w]) + * + * where: + * scalefac_multiplier = (scalefac_scale + 1) / 2 + */ + + frac = exp % 4; + exp /= 4; + + /* MM: recalculation for short table */ + if (value < (1 << RQ_START_BITS)) + power = &rq_table[value]; + else { + int bits = 0, tmp = value; + while (tmp != 0) { + tmp <<= 1; + bits++; + } + bits -= RQ_START_BITS; + value &= ~((1 << bits) - 1); + power = &rq_table[value]; + } + + requantized = power->mantissa; + exp += power->exponent; + + if (exp < 0) { + if (-exp >= sizeof(mad_fixed_t) * CHAR_BIT) { + /* underflow */ + requantized = 0; + } + else + requantized >>= -exp; + } + else { + if (exp >= 5) { + /* overflow */ +# if defined(DEBUG) + fprintf(stderr, "requantize overflow (%f * 2^%d)\n", + mad_f_todouble(requantized), exp); +# endif + requantized = MAD_F_MAX; + } + else + requantized <<= exp; + } + + return frac ? mad_f_mul(requantized, root_table[3 + frac]) : requantized; +} + +/* we must take care that sz >= bits and sz < sizeof(long) lest bits == 0 */ +# define MASK(cache, sz, bits) \ + (((cache) >> ((sz) - (bits))) & ((1 << (bits)) - 1)) +# define MASK1BIT(cache, sz) \ + ((cache) & (1 << ((sz) - 1))) + +/* + * NAME: III_huffdecode() + * DESCRIPTION: decode Huffman code words of one channel of one granule + */ +static +enum mad_error III_huffdecode(struct mad_bitptr *ptr, mad_fixed_t xr[576], + struct channel *channel, + unsigned char const *sfbwidth, + unsigned int part2_length) +{ + signed int exponents[39], exp; + signed int const *expptr; + struct mad_bitptr peek; + signed int bits_left, cachesz; + register mad_fixed_t *xrptr; + mad_fixed_t const *sfbound; + register unsigned long bitcache; + + bits_left = (signed) channel->part2_3_length - (signed) part2_length; + if (bits_left < 0) + return MAD_ERROR_BADPART3LEN; + + III_exponents(channel, sfbwidth, exponents); + + peek = *ptr; + mad_bit_skip(ptr, bits_left); + + /* align bit reads to byte boundaries */ + cachesz = mad_bit_bitsleft(&peek); + cachesz += ((32 - 1 - 24) + (24 - cachesz)) & ~7; + + bitcache = mad_bit_read(&peek, cachesz); + bits_left -= cachesz; + + xrptr = &xr[0]; + + /* big_values */ + { + unsigned int region, rcount; + struct hufftable const *entry; + union huffpair const *table; + unsigned int linbits, startbits, big_values, reqhits; + mad_fixed_t reqcache[16]; + + sfbound = xrptr + *sfbwidth++; + rcount = channel->region0_count + 1; + + entry = &mad_huff_pair_table[channel->table_select[region = 0]]; + table = entry->table; + linbits = entry->linbits; + startbits = entry->startbits; + + if (table == 0) + return MAD_ERROR_BADHUFFTABLE; + + expptr = &exponents[0]; + exp = *expptr++; + reqhits = 0; + + big_values = channel->big_values; + + while (big_values-- && cachesz + bits_left > 0) { + union huffpair const *pair; + unsigned int clumpsz, value; + register mad_fixed_t requantized; + + if (xrptr == sfbound) { + sfbound += *sfbwidth++; + + /* change table if region boundary */ + + if (--rcount == 0) { + if (region == 0) + rcount = channel->region1_count + 1; + else + rcount = 0; /* all remaining */ + + entry = &mad_huff_pair_table[channel->table_select[++region]]; + table = entry->table; + linbits = entry->linbits; + startbits = entry->startbits; + + if (table == 0) + return MAD_ERROR_BADHUFFTABLE; + } + + if (exp != *expptr) { + exp = *expptr; + reqhits = 0; + } + + ++expptr; + } + + if (cachesz < 21) { + unsigned int bits; + + bits = ((32 - 1 - 21) + (21 - cachesz)) & ~7; + bitcache = (bitcache << bits) | mad_bit_read(&peek, bits); + cachesz += bits; + bits_left -= bits; + } + + /* hcod (0..19) */ + + clumpsz = startbits; + pair = &table[MASK(bitcache, cachesz, clumpsz)]; + + while (!pair->final) { + cachesz -= clumpsz; + + clumpsz = pair->ptr.bits; + pair = &table[pair->ptr.offset + MASK(bitcache, cachesz, clumpsz)]; + } + + cachesz -= pair->value.hlen; + + { + int xy_sel; + + /* MM: joint x and y */ + value = pair->value.x; + + /* x (0..14) and y (0..14) and x (0..1) and y (0..1) */ + for (xy_sel = 0; xy_sel < 2; xy_sel++) { + if (value == 0) + xrptr[xy_sel] = 0; + else { + if (value == 15 && linbits) { + if (cachesz < linbits + 2 - xy_sel) { + bitcache = (bitcache << 16) | mad_bit_read(&peek, 16); + cachesz += 16; + bits_left -= 16; + } + + value += MASK(bitcache, cachesz, linbits); + cachesz -= linbits; + + requantized = III_requantize(value, exp); + } + else { + if (reqhits & (1 << value)) + requantized = reqcache[value]; + else { + reqhits |= (1 << value); + requantized = reqcache[value] = III_requantize(value, exp); + } + } + xrptr[xy_sel] = MASK1BIT(bitcache, cachesz--) ? + -requantized : requantized; + } + value = pair->value.y; + } + } + + xrptr += 2; + } + } + + if (cachesz + bits_left < 0) + return MAD_ERROR_BADHUFFDATA; /* big_values overrun */ + + /* count1 */ + { + union huffquad const *table; + register mad_fixed_t requantized; + + table = mad_huff_quad_table[channel->flags & count1table_select]; + + requantized = III_requantize(1, exp); + + while (cachesz + bits_left > 0 && xrptr <= &xr[572]) { + union huffquad const *quad; + + /* hcod (1..6) */ + + if (cachesz < 10) { + bitcache = (bitcache << 16) | mad_bit_read(&peek, 16); + cachesz += 16; + bits_left -= 16; + } + + quad = &table[MASK(bitcache, cachesz, 4)]; + + /* quad tables guaranteed to have at most one extra lookup */ + if (!quad->final) { + cachesz -= 4; + + quad = &table[quad->ptr.offset + + MASK(bitcache, cachesz, quad->ptr.bits)]; + } + + cachesz -= quad->value.hlen; + + /* MM: code joined */ + { + int sel_12; + int vw_xy_sel = *((int *) &quad->value); + for (sel_12 = 0; sel_12 < 2; sel_12++) { + if (xrptr == sfbound) { + sfbound += *sfbwidth++; + + if (exp != *expptr) { + exp = *expptr; + requantized = III_requantize(1, exp); + } + + ++expptr; + } + { + int tmp = MASK1BIT(bitcache, cachesz--) ? -requantized : requantized; + + /* v (0..1) or x (0..1) */ + xrptr[0] = vw_xy_sel&4 ? tmp : 0; + + /* w (0..1) or y (0..1) */ + xrptr[1] = vw_xy_sel&8 ? tmp : 0; + } + xrptr += 2; + vw_xy_sel >>= 2; + } + } + } + + if (cachesz + bits_left < 0) { +# if 0 && defined(DEBUG) + fprintf(stderr, "huffman count1 overrun (%d bits)\n", + -(cachesz + bits_left)); +# endif + + /* technically the bitstream is misformatted, but apparently + some encoders are just a bit sloppy with stuffing bits */ + + xrptr -= 4; + } + } + + assert(-bits_left <= MAD_BUFFER_GUARD * CHAR_BIT); + +# if 0 && defined(DEBUG) + if (bits_left < 0) + fprintf(stderr, "read %d bits too many\n", -bits_left); + else if (cachesz + bits_left > 0) + fprintf(stderr, "%d stuffing bits\n", cachesz + bits_left); +# endif + + /* rzero */ + while (xrptr < &xr[576]) { + xrptr[0] = 0; + xrptr[1] = 0; + + xrptr += 2; + } + + return 0; +} + +# undef MASK +# undef MASK1BIT + +/* + * NAME: III_reorder() + * DESCRIPTION: reorder frequency lines of a short block into subband order + */ +static +void III_reorder(mad_fixed_t xr[576], struct channel const *channel, + unsigned char const sfbwidth[39]) +{ + mad_fixed_t tmp[32][3][6]; + unsigned int sb, l, sfbi, f, w, sbw[3], sw[3]; + + /* this is probably wrong for 8000 Hz mixed blocks */ + + if (channel->flags & mixed_block_flag) + sb = 2, sfbi = 3 * 3; + else + sb = 0, sfbi = 0; + + for (w = 0; w < 3; ++w) { + sbw[w] = sb; + sw[w] = 0; + } + + f = sfbwidth[sfbi]; + w = 0; + + for (l = 18 * sb; l < 576; ++l) { + tmp[sbw[w]][w][sw[w]++] = xr[l]; + + if (sw[w] == 6) { + sw[w] = 0; + ++sbw[w]; + } + + if (--f == 0) { + if (++w == 3) + w = 0; + + f = sfbwidth[++sfbi]; + } + } + + memcpy(&xr[18 * sb], &tmp[sb], (576 - 18 * sb) * sizeof(mad_fixed_t)); +} + +/* + * NAME: III_stereo() + * DESCRIPTION: perform joint stereo processing on a granule + */ +static +enum mad_error III_stereo(mad_fixed_t xr[2][576], + struct granule const *granule, + struct mad_header *header, + unsigned char const *sfbwidth) +{ + short modes[39]; + unsigned int sfbi, l, n, i; + + enum { + i_stereo = 0x1, + ms_stereo = 0x2 + }; + + if (granule->ch[0].block_type != + granule->ch[1].block_type || + (granule->ch[0].flags & mixed_block_flag) != + (granule->ch[1].flags & mixed_block_flag)) + return MAD_ERROR_BADSTEREO; + + for (i = 0; i < 39; ++i) + modes[i] = header->mode_extension; + + /* intensity stereo */ + + if (header->mode_extension & i_stereo) { + struct channel const *right_ch = &granule->ch[1]; + mad_fixed_t const *right_xr = xr[1]; + unsigned int is_pos; + + header->flags |= MAD_FLAG_I_STEREO; + + /* first determine which scalefactor bands are to be processed */ + + if (right_ch->block_type == 2) { + unsigned int lower, start, max, bound[3], w; + + lower = start = max = bound[0] = bound[1] = bound[2] = 0; + + sfbi = l = 0; + + if (right_ch->flags & mixed_block_flag) { + while (l < 36) { + n = sfbwidth[sfbi++]; + + for (i = 0; i < n; ++i) { + if (right_xr[i]) { + lower = sfbi; + break; + } + } + + right_xr += n; + l += n; + } + + start = sfbi; + } + + w = 0; + while (l < 576) { + n = sfbwidth[sfbi++]; + + for (i = 0; i < n; ++i) { + if (right_xr[i]) { + max = bound[w] = sfbi; + break; + } + } + + right_xr += n; + l += n; + w = (w + 1) % 3; + } + + if (max) + lower = start; + + /* long blocks */ + + for (i = 0; i < lower; ++i) + modes[i] = header->mode_extension & ~i_stereo; + + /* short blocks */ + + w = 0; + for (i = start; i < max; ++i) { + if (i < bound[w]) + modes[i] = header->mode_extension & ~i_stereo; + + w = (w + 1) % 3; + } + } + else { /* right_ch->block_type != 2 */ + unsigned int bound; + + bound = 0; + for (sfbi = l = 0; l < 576; l += n) { + n = sfbwidth[sfbi++]; + + for (i = 0; i < n; ++i) { + if (right_xr[i]) { + bound = sfbi; + break; + } + } + + right_xr += n; + } + + for (i = 0; i < bound; ++i) + modes[i] = header->mode_extension & ~i_stereo; + } + + /* now do the actual processing */ + + if (header->flags & MAD_FLAG_LSF_EXT) { + unsigned char const *illegal_pos = granule[1].ch[1].scalefac; + mad_fixed_t const *lsf_scale; + + /* intensity_scale */ + lsf_scale = is_lsf_table[right_ch->scalefac_compress & 0x1]; + + for (sfbi = l = 0; l < 576; ++sfbi, l += n) { + n = sfbwidth[sfbi]; + + if (!(modes[sfbi] & i_stereo)) + continue; + + if (illegal_pos[sfbi]) { + modes[sfbi] &= ~i_stereo; + continue; + } + + is_pos = right_ch->scalefac[sfbi]; + + for (i = 0; i < n; ++i) { + register mad_fixed_t left; + + left = xr[0][l + i]; + + if (is_pos == 0) + xr[1][l + i] = left; + else { + register mad_fixed_t opposite; + + opposite = mad_f_mul(left, lsf_scale[(is_pos - 1) / 2]); + + if (is_pos & 1) { + xr[0][l + i] = opposite; + xr[1][l + i] = left; + } + else + xr[1][l + i] = opposite; + } + } + } + } + else { /* !(header->flags & MAD_FLAG_LSF_EXT) */ + for (sfbi = l = 0; l < 576; ++sfbi, l += n) { + n = sfbwidth[sfbi]; + + if (!(modes[sfbi] & i_stereo)) + continue; + + is_pos = right_ch->scalefac[sfbi]; + + if (is_pos >= 7) { /* illegal intensity position */ + modes[sfbi] &= ~i_stereo; + continue; + } + + for (i = 0; i < n; ++i) { + register mad_fixed_t left; + + left = xr[0][l + i]; + + xr[0][l + i] = mad_f_mul(left, is_table[ is_pos]); + xr[1][l + i] = mad_f_mul(left, is_table[6 - is_pos]); + } + } + } + } + + /* middle/side stereo */ + + if (header->mode_extension & ms_stereo) { + register mad_fixed_t invsqrt2; + + header->flags |= MAD_FLAG_MS_STEREO; + + invsqrt2 = root_table[3 + -2]; + + for (sfbi = l = 0; l < 576; ++sfbi, l += n) { + n = sfbwidth[sfbi]; + + if (modes[sfbi] != ms_stereo) + continue; + + for (i = 0; i < n; ++i) { + register mad_fixed_t m, s; + + m = xr[0][l + i]; + s = xr[1][l + i]; + + xr[0][l + i] = mad_f_mul(m + s, invsqrt2); /* l = (m + s) / sqrt(2) */ + xr[1][l + i] = mad_f_mul(m - s, invsqrt2); /* r = (m - s) / sqrt(2) */ + } + } + } + + return 0; +} + +/* + * NAME: III_aliasreduce() + * DESCRIPTION: perform frequency line alias reduction + */ +static +void III_aliasreduce(mad_fixed_t xr[576], int lines) +{ + mad_fixed_t const *bound; + int i; + + bound = &xr[lines]; + for (xr += 18; xr < bound; xr += 18) { + for (i = 0; i < 8; ++i) { + register mad_fixed_t *aptr, *bptr, a, b; + register mad_fixed64hi_t hi; + register mad_fixed64lo_t lo; + + aptr = &xr[-1 - i]; + bptr = &xr[ i]; + + a = *aptr; + b = *bptr; + +# if defined(ASO_ZEROCHECK) + if (a | b) { +# endif + MAD_F_ML0(hi, lo, a, cs[i]); + MAD_F_MLA(hi, lo, -b, ca[i]); + + *aptr = MAD_F_MLZ(hi, lo); + + MAD_F_ML0(hi, lo, b, cs[i]); + MAD_F_MLA(hi, lo, a, ca[i]); + + *bptr = MAD_F_MLZ(hi, lo); +# if defined(ASO_ZEROCHECK) + } +# endif + } + } +} + +# if defined(ASO_IMDCT) +void III_imdct_l(mad_fixed_t const [18], mad_fixed_t [36], unsigned int); +# else +/* + * NAME: imdct36 + * DESCRIPTION: perform X[18]->x[36] IMDCT + */ +static inline +void imdct36(mad_fixed_t const X[18], mad_fixed_t x[36]) +{ + mad_fixed_t t0, t1, t2, t3, t4, t5, t6, t7; + mad_fixed_t t8, t9, t10, t11, t12, t13, t14, t15; + register mad_fixed64hi_t hi; + register mad_fixed64lo_t lo; + + MAD_F_ML0(hi, lo, X[4], MAD_F(0x0ec835e8)); + MAD_F_MLA(hi, lo, X[13], MAD_F(0x061f78aa)); + + t6 = MAD_F_MLZ(hi, lo); + + MAD_F_MLA(hi, lo, (t14 = X[1] - X[10]), -MAD_F(0x061f78aa)); + MAD_F_MLA(hi, lo, (t15 = X[7] + X[16]), -MAD_F(0x0ec835e8)); + + t0 = MAD_F_MLZ(hi, lo); + + MAD_F_MLA(hi, lo, (t8 = X[0] - X[11] - X[12]), MAD_F(0x0216a2a2)); + MAD_F_MLA(hi, lo, (t9 = X[2] - X[9] - X[14]), MAD_F(0x09bd7ca0)); + MAD_F_MLA(hi, lo, (t10 = X[3] - X[8] - X[15]), -MAD_F(0x0cb19346)); + MAD_F_MLA(hi, lo, (t11 = X[5] - X[6] - X[17]), -MAD_F(0x0fdcf549)); + + x[7] = MAD_F_MLZ(hi, lo); + x[10] = -x[7]; + + MAD_F_ML0(hi, lo, t8, -MAD_F(0x0cb19346)); + MAD_F_MLA(hi, lo, t9, MAD_F(0x0fdcf549)); + MAD_F_MLA(hi, lo, t10, MAD_F(0x0216a2a2)); + MAD_F_MLA(hi, lo, t11, -MAD_F(0x09bd7ca0)); + + x[19] = x[34] = MAD_F_MLZ(hi, lo) - t0; + + t12 = X[0] - X[3] + X[8] - X[11] - X[12] + X[15]; + t13 = X[2] + X[5] - X[6] - X[9] - X[14] - X[17]; + + MAD_F_ML0(hi, lo, t12, -MAD_F(0x0ec835e8)); + MAD_F_MLA(hi, lo, t13, MAD_F(0x061f78aa)); + + x[22] = x[31] = MAD_F_MLZ(hi, lo) + t0; + + MAD_F_ML0(hi, lo, X[1], -MAD_F(0x09bd7ca0)); + MAD_F_MLA(hi, lo, X[7], MAD_F(0x0216a2a2)); + MAD_F_MLA(hi, lo, X[10], -MAD_F(0x0fdcf549)); + MAD_F_MLA(hi, lo, X[16], MAD_F(0x0cb19346)); + + t1 = MAD_F_MLZ(hi, lo) + t6; + + MAD_F_ML0(hi, lo, X[0], MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[2], MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[3], -MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[5], -MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[6], MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[8], -MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[9], MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[11], MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[12], -MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[14], MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[15], -MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[17], -MAD_F(0x0f9ee890)); + + x[6] = MAD_F_MLZ(hi, lo) + t1; + x[11] = -x[6]; + + MAD_F_ML0(hi, lo, X[0], -MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[2], -MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[3], MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[5], MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[6], MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[8], -MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[9], -MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[11], -MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[12], -MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[14], MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[15], MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[17], MAD_F(0x04cfb0e2)); + + x[23] = x[30] = MAD_F_MLZ(hi, lo) + t1; + + MAD_F_ML0(hi, lo, X[0], -MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[2], MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[3], -MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[5], MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[6], MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[8], -MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[9], -MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[11], MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[12], -MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[14], MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[15], MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[17], -MAD_F(0x0acf37ad)); + + x[18] = x[35] = MAD_F_MLZ(hi, lo) - t1; + + MAD_F_ML0(hi, lo, X[4], MAD_F(0x061f78aa)); + MAD_F_MLA(hi, lo, X[13], -MAD_F(0x0ec835e8)); + + t7 = MAD_F_MLZ(hi, lo); + + MAD_F_MLA(hi, lo, X[1], -MAD_F(0x0cb19346)); + MAD_F_MLA(hi, lo, X[7], MAD_F(0x0fdcf549)); + MAD_F_MLA(hi, lo, X[10], MAD_F(0x0216a2a2)); + MAD_F_MLA(hi, lo, X[16], -MAD_F(0x09bd7ca0)); + + t2 = MAD_F_MLZ(hi, lo); + + MAD_F_MLA(hi, lo, X[0], MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[2], MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[3], -MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[5], MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[6], -MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[8], -MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[9], MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[11], -MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[12], MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[14], MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[15], MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[17], MAD_F(0x0f426cb5)); + + x[5] = MAD_F_MLZ(hi, lo); + x[12] = -x[5]; + + MAD_F_ML0(hi, lo, X[0], MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[2], -MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[3], MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[5], -MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[6], -MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[8], MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[9], -MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[11], MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[12], -MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[14], MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[15], MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[17], -MAD_F(0x0bcbe352)); + + x[0] = MAD_F_MLZ(hi, lo) + t2; + x[17] = -x[0]; + + MAD_F_ML0(hi, lo, X[0], -MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[2], -MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[3], -MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[5], MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[6], MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[8], MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[9], MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[11], -MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[12], -MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[14], -MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[15], -MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[17], -MAD_F(0x03768962)); + + x[24] = x[29] = MAD_F_MLZ(hi, lo) + t2; + + MAD_F_ML0(hi, lo, X[1], -MAD_F(0x0216a2a2)); + MAD_F_MLA(hi, lo, X[7], -MAD_F(0x09bd7ca0)); + MAD_F_MLA(hi, lo, X[10], MAD_F(0x0cb19346)); + MAD_F_MLA(hi, lo, X[16], MAD_F(0x0fdcf549)); + + t3 = MAD_F_MLZ(hi, lo) + t7; + + MAD_F_ML0(hi, lo, X[0], MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[2], MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[3], -MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[5], -MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[6], MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[8], MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[9], -MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[11], -MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[12], MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[14], MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[15], -MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[17], -MAD_F(0x0ffc19fd)); + + x[8] = MAD_F_MLZ(hi, lo) + t3; + x[9] = -x[8]; + + MAD_F_ML0(hi, lo, X[0], -MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[2], MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[3], MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[5], -MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[6], -MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[8], MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[9], MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[11], -MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[12], -MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[14], -MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[15], MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[17], MAD_F(0x07635284)); + + x[21] = x[32] = MAD_F_MLZ(hi, lo) + t3; + + MAD_F_ML0(hi, lo, X[0], -MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[2], MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[3], MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[5], -MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[6], -MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[8], MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[9], MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[11], -MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[12], MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[14], MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[15], -MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[17], -MAD_F(0x0898c779)); + + x[20] = x[33] = MAD_F_MLZ(hi, lo) - t3; + + MAD_F_ML0(hi, lo, t14, -MAD_F(0x0ec835e8)); + MAD_F_MLA(hi, lo, t15, MAD_F(0x061f78aa)); + + t4 = MAD_F_MLZ(hi, lo) - t7; + + MAD_F_ML0(hi, lo, t12, MAD_F(0x061f78aa)); + MAD_F_MLA(hi, lo, t13, MAD_F(0x0ec835e8)); + + x[4] = MAD_F_MLZ(hi, lo) + t4; + x[13] = -x[4]; + + MAD_F_ML0(hi, lo, t8, MAD_F(0x09bd7ca0)); + MAD_F_MLA(hi, lo, t9, -MAD_F(0x0216a2a2)); + MAD_F_MLA(hi, lo, t10, MAD_F(0x0fdcf549)); + MAD_F_MLA(hi, lo, t11, -MAD_F(0x0cb19346)); + + x[1] = MAD_F_MLZ(hi, lo) + t4; + x[16] = -x[1]; + + MAD_F_ML0(hi, lo, t8, -MAD_F(0x0fdcf549)); + MAD_F_MLA(hi, lo, t9, -MAD_F(0x0cb19346)); + MAD_F_MLA(hi, lo, t10, -MAD_F(0x09bd7ca0)); + MAD_F_MLA(hi, lo, t11, -MAD_F(0x0216a2a2)); + + x[25] = x[28] = MAD_F_MLZ(hi, lo) + t4; + + MAD_F_ML0(hi, lo, X[1], -MAD_F(0x0fdcf549)); + MAD_F_MLA(hi, lo, X[7], -MAD_F(0x0cb19346)); + MAD_F_MLA(hi, lo, X[10], -MAD_F(0x09bd7ca0)); + MAD_F_MLA(hi, lo, X[16], -MAD_F(0x0216a2a2)); + + t5 = MAD_F_MLZ(hi, lo) - t6; + + MAD_F_ML0(hi, lo, X[0], MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[2], MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[3], MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[5], MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[6], MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[8], -MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[9], MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[11], -MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[12], MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[14], -MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[15], MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[17], -MAD_F(0x0d7e8807)); + + x[2] = MAD_F_MLZ(hi, lo) + t5; + x[15] = -x[2]; + + MAD_F_ML0(hi, lo, X[0], MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[2], MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[3], MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[5], MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[6], -MAD_F(0x00b2aa3e)); + MAD_F_MLA(hi, lo, X[8], MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[9], -MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[11], MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[12], -MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[14], MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[15], -MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[17], MAD_F(0x0e313245)); + + x[3] = MAD_F_MLZ(hi, lo) + t5; + x[14] = -x[3]; + + MAD_F_ML0(hi, lo, X[0], -MAD_F(0x0ffc19fd)); + MAD_F_MLA(hi, lo, X[2], -MAD_F(0x0f9ee890)); + MAD_F_MLA(hi, lo, X[3], -MAD_F(0x0f426cb5)); + MAD_F_MLA(hi, lo, X[5], -MAD_F(0x0e313245)); + MAD_F_MLA(hi, lo, X[6], -MAD_F(0x0d7e8807)); + MAD_F_MLA(hi, lo, X[8], -MAD_F(0x0bcbe352)); + MAD_F_MLA(hi, lo, X[9], -MAD_F(0x0acf37ad)); + MAD_F_MLA(hi, lo, X[11], -MAD_F(0x0898c779)); + MAD_F_MLA(hi, lo, X[12], -MAD_F(0x07635284)); + MAD_F_MLA(hi, lo, X[14], -MAD_F(0x04cfb0e2)); + MAD_F_MLA(hi, lo, X[15], -MAD_F(0x03768962)); + MAD_F_MLA(hi, lo, X[17], -MAD_F(0x00b2aa3e)); + + x[26] = x[27] = MAD_F_MLZ(hi, lo) + t5; +} + +/* + * NAME: III_imdct_l() + * DESCRIPTION: perform IMDCT and windowing for long blocks + */ +static +void III_imdct_l(mad_fixed_t const X[18], mad_fixed_t z[36], + unsigned int block_type) +{ + unsigned int i; + + /* IMDCT */ + + imdct36(X, z); + + /* windowing */ + + switch (block_type) { + case 0: /* normal window */ +# if defined(ASO_INTERLEAVE1) + { + register mad_fixed_t tmp1, tmp2; + + tmp1 = window_l[0]; + tmp2 = window_l[1]; + + for (i = 0; i < 34; i += 2) { + z[i + 0] = mad_f_mul(z[i + 0], tmp1); + tmp1 = window_l[i + 2]; + z[i + 1] = mad_f_mul(z[i + 1], tmp2); + tmp2 = window_l[i + 3]; + } + + z[34] = mad_f_mul(z[34], tmp1); + z[35] = mad_f_mul(z[35], tmp2); + } +# elif defined(ASO_INTERLEAVE2) + { + register mad_fixed_t tmp1, tmp2; + + tmp1 = z[0]; + tmp2 = window_l[0]; + + for (i = 0; i < 35; ++i) { + z[i] = mad_f_mul(tmp1, tmp2); + tmp1 = z[i + 1]; + tmp2 = window_l[i + 1]; + } + + z[35] = mad_f_mul(tmp1, tmp2); + } +# elif 1 + for (i = 0; i < 36; i += 4) { + z[i + 0] = mad_f_mul(z[i + 0], window_l[i + 0]); + z[i + 1] = mad_f_mul(z[i + 1], window_l[i + 1]); + z[i + 2] = mad_f_mul(z[i + 2], window_l[i + 2]); + z[i + 3] = mad_f_mul(z[i + 3], window_l[i + 3]); + } +# else + for (i = 0; i < 36; ++i) z[i] = mad_f_mul(z[i], window_l[i]); +# endif + break; + + case 1: /* start block */ + for (i = 0; i < 18; ++i) z[i] = mad_f_mul(z[i], window_l[i]); + /* (i = 18; i < 24; ++i) z[i] unchanged */ + for (i = 24; i < 30; ++i) z[i] = mad_f_mul(z[i], window_s[i - 18]); + for (i = 30; i < 36; ++i) z[i] = 0; + break; + + case 3: /* stop block */ + for (i = 0; i < 6; ++i) z[i] = 0; + for (i = 6; i < 12; ++i) z[i] = mad_f_mul(z[i], window_s[i - 6]); + /* (i = 12; i < 18; ++i) z[i] unchanged */ + for (i = 18; i < 36; ++i) z[i] = mad_f_mul(z[i], window_l[i]); + break; + } +} +# endif /* ASO_IMDCT */ + +/* + * NAME: III_imdct_s() + * DESCRIPTION: perform IMDCT and windowing for short blocks + */ +static +void III_imdct_s(mad_fixed_t const X[18], mad_fixed_t z[36]) +{ + mad_fixed_t y[36], *yptr; + mad_fixed_t const *wptr; + int w, i; + register mad_fixed64hi_t hi; + register mad_fixed64lo_t lo; + + /* IMDCT */ + + yptr = &y[0]; + + for (w = 0; w < 3; ++w) { + register mad_fixed_t const (*s)[6]; + + s = imdct_s; + + for (i = 0; i < 3; ++i) { + MAD_F_ML0(hi, lo, X[0], (*s)[0]); + MAD_F_MLA(hi, lo, X[1], (*s)[1]); + MAD_F_MLA(hi, lo, X[2], (*s)[2]); + MAD_F_MLA(hi, lo, X[3], (*s)[3]); + MAD_F_MLA(hi, lo, X[4], (*s)[4]); + MAD_F_MLA(hi, lo, X[5], (*s)[5]); + + yptr[i + 0] = MAD_F_MLZ(hi, lo); + yptr[5 - i] = -yptr[i + 0]; + + ++s; + + MAD_F_ML0(hi, lo, X[0], (*s)[0]); + MAD_F_MLA(hi, lo, X[1], (*s)[1]); + MAD_F_MLA(hi, lo, X[2], (*s)[2]); + MAD_F_MLA(hi, lo, X[3], (*s)[3]); + MAD_F_MLA(hi, lo, X[4], (*s)[4]); + MAD_F_MLA(hi, lo, X[5], (*s)[5]); + + yptr[ i + 6] = MAD_F_MLZ(hi, lo); + yptr[11 - i] = yptr[i + 6]; + + ++s; + } + + yptr += 12; + X += 6; + } + + /* windowing, overlapping and concatenation */ + + yptr = &y[0]; + wptr = &window_s[0]; + + for (i = 0; i < 6; ++i) { + z[i + 0] = 0; + z[i + 6] = mad_f_mul(yptr[ 0 + 0], wptr[0]); + + MAD_F_ML0(hi, lo, yptr[ 0 + 6], wptr[6]); + MAD_F_MLA(hi, lo, yptr[12 + 0], wptr[0]); + + z[i + 12] = MAD_F_MLZ(hi, lo); + + MAD_F_ML0(hi, lo, yptr[12 + 6], wptr[6]); + MAD_F_MLA(hi, lo, yptr[24 + 0], wptr[0]); + + z[i + 18] = MAD_F_MLZ(hi, lo); + + z[i + 24] = mad_f_mul(yptr[24 + 6], wptr[6]); + z[i + 30] = 0; + + ++yptr; + ++wptr; + } +} + +/* + * NAME: III_overlap() + * DESCRIPTION: perform overlap-add of windowed IMDCT outputs + */ +static +void III_overlap(mad_fixed_t const output[36], mad_fixed_t overlap[18], + mad_fixed_t sample[18][32], unsigned int sb) +{ + unsigned int i; + +# if defined(ASO_INTERLEAVE2) + { + register mad_fixed_t tmp1, tmp2; + + tmp1 = overlap[0]; + tmp2 = overlap[1]; + + for (i = 0; i < 16; i += 2) { + sample[i + 0][sb] = output[i + 0] + tmp1; + overlap[i + 0] = output[i + 0 + 18]; + tmp1 = overlap[i + 2]; + + sample[i + 1][sb] = output[i + 1] + tmp2; + overlap[i + 1] = output[i + 1 + 18]; + tmp2 = overlap[i + 3]; + } + + sample[16][sb] = output[16] + tmp1; + overlap[16] = output[16 + 18]; + sample[17][sb] = output[17] + tmp2; + overlap[17] = output[17 + 18]; + } +# elif 0 + for (i = 0; i < 18; i += 2) { + sample[i + 0][sb] = output[i + 0] + overlap[i + 0]; + overlap[i + 0] = output[i + 0 + 18]; + + sample[i + 1][sb] = output[i + 1] + overlap[i + 1]; + overlap[i + 1] = output[i + 1 + 18]; + } +# else + for (i = 0; i < 18; ++i) { + sample[i][sb] = output[i] + overlap[i]; + overlap[i] = output[i + 18]; + } +# endif +} + +/* + * NAME: III_overlap_z() + * DESCRIPTION: perform "overlap-add" of zero IMDCT outputs + */ +static inline +void III_overlap_z(mad_fixed_t overlap[18], + mad_fixed_t sample[18][32], unsigned int sb) +{ + unsigned int i; + +# if defined(ASO_INTERLEAVE2) + { + register mad_fixed_t tmp1, tmp2; + + tmp1 = overlap[0]; + tmp2 = overlap[1]; + + for (i = 0; i < 16; i += 2) { + sample[i + 0][sb] = tmp1; + overlap[i + 0] = 0; + tmp1 = overlap[i + 2]; + + sample[i + 1][sb] = tmp2; + overlap[i + 1] = 0; + tmp2 = overlap[i + 3]; + } + + sample[16][sb] = tmp1; + overlap[16] = 0; + sample[17][sb] = tmp2; + overlap[17] = 0; + } +# else + for (i = 0; i < 18; ++i) { + sample[i][sb] = overlap[i]; + overlap[i] = 0; + } +# endif +} + +/* + * NAME: III_freqinver() + * DESCRIPTION: perform subband frequency inversion for odd sample lines + */ +static +void III_freqinver(mad_fixed_t sample[18][32], unsigned int sb) +{ + unsigned int i; + +# if 1 || defined(ASO_INTERLEAVE1) || defined(ASO_INTERLEAVE2) + { + register mad_fixed_t tmp1, tmp2; + + tmp1 = sample[1][sb]; + tmp2 = sample[3][sb]; + + for (i = 1; i < 13; i += 4) { + sample[i + 0][sb] = -tmp1; + tmp1 = sample[i + 4][sb]; + sample[i + 2][sb] = -tmp2; + tmp2 = sample[i + 6][sb]; + } + + sample[13][sb] = -tmp1; + tmp1 = sample[17][sb]; + sample[15][sb] = -tmp2; + sample[17][sb] = -tmp1; + } +# else + for (i = 1; i < 18; i += 2) + sample[i][sb] = -sample[i][sb]; +# endif +} + +/* + * NAME: III_decode() + * DESCRIPTION: decode frame main_data + */ +static +int III_decode(struct mad_bitptr *ptr, struct mad_frame *frame, + struct sideinfo *si, unsigned int nch) +{ + struct mad_header *header = &frame->header; + unsigned int sfreqi, ngr, gr; + + { + unsigned int sfreq; + + sfreq = header->samplerate; + if (header->flags & MAD_FLAG_MPEG_2_5_EXT) + sfreq *= 2; + + /* 48000 => 0, 44100 => 1, 32000 => 2, + 24000 => 3, 22050 => 4, 16000 => 5 */ + sfreqi = ((sfreq >> 7) & 0x000f) + + ((sfreq >> 15) & 0x0001) - 8; + + if (header->flags & MAD_FLAG_MPEG_2_5_EXT) + sfreqi += 3; + } + + /* scalefactors, Huffman decoding, requantization */ + + ngr = (header->flags & MAD_FLAG_LSF_EXT) ? 1 : 2; + + for (gr = 0; gr < ngr; ++gr) { + struct granule *granule = &si->gr[gr]; + unsigned char const *sfbwidth = 0; + mad_fixed_t xr[2][576]; + unsigned int ch; + enum mad_error error; + + for (ch = 0; ch < nch; ++ch) { + struct channel *channel = &granule->ch[ch]; + unsigned int part2_length; + + sfbwidth = sfbwidth_table[sfreqi].l; + if (channel->block_type == 2) { + sfbwidth = (channel->flags & mixed_block_flag) ? + sfbwidth_table[sfreqi].m : sfbwidth_table[sfreqi].s; + } + + if (header->flags & MAD_FLAG_LSF_EXT) { + part2_length = III_scalefactors_lsf(ptr, channel, + ch == 0 ? 0 : &si->gr[1].ch[1], + header->mode_extension); + } + else { + part2_length = III_scalefactors(ptr, channel, &si->gr[0].ch[ch], + gr == 0 ? 0 : si->scfsi[ch]); + } + + error = III_huffdecode(ptr, xr[ch], channel, sfbwidth, part2_length); + if (error) + return error; + } + + /* joint stereo processing */ + + if (header->mode == MAD_MODE_JOINT_STEREO && header->mode_extension) { + error = III_stereo(xr, granule, header, sfbwidth); + if (error) + return error; + } + + /* reordering, alias reduction, IMDCT, overlap-add, frequency inversion */ + + for (ch = 0; ch < nch; ++ch) { + struct channel const *channel = &granule->ch[ch]; + mad_fixed_t (*sample)[32] = &frame->sbsample[ch][18 * gr]; + unsigned int sb, l, i, sblimit; + mad_fixed_t output[36]; + + if (channel->block_type == 2) { + III_reorder(xr[ch], channel, sfbwidth_table[sfreqi].s); + +# if !defined(OPT_STRICT) + /* + * According to ISO/IEC 11172-3, "Alias reduction is not applied for + * granules with block_type == 2 (short block)." However, other + * sources suggest alias reduction should indeed be performed on the + * lower two subbands of mixed blocks. Most other implementations do + * this, so by default we will too. + */ + if (channel->flags & mixed_block_flag) + III_aliasreduce(xr[ch], 36); +# endif + } + else + III_aliasreduce(xr[ch], 576); + + l = 0; + + /* subbands 0-1 */ + + if (channel->block_type != 2 || (channel->flags & mixed_block_flag)) { + unsigned int block_type; + + block_type = channel->block_type; + if (channel->flags & mixed_block_flag) + block_type = 0; + + /* long blocks */ + for (sb = 0; sb < 2; ++sb, l += 18) { + III_imdct_l(&xr[ch][l], output, block_type); + III_overlap(output, (*frame->overlap)[ch][sb], sample, sb); + } + } + else { + /* short blocks */ + for (sb = 0; sb < 2; ++sb, l += 18) { + III_imdct_s(&xr[ch][l], output); + III_overlap(output, (*frame->overlap)[ch][sb], sample, sb); + } + } + + III_freqinver(sample, 1); + + /* (nonzero) subbands 2-31 */ + + i = 576; + while (i > 36 && xr[ch][i - 1] == 0) + --i; + + sblimit = 32 - (576 - i) / 18; + + if (channel->block_type != 2) { + /* long blocks */ + for (sb = 2; sb < sblimit; ++sb, l += 18) { + III_imdct_l(&xr[ch][l], output, channel->block_type); + III_overlap(output, (*frame->overlap)[ch][sb], sample, sb); + + if (sb & 1) + III_freqinver(sample, sb); + } + } + else { + /* short blocks */ + for (sb = 2; sb < sblimit; ++sb, l += 18) { + III_imdct_s(&xr[ch][l], output); + III_overlap(output, (*frame->overlap)[ch][sb], sample, sb); + + if (sb & 1) + III_freqinver(sample, sb); + } + } + + /* remaining (zero) subbands */ + + for (sb = sblimit; sb < 32; ++sb) { + III_overlap_z((*frame->overlap)[ch][sb], sample, sb); + + if (sb & 1) + III_freqinver(sample, sb); + } + } + } + + return 0; +} + +unsigned char g_main_data[MAD_BUFFER_MDLEN]; +mad_fixed_t g_frame_overlap [2][32][18]; + +/* + * NAME: layer->III() + * DESCRIPTION: decode a single Layer III frame + */ +int mad_layer_III(struct mad_stream *stream, struct mad_frame *frame) +{ + struct mad_header *header = &frame->header; + unsigned int nch, priv_bitlen, next_md_begin = 0; + unsigned int si_len, data_bitlen, md_len; + unsigned int frame_space, frame_used, frame_free; + struct mad_bitptr ptr; + struct sideinfo si; + enum mad_error error; + int result = 0; + + /* allocate Layer III dynamic structures */ + + if (!stream->main_data) + stream->main_data = (unsigned char *)stream->buffer; //&g_main_data; + frame->overlap = &g_frame_overlap; + + nch = MAD_NCHANNELS(header); + si_len = (header->flags & MAD_FLAG_LSF_EXT) ? + (nch == 1 ? 9 : 17) : (nch == 1 ? 17 : 32); + + /* check frame sanity */ + + if (stream->next_frame - mad_bit_nextbyte(&stream->ptr) < + (signed int) si_len) { + stream->error = MAD_ERROR_BADFRAMELEN; + stream->md_len = 0; + return -1; + } + + /* check CRC word */ + + if (header->flags & MAD_FLAG_PROTECTION) { + header->crc_check = + mad_bit_crc(stream->ptr, si_len * CHAR_BIT, header->crc_check); + + if (header->crc_check != header->crc_target && + !(frame->options & MAD_OPTION_IGNORECRC)) { + stream->error = MAD_ERROR_BADCRC; + result = -1; + } + } + + /* decode frame side information */ + + error = III_sideinfo(&stream->ptr, nch, header->flags & MAD_FLAG_LSF_EXT, + &si, &data_bitlen, &priv_bitlen); + if (error && result == 0) { + stream->error = error; + result = -1; + } + + header->flags |= priv_bitlen; + header->private_bits |= si.private_bits; + + /* find main_data of next frame */ + + { + struct mad_bitptr peek; + unsigned long header; + + mad_bit_init(&peek, stream->next_frame); + + header = mad_bit_read(&peek, 32); + if ((header & 0xffe60000L) /* syncword | layer */ == 0xffe20000L) { + if (!(header & 0x00010000L)) /* protection_bit */ + mad_bit_skip(&peek, 16); /* crc_check */ + + next_md_begin = + mad_bit_read(&peek, (header & 0x00080000L) /* ID */ ? 9 : 8); + } + + mad_bit_finish(&peek); + } + + /* find main_data of this frame */ + + frame_space = stream->next_frame - mad_bit_nextbyte(&stream->ptr); + + if (next_md_begin > si.main_data_begin + frame_space) + next_md_begin = 0; + + md_len = si.main_data_begin + frame_space - next_md_begin; + + frame_used = 0; + + if (si.main_data_begin == 0) { + ptr = stream->ptr; + stream->md_len = 0; + + frame_used = md_len; + } + else { + if (si.main_data_begin > stream->md_len) { + if (result == 0) { + stream->error = MAD_ERROR_BADDATAPTR; + result = -1; + } + } + else { + mad_bit_init(&ptr, + stream->main_data + stream->md_len - si.main_data_begin); + + if (md_len > si.main_data_begin) { + assert(stream->md_len + md_len - + si.main_data_begin <= MAD_BUFFER_MDLEN); + + memcpy(stream->main_data + stream->md_len, + mad_bit_nextbyte(&stream->ptr), + frame_used = md_len - si.main_data_begin); + stream->md_len += frame_used; + } + } + } + + frame_free = frame_space - frame_used; + + /* decode main_data */ + + if (result == 0) { + error = III_decode(&ptr, frame, &si, nch); + if (error) { + stream->error = error; + result = -1; + } + } + + /* designate ancillary bits */ + + stream->anc_ptr = ptr; + stream->anc_bitlen = md_len * CHAR_BIT - data_bitlen; + + /* fprintf(stderr, + "main_data_begin:%u, md_len:%u, frame_free:%u, " + "data_bitlen:%u, anc_bitlen: %u\n", + si.main_data_begin, md_len, frame_free, + data_bitlen, stream->anc_bitlen);*/ + + /* preload main_data buffer with up to 511 bytes for next frame(s) */ + + if (frame_free >= next_md_begin) { + memcpy(stream->main_data, + stream->next_frame - next_md_begin, next_md_begin); + + stream->md_len = next_md_begin; + } + else { + if (md_len < si.main_data_begin) { + unsigned int extra; + extra = si.main_data_begin - md_len; + if (extra + frame_free > next_md_begin) + extra = next_md_begin - frame_free; + + if (extra < stream->md_len) { + memmove(stream->main_data, + stream->main_data + stream->md_len - extra, extra); + stream->md_len = extra; + } + } + else + stream->md_len = 0; + + memcpy(stream->main_data + stream->md_len, + stream->next_frame - frame_free, frame_free); + stream->md_len += frame_free; + } + return result; +}
mad-xess/libmad/layer3.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/frame.h =================================================================== --- mad-xess/libmad/frame.h (nonexistent) +++ mad-xess/libmad/frame.h (revision 1765) @@ -0,0 +1,115 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: frame.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_FRAME_H +# define LIBMAD_FRAME_H + +# include "fixed.h" +# include "timer.h" +# include "stream.h" + +enum mad_layer { + MAD_LAYER_I = 1, /* Layer I */ + MAD_LAYER_II = 2, /* Layer II */ + MAD_LAYER_III = 3 /* Layer III */ +}; + +enum mad_mode { + MAD_MODE_SINGLE_CHANNEL = 0, /* single channel */ + MAD_MODE_DUAL_CHANNEL = 1, /* dual channel */ + MAD_MODE_JOINT_STEREO = 2, /* joint (MS/intensity) stereo */ + MAD_MODE_STEREO = 3 /* normal LR stereo */ +}; + +enum mad_emphasis { + MAD_EMPHASIS_NONE = 0, /* no emphasis */ + MAD_EMPHASIS_50_15_US = 1, /* 50/15 microseconds emphasis */ + MAD_EMPHASIS_CCITT_J_17 = 3 /* CCITT J.17 emphasis */ +}; + +struct mad_frame { + struct mad_header { + enum mad_layer layer; /* audio layer (1, 2, or 3) */ + enum mad_mode mode; /* channel mode (see above) */ + int mode_extension; /* additional mode info */ + enum mad_emphasis emphasis; /* de-emphasis to use (see above) */ + + unsigned long bitrate; /* stream bitrate (bps) */ + unsigned int samplerate; /* sampling frequency (Hz) */ + + unsigned short crc_check; /* frame CRC accumulator */ + unsigned short crc_target; /* final target CRC checksum */ + + int flags; /* flags (see below) */ + int private_bits; /* private bits (see below) */ + + mad_timer_t duration; /* audio playing time of frame */ + } header; + + int options; /* decoding options (from stream) */ + + mad_fixed_t sbsample[2][36][32]; /* synthesis subband filter samples */ + mad_fixed_t (*overlap)[2][32][18]; /* Layer III block overlap data */ +}; + +# define MAD_NCHANNELS(header) ((header)->mode ? 2 : 1) +# define MAD_NSBSAMPLES(header) \ + ((header)->layer == MAD_LAYER_I ? 12 : \ + (((header)->layer == MAD_LAYER_III && \ + ((header)->flags & MAD_FLAG_LSF_EXT)) ? 18 : 36)) + +enum { + MAD_FLAG_NPRIVATE_III = 0x0007, /* number of Layer III private bits */ + MAD_FLAG_INCOMPLETE = 0x0008, /* header but not data is decoded */ + + MAD_FLAG_PROTECTION = 0x0010, /* frame has CRC protection */ + MAD_FLAG_COPYRIGHT = 0x0020, /* frame is copyright */ + MAD_FLAG_ORIGINAL = 0x0040, /* frame is original (else copy) */ + MAD_FLAG_PADDING = 0x0080, /* frame has additional slot */ + + MAD_FLAG_I_STEREO = 0x0100, /* uses intensity joint stereo */ + MAD_FLAG_MS_STEREO = 0x0200, /* uses middle/side joint stereo */ + MAD_FLAG_FREEFORMAT = 0x0400, /* uses free format bitrate */ + + MAD_FLAG_LSF_EXT = 0x1000, /* lower sampling freq. extension */ + MAD_FLAG_MC_EXT = 0x2000, /* multichannel audio extension */ + MAD_FLAG_MPEG_2_5_EXT = 0x4000 /* MPEG 2.5 (unofficial) extension */ +}; + +enum { + MAD_PRIVATE_HEADER = 0x0100, /* header private bit */ + MAD_PRIVATE_III = 0x001f /* Layer III private bits (up to 5) */ +}; + +void mad_header_init(struct mad_header *); + +# define mad_header_finish(header) /* nothing */ + +int mad_header_decode(struct mad_header *, struct mad_stream *); + +void mad_frame_init(struct mad_frame *); +void mad_frame_finish(struct mad_frame *); + +int mad_frame_decode(struct mad_frame *, struct mad_stream *); + +void mad_frame_mute(struct mad_frame *); + +# endif
mad-xess/libmad/frame.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/fixed.c =================================================================== --- mad-xess/libmad/fixed.c (nonexistent) +++ mad-xess/libmad/fixed.c (revision 1765) @@ -0,0 +1,37 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: fixed.c,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "global.h" + +# include "fixed.h" + +/* + * NAME: fixed->abs() + * DESCRIPTION: return absolute value of a fixed-point number + */ +mad_fixed_t mad_f_abs(mad_fixed_t x) +{ + return x < 0 ? -x : x; +}
mad-xess/libmad/fixed.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/stream.h =================================================================== --- mad-xess/libmad/stream.h (nonexistent) +++ mad-xess/libmad/stream.h (revision 1765) @@ -0,0 +1,102 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: stream.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_STREAM_H +# define LIBMAD_STREAM_H + +# include "bit.h" + +# define MAD_BUFFER_GUARD 8 +# define MAD_BUFFER_MDLEN (511 + 2048 + MAD_BUFFER_GUARD) + +enum mad_error { + MAD_ERROR_BUFLEN = 0x0001, /* input buffer too small (or EOF) */ + MAD_ERROR_BUFPTR = 0x0002, /* invalid (null) buffer pointer */ + + MAD_ERROR_NOMEM = 0x0031, /* not enough memory */ + + MAD_ERROR_LOSTSYNC = 0x0101, /* lost synchronization */ + MAD_ERROR_BADLAYER = 0x0102, /* reserved header layer value */ + MAD_ERROR_BADBITRATE = 0x0103, /* forbidden bitrate value */ + MAD_ERROR_BADSAMPLERATE = 0x0104, /* reserved sample frequency value */ + MAD_ERROR_BADEMPHASIS = 0x0105, /* reserved emphasis value */ + + MAD_ERROR_BADCRC = 0x0201, /* CRC check failed */ + MAD_ERROR_BADBITALLOC = 0x0211, /* forbidden bit allocation value */ + MAD_ERROR_BADSCALEFACTOR = 0x0221, /* bad scalefactor index */ + MAD_ERROR_BADFRAMELEN = 0x0231, /* bad frame length */ + MAD_ERROR_BADBIGVALUES = 0x0232, /* bad big_values count */ + MAD_ERROR_BADBLOCKTYPE = 0x0233, /* reserved block_type */ + MAD_ERROR_BADSCFSI = 0x0234, /* bad scalefactor selection info */ + MAD_ERROR_BADDATAPTR = 0x0235, /* bad main_data_begin pointer */ + MAD_ERROR_BADPART3LEN = 0x0236, /* bad audio data length */ + MAD_ERROR_BADHUFFTABLE = 0x0237, /* bad Huffman table select */ + MAD_ERROR_BADHUFFDATA = 0x0238, /* Huffman data overrun */ + MAD_ERROR_BADSTEREO = 0x0239 /* incompatible block_type for JS */ +}; + +# define MAD_RECOVERABLE(error) ((error) & 0xff00) + +struct mad_stream { + unsigned char const *buffer; /* input bitstream buffer */ + unsigned char const *bufend; /* end of buffer */ + unsigned long skiplen; /* bytes to skip before next frame */ + + int sync; /* stream sync found */ + unsigned long freerate; /* free bitrate (fixed) */ + + unsigned char const *this_frame; /* start of current frame */ + unsigned char const *next_frame; /* start of next frame */ + struct mad_bitptr ptr; /* current processing bit pointer */ + + struct mad_bitptr anc_ptr; /* ancillary bits pointer */ + unsigned int anc_bitlen; /* number of ancillary bits */ + + unsigned char (*main_data); + /* Layer III main_data() */ + unsigned int md_len; /* bytes in main_data */ + + int options; /* decoding options (see below) */ + enum mad_error error; /* error code (see above) */ +}; + +enum { + MAD_OPTION_IGNORECRC = 0x0001, /* ignore CRC errors */ + MAD_OPTION_HALFSAMPLERATE = 0x0002, /* generate PCM at 1/2 sample rate */ +# if 0 /* not yet implemented */ + MAD_OPTION_LEFTCHANNEL = 0x0010, /* decode left channel only */ + MAD_OPTION_RIGHTCHANNEL = 0x0020, /* decode right channel only */ + MAD_OPTION_SINGLECHANNEL = 0x0030, /* combine channels */ +# endif +}; + +void mad_stream_init(struct mad_stream *); +void mad_stream_finish(struct mad_stream *); + +# define mad_stream_options(stream, opts) ((stream)->options = (opts)) + +void mad_stream_buffer(struct mad_stream *, + unsigned char const *, unsigned long); +void mad_stream_skip(struct mad_stream *, unsigned long); + +int mad_stream_sync(struct mad_stream *); + +# endif
mad-xess/libmad/stream.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/layer3.h =================================================================== --- mad-xess/libmad/layer3.h (nonexistent) +++ mad-xess/libmad/layer3.h (revision 1765) @@ -0,0 +1,30 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: layer3.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_LAYER3_H +# define LIBMAD_LAYER3_H + +# include "stream.h" +# include "frame.h" + +int mad_layer_III(struct mad_stream *, struct mad_frame *); + +# endif
mad-xess/libmad/layer3.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/fixed.h =================================================================== --- mad-xess/libmad/fixed.h (nonexistent) +++ mad-xess/libmad/fixed.h (revision 1765) @@ -0,0 +1,454 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: fixed.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_FIXED_H +# define LIBMAD_FIXED_H + +# if SIZEOF_INT >= 4 +typedef signed int mad_fixed_t; + +typedef signed int mad_fixed64hi_t; +typedef unsigned int mad_fixed64lo_t; +# else +typedef signed long mad_fixed_t; + +typedef signed long mad_fixed64hi_t; +typedef unsigned long mad_fixed64lo_t; +# endif + +/* + * Fixed-point format: 0xABBBBBBB + * A == whole part (sign + 3 bits) + * B == fractional part (28 bits) + * + * Values are signed two's complement, so the effective range is: + * 0x80000000 to 0x7fffffff + * -8.0 to +7.9999999962747097015380859375 + * + * The smallest representable value is: + * 0x00000001 == 0.0000000037252902984619140625 (i.e. about 3.725e-9) + * + * 28 bits of fractional accuracy represent about + * 8.6 digits of decimal accuracy. + * + * Fixed-point numbers can be added or subtracted as normal + * integers, but multiplication requires shifting the 64-bit result + * from 56 fractional bits back to 28 (and rounding.) + * + * Changing the definition of MAD_F_FRACBITS is only partially + * supported, and must be done with care. + */ + +# define MAD_F_FRACBITS 28 + +# if MAD_F_FRACBITS == 28 +# define MAD_F(x) ((mad_fixed_t) (x##L)) +# else +# if MAD_F_FRACBITS < 28 +# warning "MAD_F_FRACBITS < 28" +# define MAD_F(x) ((mad_fixed_t) \ + (((x##L) + \ + (1L << (28 - MAD_F_FRACBITS - 1))) >> \ + (28 - MAD_F_FRACBITS))) +# elif MAD_F_FRACBITS > 28 +# error "MAD_F_FRACBITS > 28 not currently supported" +# define MAD_F(x) ((mad_fixed_t) \ + ((x##L) << (MAD_F_FRACBITS - 28))) +# endif +# endif + +# define MAD_F_MIN ((mad_fixed_t) -0x80000000L) +# define MAD_F_MAX ((mad_fixed_t) +0x7fffffffL) + +# define MAD_F_ONE MAD_F(0x10000000) + +#ifndef EMBED +# define mad_f_tofixed(x) ((mad_fixed_t) \ + ((x) * (double) (1L << MAD_F_FRACBITS) + 0.5)) +# define mad_f_todouble(x) ((double) \ + ((x) / (double) (1L << MAD_F_FRACBITS))) +#endif + +# define mad_f_intpart(x) ((x) >> MAD_F_FRACBITS) +# define mad_f_fracpart(x) ((x) & ((1L << MAD_F_FRACBITS) - 1)) + /* (x should be positive) */ + +# define mad_f_fromint(x) ((x) << MAD_F_FRACBITS) + +# define mad_f_add(x, y) ((x) + (y)) +# define mad_f_sub(x, y) ((x) - (y)) + +# if defined(FPM_64BIT) + +/* + * This version should be the most accurate if 64-bit (long long) types are + * supported by the compiler, although it may not be the most efficient. + */ +# if defined(OPT_ACCURACY) +# define mad_f_mul(x, y) \ + ((mad_fixed_t) \ + ((((signed long long) (x) * (y)) + \ + (1L << (MAD_F_SCALEBITS - 1))) >> MAD_F_SCALEBITS)) +# else +# define mad_f_mul(x, y) \ + ((mad_fixed_t) (((signed long long) (x) * (y)) >> MAD_F_SCALEBITS)) +# endif + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* --- Intel --------------------------------------------------------------- */ +# elif defined(FPM_INTEL) + +/* + * This Intel version is fast and accurate; the disposition of the least + * significant bit depends on OPT_ACCURACY via mad_f_scale64(). + */ +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("imull %3" \ + : "=a" (lo), "=d" (hi) \ + : "%a" (x), "rm" (y) \ + : "cc") + +# if defined(OPT_ACCURACY) +/* + * This gives best accuracy but is not very fast. + */ +# define MAD_F_MLA(hi, lo, x, y) \ + ({ mad_fixed64hi_t __hi; \ + mad_fixed64lo_t __lo; \ + MAD_F_MLX(__hi, __lo, (x), (y)); \ + asm ("addl %2,%0\n\t" \ + "adcl %3,%1" \ + : "=rm" (lo), "=rm" (hi) \ + : "r" (__lo), "r" (__hi), "0" (lo), "1" (hi) \ + : "cc"); \ + }) +# endif /* OPT_ACCURACY */ + +# if defined(OPT_ACCURACY) +/* + * Surprisingly, this is faster than SHRD followed by ADC. + */ +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed64hi_t __hi_; \ + mad_fixed64lo_t __lo_; \ + mad_fixed_t __result; \ + asm ("addl %4,%2\n\t" \ + "adcl %5,%3" \ + : "=rm" (__lo_), "=rm" (__hi_) \ + : "0" (lo), "1" (hi), \ + "ir" (1L << (MAD_F_SCALEBITS - 1)), "ir" (0) \ + : "cc"); \ + asm ("shrdl %3,%2,%1" \ + : "=rm" (__result) \ + : "0" (__lo_), "r" (__hi_), "I" (MAD_F_SCALEBITS) \ + : "cc"); \ + __result; \ + }) +# else +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed_t __result; \ + asm ("shrdl %3,%2,%1" \ + : "=rm" (__result) \ + : "0" (lo), "r" (hi), "I" (MAD_F_SCALEBITS) \ + : "cc"); \ + __result; \ + }) +# endif /* OPT_ACCURACY */ + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* --- ARM ----------------------------------------------------------------- */ + +# elif defined(FPM_ARM) + +/* + * This ARM V4 version is as accurate as FPM_64BIT but much faster. The + * least significant bit is properly rounded at no CPU cycle cost! + */ +# if 1 +/* + * There's a bug somewhere, possibly in the compiler, that sometimes makes + * this necessary instead of the default implementation via MAD_F_MLX and + * mad_f_scale64. It may be related to the use (or lack) of + * -finline-functions and/or -fstrength-reduce. + * + * This is also apparently faster than MAD_F_MLX/mad_f_scale64. + */ +# define mad_f_mul(x, y) \ + ({ mad_fixed64hi_t __hi; \ + mad_fixed64lo_t __lo; \ + mad_fixed_t __result; \ + asm ("smull %0, %1, %3, %4\n\t" \ + "movs %0, %0, lsr %5\n\t" \ + "adc %2, %0, %1, lsl %6" \ + : "=&r" (__lo), "=&r" (__hi), "=r" (__result) \ + : "%r" (x), "r" (y), \ + "M" (MAD_F_SCALEBITS), "M" (32 - MAD_F_SCALEBITS) \ + : "cc"); \ + __result; \ + }) +# endif + +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("smull %0, %1, %2, %3" \ + : "=&r" (lo), "=&r" (hi) \ + : "%r" (x), "r" (y)) + +# define MAD_F_MLA(hi, lo, x, y) \ + asm ("smlal %0, %1, %2, %3" \ + : "+r" (lo), "+r" (hi) \ + : "%r" (x), "r" (y)) + +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed_t __result; \ + asm ("movs %0, %1, lsr %3\n\t" \ + "adc %0, %0, %2, lsl %4" \ + : "=r" (__result) \ + : "r" (lo), "r" (hi), \ + "M" (MAD_F_SCALEBITS), "M" (32 - MAD_F_SCALEBITS) \ + : "cc"); \ + __result; \ + }) + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* --- MIPS ---------------------------------------------------------------- */ + +# elif defined(FPM_MIPS) + +/* + * This MIPS version is fast and accurate; the disposition of the least + * significant bit depends on OPT_ACCURACY via mad_f_scale64(). + */ +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("mult %2,%3" \ + : "=l" (lo), "=h" (hi) \ + : "%r" (x), "r" (y)) + +# if defined(HAVE_MADD_ASM) +# define MAD_F_MLA(hi, lo, x, y) \ + asm ("madd %2,%3" \ + : "+l" (lo), "+h" (hi) \ + : "%r" (x), "r" (y)) +# elif defined(HAVE_MADD16_ASM) +/* + * This loses significant accuracy due to the 16-bit integer limit in the + * multiply/accumulate instruction. + */ +# define MAD_F_ML0(hi, lo, x, y) \ + asm ("mult %2,%3" \ + : "=l" (lo), "=h" (hi) \ + : "%r" ((x) >> 12), "r" ((y) >> 16)) +# define MAD_F_MLA(hi, lo, x, y) \ + asm ("madd16 %2,%3" \ + : "+l" (lo), "+h" (hi) \ + : "%r" ((x) >> 12), "r" ((y) >> 16)) +# define MAD_F_MLZ(hi, lo) ((mad_fixed_t) (lo)) +# endif + +# if defined(OPT_SPEED) +# define mad_f_scale64(hi, lo) \ + ((mad_fixed_t) ((hi) << (32 - MAD_F_SCALEBITS))) +# define MAD_F_SCALEBITS MAD_F_FRACBITS +# endif + +/* --- SPARC --------------------------------------------------------------- */ + +# elif defined(FPM_SPARC) + +/* + * This SPARC V8 version is fast and accurate; the disposition of the least + * significant bit depends on OPT_ACCURACY via mad_f_scale64(). + */ +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("smul %2, %3, %0\n\t" \ + "rd %%y, %1" \ + : "=r" (lo), "=r" (hi) \ + : "%r" (x), "rI" (y)) + +/* --- PowerPC ------------------------------------------------------------- */ + +# elif defined(FPM_PPC) + +/* + * This PowerPC version is tuned for the 4xx embedded processors. It is + * effectively a tuned version of FPM_64BIT. It is a little faster and just + * as accurate. The disposition of the least significant bit depends on + * OPT_ACCURACY via mad_f_scale64(). + */ +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("mulhw %1, %2, %3\n\t" \ + "mullw %0, %2, %3" \ + : "=&r" (lo), "=&r" (hi) \ + : "%r" (x), "r" (y)) + +# define MAD_F_MLA(hi, lo, x, y) \ + ({ mad_fixed64hi_t __hi; \ + mad_fixed64lo_t __lo; \ + MAD_F_MLX(__hi, __lo, (x), (y)); \ + asm ("addc %0, %2, %3\n\t" \ + "adde %1, %4, %5" \ + : "=r" (lo), "=r" (hi) \ + : "%r" (__lo), "0" (lo), "%r" (__hi), "1" (hi)); \ + }) + +# if defined(OPT_ACCURACY) +/* + * This is accurate and ~2 - 2.5 times slower than the unrounded version. + * + * The __volatile__ improves the generated code by another 5% (fewer spills + * to memory); eventually they should be removed. + */ +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed_t __result; \ + mad_fixed64hi_t __hi_; \ + mad_fixed64lo_t __lo_; \ + asm __volatile__ ("addc %0, %2, %4\n\t" \ + "addze %1, %3" \ + : "=r" (__lo_), "=r" (__hi_) \ + : "r" (lo), "r" (hi), "r" (1 << (MAD_F_SCALEBITS - 1))); \ + asm __volatile__ ("rlwinm %0, %2,32-%3,0,%3-1\n\t" \ + "rlwimi %0, %1,32-%3,%3,31" \ + : "=&r" (__result) \ + : "r" (__lo_), "r" (__hi_), "I" (MAD_F_SCALEBITS)); \ + __result; \ + }) +# else +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed_t __result; \ + asm ("rlwinm %0, %2,32-%3,0,%3-1\n\t" \ + "rlwimi %0, %1,32-%3,%3,31" \ + : "=r" (__result) \ + : "r" (lo), "r" (hi), "I" (MAD_F_SCALEBITS)); \ + __result; \ + }) +# endif /* OPT_ACCURACY */ + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* ------ OR32 ------------------------------------------------------------- */ + +# elif defined(FPM_OR32) + +/* We assume here that we always call macros in following sequence: + MAD_F_ML0 + MAD_F_MLA + ... + MAD_F_MLA + MAD_F_MLX +*/ + +# define MAD_F_MLX(hi, lo, x, y) \ + asm volatile ("l.mac %0,%1" : : "%r" (x), "r" (y)) + +# define MAD_F_MLA(hi, lo, x, y) MAX_F_MLX(hi, lo, x, y) + + +# define MAX_F_ML0(hi, lo, x, y) MAX_F_MLX(hi, lo, x, y) + +# define MAX_F_MLZ(hi, lo, x, y) \ + asm volatile ("l.macrc %0" : "=r" (lo)) + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* --- Default ------------------------------------------------------------- */ + +# elif defined(FPM_DEFAULT) + +/* + * This version is the most portable but it loses significant accuracy. + * Furthermore, accuracy is biased against the second argument, so care + * should be taken when ordering operands. + * + * The scale factors are constant as this is not used with SSO. + * + * Pre-rounding is required to stay within the limits of compliance. + */ +# define mad_f_mul(x, y) ( (((x) + (1L << 11)) >> 12) * \ + (((y) + (1L << 15)) >> 16) ) +/*# define mad_f_mul(x, y) ((x)+(y))*/ +/* --- Default 16 ------------------------------------------------------------- */ + +# elif defined(FPM_DEFAULT16) + +/* + * This version is the most portable but it loses significant accuracy. + * Furthermore, accuracy is biased against the second argument, so care + * should be taken when ordering operands. + * + * The scale factors are constant as this is not used with SSO. + * + * Pre-rounding is required to stay within the limits of compliance. + */ +# define mad_f_mul(x, y) (( (((x) + (1L << 15)) >> 16) * \ + (((y) + (1L << 15)) >> 16) ) << 4) +/* ------------------------------------------------------------------------- */ + +# else +# error "no FPM selected" +# endif + +/* default implementations */ + +# if !defined(mad_f_mul) +# define mad_f_mul(x, y) \ + ({ mad_fixed64hi_t __hi; \ + mad_fixed64lo_t __lo; \ + MAD_F_MLX(__hi, __lo, (x), (y)); \ + mad_f_scale64(__hi, __lo); \ + }) +# endif + +# if !defined(MAD_F_MLA) +# define MAD_F_ML0(hi, lo, x, y) ((lo) = mad_f_mul((x), (y))) +# define MAD_F_MLA(hi, lo, x, y) ((lo) += mad_f_mul((x), (y))) +# define MAD_F_MLZ(hi, lo) ((void) (hi), (mad_fixed_t) (lo)) +# endif + +# if !defined(MAD_F_ML0) +# define MAD_F_ML0(hi, lo, x, y) MAD_F_MLX((hi), (lo), (x), (y)) +# endif + +# if !defined(MAD_F_MLZ) +# define MAD_F_MLZ(hi, lo) mad_f_scale64((hi), (lo)) +# endif + +# if !defined(mad_f_scale64) +# if defined(OPT_ACCURACY) +# define mad_f_scale64(hi, lo) \ + ((((mad_fixed_t) \ + (((hi) << (32 - (MAD_F_SCALEBITS - 1))) | \ + ((lo) >> (MAD_F_SCALEBITS - 1)))) + 1) >> 1) +# else +# define mad_f_scale64(hi, lo) \ + ((mad_fixed_t) \ + (((hi) << (32 - MAD_F_SCALEBITS)) | \ + ((lo) >> MAD_F_SCALEBITS))) +# endif +# define MAD_F_SCALEBITS MAD_F_FRACBITS +# endif + +/* miscellaneous C routines */ + +mad_fixed_t mad_f_abs(mad_fixed_t); + +# endif
mad-xess/libmad/fixed.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/synth.c =================================================================== --- mad-xess/libmad/synth.c (nonexistent) +++ mad-xess/libmad/synth.c (revision 1765) @@ -0,0 +1,765 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: synth.c,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "global.h" + +# include "fixed.h" +# include "frame.h" +# include "synth.h" +# include "../audio.h" + +#ifndef EMBED +# include +#endif + +/* + * NAME: synth->mute() + * DESCRIPTION: zero all polyphase filterbank values, resetting synthesis + */ +void mad_synth_mute(struct mad_synth *synth) +{ + unsigned int ch, s, v; + + for (ch = 0; ch < 2; ++ch) { + for (s = 0; s < 16; ++s) { + for (v = 0; v < 8; ++v) { + synth->filter[ch][0][0][s][v] = synth->filter[ch][0][1][s][v] = + synth->filter[ch][1][0][s][v] = synth->filter[ch][1][1][s][v] = 0; + } + } + } +} + +/* + * NAME: synth->init() + * DESCRIPTION: initialize synth struct + */ +inline void mad_synth_init(struct mad_synth *synth) +{ + mad_synth_mute(synth); + + synth->phase = 0; + + synth->pcm.samplerate = 0; + synth->pcm.channels = 0; + synth->pcm.length = 0; +} + +/* + * An optional optimization called here the Subband Synthesis Optimization + * (SSO) improves the performance of subband synthesis at the expense of + * accuracy. + * + * The idea is to simplify 32x32->64-bit multiplication to 32x32->32 such + * that extra scaling and rounding are not necessary. This often allows the + * compiler to use faster 32-bit multiply-accumulate instructions instead of + * explicit 64-bit multiply, shift, and add instructions. + * + * SSO works like this: a full 32x32->64-bit multiply of two mad_fixed_t + * values requires the result to be right-shifted 28 bits to be properly + * scaled to the same fixed-point format. Right shifts can be applied at any + * time to either operand or to the result, so the optimization involves + * careful placement of these shifts to minimize the loss of accuracy. + * + * First, a 14-bit shift is applied with rounding at compile-time to the D[] + * table of coefficients for the subband synthesis window. This only loses 2 + * bits of accuracy because the lower 12 bits are always zero. A second + * 12-bit shift occurs after the DCT calculation. This loses 12 bits of + * accuracy. Finally, a third 2-bit shift occurs just before the sample is + * saved in the PCM buffer. 14 + 12 + 2 == 28 bits. + */ + +/* FPM_DEFAULT without OPT_SSO will actually lose accuracy and performance */ + +# if defined(FPM_DEFAULT) && !defined(OPT_SSO) +# define OPT_SSO +# endif + +/* second SSO shift, with rounding */ + +# if defined(OPT_SSO) +# define SHIFT(x) (((x) + (1L << 11)) >> 12) +# else +# define SHIFT(x) (x) +# endif + +/* possible DCT speed optimization */ + +# if defined(OPT_SPEED) && defined(MAD_F_MLX) +# define OPT_DCTO +# define MUL(x, y) \ + ({ mad_fixed64hi_t hi; \ + mad_fixed64lo_t lo; \ + MAD_F_MLX(hi, lo, (x), (y)); \ + hi << (32 - MAD_F_SCALEBITS - 3); \ + }) +# else +# undef OPT_DCTO +# define MUL(x, y) mad_f_mul((x), (y)) +# endif + +/* + * NAME: dct32() + * DESCRIPTION: perform fast in[32]->out[32] DCT + */ +static +void dct32(mad_fixed_t const in[32], unsigned int slot, + mad_fixed_t lo[16][8], mad_fixed_t hi[16][8]) +{ + mad_fixed_t t0, t1, t2, t3, t4, t5, t6, t7; + mad_fixed_t t8, t9, t10, t11, t12, t13, t14, t15; + mad_fixed_t t16, t17, t18, t19, t20, t21, t22, t23; + mad_fixed_t t24, t25, t26, t27, t28, t29, t30, t31; + mad_fixed_t t32, t33, t34, t35, t36, t37, t38, t39; + mad_fixed_t t40, t41, t42, t43, t44, t45, t46, t47; + mad_fixed_t t48, t49, t50, t51, t52, t53, t54, t55; + mad_fixed_t t56, t57, t58, t59, t60, t61, t62, t63; + mad_fixed_t t64, t65, t66, t67, t68, t69, t70, t71; + mad_fixed_t t72, t73, t74, t75, t76, t77, t78, t79; + mad_fixed_t t80, t81, t82, t83, t84, t85, t86, t87; + mad_fixed_t t88, t89, t90, t91, t92, t93, t94, t95; + mad_fixed_t t96, t97, t98, t99, t100, t101, t102, t103; + mad_fixed_t t104, t105, t106, t107, t108, t109, t110, t111; + mad_fixed_t t112, t113, t114, t115, t116, t117, t118, t119; + mad_fixed_t t120, t121, t122, t123, t124, t125, t126, t127; + mad_fixed_t t128, t129, t130, t131, t132, t133, t134, t135; + mad_fixed_t t136, t137, t138, t139, t140, t141, t142, t143; + mad_fixed_t t144, t145, t146, t147, t148, t149, t150, t151; + mad_fixed_t t152, t153, t154, t155, t156, t157, t158, t159; + mad_fixed_t t160, t161, t162, t163, t164, t165, t166, t167; + mad_fixed_t t168, t169, t170, t171, t172, t173, t174, t175; + mad_fixed_t t176; + + /* costab[i] = cos(PI / (2 * 32) * i) */ + +# if defined(OPT_DCTO) + enum { + costab1 = MAD_F(0x7fd8878e), + costab2 = MAD_F(0x7f62368f), + costab3 = MAD_F(0x7e9d55fc), + costab4 = MAD_F(0x7d8a5f40), + costab5 = MAD_F(0x7c29fbee), + costab6 = MAD_F(0x7a7d055b), + costab7 = MAD_F(0x78848414), + costab8 = MAD_F(0x7641af3d), + costab9 = MAD_F(0x73b5ebd1), + costab10 = MAD_F(0x70e2cbc6), + costab11 = MAD_F(0x6dca0d14), + costab12 = MAD_F(0x6a6d98a4), + costab13 = MAD_F(0x66cf8120), + costab14 = MAD_F(0x62f201ac), + costab15 = MAD_F(0x5ed77c8a), + costab16 = MAD_F(0x5a82799a), + costab17 = MAD_F(0x55f5a4d2), + costab18 = MAD_F(0x5133cc94), + costab19 = MAD_F(0x4c3fdff4), + costab20 = MAD_F(0x471cece7), + costab21 = MAD_F(0x41ce1e65), + costab22 = MAD_F(0x3c56ba70), + costab23 = MAD_F(0x36ba2014), + costab24 = MAD_F(0x30fbc54d), + costab25 = MAD_F(0x2b1f34eb), + costab26 = MAD_F(0x25280c5e), + costab27 = MAD_F(0x1f19f97b), + costab28 = MAD_F(0x18f8b83c), + costab29 = MAD_F(0x12c8106f), + costab30 = MAD_F(0x0c8bd35e), + costab31 = MAD_F(0x0647d97c) + }; +# else + enum { + costab1 = MAD_F(0x0ffb10f2), /* 0.998795456 */ + costab2 = MAD_F(0x0fec46d2), /* 0.995184727 */ + costab3 = MAD_F(0x0fd3aac0), /* 0.989176510 */ + costab4 = MAD_F(0x0fb14be8), /* 0.980785280 */ + costab5 = MAD_F(0x0f853f7e), /* 0.970031253 */ + costab6 = MAD_F(0x0f4fa0ab), /* 0.956940336 */ + costab7 = MAD_F(0x0f109082), /* 0.941544065 */ + costab8 = MAD_F(0x0ec835e8), /* 0.923879533 */ + costab9 = MAD_F(0x0e76bd7a), /* 0.903989293 */ + costab10 = MAD_F(0x0e1c5979), /* 0.881921264 */ + costab11 = MAD_F(0x0db941a3), /* 0.857728610 */ + costab12 = MAD_F(0x0d4db315), /* 0.831469612 */ + costab13 = MAD_F(0x0cd9f024), /* 0.803207531 */ + costab14 = MAD_F(0x0c5e4036), /* 0.773010453 */ + costab15 = MAD_F(0x0bdaef91), /* 0.740951125 */ + costab16 = MAD_F(0x0b504f33), /* 0.707106781 */ + costab17 = MAD_F(0x0abeb49a), /* 0.671558955 */ + costab18 = MAD_F(0x0a267993), /* 0.634393284 */ + costab19 = MAD_F(0x0987fbfe), /* 0.595699304 */ + costab20 = MAD_F(0x08e39d9d), /* 0.555570233 */ + costab21 = MAD_F(0x0839c3cd), /* 0.514102744 */ + costab22 = MAD_F(0x078ad74e), /* 0.471396737 */ + costab23 = MAD_F(0x06d74402), /* 0.427555093 */ + costab24 = MAD_F(0x061f78aa), /* 0.382683432 */ + costab25 = MAD_F(0x0563e69d), /* 0.336889853 */ + costab26 = MAD_F(0x04a5018c), /* 0.290284677 */ + costab27 = MAD_F(0x03e33f2f), /* 0.242980180 */ + costab28 = MAD_F(0x031f1708), /* 0.195090322 */ + costab29 = MAD_F(0x0259020e), /* 0.146730474 */ + costab30 = MAD_F(0x01917a6c), /* 0.098017140 */ + costab31 = MAD_F(0x00c8fb30) /* 0.049067674 */ + }; +# endif + + t0 = in[0] + in[31]; t16 = MUL(in[0] - in[31], costab1); + t1 = in[15] + in[16]; t17 = MUL(in[15] - in[16], costab31); + + t41 = t16 + t17; + t59 = MUL(t16 - t17, costab2); + t33 = t0 + t1; + t50 = MUL(t0 - t1, costab2); + + t2 = in[7] + in[24]; t18 = MUL(in[7] - in[24], costab15); + t3 = in[8] + in[23]; t19 = MUL(in[8] - in[23], costab17); + + t42 = t18 + t19; + t60 = MUL(t18 - t19, costab30); + t34 = t2 + t3; + t51 = MUL(t2 - t3, costab30); + + t4 = in[3] + in[28]; t20 = MUL(in[3] - in[28], costab7); + t5 = in[12] + in[19]; t21 = MUL(in[12] - in[19], costab25); + + t43 = t20 + t21; + t61 = MUL(t20 - t21, costab14); + t35 = t4 + t5; + t52 = MUL(t4 - t5, costab14); + + t6 = in[4] + in[27]; t22 = MUL(in[4] - in[27], costab9); + t7 = in[11] + in[20]; t23 = MUL(in[11] - in[20], costab23); + + t44 = t22 + t23; + t62 = MUL(t22 - t23, costab18); + t36 = t6 + t7; + t53 = MUL(t6 - t7, costab18); + + t8 = in[1] + in[30]; t24 = MUL(in[1] - in[30], costab3); + t9 = in[14] + in[17]; t25 = MUL(in[14] - in[17], costab29); + + t45 = t24 + t25; + t63 = MUL(t24 - t25, costab6); + t37 = t8 + t9; + t54 = MUL(t8 - t9, costab6); + + t10 = in[6] + in[25]; t26 = MUL(in[6] - in[25], costab13); + t11 = in[9] + in[22]; t27 = MUL(in[9] - in[22], costab19); + + t46 = t26 + t27; + t64 = MUL(t26 - t27, costab26); + t38 = t10 + t11; + t55 = MUL(t10 - t11, costab26); + + t12 = in[2] + in[29]; t28 = MUL(in[2] - in[29], costab5); + t13 = in[13] + in[18]; t29 = MUL(in[13] - in[18], costab27); + + t47 = t28 + t29; + t65 = MUL(t28 - t29, costab10); + t39 = t12 + t13; + t56 = MUL(t12 - t13, costab10); + + t14 = in[5] + in[26]; t30 = MUL(in[5] - in[26], costab11); + t15 = in[10] + in[21]; t31 = MUL(in[10] - in[21], costab21); + + t48 = t30 + t31; + t66 = MUL(t30 - t31, costab22); + t40 = t14 + t15; + t57 = MUL(t14 - t15, costab22); + + t69 = t33 + t34; t89 = MUL(t33 - t34, costab4); + t70 = t35 + t36; t90 = MUL(t35 - t36, costab28); + t71 = t37 + t38; t91 = MUL(t37 - t38, costab12); + t72 = t39 + t40; t92 = MUL(t39 - t40, costab20); + t73 = t41 + t42; t94 = MUL(t41 - t42, costab4); + t74 = t43 + t44; t95 = MUL(t43 - t44, costab28); + t75 = t45 + t46; t96 = MUL(t45 - t46, costab12); + t76 = t47 + t48; t97 = MUL(t47 - t48, costab20); + + t78 = t50 + t51; t100 = MUL(t50 - t51, costab4); + t79 = t52 + t53; t101 = MUL(t52 - t53, costab28); + t80 = t54 + t55; t102 = MUL(t54 - t55, costab12); + t81 = t56 + t57; t103 = MUL(t56 - t57, costab20); + + t83 = t59 + t60; t106 = MUL(t59 - t60, costab4); + t84 = t61 + t62; t107 = MUL(t61 - t62, costab28); + t85 = t63 + t64; t108 = MUL(t63 - t64, costab12); + t86 = t65 + t66; t109 = MUL(t65 - t66, costab20); + + t113 = t69 + t70; + t114 = t71 + t72; + + /* 0 */ hi[15][slot] = SHIFT(t113 + t114); + /* 16 */ lo[ 0][slot] = SHIFT(MUL(t113 - t114, costab16)); + + t115 = t73 + t74; + t116 = t75 + t76; + + t32 = t115 + t116; + + /* 1 */ hi[14][slot] = SHIFT(t32); + + t118 = t78 + t79; + t119 = t80 + t81; + + t58 = t118 + t119; + + /* 2 */ hi[13][slot] = SHIFT(t58); + + t121 = t83 + t84; + t122 = t85 + t86; + + t67 = t121 + t122; + + t49 = (t67 << 1) - t32; + + /* 3 */ hi[12][slot] = SHIFT(t49); + + t125 = t89 + t90; + t126 = t91 + t92; + + t93 = t125 + t126; + + /* 4 */ hi[11][slot] = SHIFT(t93); + + t128 = t94 + t95; + t129 = t96 + t97; + + t98 = t128 + t129; + + t68 = (t98 << 1) - t49; + + /* 5 */ hi[10][slot] = SHIFT(t68); + + t132 = t100 + t101; + t133 = t102 + t103; + + t104 = t132 + t133; + + t82 = (t104 << 1) - t58; + + /* 6 */ hi[ 9][slot] = SHIFT(t82); + + t136 = t106 + t107; + t137 = t108 + t109; + + t110 = t136 + t137; + + t87 = (t110 << 1) - t67; + + t77 = (t87 << 1) - t68; + + /* 7 */ hi[ 8][slot] = SHIFT(t77); + + t141 = MUL(t69 - t70, costab8); + t142 = MUL(t71 - t72, costab24); + t143 = t141 + t142; + + /* 8 */ hi[ 7][slot] = SHIFT(t143); + /* 24 */ lo[ 8][slot] = + SHIFT((MUL(t141 - t142, costab16) << 1) - t143); + + t144 = MUL(t73 - t74, costab8); + t145 = MUL(t75 - t76, costab24); + t146 = t144 + t145; + + t88 = (t146 << 1) - t77; + + /* 9 */ hi[ 6][slot] = SHIFT(t88); + + t148 = MUL(t78 - t79, costab8); + t149 = MUL(t80 - t81, costab24); + t150 = t148 + t149; + + t105 = (t150 << 1) - t82; + + /* 10 */ hi[ 5][slot] = SHIFT(t105); + + t152 = MUL(t83 - t84, costab8); + t153 = MUL(t85 - t86, costab24); + t154 = t152 + t153; + + t111 = (t154 << 1) - t87; + + t99 = (t111 << 1) - t88; + + /* 11 */ hi[ 4][slot] = SHIFT(t99); + + t157 = MUL(t89 - t90, costab8); + t158 = MUL(t91 - t92, costab24); + t159 = t157 + t158; + + t127 = (t159 << 1) - t93; + + /* 12 */ hi[ 3][slot] = SHIFT(t127); + + t160 = (MUL(t125 - t126, costab16) << 1) - t127; + + /* 20 */ lo[ 4][slot] = SHIFT(t160); + /* 28 */ lo[12][slot] = + SHIFT((((MUL(t157 - t158, costab16) << 1) - t159) << 1) - t160); + + t161 = MUL(t94 - t95, costab8); + t162 = MUL(t96 - t97, costab24); + t163 = t161 + t162; + + t130 = (t163 << 1) - t98; + + t112 = (t130 << 1) - t99; + + /* 13 */ hi[ 2][slot] = SHIFT(t112); + + t164 = (MUL(t128 - t129, costab16) << 1) - t130; + + t166 = MUL(t100 - t101, costab8); + t167 = MUL(t102 - t103, costab24); + t168 = t166 + t167; + + t134 = (t168 << 1) - t104; + + t120 = (t134 << 1) - t105; + + /* 14 */ hi[ 1][slot] = SHIFT(t120); + + t135 = (MUL(t118 - t119, costab16) << 1) - t120; + + /* 18 */ lo[ 2][slot] = SHIFT(t135); + + t169 = (MUL(t132 - t133, costab16) << 1) - t134; + + t151 = (t169 << 1) - t135; + + /* 22 */ lo[ 6][slot] = SHIFT(t151); + + t170 = (((MUL(t148 - t149, costab16) << 1) - t150) << 1) - t151; + + /* 26 */ lo[10][slot] = SHIFT(t170); + /* 30 */ lo[14][slot] = + SHIFT((((((MUL(t166 - t167, costab16) << 1) - + t168) << 1) - t169) << 1) - t170); + + t171 = MUL(t106 - t107, costab8); + t172 = MUL(t108 - t109, costab24); + t173 = t171 + t172; + + t138 = (t173 << 1) - t110; + + t123 = (t138 << 1) - t111; + + t139 = (MUL(t121 - t122, costab16) << 1) - t123; + + t117 = (t123 << 1) - t112; + + /* 15 */ hi[ 0][slot] = SHIFT(t117); + + t124 = (MUL(t115 - t116, costab16) << 1) - t117; + + /* 17 */ lo[ 1][slot] = SHIFT(t124); + + t131 = (t139 << 1) - t124; + + /* 19 */ lo[ 3][slot] = SHIFT(t131); + + t140 = (t164 << 1) - t131; + + /* 21 */ lo[ 5][slot] = SHIFT(t140); + + t174 = (MUL(t136 - t137, costab16) << 1) - t138; + + t155 = (t174 << 1) - t139; + + t147 = (t155 << 1) - t140; + + /* 23 */ lo[ 7][slot] = SHIFT(t147); + + t156 = (((MUL(t144 - t145, costab16) << 1) - t146) << 1) - t147; + + /* 25 */ lo[ 9][slot] = SHIFT(t156); + + t175 = (((MUL(t152 - t153, costab16) << 1) - t154) << 1) - t155; + + t165 = (t175 << 1) - t156; + + /* 27 */ lo[11][slot] = SHIFT(t165); + + t176 = (((((MUL(t161 - t162, costab16) << 1) - + t163) << 1) - t164) << 1) - t165; + + /* 29 */ lo[13][slot] = SHIFT(t176); + /* 31 */ lo[15][slot] = + SHIFT((((((((MUL(t171 - t172, costab16) << 1) - + t173) << 1) - t174) << 1) - t175) << 1) - t176); + + /* + * Totals: + * 80 multiplies + * 80 additions + * 119 subtractions + * 49 shifts (not counting SSO) + */ +} + +# undef MUL +# undef SHIFT + +/* third SSO shift and/or D[] optimization preshift */ + +# if defined(OPT_SSO) +# if MAD_F_FRACBITS != 28 +# error "MAD_F_FRACBITS must be 28 to use OPT_SSO" +# endif +# define ML0(hi, lo, x, y) ((lo) = (x) * (y)) +# define MLA(hi, lo, x, y) ((lo) += (x) * (y)) +# define MLZ(hi, lo) ((void) (hi), (mad_fixed_t) (lo)) +# define SHIFT(x) ((x) >> 2) +# define PRESHIFT(x) ((MAD_F(x) + (1L << 13)) >> 14) +# else +# define ML0(hi, lo, x, y) MAD_F_ML0((hi), (lo), (x), (y)) +# define MLA(hi, lo, x, y) MAD_F_MLA((hi), (lo), (x), (y)) +# define MLZ(hi, lo) MAD_F_MLZ((hi), (lo)) +# define SHIFT(x) (x) +# if defined(MAD_F_SCALEBITS) +# undef MAD_F_SCALEBITS +# define MAD_F_SCALEBITS (MAD_F_FRACBITS - 12) +# define PRESHIFT(x) (MAD_F(x) >> 12) +# else +# define PRESHIFT(x) MAD_F(x) +# endif +# endif + +static +mad_fixed_t const D[17][32] = { +# include "D.dat" +}; + +/* 3. called to process output */ + +int speed = 0, nchan = 0; + +# ifndef EMBED +int output_s(unsigned char const *ptr, unsigned int len); +# endif + +static inline void +output(struct mad_pcm *pcm) +{ + unsigned int nchannels; + union audio_control control; + mad_fixed_t *ch1, *ch2; + + nchannels = pcm->channels; + + if (nchannels != nchan || speed != pcm->samplerate) + { +# ifndef EMBED + control.command = AUDIO_COMMAND_CONFIG; + + control.config.channels = nchannels; + control.config.speed = pcm->samplerate; + + if (audio_oss(&control) == -1) + return; +# endif + nchan = nchannels; + speed = pcm->samplerate; + } + ch1 = &pcm->samples[0][0]; + ch2 = &pcm->samples[1][0]; + + if (nchan == 1) + ch2 = ch1; + + { + unsigned char data[MAX_NSAMPLES * 4 * 2]; + unsigned int len; + + len = audio_pcm_s16le(data, pcm->length, ch1, ch2); +# ifndef EMBED + output_s(data, len); +# endif + } + return; +} + +# if defined(ASO_SYNTH) +void synth_full(struct mad_synth *, struct mad_frame const *, + unsigned int, unsigned int); +# else +/* + * NAME: synth->full() + * DESCRIPTION: perform full frequency PCM synthesis + */ +static inline +void synth_full(struct mad_synth *synth, struct mad_frame const *frame, + unsigned int nch, unsigned int ns) +{ + unsigned int phase, ch, s, sb, pe, po; + mad_fixed_t *pcm1, *pcm2, (*filter)[2][2][16][8]; + mad_fixed_t const (*sbsample)[36][32]; + register mad_fixed_t (*fe)[8], (*fx)[8], (*fo)[8]; + register mad_fixed_t const (*Dptr)[32], *ptr; + register mad_fixed64hi_t hi; + register mad_fixed64lo_t lo; + + phase = synth->phase; + + for (s = 0; s < ns; ++s) { + for (ch = 0; ch < nch; ++ch) { + sbsample = &frame->sbsample[ch]; + filter = &synth->filter[ch]; + pcm1 = synth->pcm.samples[ch]; + + dct32((*sbsample)[s], phase >> 1, + (*filter)[0][phase & 1], (*filter)[1][phase & 1]); + + pe = phase & ~1; + po = ((phase - 1) & 0xf) | 1; + + /* calculate 32 samples */ + + fe = &(*filter)[0][ phase & 1][0]; + fx = &(*filter)[0][~phase & 1][0]; + fo = &(*filter)[1][~phase & 1][0]; + + Dptr = &D[0]; + + ptr = *Dptr + pe; + ML0(hi, lo, (*fe)[0], ptr[ 0]); + MLA(hi, lo, (*fe)[1], ptr[14]); + MLA(hi, lo, (*fe)[2], ptr[12]); + MLA(hi, lo, (*fe)[3], ptr[10]); + MLA(hi, lo, (*fe)[4], ptr[ 8]); + MLA(hi, lo, (*fe)[5], ptr[ 6]); + MLA(hi, lo, (*fe)[6], ptr[ 4]); + MLA(hi, lo, (*fe)[7], ptr[ 2]); + + ptr = *Dptr + po; + MLA(hi, lo, (*fx)[0], -ptr[ 0]); + MLA(hi, lo, (*fx)[1], -ptr[14]); + MLA(hi, lo, (*fx)[2], -ptr[12]); + MLA(hi, lo, (*fx)[3], -ptr[10]); + MLA(hi, lo, (*fx)[4], -ptr[ 8]); + MLA(hi, lo, (*fx)[5], -ptr[ 6]); + MLA(hi, lo, (*fx)[6], -ptr[ 4]); + MLA(hi, lo, (*fx)[7], -ptr[ 2]); + + *pcm1++ = SHIFT(MLZ(hi, lo)); + + pcm2 = pcm1 + 30; + + for (sb = 1; sb < 16; ++sb) { + ++fe; + ++Dptr; + + /* D[32 - sb][i] == -D[sb][31 - i] */ + + ptr = *Dptr + pe; + ML0(hi, lo, (*fe)[7], ptr[ 2]); + MLA(hi, lo, (*fe)[6], ptr[ 4]); + MLA(hi, lo, (*fe)[5], ptr[ 6]); + MLA(hi, lo, (*fe)[4], ptr[ 8]); + MLA(hi, lo, (*fe)[3], ptr[10]); + MLA(hi, lo, (*fe)[2], ptr[12]); + MLA(hi, lo, (*fe)[1], ptr[14]); + MLA(hi, lo, (*fe)[0], ptr[ 0]); + + ptr = *Dptr + po; + MLA(hi, lo, (*fo)[0], -ptr[ 0]); + MLA(hi, lo, (*fo)[1], -ptr[14]); + MLA(hi, lo, (*fo)[2], -ptr[12]); + MLA(hi, lo, (*fo)[3], -ptr[10]); + MLA(hi, lo, (*fo)[4], -ptr[ 8]); + MLA(hi, lo, (*fo)[5], -ptr[ 6]); + MLA(hi, lo, (*fo)[6], -ptr[ 4]); + MLA(hi, lo, (*fo)[7], -ptr[ 2]); + + *pcm1++ = SHIFT(MLZ(hi, lo)); + + ptr = *Dptr - po; + ML0(hi, lo, (*fo)[7], ptr[31 - 2]); + MLA(hi, lo, (*fo)[6], ptr[31 - 4]); + MLA(hi, lo, (*fo)[5], ptr[31 - 6]); + MLA(hi, lo, (*fo)[4], ptr[31 - 8]); + MLA(hi, lo, (*fo)[3], ptr[31 - 10]); + MLA(hi, lo, (*fo)[2], ptr[31 - 12]); + MLA(hi, lo, (*fo)[1], ptr[31 - 14]); + MLA(hi, lo, (*fo)[0], ptr[31 - 16]); + + ptr = *Dptr - pe; + MLA(hi, lo, (*fe)[0], ptr[31 - 16]); + MLA(hi, lo, (*fe)[1], ptr[31 - 14]); + MLA(hi, lo, (*fe)[2], ptr[31 - 12]); + MLA(hi, lo, (*fe)[3], ptr[31 - 10]); + MLA(hi, lo, (*fe)[4], ptr[31 - 8]); + MLA(hi, lo, (*fe)[5], ptr[31 - 6]); + MLA(hi, lo, (*fe)[6], ptr[31 - 4]); + MLA(hi, lo, (*fe)[7], ptr[31 - 2]); + + *pcm2-- = SHIFT(MLZ(hi, lo)); + + ++fo; + } + + ++Dptr; + + ptr = *Dptr + po; + ML0(hi, lo, (*fo)[0], ptr[ 0]); + MLA(hi, lo, (*fo)[1], ptr[14]); + MLA(hi, lo, (*fo)[2], ptr[12]); + MLA(hi, lo, (*fo)[3], ptr[10]); + MLA(hi, lo, (*fo)[4], ptr[ 8]); + MLA(hi, lo, (*fo)[5], ptr[ 6]); + MLA(hi, lo, (*fo)[6], ptr[ 4]); + MLA(hi, lo, (*fo)[7], ptr[ 2]); + + *pcm1 = SHIFT(-MLZ(hi, lo)); + } + + phase = (phase + 1) % 16; + + synth->pcm.length = 32; + output (&synth->pcm); + } +} +# endif + +/* + * NAME: synth->frame() + * DESCRIPTION: perform PCM synthesis of frame subband samples + */ +void inline mad_synth_frame(struct mad_synth *synth, struct mad_frame const *frame) +{ + unsigned int nch, ns; + + nch = MAD_NCHANNELS(&frame->header); + ns = MAD_NSBSAMPLES(&frame->header); + + synth->pcm.samplerate = frame->header.samplerate; + synth->pcm.channels = nch; + synth->pcm.length = 32 * ns; + + synth_full(synth, frame, nch, ns); + + synth->phase = (synth->phase + ns) % 16; +}
mad-xess/libmad/synth.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/global.h =================================================================== --- mad-xess/libmad/global.h (nonexistent) +++ mad-xess/libmad/global.h (revision 1765) @@ -0,0 +1,45 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: global.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_GLOBAL_H +# define LIBMAD_GLOBAL_H + +/* conditional debugging */ + +# if defined(DEBUG) && defined(NDEBUG) +# error "cannot define both DEBUG and NDEBUG" +# endif + +# if defined(DEBUG) +# include +# endif + +/* conditional features */ + +# if defined(OPT_SPEED) && defined(OPT_ACCURACY) +# error "cannot optimize for both speed and accuracy" +# endif + +# if defined(OPT_SPEED) && !defined(OPT_SSO) +# define OPT_SSO 1 +# endif + +# endif
mad-xess/libmad/global.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/decoder.c =================================================================== --- mad-xess/libmad/decoder.c (nonexistent) +++ mad-xess/libmad/decoder.c (revision 1765) @@ -0,0 +1,134 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: decoder.c,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "global.h" + +# include "stream.h" +# include "frame.h" +# include "synth.h" +# include "decoder.h" + +extern int test_button(void); + +inline void mad_decoder_init(struct mad_decoder *decoder, void *data, + enum mad_flow (*input_func)(void *, struct mad_stream *), + enum mad_flow (*error_func)(void *, struct mad_stream *, struct mad_frame *frame)) +{ + decoder->mode = -1; + + decoder->options = 0; + + decoder->sync = 0; + + decoder->cb_data = data; + + decoder->input_func = input_func; + decoder->error_func = error_func; +} + +inline +int mad_decoder_finish(struct mad_decoder *decoder) +{ + return 0; +} + + +/* Both parameters are required. */ +inline static +int run_sync(struct mad_decoder *decoder) +{ + enum mad_flow (*error_func)(void *, struct mad_stream *, struct mad_frame *); + void *error_data; + int bad_last_frame = 0; + struct mad_stream *stream; + struct mad_frame *frame; + struct mad_synth *synth; + int result = 0; + + error_func = decoder->error_func; + error_data = decoder->cb_data; + + stream = &decoder->sync->stream; + frame = &decoder->sync->frame; + synth = &decoder->sync->synth; + + mad_stream_init(stream); + mad_frame_init(frame); + mad_synth_init(synth); + + mad_stream_options(stream, decoder->options); + + do { + switch (decoder->input_func(decoder->cb_data, stream)) { + case MAD_FLOW_STOP: + goto done; + case MAD_FLOW_BREAK: + goto fail; + case MAD_FLOW_IGNORE: + continue; + case MAD_FLOW_CONTINUE: + break; + } + + while (1) { + if (mad_frame_decode(frame, stream) == -1) { + if (!MAD_RECOVERABLE(stream->error)) + break; + + error_func(error_data, stream, frame); + goto done; + } + else + bad_last_frame = 0; + + mad_synth_frame(synth, frame); + if(test_button()) + return 1; + } + } + while (stream->error == MAD_ERROR_BUFLEN); + + fail: + result = -1; + + done: + mad_synth_finish(synth); + mad_frame_finish(frame); + mad_stream_finish(stream); + + return result; +} + +inline int mad_decoder_run(struct mad_decoder *decoder, enum mad_decoder_mode mode) +{ + int result; + struct dec_sync_struct sync; + decoder->sync = &sync; + + result = run_sync(decoder); + + decoder->sync = 0; + return result; +}
mad-xess/libmad/decoder.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/mad.h =================================================================== --- mad-xess/libmad/mad.h (nonexistent) +++ mad-xess/libmad/mad.h (revision 1765) @@ -0,0 +1,928 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * If you would like to negotiate alternate licensing terms, you may do + * so by contacting the author: Robert Leslie + */ + +# define FPM_DEFAULT + +# define SIZEOF_INT 2 +# define SIZEOF_LONG 4 +# define SIZEOF_LONG_LONG 8 + +/* Id: fixed.h,v 1.1.1.1 2001/06/19 11:12:37 markom Exp */ + +# ifndef LIBMAD_FIXED_H +# define LIBMAD_FIXED_H + +# if SIZEOF_INT >= 4 +typedef signed int mad_fixed_t; + +typedef signed int mad_fixed64hi_t; +typedef unsigned int mad_fixed64lo_t; +# else +typedef signed long mad_fixed_t; + +typedef signed long mad_fixed64hi_t; +typedef unsigned long mad_fixed64lo_t; +# endif + +/* + * Fixed-point format: 0xABBBBBBB + * A == whole part (sign + 3 bits) + * B == fractional part (28 bits) + * + * Values are signed two's complement, so the effective range is: + * 0x80000000 to 0x7fffffff + * -8.0 to +7.9999999962747097015380859375 + * + * The smallest representable value is: + * 0x00000001 == 0.0000000037252902984619140625 (i.e. about 3.725e-9) + * + * 28 bits of fractional accuracy represent about + * 8.6 digits of decimal accuracy. + * + * Fixed-point numbers can be added or subtracted as normal + * integers, but multiplication requires shifting the 64-bit result + * from 56 fractional bits back to 28 (and rounding.) + * + * Changing the definition of MAD_F_FRACBITS is only partially + * supported, and must be done with care. + */ + +# define MAD_F_FRACBITS 28 + +# if MAD_F_FRACBITS == 28 +# define MAD_F(x) ((mad_fixed_t) (x##L)) +# else +# if MAD_F_FRACBITS < 28 +# warning "MAD_F_FRACBITS < 28" +# define MAD_F(x) ((mad_fixed_t) \ + (((x##L) + \ + (1L << (28 - MAD_F_FRACBITS - 1))) >> \ + (28 - MAD_F_FRACBITS))) +# elif MAD_F_FRACBITS > 28 +# error "MAD_F_FRACBITS > 28 not currently supported" +# define MAD_F(x) ((mad_fixed_t) \ + ((x##L) << (MAD_F_FRACBITS - 28))) +# endif +# endif + +# define MAD_F_MIN ((mad_fixed_t) -0x80000000L) +# define MAD_F_MAX ((mad_fixed_t) +0x7fffffffL) + +# define MAD_F_ONE MAD_F(0x10000000) + +#ifndef EMBED +# define mad_f_tofixed(x) ((mad_fixed_t) \ + ((x) * (double) (1L << MAD_F_FRACBITS) + 0.5)) +# define mad_f_todouble(x) ((double) \ + ((x) / (double) (1L << MAD_F_FRACBITS))) +#endif + +# define mad_f_intpart(x) ((x) >> MAD_F_FRACBITS) +# define mad_f_fracpart(x) ((x) & ((1L << MAD_F_FRACBITS) - 1)) + /* (x should be positive) */ + +# define mad_f_fromint(x) ((x) << MAD_F_FRACBITS) + +# define mad_f_add(x, y) ((x) + (y)) +# define mad_f_sub(x, y) ((x) - (y)) + +# if defined(FPM_64BIT) + +/* + * This version should be the most accurate if 64-bit (long long) types are + * supported by the compiler, although it may not be the most efficient. + */ +# if defined(OPT_ACCURACY) +# define mad_f_mul(x, y) \ + ((mad_fixed_t) \ + ((((signed long long) (x) * (y)) + \ + (1L << (MAD_F_SCALEBITS - 1))) >> MAD_F_SCALEBITS)) +# else +# define mad_f_mul(x, y) \ + ((mad_fixed_t) (((signed long long) (x) * (y)) >> MAD_F_SCALEBITS)) +# endif + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* --- Intel --------------------------------------------------------------- */ +# elif defined(FPM_INTEL) + +/* + * This Intel version is fast and accurate; the disposition of the least + * significant bit depends on OPT_ACCURACY via mad_f_scale64(). + */ +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("imull %3" \ + : "=a" (lo), "=d" (hi) \ + : "%a" (x), "rm" (y) \ + : "cc") + +# if defined(OPT_ACCURACY) +/* + * This gives best accuracy but is not very fast. + */ +# define MAD_F_MLA(hi, lo, x, y) \ + ({ mad_fixed64hi_t __hi; \ + mad_fixed64lo_t __lo; \ + MAD_F_MLX(__hi, __lo, (x), (y)); \ + asm ("addl %2,%0\n\t" \ + "adcl %3,%1" \ + : "=rm" (lo), "=rm" (hi) \ + : "r" (__lo), "r" (__hi), "0" (lo), "1" (hi) \ + : "cc"); \ + }) +# endif /* OPT_ACCURACY */ + +# if defined(OPT_ACCURACY) +/* + * Surprisingly, this is faster than SHRD followed by ADC. + */ +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed64hi_t __hi_; \ + mad_fixed64lo_t __lo_; \ + mad_fixed_t __result; \ + asm ("addl %4,%2\n\t" \ + "adcl %5,%3" \ + : "=rm" (__lo_), "=rm" (__hi_) \ + : "0" (lo), "1" (hi), \ + "ir" (1L << (MAD_F_SCALEBITS - 1)), "ir" (0) \ + : "cc"); \ + asm ("shrdl %3,%2,%1" \ + : "=rm" (__result) \ + : "0" (__lo_), "r" (__hi_), "I" (MAD_F_SCALEBITS) \ + : "cc"); \ + __result; \ + }) +# else +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed_t __result; \ + asm ("shrdl %3,%2,%1" \ + : "=rm" (__result) \ + : "0" (lo), "r" (hi), "I" (MAD_F_SCALEBITS) \ + : "cc"); \ + __result; \ + }) +# endif /* OPT_ACCURACY */ + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* --- ARM ----------------------------------------------------------------- */ + +# elif defined(FPM_ARM) + +/* + * This ARM V4 version is as accurate as FPM_64BIT but much faster. The + * least significant bit is properly rounded at no CPU cycle cost! + */ +# if 1 +/* + * There's a bug somewhere, possibly in the compiler, that sometimes makes + * this necessary instead of the default implementation via MAD_F_MLX and + * mad_f_scale64. It may be related to the use (or lack) of + * -finline-functions and/or -fstrength-reduce. + * + * This is also apparently faster than MAD_F_MLX/mad_f_scale64. + */ +# define mad_f_mul(x, y) \ + ({ mad_fixed64hi_t __hi; \ + mad_fixed64lo_t __lo; \ + mad_fixed_t __result; \ + asm ("smull %0, %1, %3, %4\n\t" \ + "movs %0, %0, lsr %5\n\t" \ + "adc %2, %0, %1, lsl %6" \ + : "=&r" (__lo), "=&r" (__hi), "=r" (__result) \ + : "%r" (x), "r" (y), \ + "M" (MAD_F_SCALEBITS), "M" (32 - MAD_F_SCALEBITS) \ + : "cc"); \ + __result; \ + }) +# endif + +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("smull %0, %1, %2, %3" \ + : "=&r" (lo), "=&r" (hi) \ + : "%r" (x), "r" (y)) + +# define MAD_F_MLA(hi, lo, x, y) \ + asm ("smlal %0, %1, %2, %3" \ + : "+r" (lo), "+r" (hi) \ + : "%r" (x), "r" (y)) + +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed_t __result; \ + asm ("movs %0, %1, lsr %3\n\t" \ + "adc %0, %0, %2, lsl %4" \ + : "=r" (__result) \ + : "r" (lo), "r" (hi), \ + "M" (MAD_F_SCALEBITS), "M" (32 - MAD_F_SCALEBITS) \ + : "cc"); \ + __result; \ + }) + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* --- MIPS ---------------------------------------------------------------- */ + +# elif defined(FPM_MIPS) + +/* + * This MIPS version is fast and accurate; the disposition of the least + * significant bit depends on OPT_ACCURACY via mad_f_scale64(). + */ +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("mult %2,%3" \ + : "=l" (lo), "=h" (hi) \ + : "%r" (x), "r" (y)) + +# if defined(HAVE_MADD_ASM) +# define MAD_F_MLA(hi, lo, x, y) \ + asm ("madd %2,%3" \ + : "+l" (lo), "+h" (hi) \ + : "%r" (x), "r" (y)) +# elif defined(HAVE_MADD16_ASM) +/* + * This loses significant accuracy due to the 16-bit integer limit in the + * multiply/accumulate instruction. + */ +# define MAD_F_ML0(hi, lo, x, y) \ + asm ("mult %2,%3" \ + : "=l" (lo), "=h" (hi) \ + : "%r" ((x) >> 12), "r" ((y) >> 16)) +# define MAD_F_MLA(hi, lo, x, y) \ + asm ("madd16 %2,%3" \ + : "+l" (lo), "+h" (hi) \ + : "%r" ((x) >> 12), "r" ((y) >> 16)) +# define MAD_F_MLZ(hi, lo) ((mad_fixed_t) (lo)) +# endif + +# if defined(OPT_SPEED) +# define mad_f_scale64(hi, lo) \ + ((mad_fixed_t) ((hi) << (32 - MAD_F_SCALEBITS))) +# define MAD_F_SCALEBITS MAD_F_FRACBITS +# endif + +/* --- SPARC --------------------------------------------------------------- */ + +# elif defined(FPM_SPARC) + +/* + * This SPARC V8 version is fast and accurate; the disposition of the least + * significant bit depends on OPT_ACCURACY via mad_f_scale64(). + */ +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("smul %2, %3, %0\n\t" \ + "rd %%y, %1" \ + : "=r" (lo), "=r" (hi) \ + : "%r" (x), "rI" (y)) + +/* --- PowerPC ------------------------------------------------------------- */ + +# elif defined(FPM_PPC) + +/* + * This PowerPC version is tuned for the 4xx embedded processors. It is + * effectively a tuned version of FPM_64BIT. It is a little faster and just + * as accurate. The disposition of the least significant bit depends on + * OPT_ACCURACY via mad_f_scale64(). + */ +# define MAD_F_MLX(hi, lo, x, y) \ + asm ("mulhw %1, %2, %3\n\t" \ + "mullw %0, %2, %3" \ + : "=&r" (lo), "=&r" (hi) \ + : "%r" (x), "r" (y)) + +# define MAD_F_MLA(hi, lo, x, y) \ + ({ mad_fixed64hi_t __hi; \ + mad_fixed64lo_t __lo; \ + MAD_F_MLX(__hi, __lo, (x), (y)); \ + asm ("addc %0, %2, %3\n\t" \ + "adde %1, %4, %5" \ + : "=r" (lo), "=r" (hi) \ + : "%r" (__lo), "0" (lo), "%r" (__hi), "1" (hi)); \ + }) + +# if defined(OPT_ACCURACY) +/* + * This is accurate and ~2 - 2.5 times slower than the unrounded version. + * + * The __volatile__ improves the generated code by another 5% (fewer spills + * to memory); eventually they should be removed. + */ +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed_t __result; \ + mad_fixed64hi_t __hi_; \ + mad_fixed64lo_t __lo_; \ + asm __volatile__ ("addc %0, %2, %4\n\t" \ + "addze %1, %3" \ + : "=r" (__lo_), "=r" (__hi_) \ + : "r" (lo), "r" (hi), "r" (1 << (MAD_F_SCALEBITS - 1))); \ + asm __volatile__ ("rlwinm %0, %2,32-%3,0,%3-1\n\t" \ + "rlwimi %0, %1,32-%3,%3,31" \ + : "=&r" (__result) \ + : "r" (__lo_), "r" (__hi_), "I" (MAD_F_SCALEBITS)); \ + __result; \ + }) +# else +# define mad_f_scale64(hi, lo) \ + ({ mad_fixed_t __result; \ + asm ("rlwinm %0, %2,32-%3,0,%3-1\n\t" \ + "rlwimi %0, %1,32-%3,%3,31" \ + : "=r" (__result) \ + : "r" (lo), "r" (hi), "I" (MAD_F_SCALEBITS)); \ + __result; \ + }) +# endif /* OPT_ACCURACY */ + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* ------ OR32 ------------------------------------------------------------- */ + +# elif defined(FPM_OR32) + +/* We assume here that we always call macros in following sequence: + MAD_F_ML0 + MAD_F_MLA + ... + MAD_F_MLA + MAD_F_MLX +*/ + +# define MAD_F_MLX(hi, lo, x, y) \ + asm volatile ("l.mac %0,%1" : : "%r" (x), "r" (y)) + +# define MAD_F_MLA(hi, lo, x, y) MAX_F_MLX(hi, lo, x, y) + +# define MAX_F_ML0(hi, lo, x, y) MAX_F_MLX(hi, lo, x, y) + +# define MAX_F_MLZ(hi, lo, x, y) \ + asm volatile ("l.macrc %0" : "=r" (lo)) + +# define MAD_F_SCALEBITS MAD_F_FRACBITS + +/* --- Default ------------------------------------------------------------- */ + +# elif defined(FPM_DEFAULT) + +/* + * This version is the most portable but it loses significant accuracy. + * Furthermore, accuracy is biased against the second argument, so care + * should be taken when ordering operands. + * + * The scale factors are constant as this is not used with SSO. + * + * Pre-rounding is required to stay within the limits of compliance. + */ +# define mad_f_mul(x, y) ( (((x) + (1L << 11)) >> 12) * \ + (((y) + (1L << 15)) >> 16) ) +/*# define mad_f_mul(x, y) ((x)+(y))*/ +/* --- Default 16 ------------------------------------------------------------- */ + +# elif defined(FPM_DEFAULT16) + +/* + * This version is the most portable but it loses significant accuracy. + * Furthermore, accuracy is biased against the second argument, so care + * should be taken when ordering operands. + * + * The scale factors are constant as this is not used with SSO. + * + * Pre-rounding is required to stay within the limits of compliance. + */ +# define mad_f_mul(x, y) (( (((x) + (1L << 15)) >> 16) * \ + (((y) + (1L << 15)) >> 16) ) << 4) +/* ------------------------------------------------------------------------- */ + +# else +# error "no FPM selected" +# endif + +/* default implementations */ + +# if !defined(mad_f_mul) +# define mad_f_mul(x, y) \ + ({ mad_fixed64hi_t __hi; \ + mad_fixed64lo_t __lo; \ + MAD_F_MLX(__hi, __lo, (x), (y)); \ + mad_f_scale64(__hi, __lo); \ + }) +# endif + +# if !defined(MAD_F_MLA) +# define MAD_F_ML0(hi, lo, x, y) ((lo) = mad_f_mul((x), (y))) +# define MAD_F_MLA(hi, lo, x, y) ((lo) += mad_f_mul((x), (y))) +# define MAD_F_MLZ(hi, lo) ((void) (hi), (mad_fixed_t) (lo)) +# endif + +# if !defined(MAD_F_ML0) +# define MAD_F_ML0(hi, lo, x, y) MAD_F_MLX((hi), (lo), (x), (y)) +# endif + +# if !defined(MAD_F_MLZ) +# define MAD_F_MLZ(hi, lo) mad_f_scale64((hi), (lo)) +# endif + +# if !defined(mad_f_scale64) +# if defined(OPT_ACCURACY) +# define mad_f_scale64(hi, lo) \ + ((((mad_fixed_t) \ + (((hi) << (32 - (MAD_F_SCALEBITS - 1))) | \ + ((lo) >> (MAD_F_SCALEBITS - 1)))) + 1) >> 1) +# else +# define mad_f_scale64(hi, lo) \ + ((mad_fixed_t) \ + (((hi) << (32 - MAD_F_SCALEBITS)) | \ + ((lo) >> MAD_F_SCALEBITS))) +# endif +# define MAD_F_SCALEBITS MAD_F_FRACBITS +# endif + +/* miscellaneous C routines */ + +mad_fixed_t mad_f_abs(mad_fixed_t); + +# endif + +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: mad.h,v 1.1 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_BIT_H +# define LIBMAD_BIT_H + +struct mad_bitptr { + unsigned char const *byte; + unsigned short cache; + unsigned short left; +}; + +void mad_bit_init(struct mad_bitptr *, unsigned char const *); + +# define mad_bit_finish(bitptr) /* nothing */ + +unsigned int mad_bit_length(struct mad_bitptr const *, + struct mad_bitptr const *); + +# define mad_bit_bitsleft(bitptr) ((bitptr)->left) +unsigned char const *mad_bit_nextbyte(struct mad_bitptr const *); + +void mad_bit_skip(struct mad_bitptr *, unsigned int); +unsigned long mad_bit_read(struct mad_bitptr *, unsigned int); +void mad_bit_write(struct mad_bitptr *, unsigned int, unsigned long); + +unsigned short mad_bit_crc(struct mad_bitptr, unsigned int, unsigned short); + +# endif + +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: mad.h,v 1.1 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_TIMER_H +# define LIBMAD_TIMER_H + +typedef struct { + signed long seconds; /* whole seconds */ + unsigned long fraction; /* 1/MAD_TIMER_RESOLUTION seconds */ +} mad_timer_t; + +extern mad_timer_t const mad_timer_zero; + +# define MAD_TIMER_RESOLUTION 352800000UL + +enum mad_units { + MAD_UNITS_HOURS = -2, + MAD_UNITS_MINUTES = -1, + MAD_UNITS_SECONDS = 0, + + /* metric units */ + + MAD_UNITS_DECISECONDS = 10, + MAD_UNITS_CENTISECONDS = 100, + MAD_UNITS_MILLISECONDS = 1000, + + /* audio sample units */ + + MAD_UNITS_8000_HZ = 8000, + MAD_UNITS_11025_HZ = 11025, + MAD_UNITS_12000_HZ = 12000, + + MAD_UNITS_16000_HZ = 16000, + MAD_UNITS_22050_HZ = 22050, + MAD_UNITS_24000_HZ = 24000, + + MAD_UNITS_32000_HZ = 32000, + MAD_UNITS_44100_HZ = 44100, + MAD_UNITS_48000_HZ = 48000, + + /* video frame/field units */ + + MAD_UNITS_24_FPS = 24, + MAD_UNITS_25_FPS = 25, + MAD_UNITS_30_FPS = 30, + MAD_UNITS_48_FPS = 48, + MAD_UNITS_50_FPS = 50, + MAD_UNITS_60_FPS = 60, + + /* CD audio frames */ + + MAD_UNITS_75_FPS = 75, + + /* video drop-frame units */ + + MAD_UNITS_23_976_FPS = -24, + MAD_UNITS_24_975_FPS = -25, + MAD_UNITS_29_97_FPS = -30, + MAD_UNITS_47_952_FPS = -48, + MAD_UNITS_49_95_FPS = -50, + MAD_UNITS_59_94_FPS = -60 +}; + +# define mad_timer_reset(timer) (*(timer) = mad_timer_zero) + +int mad_timer_compare(mad_timer_t, mad_timer_t); + +# define mad_timer_sign(timer) mad_timer_compare((timer), mad_timer_zero) + +void mad_timer_negate(mad_timer_t *); +mad_timer_t mad_timer_abs(mad_timer_t); + +void mad_timer_set(mad_timer_t *, unsigned long, unsigned long, unsigned long); +void mad_timer_add(mad_timer_t *, mad_timer_t); +void mad_timer_multiply(mad_timer_t *, signed long); + +signed long mad_timer_count(mad_timer_t, enum mad_units); +unsigned long mad_timer_fraction(mad_timer_t, unsigned long); +void mad_timer_string(mad_timer_t, char *, char const *, + enum mad_units, enum mad_units, unsigned long); + +# endif + +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: mad.h,v 1.1 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_STREAM_H +# define LIBMAD_STREAM_H + + +# define MAD_BUFFER_GUARD 8 +# define MAD_BUFFER_MDLEN (511 + 2048 + MAD_BUFFER_GUARD) + +enum mad_error { + MAD_ERROR_BUFLEN = 0x0001, /* input buffer too small (or EOF) */ + MAD_ERROR_BUFPTR = 0x0002, /* invalid (null) buffer pointer */ + + MAD_ERROR_NOMEM = 0x0031, /* not enough memory */ + + MAD_ERROR_LOSTSYNC = 0x0101, /* lost synchronization */ + MAD_ERROR_BADLAYER = 0x0102, /* reserved header layer value */ + MAD_ERROR_BADBITRATE = 0x0103, /* forbidden bitrate value */ + MAD_ERROR_BADSAMPLERATE = 0x0104, /* reserved sample frequency value */ + MAD_ERROR_BADEMPHASIS = 0x0105, /* reserved emphasis value */ + + MAD_ERROR_BADCRC = 0x0201, /* CRC check failed */ + MAD_ERROR_BADBITALLOC = 0x0211, /* forbidden bit allocation value */ + MAD_ERROR_BADSCALEFACTOR = 0x0221, /* bad scalefactor index */ + MAD_ERROR_BADFRAMELEN = 0x0231, /* bad frame length */ + MAD_ERROR_BADBIGVALUES = 0x0232, /* bad big_values count */ + MAD_ERROR_BADBLOCKTYPE = 0x0233, /* reserved block_type */ + MAD_ERROR_BADSCFSI = 0x0234, /* bad scalefactor selection info */ + MAD_ERROR_BADDATAPTR = 0x0235, /* bad main_data_begin pointer */ + MAD_ERROR_BADPART3LEN = 0x0236, /* bad audio data length */ + MAD_ERROR_BADHUFFTABLE = 0x0237, /* bad Huffman table select */ + MAD_ERROR_BADHUFFDATA = 0x0238, /* Huffman data overrun */ + MAD_ERROR_BADSTEREO = 0x0239 /* incompatible block_type for JS */ +}; + +# define MAD_RECOVERABLE(error) ((error) & 0xff00) + +struct mad_stream { + unsigned char const *buffer; /* input bitstream buffer */ + unsigned char const *bufend; /* end of buffer */ + unsigned long skiplen; /* bytes to skip before next frame */ + + int sync; /* stream sync found */ + unsigned long freerate; /* free bitrate (fixed) */ + + unsigned char const *this_frame; /* start of current frame */ + unsigned char const *next_frame; /* start of next frame */ + struct mad_bitptr ptr; /* current processing bit pointer */ + + struct mad_bitptr anc_ptr; /* ancillary bits pointer */ + unsigned int anc_bitlen; /* number of ancillary bits */ + + unsigned char (*main_data); + /* Layer III main_data() */ + unsigned int md_len; /* bytes in main_data */ + + int options; /* decoding options (see below) */ + enum mad_error error; /* error code (see above) */ +}; + +enum { + MAD_OPTION_IGNORECRC = 0x0001, /* ignore CRC errors */ + MAD_OPTION_HALFSAMPLERATE = 0x0002, /* generate PCM at 1/2 sample rate */ +# if 0 /* not yet implemented */ + MAD_OPTION_LEFTCHANNEL = 0x0010, /* decode left channel only */ + MAD_OPTION_RIGHTCHANNEL = 0x0020, /* decode right channel only */ + MAD_OPTION_SINGLECHANNEL = 0x0030, /* combine channels */ +# endif +}; + +void mad_stream_init(struct mad_stream *); +void mad_stream_finish(struct mad_stream *); + +# define mad_stream_options(stream, opts) ((stream)->options = (opts)) + +void mad_stream_buffer(struct mad_stream *, + unsigned char const *, unsigned long); +void mad_stream_skip(struct mad_stream *, unsigned long); + +int mad_stream_sync(struct mad_stream *); + +# endif + +/* Id: frame.h,v 1.1.1.1 2001/06/19 11:12:42 markom Exp */ + +# ifndef LIBMAD_FRAME_H +# define LIBMAD_FRAME_H + +enum mad_layer { + MAD_LAYER_I = 1, /* Layer I */ + MAD_LAYER_II = 2, /* Layer II */ + MAD_LAYER_III = 3 /* Layer III */ +}; + +enum mad_mode { + MAD_MODE_SINGLE_CHANNEL = 0, /* single channel */ + MAD_MODE_DUAL_CHANNEL = 1, /* dual channel */ + MAD_MODE_JOINT_STEREO = 2, /* joint (MS/intensity) stereo */ + MAD_MODE_STEREO = 3 /* normal LR stereo */ +}; + +enum mad_emphasis { + MAD_EMPHASIS_NONE = 0, /* no emphasis */ + MAD_EMPHASIS_50_15_US = 1, /* 50/15 microseconds emphasis */ + MAD_EMPHASIS_CCITT_J_17 = 3 /* CCITT J.17 emphasis */ +}; + +struct mad_frame { + struct mad_header { + enum mad_layer layer; /* audio layer (1, 2, or 3) */ + enum mad_mode mode; /* channel mode (see above) */ + int mode_extension; /* additional mode info */ + enum mad_emphasis emphasis; /* de-emphasis to use (see above) */ + + unsigned long bitrate; /* stream bitrate (bps) */ + unsigned int samplerate; /* sampling frequency (Hz) */ + + unsigned short crc_check; /* frame CRC accumulator */ + unsigned short crc_target; /* final target CRC checksum */ + + int flags; /* flags (see below) */ + int private_bits; /* private bits (see below) */ + + mad_timer_t duration; /* audio playing time of frame */ + } header; + + int options; /* decoding options (from stream) */ + + mad_fixed_t sbsample[2][36][32]; /* synthesis subband filter samples */ + mad_fixed_t (*overlap)[2][32][18]; /* Layer III block overlap data */ +}; + +# define MAD_NCHANNELS(header) ((header)->mode ? 2 : 1) +# define MAD_NSBSAMPLES(header) \ + ((header)->layer == MAD_LAYER_I ? 12 : \ + (((header)->layer == MAD_LAYER_III && \ + ((header)->flags & MAD_FLAG_LSF_EXT)) ? 18 : 36)) + +enum { + MAD_FLAG_NPRIVATE_III = 0x0007, /* number of Layer III private bits */ + MAD_FLAG_INCOMPLETE = 0x0008, /* header but not data is decoded */ + + MAD_FLAG_PROTECTION = 0x0010, /* frame has CRC protection */ + MAD_FLAG_COPYRIGHT = 0x0020, /* frame is copyright */ + MAD_FLAG_ORIGINAL = 0x0040, /* frame is original (else copy) */ + MAD_FLAG_PADDING = 0x0080, /* frame has additional slot */ + + MAD_FLAG_I_STEREO = 0x0100, /* uses intensity joint stereo */ + MAD_FLAG_MS_STEREO = 0x0200, /* uses middle/side joint stereo */ + MAD_FLAG_FREEFORMAT = 0x0400, /* uses free format bitrate */ + + MAD_FLAG_LSF_EXT = 0x1000, /* lower sampling freq. extension */ + MAD_FLAG_MC_EXT = 0x2000, /* multichannel audio extension */ + MAD_FLAG_MPEG_2_5_EXT = 0x4000 /* MPEG 2.5 (unofficial) extension */ +}; + +enum { + MAD_PRIVATE_HEADER = 0x0100, /* header private bit */ + MAD_PRIVATE_III = 0x001f /* Layer III private bits (up to 5) */ +}; + +void mad_header_init(struct mad_header *); + +# define mad_header_finish(header) /* nothing */ + +int mad_header_decode(struct mad_header *, struct mad_stream *); + +void mad_frame_init(struct mad_frame *); +void mad_frame_finish(struct mad_frame *); + +int mad_frame_decode(struct mad_frame *, struct mad_stream *); + +void mad_frame_mute(struct mad_frame *); + +# endif + +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: mad.h,v 1.1 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_SYNTH_H +# define LIBMAD_SYNTH_H + + +struct mad_synth { + mad_fixed_t filter[2][2][2][16][8]; /* polyphase filterbank outputs */ + /* [ch][eo][peo][s][v] */ + + unsigned int phase; /* current processing phase */ + + struct mad_pcm { + unsigned int samplerate; /* sampling frequency (Hz) */ + unsigned short channels; /* number of channels */ + unsigned short length; /* number of samples per channel */ + mad_fixed_t samples[2][32]; /* PCM output samples */ + } pcm; +}; + +void mad_synth_init(struct mad_synth *); + +# define mad_synth_finish(synth) /* nothing */ + +void mad_synth_mute(struct mad_synth *); + +void mad_synth_frame(struct mad_synth *, struct mad_frame const *); + +# endif + +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: mad.h,v 1.1 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_DECODER_H +# define LIBMAD_DECODER_H + + +enum mad_decoder_mode { + MAD_DECODER_MODE_SYNC = 0, + MAD_DECODER_MODE_ASYNC +}; + +enum mad_flow { + MAD_FLOW_CONTINUE = 0x0000, + MAD_FLOW_STOP = 0x0010, + MAD_FLOW_BREAK = 0x0011, + MAD_FLOW_IGNORE = 0x0020 +}; + +struct mad_decoder { + enum mad_decoder_mode mode; + + int options; + + struct { + long pid; + int in; + int out; + } async; + + struct dec_sync_struct { + struct mad_stream stream; + struct mad_frame frame; + struct mad_synth synth; + } *sync; + + void *cb_data; + + enum mad_flow (*input_func)(void *, struct mad_stream *); + enum mad_flow (*error_func)(void *, struct mad_stream *, struct mad_frame *); +}; + +void mad_decoder_init(struct mad_decoder *, void *, + enum mad_flow (*)(void *, struct mad_stream *), + enum mad_flow (*)(void *, struct mad_stream *, struct mad_frame *frame)); +int mad_decoder_finish(struct mad_decoder *); + +# define mad_decoder_options(decoder, opts) ((decoder)->options = (opts)) + +int mad_decoder_run(struct mad_decoder *, enum mad_decoder_mode); +int mad_decoder_message(struct mad_decoder *, void *, unsigned int *); + +# endif + Index: mad-xess/libmad/imdct_s.dat =================================================================== --- mad-xess/libmad/imdct_s.dat (nonexistent) +++ mad-xess/libmad/imdct_s.dat (revision 1765) @@ -0,0 +1,62 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: imdct_s.dat,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + + /* 0 */ { MAD_F(0x09bd7ca0) /* 0.608761429 */, + -MAD_F(0x0ec835e8) /* -0.923879533 */, + -MAD_F(0x0216a2a2) /* -0.130526192 */, + MAD_F(0x0fdcf549) /* 0.991444861 */, + -MAD_F(0x061f78aa) /* -0.382683432 */, + -MAD_F(0x0cb19346) /* -0.793353340 */ }, + + /* 6 */ { -MAD_F(0x0cb19346) /* -0.793353340 */, + MAD_F(0x061f78aa) /* 0.382683432 */, + MAD_F(0x0fdcf549) /* 0.991444861 */, + MAD_F(0x0216a2a2) /* 0.130526192 */, + -MAD_F(0x0ec835e8) /* -0.923879533 */, + -MAD_F(0x09bd7ca0) /* -0.608761429 */ }, + + /* 1 */ { MAD_F(0x061f78aa) /* 0.382683432 */, + -MAD_F(0x0ec835e8) /* -0.923879533 */, + MAD_F(0x0ec835e8) /* 0.923879533 */, + -MAD_F(0x061f78aa) /* -0.382683432 */, + -MAD_F(0x061f78aa) /* -0.382683432 */, + MAD_F(0x0ec835e8) /* 0.923879533 */ }, + + /* 7 */ { -MAD_F(0x0ec835e8) /* -0.923879533 */, + -MAD_F(0x061f78aa) /* -0.382683432 */, + MAD_F(0x061f78aa) /* 0.382683432 */, + MAD_F(0x0ec835e8) /* 0.923879533 */, + MAD_F(0x0ec835e8) /* 0.923879533 */, + MAD_F(0x061f78aa) /* 0.382683432 */ }, + + /* 2 */ { MAD_F(0x0216a2a2) /* 0.130526192 */, + -MAD_F(0x061f78aa) /* -0.382683432 */, + MAD_F(0x09bd7ca0) /* 0.608761429 */, + -MAD_F(0x0cb19346) /* -0.793353340 */, + MAD_F(0x0ec835e8) /* 0.923879533 */, + -MAD_F(0x0fdcf549) /* -0.991444861 */ }, + + /* 8 */ { -MAD_F(0x0fdcf549) /* -0.991444861 */, + -MAD_F(0x0ec835e8) /* -0.923879533 */, + -MAD_F(0x0cb19346) /* -0.793353340 */, + -MAD_F(0x09bd7ca0) /* -0.608761429 */, + -MAD_F(0x061f78aa) /* -0.382683432 */, + -MAD_F(0x0216a2a2) /* -0.130526192 */ }
mad-xess/libmad/imdct_s.dat Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/D.dat =================================================================== --- mad-xess/libmad/D.dat (nonexistent) +++ mad-xess/libmad/D.dat (revision 1765) @@ -0,0 +1,607 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: D.dat,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +/* + * These are the coefficients for the subband synthesis window. This is a + * reordered version of Table B.3 from ISO/IEC 11172-3. + * + * Every value is parameterized so that shift optimizations can be made at + * compile-time. For example, every value can be right-shifted 12 bits to + * minimize multiply instruction times without any loss of accuracy. + */ + + { PRESHIFT(0x00000000) /* 0.000000000 */, /* 0 */ + -PRESHIFT(0x0001d000) /* -0.000442505 */, + PRESHIFT(0x000d5000) /* 0.003250122 */, + -PRESHIFT(0x001cb000) /* -0.007003784 */, + PRESHIFT(0x007f5000) /* 0.031082153 */, + -PRESHIFT(0x01421000) /* -0.078628540 */, + PRESHIFT(0x019ae000) /* 0.100311279 */, + -PRESHIFT(0x09271000) /* -0.572036743 */, + PRESHIFT(0x1251e000) /* 1.144989014 */, + PRESHIFT(0x09271000) /* 0.572036743 */, + PRESHIFT(0x019ae000) /* 0.100311279 */, + PRESHIFT(0x01421000) /* 0.078628540 */, + PRESHIFT(0x007f5000) /* 0.031082153 */, + PRESHIFT(0x001cb000) /* 0.007003784 */, + PRESHIFT(0x000d5000) /* 0.003250122 */, + PRESHIFT(0x0001d000) /* 0.000442505 */, + + PRESHIFT(0x00000000) /* 0.000000000 */, + -PRESHIFT(0x0001d000) /* -0.000442505 */, + PRESHIFT(0x000d5000) /* 0.003250122 */, + -PRESHIFT(0x001cb000) /* -0.007003784 */, + PRESHIFT(0x007f5000) /* 0.031082153 */, + -PRESHIFT(0x01421000) /* -0.078628540 */, + PRESHIFT(0x019ae000) /* 0.100311279 */, + -PRESHIFT(0x09271000) /* -0.572036743 */, + PRESHIFT(0x1251e000) /* 1.144989014 */, + PRESHIFT(0x09271000) /* 0.572036743 */, + PRESHIFT(0x019ae000) /* 0.100311279 */, + PRESHIFT(0x01421000) /* 0.078628540 */, + PRESHIFT(0x007f5000) /* 0.031082153 */, + PRESHIFT(0x001cb000) /* 0.007003784 */, + PRESHIFT(0x000d5000) /* 0.003250122 */, + PRESHIFT(0x0001d000) /* 0.000442505 */ }, + + { -PRESHIFT(0x00001000) /* -0.000015259 */, /* 1 */ + -PRESHIFT(0x0001f000) /* -0.000473022 */, + PRESHIFT(0x000da000) /* 0.003326416 */, + -PRESHIFT(0x00207000) /* -0.007919312 */, + PRESHIFT(0x007d0000) /* 0.030517578 */, + -PRESHIFT(0x0158d000) /* -0.084182739 */, + PRESHIFT(0x01747000) /* 0.090927124 */, + -PRESHIFT(0x099a8000) /* -0.600219727 */, + PRESHIFT(0x124f0000) /* 1.144287109 */, + PRESHIFT(0x08b38000) /* 0.543823242 */, + PRESHIFT(0x01bde000) /* 0.108856201 */, + PRESHIFT(0x012b4000) /* 0.073059082 */, + PRESHIFT(0x0080f000) /* 0.031478882 */, + PRESHIFT(0x00191000) /* 0.006118774 */, + PRESHIFT(0x000d0000) /* 0.003173828 */, + PRESHIFT(0x0001a000) /* 0.000396729 */, + + -PRESHIFT(0x00001000) /* -0.000015259 */, + -PRESHIFT(0x0001f000) /* -0.000473022 */, + PRESHIFT(0x000da000) /* 0.003326416 */, + -PRESHIFT(0x00207000) /* -0.007919312 */, + PRESHIFT(0x007d0000) /* 0.030517578 */, + -PRESHIFT(0x0158d000) /* -0.084182739 */, + PRESHIFT(0x01747000) /* 0.090927124 */, + -PRESHIFT(0x099a8000) /* -0.600219727 */, + PRESHIFT(0x124f0000) /* 1.144287109 */, + PRESHIFT(0x08b38000) /* 0.543823242 */, + PRESHIFT(0x01bde000) /* 0.108856201 */, + PRESHIFT(0x012b4000) /* 0.073059082 */, + PRESHIFT(0x0080f000) /* 0.031478882 */, + PRESHIFT(0x00191000) /* 0.006118774 */, + PRESHIFT(0x000d0000) /* 0.003173828 */, + PRESHIFT(0x0001a000) /* 0.000396729 */ }, + + { -PRESHIFT(0x00001000) /* -0.000015259 */, /* 2 */ + -PRESHIFT(0x00023000) /* -0.000534058 */, + PRESHIFT(0x000de000) /* 0.003387451 */, + -PRESHIFT(0x00245000) /* -0.008865356 */, + PRESHIFT(0x007a0000) /* 0.029785156 */, + -PRESHIFT(0x016f7000) /* -0.089706421 */, + PRESHIFT(0x014a8000) /* 0.080688477 */, + -PRESHIFT(0x0a0d8000) /* -0.628295898 */, + PRESHIFT(0x12468000) /* 1.142211914 */, + PRESHIFT(0x083ff000) /* 0.515609741 */, + PRESHIFT(0x01dd8000) /* 0.116577148 */, + PRESHIFT(0x01149000) /* 0.067520142 */, + PRESHIFT(0x00820000) /* 0.031738281 */, + PRESHIFT(0x0015b000) /* 0.005294800 */, + PRESHIFT(0x000ca000) /* 0.003082275 */, + PRESHIFT(0x00018000) /* 0.000366211 */, + + -PRESHIFT(0x00001000) /* -0.000015259 */, + -PRESHIFT(0x00023000) /* -0.000534058 */, + PRESHIFT(0x000de000) /* 0.003387451 */, + -PRESHIFT(0x00245000) /* -0.008865356 */, + PRESHIFT(0x007a0000) /* 0.029785156 */, + -PRESHIFT(0x016f7000) /* -0.089706421 */, + PRESHIFT(0x014a8000) /* 0.080688477 */, + -PRESHIFT(0x0a0d8000) /* -0.628295898 */, + PRESHIFT(0x12468000) /* 1.142211914 */, + PRESHIFT(0x083ff000) /* 0.515609741 */, + PRESHIFT(0x01dd8000) /* 0.116577148 */, + PRESHIFT(0x01149000) /* 0.067520142 */, + PRESHIFT(0x00820000) /* 0.031738281 */, + PRESHIFT(0x0015b000) /* 0.005294800 */, + PRESHIFT(0x000ca000) /* 0.003082275 */, + PRESHIFT(0x00018000) /* 0.000366211 */ }, + + { -PRESHIFT(0x00001000) /* -0.000015259 */, /* 3 */ + -PRESHIFT(0x00026000) /* -0.000579834 */, + PRESHIFT(0x000e1000) /* 0.003433228 */, + -PRESHIFT(0x00285000) /* -0.009841919 */, + PRESHIFT(0x00765000) /* 0.028884888 */, + -PRESHIFT(0x0185d000) /* -0.095169067 */, + PRESHIFT(0x011d1000) /* 0.069595337 */, + -PRESHIFT(0x0a7fe000) /* -0.656219482 */, + PRESHIFT(0x12386000) /* 1.138763428 */, + PRESHIFT(0x07ccb000) /* 0.487472534 */, + PRESHIFT(0x01f9c000) /* 0.123474121 */, + PRESHIFT(0x00fdf000) /* 0.061996460 */, + PRESHIFT(0x00827000) /* 0.031845093 */, + PRESHIFT(0x00126000) /* 0.004486084 */, + PRESHIFT(0x000c4000) /* 0.002990723 */, + PRESHIFT(0x00015000) /* 0.000320435 */, + + -PRESHIFT(0x00001000) /* -0.000015259 */, + -PRESHIFT(0x00026000) /* -0.000579834 */, + PRESHIFT(0x000e1000) /* 0.003433228 */, + -PRESHIFT(0x00285000) /* -0.009841919 */, + PRESHIFT(0x00765000) /* 0.028884888 */, + -PRESHIFT(0x0185d000) /* -0.095169067 */, + PRESHIFT(0x011d1000) /* 0.069595337 */, + -PRESHIFT(0x0a7fe000) /* -0.656219482 */, + PRESHIFT(0x12386000) /* 1.138763428 */, + PRESHIFT(0x07ccb000) /* 0.487472534 */, + PRESHIFT(0x01f9c000) /* 0.123474121 */, + PRESHIFT(0x00fdf000) /* 0.061996460 */, + PRESHIFT(0x00827000) /* 0.031845093 */, + PRESHIFT(0x00126000) /* 0.004486084 */, + PRESHIFT(0x000c4000) /* 0.002990723 */, + PRESHIFT(0x00015000) /* 0.000320435 */ }, + + { -PRESHIFT(0x00001000) /* -0.000015259 */, /* 4 */ + -PRESHIFT(0x00029000) /* -0.000625610 */, + PRESHIFT(0x000e3000) /* 0.003463745 */, + -PRESHIFT(0x002c7000) /* -0.010848999 */, + PRESHIFT(0x0071e000) /* 0.027801514 */, + -PRESHIFT(0x019bd000) /* -0.100540161 */, + PRESHIFT(0x00ec0000) /* 0.057617187 */, + -PRESHIFT(0x0af15000) /* -0.683914185 */, + PRESHIFT(0x12249000) /* 1.133926392 */, + PRESHIFT(0x075a0000) /* 0.459472656 */, + PRESHIFT(0x0212c000) /* 0.129577637 */, + PRESHIFT(0x00e79000) /* 0.056533813 */, + PRESHIFT(0x00825000) /* 0.031814575 */, + PRESHIFT(0x000f4000) /* 0.003723145 */, + PRESHIFT(0x000be000) /* 0.002899170 */, + PRESHIFT(0x00013000) /* 0.000289917 */, + + -PRESHIFT(0x00001000) /* -0.000015259 */, + -PRESHIFT(0x00029000) /* -0.000625610 */, + PRESHIFT(0x000e3000) /* 0.003463745 */, + -PRESHIFT(0x002c7000) /* -0.010848999 */, + PRESHIFT(0x0071e000) /* 0.027801514 */, + -PRESHIFT(0x019bd000) /* -0.100540161 */, + PRESHIFT(0x00ec0000) /* 0.057617187 */, + -PRESHIFT(0x0af15000) /* -0.683914185 */, + PRESHIFT(0x12249000) /* 1.133926392 */, + PRESHIFT(0x075a0000) /* 0.459472656 */, + PRESHIFT(0x0212c000) /* 0.129577637 */, + PRESHIFT(0x00e79000) /* 0.056533813 */, + PRESHIFT(0x00825000) /* 0.031814575 */, + PRESHIFT(0x000f4000) /* 0.003723145 */, + PRESHIFT(0x000be000) /* 0.002899170 */, + PRESHIFT(0x00013000) /* 0.000289917 */ }, + + { -PRESHIFT(0x00001000) /* -0.000015259 */, /* 5 */ + -PRESHIFT(0x0002d000) /* -0.000686646 */, + PRESHIFT(0x000e4000) /* 0.003479004 */, + -PRESHIFT(0x0030b000) /* -0.011886597 */, + PRESHIFT(0x006cb000) /* 0.026535034 */, + -PRESHIFT(0x01b17000) /* -0.105819702 */, + PRESHIFT(0x00b77000) /* 0.044784546 */, + -PRESHIFT(0x0b619000) /* -0.711318970 */, + PRESHIFT(0x120b4000) /* 1.127746582 */, + PRESHIFT(0x06e81000) /* 0.431655884 */, + PRESHIFT(0x02288000) /* 0.134887695 */, + PRESHIFT(0x00d17000) /* 0.051132202 */, + PRESHIFT(0x0081b000) /* 0.031661987 */, + PRESHIFT(0x000c5000) /* 0.003005981 */, + PRESHIFT(0x000b7000) /* 0.002792358 */, + PRESHIFT(0x00011000) /* 0.000259399 */, + + -PRESHIFT(0x00001000) /* -0.000015259 */, + -PRESHIFT(0x0002d000) /* -0.000686646 */, + PRESHIFT(0x000e4000) /* 0.003479004 */, + -PRESHIFT(0x0030b000) /* -0.011886597 */, + PRESHIFT(0x006cb000) /* 0.026535034 */, + -PRESHIFT(0x01b17000) /* -0.105819702 */, + PRESHIFT(0x00b77000) /* 0.044784546 */, + -PRESHIFT(0x0b619000) /* -0.711318970 */, + PRESHIFT(0x120b4000) /* 1.127746582 */, + PRESHIFT(0x06e81000) /* 0.431655884 */, + PRESHIFT(0x02288000) /* 0.134887695 */, + PRESHIFT(0x00d17000) /* 0.051132202 */, + PRESHIFT(0x0081b000) /* 0.031661987 */, + PRESHIFT(0x000c5000) /* 0.003005981 */, + PRESHIFT(0x000b7000) /* 0.002792358 */, + PRESHIFT(0x00011000) /* 0.000259399 */ }, + + { -PRESHIFT(0x00001000) /* -0.000015259 */, /* 6 */ + -PRESHIFT(0x00031000) /* -0.000747681 */, + PRESHIFT(0x000e4000) /* 0.003479004 */, + -PRESHIFT(0x00350000) /* -0.012939453 */, + PRESHIFT(0x0066c000) /* 0.025085449 */, + -PRESHIFT(0x01c67000) /* -0.110946655 */, + PRESHIFT(0x007f5000) /* 0.031082153 */, + -PRESHIFT(0x0bd06000) /* -0.738372803 */, + PRESHIFT(0x11ec7000) /* 1.120223999 */, + PRESHIFT(0x06772000) /* 0.404083252 */, + PRESHIFT(0x023b3000) /* 0.139450073 */, + PRESHIFT(0x00bbc000) /* 0.045837402 */, + PRESHIFT(0x00809000) /* 0.031387329 */, + PRESHIFT(0x00099000) /* 0.002334595 */, + PRESHIFT(0x000b0000) /* 0.002685547 */, + PRESHIFT(0x00010000) /* 0.000244141 */, + + -PRESHIFT(0x00001000) /* -0.000015259 */, + -PRESHIFT(0x00031000) /* -0.000747681 */, + PRESHIFT(0x000e4000) /* 0.003479004 */, + -PRESHIFT(0x00350000) /* -0.012939453 */, + PRESHIFT(0x0066c000) /* 0.025085449 */, + -PRESHIFT(0x01c67000) /* -0.110946655 */, + PRESHIFT(0x007f5000) /* 0.031082153 */, + -PRESHIFT(0x0bd06000) /* -0.738372803 */, + PRESHIFT(0x11ec7000) /* 1.120223999 */, + PRESHIFT(0x06772000) /* 0.404083252 */, + PRESHIFT(0x023b3000) /* 0.139450073 */, + PRESHIFT(0x00bbc000) /* 0.045837402 */, + PRESHIFT(0x00809000) /* 0.031387329 */, + PRESHIFT(0x00099000) /* 0.002334595 */, + PRESHIFT(0x000b0000) /* 0.002685547 */, + PRESHIFT(0x00010000) /* 0.000244141 */ }, + + { -PRESHIFT(0x00002000) /* -0.000030518 */, /* 7 */ + -PRESHIFT(0x00035000) /* -0.000808716 */, + PRESHIFT(0x000e3000) /* 0.003463745 */, + -PRESHIFT(0x00397000) /* -0.014022827 */, + PRESHIFT(0x005ff000) /* 0.023422241 */, + -PRESHIFT(0x01dad000) /* -0.115921021 */, + PRESHIFT(0x0043a000) /* 0.016510010 */, + -PRESHIFT(0x0c3d9000) /* -0.765029907 */, + PRESHIFT(0x11c83000) /* 1.111373901 */, + PRESHIFT(0x06076000) /* 0.376800537 */, + PRESHIFT(0x024ad000) /* 0.143264771 */, + PRESHIFT(0x00a67000) /* 0.040634155 */, + PRESHIFT(0x007f0000) /* 0.031005859 */, + PRESHIFT(0x0006f000) /* 0.001693726 */, + PRESHIFT(0x000a9000) /* 0.002578735 */, + PRESHIFT(0x0000e000) /* 0.000213623 */, + + -PRESHIFT(0x00002000) /* -0.000030518 */, + -PRESHIFT(0x00035000) /* -0.000808716 */, + PRESHIFT(0x000e3000) /* 0.003463745 */, + -PRESHIFT(0x00397000) /* -0.014022827 */, + PRESHIFT(0x005ff000) /* 0.023422241 */, + -PRESHIFT(0x01dad000) /* -0.115921021 */, + PRESHIFT(0x0043a000) /* 0.016510010 */, + -PRESHIFT(0x0c3d9000) /* -0.765029907 */, + PRESHIFT(0x11c83000) /* 1.111373901 */, + PRESHIFT(0x06076000) /* 0.376800537 */, + PRESHIFT(0x024ad000) /* 0.143264771 */, + PRESHIFT(0x00a67000) /* 0.040634155 */, + PRESHIFT(0x007f0000) /* 0.031005859 */, + PRESHIFT(0x0006f000) /* 0.001693726 */, + PRESHIFT(0x000a9000) /* 0.002578735 */, + PRESHIFT(0x0000e000) /* 0.000213623 */ }, + + { -PRESHIFT(0x00002000) /* -0.000030518 */, /* 8 */ + -PRESHIFT(0x0003a000) /* -0.000885010 */, + PRESHIFT(0x000e0000) /* 0.003417969 */, + -PRESHIFT(0x003df000) /* -0.015121460 */, + PRESHIFT(0x00586000) /* 0.021575928 */, + -PRESHIFT(0x01ee6000) /* -0.120697021 */, + PRESHIFT(0x00046000) /* 0.001068115 */, + -PRESHIFT(0x0ca8d000) /* -0.791213989 */, + PRESHIFT(0x119e9000) /* 1.101211548 */, + PRESHIFT(0x05991000) /* 0.349868774 */, + PRESHIFT(0x02578000) /* 0.146362305 */, + PRESHIFT(0x0091a000) /* 0.035552979 */, + PRESHIFT(0x007d1000) /* 0.030532837 */, + PRESHIFT(0x00048000) /* 0.001098633 */, + PRESHIFT(0x000a1000) /* 0.002456665 */, + PRESHIFT(0x0000d000) /* 0.000198364 */, + + -PRESHIFT(0x00002000) /* -0.000030518 */, + -PRESHIFT(0x0003a000) /* -0.000885010 */, + PRESHIFT(0x000e0000) /* 0.003417969 */, + -PRESHIFT(0x003df000) /* -0.015121460 */, + PRESHIFT(0x00586000) /* 0.021575928 */, + -PRESHIFT(0x01ee6000) /* -0.120697021 */, + PRESHIFT(0x00046000) /* 0.001068115 */, + -PRESHIFT(0x0ca8d000) /* -0.791213989 */, + PRESHIFT(0x119e9000) /* 1.101211548 */, + PRESHIFT(0x05991000) /* 0.349868774 */, + PRESHIFT(0x02578000) /* 0.146362305 */, + PRESHIFT(0x0091a000) /* 0.035552979 */, + PRESHIFT(0x007d1000) /* 0.030532837 */, + PRESHIFT(0x00048000) /* 0.001098633 */, + PRESHIFT(0x000a1000) /* 0.002456665 */, + PRESHIFT(0x0000d000) /* 0.000198364 */ }, + + { -PRESHIFT(0x00002000) /* -0.000030518 */, /* 9 */ + -PRESHIFT(0x0003f000) /* -0.000961304 */, + PRESHIFT(0x000dd000) /* 0.003372192 */, + -PRESHIFT(0x00428000) /* -0.016235352 */, + PRESHIFT(0x00500000) /* 0.019531250 */, + -PRESHIFT(0x02011000) /* -0.125259399 */, + -PRESHIFT(0x003e6000) /* -0.015228271 */, + -PRESHIFT(0x0d11e000) /* -0.816864014 */, + PRESHIFT(0x116fc000) /* 1.089782715 */, + PRESHIFT(0x052c5000) /* 0.323318481 */, + PRESHIFT(0x02616000) /* 0.148773193 */, + PRESHIFT(0x007d6000) /* 0.030609131 */, + PRESHIFT(0x007aa000) /* 0.029937744 */, + PRESHIFT(0x00024000) /* 0.000549316 */, + PRESHIFT(0x0009a000) /* 0.002349854 */, + PRESHIFT(0x0000b000) /* 0.000167847 */, + + -PRESHIFT(0x00002000) /* -0.000030518 */, + -PRESHIFT(0x0003f000) /* -0.000961304 */, + PRESHIFT(0x000dd000) /* 0.003372192 */, + -PRESHIFT(0x00428000) /* -0.016235352 */, + PRESHIFT(0x00500000) /* 0.019531250 */, + -PRESHIFT(0x02011000) /* -0.125259399 */, + -PRESHIFT(0x003e6000) /* -0.015228271 */, + -PRESHIFT(0x0d11e000) /* -0.816864014 */, + PRESHIFT(0x116fc000) /* 1.089782715 */, + PRESHIFT(0x052c5000) /* 0.323318481 */, + PRESHIFT(0x02616000) /* 0.148773193 */, + PRESHIFT(0x007d6000) /* 0.030609131 */, + PRESHIFT(0x007aa000) /* 0.029937744 */, + PRESHIFT(0x00024000) /* 0.000549316 */, + PRESHIFT(0x0009a000) /* 0.002349854 */, + PRESHIFT(0x0000b000) /* 0.000167847 */ }, + + { -PRESHIFT(0x00002000) /* -0.000030518 */, /* 10 */ + -PRESHIFT(0x00044000) /* -0.001037598 */, + PRESHIFT(0x000d7000) /* 0.003280640 */, + -PRESHIFT(0x00471000) /* -0.017349243 */, + PRESHIFT(0x0046b000) /* 0.017257690 */, + -PRESHIFT(0x0212b000) /* -0.129562378 */, + -PRESHIFT(0x0084a000) /* -0.032379150 */, + -PRESHIFT(0x0d78a000) /* -0.841949463 */, + PRESHIFT(0x113be000) /* 1.077117920 */, + PRESHIFT(0x04c16000) /* 0.297210693 */, + PRESHIFT(0x02687000) /* 0.150497437 */, + PRESHIFT(0x0069c000) /* 0.025817871 */, + PRESHIFT(0x0077f000) /* 0.029281616 */, + PRESHIFT(0x00002000) /* 0.000030518 */, + PRESHIFT(0x00093000) /* 0.002243042 */, + PRESHIFT(0x0000a000) /* 0.000152588 */, + + -PRESHIFT(0x00002000) /* -0.000030518 */, + -PRESHIFT(0x00044000) /* -0.001037598 */, + PRESHIFT(0x000d7000) /* 0.003280640 */, + -PRESHIFT(0x00471000) /* -0.017349243 */, + PRESHIFT(0x0046b000) /* 0.017257690 */, + -PRESHIFT(0x0212b000) /* -0.129562378 */, + -PRESHIFT(0x0084a000) /* -0.032379150 */, + -PRESHIFT(0x0d78a000) /* -0.841949463 */, + PRESHIFT(0x113be000) /* 1.077117920 */, + PRESHIFT(0x04c16000) /* 0.297210693 */, + PRESHIFT(0x02687000) /* 0.150497437 */, + PRESHIFT(0x0069c000) /* 0.025817871 */, + PRESHIFT(0x0077f000) /* 0.029281616 */, + PRESHIFT(0x00002000) /* 0.000030518 */, + PRESHIFT(0x00093000) /* 0.002243042 */, + PRESHIFT(0x0000a000) /* 0.000152588 */ }, + + { -PRESHIFT(0x00003000) /* -0.000045776 */, /* 11 */ + -PRESHIFT(0x00049000) /* -0.001113892 */, + PRESHIFT(0x000d0000) /* 0.003173828 */, + -PRESHIFT(0x004ba000) /* -0.018463135 */, + PRESHIFT(0x003ca000) /* 0.014801025 */, + -PRESHIFT(0x02233000) /* -0.133590698 */, + -PRESHIFT(0x00ce4000) /* -0.050354004 */, + -PRESHIFT(0x0ddca000) /* -0.866363525 */, + PRESHIFT(0x1102f000) /* 1.063217163 */, + PRESHIFT(0x04587000) /* 0.271591187 */, + PRESHIFT(0x026cf000) /* 0.151596069 */, + PRESHIFT(0x0056c000) /* 0.021179199 */, + PRESHIFT(0x0074e000) /* 0.028533936 */, + -PRESHIFT(0x0001d000) /* -0.000442505 */, + PRESHIFT(0x0008b000) /* 0.002120972 */, + PRESHIFT(0x00009000) /* 0.000137329 */, + + -PRESHIFT(0x00003000) /* -0.000045776 */, + -PRESHIFT(0x00049000) /* -0.001113892 */, + PRESHIFT(0x000d0000) /* 0.003173828 */, + -PRESHIFT(0x004ba000) /* -0.018463135 */, + PRESHIFT(0x003ca000) /* 0.014801025 */, + -PRESHIFT(0x02233000) /* -0.133590698 */, + -PRESHIFT(0x00ce4000) /* -0.050354004 */, + -PRESHIFT(0x0ddca000) /* -0.866363525 */, + PRESHIFT(0x1102f000) /* 1.063217163 */, + PRESHIFT(0x04587000) /* 0.271591187 */, + PRESHIFT(0x026cf000) /* 0.151596069 */, + PRESHIFT(0x0056c000) /* 0.021179199 */, + PRESHIFT(0x0074e000) /* 0.028533936 */, + -PRESHIFT(0x0001d000) /* -0.000442505 */, + PRESHIFT(0x0008b000) /* 0.002120972 */, + PRESHIFT(0x00009000) /* 0.000137329 */ }, + + { -PRESHIFT(0x00003000) /* -0.000045776 */, /* 12 */ + -PRESHIFT(0x0004f000) /* -0.001205444 */, + PRESHIFT(0x000c8000) /* 0.003051758 */, + -PRESHIFT(0x00503000) /* -0.019577026 */, + PRESHIFT(0x0031a000) /* 0.012115479 */, + -PRESHIFT(0x02326000) /* -0.137298584 */, + -PRESHIFT(0x011b5000) /* -0.069168091 */, + -PRESHIFT(0x0e3dd000) /* -0.890090942 */, + PRESHIFT(0x10c54000) /* 1.048156738 */, + PRESHIFT(0x03f1b000) /* 0.246505737 */, + PRESHIFT(0x026ee000) /* 0.152069092 */, + PRESHIFT(0x00447000) /* 0.016708374 */, + PRESHIFT(0x00719000) /* 0.027725220 */, + -PRESHIFT(0x00039000) /* -0.000869751 */, + PRESHIFT(0x00084000) /* 0.002014160 */, + PRESHIFT(0x00008000) /* 0.000122070 */, + + -PRESHIFT(0x00003000) /* -0.000045776 */, + -PRESHIFT(0x0004f000) /* -0.001205444 */, + PRESHIFT(0x000c8000) /* 0.003051758 */, + -PRESHIFT(0x00503000) /* -0.019577026 */, + PRESHIFT(0x0031a000) /* 0.012115479 */, + -PRESHIFT(0x02326000) /* -0.137298584 */, + -PRESHIFT(0x011b5000) /* -0.069168091 */, + -PRESHIFT(0x0e3dd000) /* -0.890090942 */, + PRESHIFT(0x10c54000) /* 1.048156738 */, + PRESHIFT(0x03f1b000) /* 0.246505737 */, + PRESHIFT(0x026ee000) /* 0.152069092 */, + PRESHIFT(0x00447000) /* 0.016708374 */, + PRESHIFT(0x00719000) /* 0.027725220 */, + -PRESHIFT(0x00039000) /* -0.000869751 */, + PRESHIFT(0x00084000) /* 0.002014160 */, + PRESHIFT(0x00008000) /* 0.000122070 */ }, + + { -PRESHIFT(0x00004000) /* -0.000061035 */, /* 13 */ + -PRESHIFT(0x00055000) /* -0.001296997 */, + PRESHIFT(0x000bd000) /* 0.002883911 */, + -PRESHIFT(0x0054c000) /* -0.020690918 */, + PRESHIFT(0x0025d000) /* 0.009231567 */, + -PRESHIFT(0x02403000) /* -0.140670776 */, + -PRESHIFT(0x016ba000) /* -0.088775635 */, + -PRESHIFT(0x0e9be000) /* -0.913055420 */, + PRESHIFT(0x1082d000) /* 1.031936646 */, + PRESHIFT(0x038d4000) /* 0.221984863 */, + PRESHIFT(0x026e7000) /* 0.151962280 */, + PRESHIFT(0x0032e000) /* 0.012420654 */, + PRESHIFT(0x006df000) /* 0.026840210 */, + -PRESHIFT(0x00053000) /* -0.001266479 */, + PRESHIFT(0x0007d000) /* 0.001907349 */, + PRESHIFT(0x00007000) /* 0.000106812 */, + + -PRESHIFT(0x00004000) /* -0.000061035 */, + -PRESHIFT(0x00055000) /* -0.001296997 */, + PRESHIFT(0x000bd000) /* 0.002883911 */, + -PRESHIFT(0x0054c000) /* -0.020690918 */, + PRESHIFT(0x0025d000) /* 0.009231567 */, + -PRESHIFT(0x02403000) /* -0.140670776 */, + -PRESHIFT(0x016ba000) /* -0.088775635 */, + -PRESHIFT(0x0e9be000) /* -0.913055420 */, + PRESHIFT(0x1082d000) /* 1.031936646 */, + PRESHIFT(0x038d4000) /* 0.221984863 */, + PRESHIFT(0x026e7000) /* 0.151962280 */, + PRESHIFT(0x0032e000) /* 0.012420654 */, + PRESHIFT(0x006df000) /* 0.026840210 */, + -PRESHIFT(0x00053000) /* -0.001266479 */, + PRESHIFT(0x0007d000) /* 0.001907349 */, + PRESHIFT(0x00007000) /* 0.000106812 */ }, + + { -PRESHIFT(0x00004000) /* -0.000061035 */, /* 14 */ + -PRESHIFT(0x0005b000) /* -0.001388550 */, + PRESHIFT(0x000b1000) /* 0.002700806 */, + -PRESHIFT(0x00594000) /* -0.021789551 */, + PRESHIFT(0x00192000) /* 0.006134033 */, + -PRESHIFT(0x024c8000) /* -0.143676758 */, + -PRESHIFT(0x01bf2000) /* -0.109161377 */, + -PRESHIFT(0x0ef69000) /* -0.935195923 */, + PRESHIFT(0x103be000) /* 1.014617920 */, + PRESHIFT(0x032b4000) /* 0.198059082 */, + PRESHIFT(0x026bc000) /* 0.151306152 */, + PRESHIFT(0x00221000) /* 0.008316040 */, + PRESHIFT(0x006a2000) /* 0.025909424 */, + -PRESHIFT(0x0006a000) /* -0.001617432 */, + PRESHIFT(0x00075000) /* 0.001785278 */, + PRESHIFT(0x00007000) /* 0.000106812 */, + + -PRESHIFT(0x00004000) /* -0.000061035 */, + -PRESHIFT(0x0005b000) /* -0.001388550 */, + PRESHIFT(0x000b1000) /* 0.002700806 */, + -PRESHIFT(0x00594000) /* -0.021789551 */, + PRESHIFT(0x00192000) /* 0.006134033 */, + -PRESHIFT(0x024c8000) /* -0.143676758 */, + -PRESHIFT(0x01bf2000) /* -0.109161377 */, + -PRESHIFT(0x0ef69000) /* -0.935195923 */, + PRESHIFT(0x103be000) /* 1.014617920 */, + PRESHIFT(0x032b4000) /* 0.198059082 */, + PRESHIFT(0x026bc000) /* 0.151306152 */, + PRESHIFT(0x00221000) /* 0.008316040 */, + PRESHIFT(0x006a2000) /* 0.025909424 */, + -PRESHIFT(0x0006a000) /* -0.001617432 */, + PRESHIFT(0x00075000) /* 0.001785278 */, + PRESHIFT(0x00007000) /* 0.000106812 */ }, + + { -PRESHIFT(0x00005000) /* -0.000076294 */, /* 15 */ + -PRESHIFT(0x00061000) /* -0.001480103 */, + PRESHIFT(0x000a3000) /* 0.002487183 */, + -PRESHIFT(0x005da000) /* -0.022857666 */, + PRESHIFT(0x000b9000) /* 0.002822876 */, + -PRESHIFT(0x02571000) /* -0.146255493 */, + -PRESHIFT(0x0215c000) /* -0.130310059 */, + -PRESHIFT(0x0f4dc000) /* -0.956481934 */, + PRESHIFT(0x0ff0a000) /* 0.996246338 */, + PRESHIFT(0x02cbf000) /* 0.174789429 */, + PRESHIFT(0x0266e000) /* 0.150115967 */, + PRESHIFT(0x00120000) /* 0.004394531 */, + PRESHIFT(0x00662000) /* 0.024932861 */, + -PRESHIFT(0x0007f000) /* -0.001937866 */, + PRESHIFT(0x0006f000) /* 0.001693726 */, + PRESHIFT(0x00006000) /* 0.000091553 */, + + -PRESHIFT(0x00005000) /* -0.000076294 */, + -PRESHIFT(0x00061000) /* -0.001480103 */, + PRESHIFT(0x000a3000) /* 0.002487183 */, + -PRESHIFT(0x005da000) /* -0.022857666 */, + PRESHIFT(0x000b9000) /* 0.002822876 */, + -PRESHIFT(0x02571000) /* -0.146255493 */, + -PRESHIFT(0x0215c000) /* -0.130310059 */, + -PRESHIFT(0x0f4dc000) /* -0.956481934 */, + PRESHIFT(0x0ff0a000) /* 0.996246338 */, + PRESHIFT(0x02cbf000) /* 0.174789429 */, + PRESHIFT(0x0266e000) /* 0.150115967 */, + PRESHIFT(0x00120000) /* 0.004394531 */, + PRESHIFT(0x00662000) /* 0.024932861 */, + -PRESHIFT(0x0007f000) /* -0.001937866 */, + PRESHIFT(0x0006f000) /* 0.001693726 */, + PRESHIFT(0x00006000) /* 0.000091553 */ }, + + { -PRESHIFT(0x00005000) /* -0.000076294 */, /* 16 */ + -PRESHIFT(0x00068000) /* -0.001586914 */, + PRESHIFT(0x00092000) /* 0.002227783 */, + -PRESHIFT(0x0061f000) /* -0.023910522 */, + -PRESHIFT(0x0002d000) /* -0.000686646 */, + -PRESHIFT(0x025ff000) /* -0.148422241 */, + -PRESHIFT(0x026f7000) /* -0.152206421 */, + -PRESHIFT(0x0fa13000) /* -0.976852417 */, + PRESHIFT(0x0fa13000) /* 0.976852417 */, + PRESHIFT(0x026f7000) /* 0.152206421 */, + PRESHIFT(0x025ff000) /* 0.148422241 */, + PRESHIFT(0x0002d000) /* 0.000686646 */, + PRESHIFT(0x0061f000) /* 0.023910522 */, + -PRESHIFT(0x00092000) /* -0.002227783 */, + PRESHIFT(0x00068000) /* 0.001586914 */, + PRESHIFT(0x00005000) /* 0.000076294 */, + + -PRESHIFT(0x00005000) /* -0.000076294 */, + -PRESHIFT(0x00068000) /* -0.001586914 */, + PRESHIFT(0x00092000) /* 0.002227783 */, + -PRESHIFT(0x0061f000) /* -0.023910522 */, + -PRESHIFT(0x0002d000) /* -0.000686646 */, + -PRESHIFT(0x025ff000) /* -0.148422241 */, + -PRESHIFT(0x026f7000) /* -0.152206421 */, + -PRESHIFT(0x0fa13000) /* -0.976852417 */, + PRESHIFT(0x0fa13000) /* 0.976852417 */, + PRESHIFT(0x026f7000) /* 0.152206421 */, + PRESHIFT(0x025ff000) /* 0.148422241 */, + PRESHIFT(0x0002d000) /* 0.000686646 */, + PRESHIFT(0x0061f000) /* 0.023910522 */, + -PRESHIFT(0x00092000) /* -0.002227783 */, + PRESHIFT(0x00068000) /* 0.001586914 */, + PRESHIFT(0x00005000) /* 0.000076294 */ }
mad-xess/libmad/D.dat Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/synth.h =================================================================== --- mad-xess/libmad/synth.h (nonexistent) +++ mad-xess/libmad/synth.h (revision 1765) @@ -0,0 +1,50 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: synth.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_SYNTH_H +# define LIBMAD_SYNTH_H + +# include "fixed.h" +# include "frame.h" + +struct mad_synth { + mad_fixed_t filter[2][2][2][16][8]; /* polyphase filterbank outputs */ + /* [ch][eo][peo][s][v] */ + + unsigned int phase; /* current processing phase */ + + struct mad_pcm { + unsigned int samplerate; /* sampling frequency (Hz) */ + unsigned short channels; /* number of channels */ + unsigned short length; /* number of samples per channel */ + mad_fixed_t samples[2][32]; /* PCM output samples */ + } pcm; +}; + +void mad_synth_init(struct mad_synth *); + +# define mad_synth_finish(synth) /* nothing */ + +void mad_synth_mute(struct mad_synth *); + +void mad_synth_frame(struct mad_synth *, struct mad_frame const *); + +# endif
mad-xess/libmad/synth.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/config.h =================================================================== --- mad-xess/libmad/config.h (nonexistent) +++ mad-xess/libmad/config.h (revision 1765) @@ -0,0 +1,102 @@ +/* config.h. Generated automatically by configure. */ +/* config.h.in. Generated automatically from configure.in by autoheader. */ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: config.h,v 1.6 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_CONFIG_H +# define LIBMAD_CONFIG_H + +/***************************************************************************** + * Definitions selected automatically by `configure' * + *****************************************************************************/ + +/* Define to empty if the keyword does not work. */ +/* #undef const */ + +/* Define if you have that is POSIX.1 compatible. */ +#define HAVE_SYS_WAIT_H 1 + +/* Define as __inline if that's what the C compiler calls it. */ +/* #undef inline */ + +/* Define to `int' if doesn't define. */ +/* #undef pid_t */ + +/* Define if you have the ANSI C header files. */ +#define STDC_HEADERS 1 + +/* Define to optimize for speed over accuracy. */ +/* #undef OPT_SPEED */ + +/* Define to optimize for accuracy over speed. */ +/* #undef OPT_ACCURACY */ + +/* Define to enable a fast subband synthesis approximation optimization. */ +/* #undef OPT_SSO */ + +/* Define to influence a strict interpretation of the ISO/IEC standards, + even if this is in opposition with best accepted practices. */ +/* #undef OPT_STRICT */ + +/* Define if your MIPS CPU supports a 2-operand MADD instruction. */ +/* #undef HAVE_MADD_ASM */ + +/* Define if your MIPS CPU supports a 2-operand MADD16 instruction. */ +/* #undef HAVE_MADD16_ASM */ + +/* Define to enable diagnostic debugging support. */ +/* #undef DEBUG */ + +/* Define to disable debugging assertions. */ +/* #undef NDEBUG */ + +/* Define to enable experimental code. */ +/* #undef EXPERIMENTAL */ + +/* Define if we have embedded application. */ +#define EMBED 1 + +/* The number of bytes in a int. */ +#define SIZEOF_INT 2 + +/* The number of bytes in a long. */ +#define SIZEOF_LONG 4 + +/* The number of bytes in a long long. */ +#define SIZEOF_LONG_LONG 8 + +/* Define if you have the header file. */ +#define HAVE_LIMITS_H 1 + +/* Define if you have the header file. */ +#define HAVE_UNISTD_H 1 + +/* Name of package */ +#define PACKAGE "libmad" + +/* Version number of package */ +#define VERSION "0.13.0b" + +/***************************************************************************** + * End of automatically configured definitions * + *****************************************************************************/ + +# endif
mad-xess/libmad/config.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/decoder.h =================================================================== --- mad-xess/libmad/decoder.h (nonexistent) +++ mad-xess/libmad/decoder.h (revision 1765) @@ -0,0 +1,74 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: decoder.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_DECODER_H +# define LIBMAD_DECODER_H + +# include "stream.h" +# include "frame.h" +# include "synth.h" + +enum mad_decoder_mode { + MAD_DECODER_MODE_SYNC = 0, + MAD_DECODER_MODE_ASYNC +}; + +enum mad_flow { + MAD_FLOW_CONTINUE = 0x0000, + MAD_FLOW_STOP = 0x0010, + MAD_FLOW_BREAK = 0x0011, + MAD_FLOW_IGNORE = 0x0020 +}; + +struct mad_decoder { + enum mad_decoder_mode mode; + + int options; + + struct { + long pid; + int in; + int out; + } async; + + struct dec_sync_struct { + struct mad_stream stream; + struct mad_frame frame; + struct mad_synth synth; + } *sync; + + void *cb_data; + + enum mad_flow (*input_func)(void *, struct mad_stream *); + enum mad_flow (*error_func)(void *, struct mad_stream *, struct mad_frame *); +}; + +void mad_decoder_init(struct mad_decoder *, void *, + enum mad_flow (*)(void *, struct mad_stream *), + enum mad_flow (*)(void *, struct mad_stream *, struct mad_frame *frame)); +int mad_decoder_finish(struct mad_decoder *); + +# define mad_decoder_options(decoder, opts) ((decoder)->options = (opts)) + +int mad_decoder_run(struct mad_decoder *, enum mad_decoder_mode); +int mad_decoder_message(struct mad_decoder *, void *, unsigned int *); + +# endif
mad-xess/libmad/decoder.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/acconfig.h =================================================================== --- mad-xess/libmad/acconfig.h (nonexistent) +++ mad-xess/libmad/acconfig.h (revision 1765) @@ -0,0 +1,66 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: acconfig.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_CONFIG_H +# define LIBMAD_CONFIG_H + +/***************************************************************************** + * Definitions selected automatically by `configure' * + *****************************************************************************/ +@TOP@ + +/* Define to optimize for speed over accuracy. */ +#undef OPT_SPEED + +/* Define to optimize for accuracy over speed. */ +#undef OPT_ACCURACY + +/* Define to enable a fast subband synthesis approximation optimization. */ +#undef OPT_SSO + +/* Define to influence a strict interpretation of the ISO/IEC standards, + even if this is in opposition with best accepted practices. */ +#undef OPT_STRICT + +/* Define if your MIPS CPU supports a 2-operand MADD instruction. */ +#undef HAVE_MADD_ASM + +/* Define if your MIPS CPU supports a 2-operand MADD16 instruction. */ +#undef HAVE_MADD16_ASM + +/* Define to enable diagnostic debugging support. */ +#undef DEBUG + +/* Define to disable debugging assertions. */ +#undef NDEBUG + +/* Define to enable experimental code. */ +#undef EXPERIMENTAL + +/* Define if we have embedded application. */ +#undef EMBED + +@BOTTOM@ +/***************************************************************************** + * End of automatically configured definitions * + *****************************************************************************/ + +# endif
mad-xess/libmad/acconfig.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/bit.c =================================================================== --- mad-xess/libmad/bit.c (nonexistent) +++ mad-xess/libmad/bit.c (revision 1765) @@ -0,0 +1,216 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: bit.c,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "global.h" + +# define CHAR_BIT 8 + +# include "bit.h" + +/* + * This is the lookup table for computing the CRC-check word. + * As described in section 2.4.3.1 and depicted in Figure A.9 + * of ISO/IEC 11172-3, the generator polynomial is: + * + * G(X) = X^16 + X^15 + X^2 + 1 + */ +static +unsigned short const crc_table[256] = { + 0x0000, 0x8005, 0x800f, 0x000a, 0x801b, 0x001e, 0x0014, 0x8011, + 0x8033, 0x0036, 0x003c, 0x8039, 0x0028, 0x802d, 0x8027, 0x0022, + 0x8063, 0x0066, 0x006c, 0x8069, 0x0078, 0x807d, 0x8077, 0x0072, + 0x0050, 0x8055, 0x805f, 0x005a, 0x804b, 0x004e, 0x0044, 0x8041, + 0x80c3, 0x00c6, 0x00cc, 0x80c9, 0x00d8, 0x80dd, 0x80d7, 0x00d2, + 0x00f0, 0x80f5, 0x80ff, 0x00fa, 0x80eb, 0x00ee, 0x00e4, 0x80e1, + 0x00a0, 0x80a5, 0x80af, 0x00aa, 0x80bb, 0x00be, 0x00b4, 0x80b1, + 0x8093, 0x0096, 0x009c, 0x8099, 0x0088, 0x808d, 0x8087, 0x0082, + + 0x8183, 0x0186, 0x018c, 0x8189, 0x0198, 0x819d, 0x8197, 0x0192, + 0x01b0, 0x81b5, 0x81bf, 0x01ba, 0x81ab, 0x01ae, 0x01a4, 0x81a1, + 0x01e0, 0x81e5, 0x81ef, 0x01ea, 0x81fb, 0x01fe, 0x01f4, 0x81f1, + 0x81d3, 0x01d6, 0x01dc, 0x81d9, 0x01c8, 0x81cd, 0x81c7, 0x01c2, + 0x0140, 0x8145, 0x814f, 0x014a, 0x815b, 0x015e, 0x0154, 0x8151, + 0x8173, 0x0176, 0x017c, 0x8179, 0x0168, 0x816d, 0x8167, 0x0162, + 0x8123, 0x0126, 0x012c, 0x8129, 0x0138, 0x813d, 0x8137, 0x0132, + 0x0110, 0x8115, 0x811f, 0x011a, 0x810b, 0x010e, 0x0104, 0x8101, + + 0x8303, 0x0306, 0x030c, 0x8309, 0x0318, 0x831d, 0x8317, 0x0312, + 0x0330, 0x8335, 0x833f, 0x033a, 0x832b, 0x032e, 0x0324, 0x8321, + 0x0360, 0x8365, 0x836f, 0x036a, 0x837b, 0x037e, 0x0374, 0x8371, + 0x8353, 0x0356, 0x035c, 0x8359, 0x0348, 0x834d, 0x8347, 0x0342, + 0x03c0, 0x83c5, 0x83cf, 0x03ca, 0x83db, 0x03de, 0x03d4, 0x83d1, + 0x83f3, 0x03f6, 0x03fc, 0x83f9, 0x03e8, 0x83ed, 0x83e7, 0x03e2, + 0x83a3, 0x03a6, 0x03ac, 0x83a9, 0x03b8, 0x83bd, 0x83b7, 0x03b2, + 0x0390, 0x8395, 0x839f, 0x039a, 0x838b, 0x038e, 0x0384, 0x8381, + + 0x0280, 0x8285, 0x828f, 0x028a, 0x829b, 0x029e, 0x0294, 0x8291, + 0x82b3, 0x02b6, 0x02bc, 0x82b9, 0x02a8, 0x82ad, 0x82a7, 0x02a2, + 0x82e3, 0x02e6, 0x02ec, 0x82e9, 0x02f8, 0x82fd, 0x82f7, 0x02f2, + 0x02d0, 0x82d5, 0x82df, 0x02da, 0x82cb, 0x02ce, 0x02c4, 0x82c1, + 0x8243, 0x0246, 0x024c, 0x8249, 0x0258, 0x825d, 0x8257, 0x0252, + 0x0270, 0x8275, 0x827f, 0x027a, 0x826b, 0x026e, 0x0264, 0x8261, + 0x0220, 0x8225, 0x822f, 0x022a, 0x823b, 0x023e, 0x0234, 0x8231, + 0x8213, 0x0216, 0x021c, 0x8219, 0x0208, 0x820d, 0x8207, 0x0202 +}; + +# define CRC_POLY 0x8005 + +/* + * NAME: bit->init() + * DESCRIPTION: initialize bit pointer struct + */ +void mad_bit_init(struct mad_bitptr *bitptr, unsigned char const *byte) +{ + bitptr->byte = byte; + bitptr->cache = 0; + bitptr->left = CHAR_BIT; +} + +/* + * NAME: bit->length() + * DESCRIPTION: return number of bits between start and end points + */ +unsigned int mad_bit_length(struct mad_bitptr const *begin, + struct mad_bitptr const *end) +{ + return begin->left + + CHAR_BIT * (end->byte - (begin->byte + 1)) + (CHAR_BIT - end->left); +} + +/* + * NAME: bit->nextbyte() + * DESCRIPTION: return pointer to next unprocessed byte + */ +unsigned char const *mad_bit_nextbyte(struct mad_bitptr const *bitptr) +{ + return bitptr->left == CHAR_BIT ? bitptr->byte : bitptr->byte + 1; +} + +/* + * NAME: bit->skip() + * DESCRIPTION: advance bit pointer + */ +void mad_bit_skip(struct mad_bitptr *bitptr, unsigned int len) +{ + bitptr->byte += len / CHAR_BIT; + bitptr->left -= len % CHAR_BIT; + + if (bitptr->left > CHAR_BIT) { + bitptr->byte++; + bitptr->left += CHAR_BIT; + } + + if (bitptr->left < CHAR_BIT) + bitptr->cache = *bitptr->byte; +} + +/* + * NAME: bit->read() + * DESCRIPTION: read an arbitrary number of bits and return their UIMSBF value + */ +unsigned long mad_bit_read(struct mad_bitptr *bitptr, unsigned int len) +{ + register unsigned long value; + + if (bitptr->left == CHAR_BIT) + bitptr->cache = *bitptr->byte; + + if (len < bitptr->left) { + value = (bitptr->cache & ((1 << bitptr->left) - 1)) >> + (bitptr->left - len); + bitptr->left -= len; + + return value; + } + + /* remaining bits in current byte */ + + value = bitptr->cache & ((1 << bitptr->left) - 1); + len -= bitptr->left; + + bitptr->byte++; + bitptr->left = CHAR_BIT; + + /* more bytes */ + + while (len >= CHAR_BIT) { + value = (value << CHAR_BIT) | *bitptr->byte++; + len -= CHAR_BIT; + } + + if (len > 0) { + bitptr->cache = *bitptr->byte; + + value = (value << len) | (bitptr->cache >> (CHAR_BIT - len)); + bitptr->left -= len; + } + + return value; +} + +# if 0 +/* + * NAME: bit->write() + * DESCRIPTION: write an arbitrary number of bits + */ +void mad_bit_write(struct mad_bitptr *bitptr, unsigned int len, + unsigned long value) +{ + unsigned char *ptr; + + ptr = (unsigned char *) bitptr->byte; + + /* ... */ +} +# endif + +/* + * NAME: bit->crc() + * DESCRIPTION: compute CRC-check word + */ +unsigned short mad_bit_crc(struct mad_bitptr bitptr, unsigned int len, + unsigned short init) +{ + register unsigned int crc, data; + +# if CHAR_BIT == 8 + for (crc = init; len >= 8; len -= 8) { + crc = (crc << 8) ^ + crc_table[((crc >> 8) ^ mad_bit_read(&bitptr, 8)) & 0xff]; + } +# else + crc = init; +# endif + + while (len--) { + data = mad_bit_read(&bitptr, 1) ^ (crc >> 15); + + crc <<= 1; + if (data & 1) + crc ^= CRC_POLY; + } + + return crc & 0xffff; +}
mad-xess/libmad/bit.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/timer.c =================================================================== --- mad-xess/libmad/timer.c (nonexistent) +++ mad-xess/libmad/timer.c (revision 1765) @@ -0,0 +1,487 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: timer.c,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "global.h" + +# ifndef EMBED +# include +# include +# else +# define assert(x) +# endif + +# include "timer.h" + +mad_timer_t const mad_timer_zero = { 0, 0 }; + +/* + * NAME: timer->compare() + * DESCRIPTION: indicate relative order of two timers + */ +int mad_timer_compare(mad_timer_t timer1, mad_timer_t timer2) +{ + signed long diff; + + diff = timer1.seconds - timer2.seconds; + if (diff < 0) + return -1; + else if (diff > 0) + return +1; + + diff = timer1.fraction - timer2.fraction; + if (diff < 0) + return -1; + else if (diff > 0) + return +1; + + return 0; +} + +/* + * NAME: timer->negate() + * DESCRIPTION: invert the sign of a timer + */ +void mad_timer_negate(mad_timer_t *timer) +{ + timer->seconds = -timer->seconds; + + if (timer->fraction) { + timer->seconds -= 1; + timer->fraction = MAD_TIMER_RESOLUTION - timer->fraction; + } +} + +/* + * NAME: timer->abs() + * DESCRIPTION: return the absolute value of a timer + */ +mad_timer_t mad_timer_abs(mad_timer_t timer) +{ + if (mad_timer_sign(timer) < 0) + mad_timer_negate(&timer); + + return timer; +} + +/* + * NAME: reduce_timer() + * DESCRIPTION: carry timer fraction into seconds + */ +static +void reduce_timer(mad_timer_t *timer) +{ + timer->seconds += timer->fraction / MAD_TIMER_RESOLUTION; + timer->fraction %= MAD_TIMER_RESOLUTION; +} + +/* + * NAME: gcd() + * DESCRIPTION: compute greatest common denominator + */ +static +unsigned long gcd(unsigned long num1, unsigned long num2) +{ + unsigned long tmp; + + while (num2) { + tmp = num2; + num2 = num1 % num2; + num1 = tmp; + } + + return num1; +} + +/* + * NAME: reduce_rational() + * DESCRIPTION: convert rational expression to lowest terms + */ +static +void reduce_rational(unsigned long *numer, unsigned long *denom) +{ + unsigned long factor; + + factor = gcd(*numer, *denom); + + assert(factor != 0); + + *numer /= factor; + *denom /= factor; +} + +/* + * NAME: scale_rational() + * DESCRIPTION: solve numer/denom == ?/scale avoiding overflowing + */ +static +unsigned long scale_rational(unsigned long numer, unsigned long denom, + unsigned long scale) +{ + reduce_rational(&numer, &denom); + reduce_rational(&scale, &denom); + + assert(denom != 0); + + if (denom < scale) + return numer * (scale / denom) + numer * (scale % denom) / denom; + if (denom < numer) + return scale * (numer / denom) + scale * (numer % denom) / denom; + + return numer * scale / denom; +} + +/* + * NAME: timer->set() + * DESCRIPTION: set timer to specific value + */ +void mad_timer_set(mad_timer_t *timer, unsigned long seconds, + unsigned long fraction, unsigned long fracparts) +{ + timer->seconds = seconds; + + if (fraction == 0) + fracparts = 0; + else if (fracparts == 0) { + fracparts = fraction; + fraction = 1; + } + + switch (fracparts) { + case 0: + timer->fraction = 0; + break; + + case MAD_TIMER_RESOLUTION: + timer->fraction = fraction; + break; + + case 8000: + timer->fraction = fraction * (MAD_TIMER_RESOLUTION / 8000); + break; + + case 11025: + timer->fraction = fraction * (MAD_TIMER_RESOLUTION / 11025); + break; + + case 12000: + timer->fraction = fraction * (MAD_TIMER_RESOLUTION / 12000); + break; + + case 16000: + timer->fraction = fraction * (MAD_TIMER_RESOLUTION / 16000); + break; + + case 22050: + timer->fraction = fraction * (MAD_TIMER_RESOLUTION / 22050); + break; + + case 24000: + timer->fraction = fraction * (MAD_TIMER_RESOLUTION / 24000); + break; + + case 32000: + timer->fraction = fraction * (MAD_TIMER_RESOLUTION / 32000); + break; + + case 44100: + timer->fraction = fraction * (MAD_TIMER_RESOLUTION / 44100); + break; + + case 48000: + timer->fraction = fraction * (MAD_TIMER_RESOLUTION / 48000); + break; + + default: + timer->fraction = + scale_rational(fraction, fracparts, MAD_TIMER_RESOLUTION); + break; + } + + if (timer->fraction >= MAD_TIMER_RESOLUTION) + reduce_timer(timer); +} + +/* + * NAME: timer->add() + * DESCRIPTION: add one timer to another + */ +void mad_timer_add(mad_timer_t *timer, mad_timer_t incr) +{ + timer->seconds += incr.seconds; + timer->fraction += incr.fraction; + + if (timer->fraction >= MAD_TIMER_RESOLUTION) + reduce_timer(timer); +} + +/* + * NAME: timer->multiply() + * DESCRIPTION: multiply a timer by a scalar value + */ +void mad_timer_multiply(mad_timer_t *timer, signed long scalar) +{ + mad_timer_t addend; + unsigned long factor; + + factor = scalar; + if (scalar < 0) { + mad_timer_negate(timer); + factor = -scalar; + } + + addend = *timer; + *timer = mad_timer_zero; + + while (factor) { + if (factor & 1) + mad_timer_add(timer, addend); + + mad_timer_add(&addend, addend); + factor >>= 1; + } +} + +/* + * NAME: timer->count() + * DESCRIPTION: return timer value in selected units + */ +signed long mad_timer_count(mad_timer_t timer, enum mad_units units) +{ + switch (units) { + case MAD_UNITS_HOURS: + return timer.seconds / 60 / 60; + + case MAD_UNITS_MINUTES: + return timer.seconds / 60; + + case MAD_UNITS_SECONDS: + return timer.seconds; + + case MAD_UNITS_DECISECONDS: + case MAD_UNITS_CENTISECONDS: + case MAD_UNITS_MILLISECONDS: + + case MAD_UNITS_8000_HZ: + case MAD_UNITS_11025_HZ: + case MAD_UNITS_12000_HZ: + case MAD_UNITS_16000_HZ: + case MAD_UNITS_22050_HZ: + case MAD_UNITS_24000_HZ: + case MAD_UNITS_32000_HZ: + case MAD_UNITS_44100_HZ: + case MAD_UNITS_48000_HZ: + + case MAD_UNITS_24_FPS: + case MAD_UNITS_25_FPS: + case MAD_UNITS_30_FPS: + case MAD_UNITS_48_FPS: + case MAD_UNITS_50_FPS: + case MAD_UNITS_60_FPS: + case MAD_UNITS_75_FPS: + return timer.seconds * (signed long) units + + (signed long) scale_rational(timer.fraction, MAD_TIMER_RESOLUTION, + units); + + case MAD_UNITS_23_976_FPS: + case MAD_UNITS_24_975_FPS: + case MAD_UNITS_29_97_FPS: + case MAD_UNITS_47_952_FPS: + case MAD_UNITS_49_95_FPS: + case MAD_UNITS_59_94_FPS: + return (mad_timer_count(timer, -units) + 1) * 1000 / 1001; + } + + /* unsupported units */ + return 0; +} + +/* + * NAME: timer->fraction() + * DESCRIPTION: return fractional part of timer in arbitrary terms + */ +unsigned long mad_timer_fraction(mad_timer_t timer, unsigned long fracparts) +{ + timer = mad_timer_abs(timer); + + switch (fracparts) { + case 0: + return MAD_TIMER_RESOLUTION / timer.fraction; + + case MAD_TIMER_RESOLUTION: + return timer.fraction; + + default: + return scale_rational(timer.fraction, MAD_TIMER_RESOLUTION, fracparts); + } +} + +#ifndef EMBED +/* + * NAME: timer->string() + * DESCRIPTION: write a string representation of a timer using a template + */ +void mad_timer_string(mad_timer_t timer, + char *dest, char const *format, enum mad_units units, + enum mad_units fracunits, unsigned long subparts) +{ + unsigned long hours, minutes, seconds, sub; + unsigned int frac; + + timer = mad_timer_abs(timer); + + seconds = timer.seconds; + frac = sub = 0; + + switch (fracunits) { + case MAD_UNITS_HOURS: + case MAD_UNITS_MINUTES: + case MAD_UNITS_SECONDS: + break; + + case MAD_UNITS_DECISECONDS: + case MAD_UNITS_CENTISECONDS: + case MAD_UNITS_MILLISECONDS: + + case MAD_UNITS_8000_HZ: + case MAD_UNITS_11025_HZ: + case MAD_UNITS_12000_HZ: + case MAD_UNITS_16000_HZ: + case MAD_UNITS_22050_HZ: + case MAD_UNITS_24000_HZ: + case MAD_UNITS_32000_HZ: + case MAD_UNITS_44100_HZ: + case MAD_UNITS_48000_HZ: + + case MAD_UNITS_24_FPS: + case MAD_UNITS_25_FPS: + case MAD_UNITS_30_FPS: + case MAD_UNITS_48_FPS: + case MAD_UNITS_50_FPS: + case MAD_UNITS_60_FPS: + case MAD_UNITS_75_FPS: + { + unsigned long fracparts; + + fracparts = MAD_TIMER_RESOLUTION / fracunits; + + frac = timer.fraction / fracparts; + sub = scale_rational(timer.fraction % fracparts, fracparts, subparts); + } + break; + + case MAD_UNITS_23_976_FPS: + case MAD_UNITS_24_975_FPS: + case MAD_UNITS_29_97_FPS: + case MAD_UNITS_47_952_FPS: + case MAD_UNITS_49_95_FPS: + case MAD_UNITS_59_94_FPS: + /* drop-frame encoding */ + /* N.B. this is only well-defined for MAD_UNITS_29_97_FPS */ + { + unsigned long frame, cycle, d, m; + + frame = mad_timer_count(timer, fracunits); + + cycle = -fracunits * 60 * 10 - (10 - 1) * 2; + + d = frame / cycle; + m = frame % cycle; + frame += (10 - 1) * 2 * d; + if (m > 2) + frame += 2 * ((m - 2) / (cycle / 10)); + + frac = frame % -fracunits; + seconds = frame / -fracunits; + } + break; + } + + switch (units) { + case MAD_UNITS_HOURS: + minutes = seconds / 60; + hours = minutes / 60; + + sprintf(dest, format, + hours, + (unsigned int) (minutes % 60), + (unsigned int) (seconds % 60), + frac, sub); + break; + + case MAD_UNITS_MINUTES: + minutes = seconds / 60; + + sprintf(dest, format, + minutes, + (unsigned int) (seconds % 60), + frac, sub); + break; + + case MAD_UNITS_SECONDS: + sprintf(dest, format, + seconds, + frac, sub); + break; + + case MAD_UNITS_23_976_FPS: + case MAD_UNITS_24_975_FPS: + case MAD_UNITS_29_97_FPS: + case MAD_UNITS_47_952_FPS: + case MAD_UNITS_49_95_FPS: + case MAD_UNITS_59_94_FPS: + if (fracunits < 0) { + /* not yet implemented */ + sub = 0; + } + + /* fall through */ + + case MAD_UNITS_DECISECONDS: + case MAD_UNITS_CENTISECONDS: + case MAD_UNITS_MILLISECONDS: + + case MAD_UNITS_8000_HZ: + case MAD_UNITS_11025_HZ: + case MAD_UNITS_12000_HZ: + case MAD_UNITS_16000_HZ: + case MAD_UNITS_22050_HZ: + case MAD_UNITS_24000_HZ: + case MAD_UNITS_32000_HZ: + case MAD_UNITS_44100_HZ: + case MAD_UNITS_48000_HZ: + + case MAD_UNITS_24_FPS: + case MAD_UNITS_25_FPS: + case MAD_UNITS_30_FPS: + case MAD_UNITS_48_FPS: + case MAD_UNITS_50_FPS: + case MAD_UNITS_60_FPS: + case MAD_UNITS_75_FPS: + sprintf(dest, format, mad_timer_count(timer, units), sub); + break; + } +} + +#endif
mad-xess/libmad/timer.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/bit.h =================================================================== --- mad-xess/libmad/bit.h (nonexistent) +++ mad-xess/libmad/bit.h (revision 1765) @@ -0,0 +1,47 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: bit.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_BIT_H +# define LIBMAD_BIT_H + +struct mad_bitptr { + unsigned char const *byte; + unsigned short cache; + unsigned short left; +}; + +void mad_bit_init(struct mad_bitptr *, unsigned char const *); + +# define mad_bit_finish(bitptr) /* nothing */ + +unsigned int mad_bit_length(struct mad_bitptr const *, + struct mad_bitptr const *); + +# define mad_bit_bitsleft(bitptr) ((bitptr)->left) +unsigned char const *mad_bit_nextbyte(struct mad_bitptr const *); + +void mad_bit_skip(struct mad_bitptr *, unsigned int); +unsigned long mad_bit_read(struct mad_bitptr *, unsigned int); +void mad_bit_write(struct mad_bitptr *, unsigned int, unsigned long); + +unsigned short mad_bit_crc(struct mad_bitptr, unsigned int, unsigned short); + +# endif
mad-xess/libmad/bit.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/huffman.c =================================================================== --- mad-xess/libmad/huffman.c (nonexistent) +++ mad-xess/libmad/huffman.c (revision 1765) @@ -0,0 +1,3087 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: huffman.c,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "global.h" + +# include "huffman.h" + +/* + * These are the Huffman code words for Layer III. + * The data for these tables are derived from Table B.7 of ISO/IEC 11172-3. + * + * These tables support decoding up to 4 Huffman code bits at a time. + */ + +# define V(v, w, x, y, hlen) { { 1, hlen, v, w, x, y } } +# define PTR(offs, bits) { ptr: { 0, bits, offs } } + +static +union huffquad const hufftabA[] = { + /* 0000 */ PTR(16, 2), + /* 0001 */ PTR(20, 2), + /* 0010 */ PTR(24, 1), + /* 0011 */ PTR(26, 1), + /* 0100 */ V(0, 0, 1, 0, 4), + /* 0101 */ V(0, 0, 0, 1, 4), + /* 0110 */ V(0, 1, 0, 0, 4), + /* 0111 */ V(1, 0, 0, 0, 4), + /* 1000 */ V(0, 0, 0, 0, 1), + /* 1001 */ V(0, 0, 0, 0, 1), + /* 1010 */ V(0, 0, 0, 0, 1), + /* 1011 */ V(0, 0, 0, 0, 1), + /* 1100 */ V(0, 0, 0, 0, 1), + /* 1101 */ V(0, 0, 0, 0, 1), + /* 1110 */ V(0, 0, 0, 0, 1), + /* 1111 */ V(0, 0, 0, 0, 1), + + /* 0000 ... */ + /* 00 */ V(1, 0, 1, 1, 2), /* 16 */ + /* 01 */ V(1, 1, 1, 1, 2), + /* 10 */ V(1, 1, 0, 1, 2), + /* 11 */ V(1, 1, 1, 0, 2), + + /* 0001 ... */ + /* 00 */ V(0, 1, 1, 1, 2), /* 20 */ + /* 01 */ V(0, 1, 0, 1, 2), + /* 10 */ V(1, 0, 0, 1, 1), + /* 11 */ V(1, 0, 0, 1, 1), + + /* 0010 ... */ + /* 0 */ V(0, 1, 1, 0, 1), /* 24 */ + /* 1 */ V(0, 0, 1, 1, 1), + + /* 0011 ... */ + /* 0 */ V(1, 0, 1, 0, 1), /* 26 */ + /* 1 */ V(1, 1, 0, 0, 1) +}; + +static +union huffquad const hufftabB[] = { + /* 0000 */ V(1, 1, 1, 1, 4), + /* 0001 */ V(1, 1, 1, 0, 4), + /* 0010 */ V(1, 1, 0, 1, 4), + /* 0011 */ V(1, 1, 0, 0, 4), + /* 0100 */ V(1, 0, 1, 1, 4), + /* 0101 */ V(1, 0, 1, 0, 4), + /* 0110 */ V(1, 0, 0, 1, 4), + /* 0111 */ V(1, 0, 0, 0, 4), + /* 1000 */ V(0, 1, 1, 1, 4), + /* 1001 */ V(0, 1, 1, 0, 4), + /* 1010 */ V(0, 1, 0, 1, 4), + /* 1011 */ V(0, 1, 0, 0, 4), + /* 1100 */ V(0, 0, 1, 1, 4), + /* 1101 */ V(0, 0, 1, 0, 4), + /* 1110 */ V(0, 0, 0, 1, 4), + /* 1111 */ V(0, 0, 0, 0, 4) +}; + +# undef V +# undef PTR + +# define V(x, y, hlen) { { 1, hlen, x, y } } +# define PTR(offs, bits) { ptr: { 0, bits, offs } } + +static +union huffpair const hufftab0[] = { + /* */ V(0, 0, 0) +}; + +static +union huffpair const hufftab1[] = { + /* 000 */ V(1, 1, 3), + /* 001 */ V(0, 1, 3), + /* 010 */ V(1, 0, 2), + /* 011 */ V(1, 0, 2), + /* 100 */ V(0, 0, 1), + /* 101 */ V(0, 0, 1), + /* 110 */ V(0, 0, 1), + /* 111 */ V(0, 0, 1) +}; + +static +union huffpair const hufftab2[] = { + /* 000 */ PTR(8, 3), + /* 001 */ V(1, 1, 3), + /* 010 */ V(0, 1, 3), + /* 011 */ V(1, 0, 3), + /* 100 */ V(0, 0, 1), + /* 101 */ V(0, 0, 1), + /* 110 */ V(0, 0, 1), + /* 111 */ V(0, 0, 1), + + /* 000 ... */ + /* 000 */ V(2, 2, 3), /* 8 */ + /* 001 */ V(0, 2, 3), + /* 010 */ V(1, 2, 2), + /* 011 */ V(1, 2, 2), + /* 100 */ V(2, 1, 2), + /* 101 */ V(2, 1, 2), + /* 110 */ V(2, 0, 2), + /* 111 */ V(2, 0, 2) +}; + +static +union huffpair const hufftab3[] = { + /* 000 */ PTR(8, 3), + /* 001 */ V(1, 0, 3), + /* 010 */ V(1, 1, 2), + /* 011 */ V(1, 1, 2), + /* 100 */ V(0, 1, 2), + /* 101 */ V(0, 1, 2), + /* 110 */ V(0, 0, 2), + /* 111 */ V(0, 0, 2), + + /* 000 ... */ + /* 000 */ V(2, 2, 3), /* 8 */ + /* 001 */ V(0, 2, 3), + /* 010 */ V(1, 2, 2), + /* 011 */ V(1, 2, 2), + /* 100 */ V(2, 1, 2), + /* 101 */ V(2, 1, 2), + /* 110 */ V(2, 0, 2), + /* 111 */ V(2, 0, 2) +}; + +static +union huffpair const hufftab5[] = { + /* 000 */ PTR(8, 4), + /* 001 */ V(1, 1, 3), + /* 010 */ V(0, 1, 3), + /* 011 */ V(1, 0, 3), + /* 100 */ V(0, 0, 1), + /* 101 */ V(0, 0, 1), + /* 110 */ V(0, 0, 1), + /* 111 */ V(0, 0, 1), + + /* 000 ... */ + /* 0000 */ PTR(24, 1), /* 8 */ + /* 0001 */ V(3, 2, 4), + /* 0010 */ V(3, 1, 3), + /* 0011 */ V(3, 1, 3), + /* 0100 */ V(1, 3, 4), + /* 0101 */ V(0, 3, 4), + /* 0110 */ V(3, 0, 4), + /* 0111 */ V(2, 2, 4), + /* 1000 */ V(1, 2, 3), + /* 1001 */ V(1, 2, 3), + /* 1010 */ V(2, 1, 3), + /* 1011 */ V(2, 1, 3), + /* 1100 */ V(0, 2, 3), + /* 1101 */ V(0, 2, 3), + /* 1110 */ V(2, 0, 3), + /* 1111 */ V(2, 0, 3), + + /* 000 0000 ... */ + /* 0 */ V(3, 3, 1), /* 24 */ + /* 1 */ V(2, 3, 1) +}; + +static +union huffpair const hufftab6[] = { + /* 0000 */ PTR(16, 3), + /* 0001 */ PTR(24, 1), + /* 0010 */ PTR(26, 1), + /* 0011 */ V(1, 2, 4), + /* 0100 */ V(2, 1, 4), + /* 0101 */ V(2, 0, 4), + /* 0110 */ V(0, 1, 3), + /* 0111 */ V(0, 1, 3), + /* 1000 */ V(1, 1, 2), + /* 1001 */ V(1, 1, 2), + /* 1010 */ V(1, 1, 2), + /* 1011 */ V(1, 1, 2), + /* 1100 */ V(1, 0, 3), + /* 1101 */ V(1, 0, 3), + /* 1110 */ V(0, 0, 3), + /* 1111 */ V(0, 0, 3), + + /* 0000 ... */ + /* 000 */ V(3, 3, 3), /* 16 */ + /* 001 */ V(0, 3, 3), + /* 010 */ V(2, 3, 2), + /* 011 */ V(2, 3, 2), + /* 100 */ V(3, 2, 2), + /* 101 */ V(3, 2, 2), + /* 110 */ V(3, 0, 2), + /* 111 */ V(3, 0, 2), + + /* 0001 ... */ + /* 0 */ V(1, 3, 1), /* 24 */ + /* 1 */ V(3, 1, 1), + + /* 0010 ... */ + /* 0 */ V(2, 2, 1), /* 26 */ + /* 1 */ V(0, 2, 1) +}; + +static +union huffpair const hufftab7[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 4), + /* 0010 */ PTR(48, 2), + /* 0011 */ V(1, 1, 4), + /* 0100 */ V(0, 1, 3), + /* 0101 */ V(0, 1, 3), + /* 0110 */ V(1, 0, 3), + /* 0111 */ V(1, 0, 3), + /* 1000 */ V(0, 0, 1), + /* 1001 */ V(0, 0, 1), + /* 1010 */ V(0, 0, 1), + /* 1011 */ V(0, 0, 1), + /* 1100 */ V(0, 0, 1), + /* 1101 */ V(0, 0, 1), + /* 1110 */ V(0, 0, 1), + /* 1111 */ V(0, 0, 1), + + /* 0000 ... */ + /* 0000 */ PTR(52, 2), /* 16 */ + /* 0001 */ PTR(56, 1), + /* 0010 */ PTR(58, 1), + /* 0011 */ V(1, 5, 4), + /* 0100 */ V(5, 1, 4), + /* 0101 */ PTR(60, 1), + /* 0110 */ V(5, 0, 4), + /* 0111 */ PTR(62, 1), + /* 1000 */ V(2, 4, 4), + /* 1001 */ V(4, 2, 4), + /* 1010 */ V(1, 4, 3), + /* 1011 */ V(1, 4, 3), + /* 1100 */ V(4, 1, 3), + /* 1101 */ V(4, 1, 3), + /* 1110 */ V(4, 0, 3), + /* 1111 */ V(4, 0, 3), + + /* 0001 ... */ + /* 0000 */ V(0, 4, 4), /* 32 */ + /* 0001 */ V(2, 3, 4), + /* 0010 */ V(3, 2, 4), + /* 0011 */ V(0, 3, 4), + /* 0100 */ V(1, 3, 3), + /* 0101 */ V(1, 3, 3), + /* 0110 */ V(3, 1, 3), + /* 0111 */ V(3, 1, 3), + /* 1000 */ V(3, 0, 3), + /* 1001 */ V(3, 0, 3), + /* 1010 */ V(2, 2, 3), + /* 1011 */ V(2, 2, 3), + /* 1100 */ V(1, 2, 2), + /* 1101 */ V(1, 2, 2), + /* 1110 */ V(1, 2, 2), + /* 1111 */ V(1, 2, 2), + + /* 0010 ... */ + /* 00 */ V(2, 1, 1), /* 48 */ + /* 01 */ V(2, 1, 1), + /* 10 */ V(0, 2, 2), + /* 11 */ V(2, 0, 2), + + /* 0000 0000 ... */ + /* 00 */ V(5, 5, 2), /* 52 */ + /* 01 */ V(4, 5, 2), + /* 10 */ V(5, 4, 2), + /* 11 */ V(5, 3, 2), + + /* 0000 0001 ... */ + /* 0 */ V(3, 5, 1), /* 56 */ + /* 1 */ V(4, 4, 1), + + /* 0000 0010 ... */ + /* 0 */ V(2, 5, 1), /* 58 */ + /* 1 */ V(5, 2, 1), + + /* 0000 0101 ... */ + /* 0 */ V(0, 5, 1), /* 60 */ + /* 1 */ V(3, 4, 1), + + /* 0000 0111 ... */ + /* 0 */ V(4, 3, 1), /* 62 */ + /* 1 */ V(3, 3, 1) +}; + +# if 0 +/* this version saves 8 entries (16 bytes) at the expense of + an extra lookup in 4 out of 36 cases */ +static +union huffpair const hufftab8[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 2), + /* 0010 */ V(1, 2, 4), + /* 0011 */ V(2, 1, 4), + /* 0100 */ V(1, 1, 2), + /* 0101 */ V(1, 1, 2), + /* 0110 */ V(1, 1, 2), + /* 0111 */ V(1, 1, 2), + /* 1000 */ V(0, 1, 3), + /* 1001 */ V(0, 1, 3), + /* 1010 */ V(1, 0, 3), + /* 1011 */ V(1, 0, 3), + /* 1100 */ V(0, 0, 2), + /* 1101 */ V(0, 0, 2), + /* 1110 */ V(0, 0, 2), + /* 1111 */ V(0, 0, 2), + + /* 0000 ... */ + /* 0000 */ PTR(36, 3), /* 16 */ + /* 0001 */ PTR(44, 2), + /* 0010 */ PTR(48, 1), + /* 0011 */ V(1, 5, 4), + /* 0100 */ V(5, 1, 4), + /* 0101 */ PTR(50, 1), + /* 0110 */ PTR(52, 1), + /* 0111 */ V(2, 4, 4), + /* 1000 */ V(4, 2, 4), + /* 1001 */ V(1, 4, 4), + /* 1010 */ V(4, 1, 3), + /* 1011 */ V(4, 1, 3), + /* 1100 */ V(0, 4, 4), + /* 1101 */ V(4, 0, 4), + /* 1110 */ V(2, 3, 4), + /* 1111 */ V(3, 2, 4), + + /* 0001 ... */ + /* 00 */ PTR(54, 2), /* 32 */ + /* 01 */ V(2, 2, 2), + /* 10 */ V(0, 2, 2), + /* 11 */ V(2, 0, 2), + + /* 0000 0000 ... */ + /* 000 */ V(5, 5, 3), /* 36 */ + /* 001 */ V(5, 4, 3), + /* 010 */ V(4, 5, 2), + /* 011 */ V(4, 5, 2), + /* 100 */ V(5, 3, 1), + /* 101 */ V(5, 3, 1), + /* 110 */ V(5, 3, 1), + /* 111 */ V(5, 3, 1), + + /* 0000 0001 ... */ + /* 00 */ V(3, 5, 2), /* 44 */ + /* 01 */ V(4, 4, 2), + /* 10 */ V(2, 5, 1), + /* 11 */ V(2, 5, 1), + + /* 0000 0010 ... */ + /* 0 */ V(5, 2, 1), /* 48 */ + /* 1 */ V(0, 5, 1), + + /* 0000 0101 ... */ + /* 0 */ V(3, 4, 1), /* 50 */ + /* 1 */ V(4, 3, 1), + + /* 0000 0110 ... */ + /* 0 */ V(5, 0, 1), /* 52 */ + /* 1 */ V(3, 3, 1), + + /* 0001 00 ... */ + /* 00 */ V(1, 3, 2), /* 54 */ + /* 01 */ V(3, 1, 2), + /* 10 */ V(0, 3, 2), + /* 11 */ V(3, 0, 2), +}; +# else +static +union huffpair const hufftab8[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 4), + /* 0010 */ V(1, 2, 4), + /* 0011 */ V(2, 1, 4), + /* 0100 */ V(1, 1, 2), + /* 0101 */ V(1, 1, 2), + /* 0110 */ V(1, 1, 2), + /* 0111 */ V(1, 1, 2), + /* 1000 */ V(0, 1, 3), + /* 1001 */ V(0, 1, 3), + /* 1010 */ V(1, 0, 3), + /* 1011 */ V(1, 0, 3), + /* 1100 */ V(0, 0, 2), + /* 1101 */ V(0, 0, 2), + /* 1110 */ V(0, 0, 2), + /* 1111 */ V(0, 0, 2), + + /* 0000 ... */ + /* 0000 */ PTR(48, 3), /* 16 */ + /* 0001 */ PTR(56, 2), + /* 0010 */ PTR(60, 1), + /* 0011 */ V(1, 5, 4), + /* 0100 */ V(5, 1, 4), + /* 0101 */ PTR(62, 1), + /* 0110 */ PTR(64, 1), + /* 0111 */ V(2, 4, 4), + /* 1000 */ V(4, 2, 4), + /* 1001 */ V(1, 4, 4), + /* 1010 */ V(4, 1, 3), + /* 1011 */ V(4, 1, 3), + /* 1100 */ V(0, 4, 4), + /* 1101 */ V(4, 0, 4), + /* 1110 */ V(2, 3, 4), + /* 1111 */ V(3, 2, 4), + + /* 0001 ... */ + /* 0000 */ V(1, 3, 4), /* 32 */ + /* 0001 */ V(3, 1, 4), + /* 0010 */ V(0, 3, 4), + /* 0011 */ V(3, 0, 4), + /* 0100 */ V(2, 2, 2), + /* 0101 */ V(2, 2, 2), + /* 0110 */ V(2, 2, 2), + /* 0111 */ V(2, 2, 2), + /* 1000 */ V(0, 2, 2), + /* 1001 */ V(0, 2, 2), + /* 1010 */ V(0, 2, 2), + /* 1011 */ V(0, 2, 2), + /* 1100 */ V(2, 0, 2), + /* 1101 */ V(2, 0, 2), + /* 1110 */ V(2, 0, 2), + /* 1111 */ V(2, 0, 2), + + /* 0000 0000 ... */ + /* 000 */ V(5, 5, 3), /* 48 */ + /* 001 */ V(5, 4, 3), + /* 010 */ V(4, 5, 2), + /* 011 */ V(4, 5, 2), + /* 100 */ V(5, 3, 1), + /* 101 */ V(5, 3, 1), + /* 110 */ V(5, 3, 1), + /* 111 */ V(5, 3, 1), + + /* 0000 0001 ... */ + /* 00 */ V(3, 5, 2), /* 56 */ + /* 01 */ V(4, 4, 2), + /* 10 */ V(2, 5, 1), + /* 11 */ V(2, 5, 1), + + /* 0000 0010 ... */ + /* 0 */ V(5, 2, 1), /* 60 */ + /* 1 */ V(0, 5, 1), + + /* 0000 0101 ... */ + /* 0 */ V(3, 4, 1), /* 62 */ + /* 1 */ V(4, 3, 1), + + /* 0000 0110 ... */ + /* 0 */ V(5, 0, 1), /* 64 */ + /* 1 */ V(3, 3, 1) +}; +# endif + +static +union huffpair const hufftab9[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 3), + /* 0010 */ PTR(40, 2), + /* 0011 */ PTR(44, 2), + /* 0100 */ PTR(48, 1), + /* 0101 */ V(1, 2, 4), + /* 0110 */ V(2, 1, 4), + /* 0111 */ V(2, 0, 4), + /* 1000 */ V(1, 1, 3), + /* 1001 */ V(1, 1, 3), + /* 1010 */ V(0, 1, 3), + /* 1011 */ V(0, 1, 3), + /* 1100 */ V(1, 0, 3), + /* 1101 */ V(1, 0, 3), + /* 1110 */ V(0, 0, 3), + /* 1111 */ V(0, 0, 3), + + /* 0000 ... */ + /* 0000 */ PTR(50, 1), /* 16 */ + /* 0001 */ V(3, 5, 4), + /* 0010 */ V(5, 3, 4), + /* 0011 */ PTR(52, 1), + /* 0100 */ V(4, 4, 4), + /* 0101 */ V(2, 5, 4), + /* 0110 */ V(5, 2, 4), + /* 0111 */ V(1, 5, 4), + /* 1000 */ V(5, 1, 3), + /* 1001 */ V(5, 1, 3), + /* 1010 */ V(3, 4, 3), + /* 1011 */ V(3, 4, 3), + /* 1100 */ V(4, 3, 3), + /* 1101 */ V(4, 3, 3), + /* 1110 */ V(5, 0, 4), + /* 1111 */ V(0, 4, 4), + + /* 0001 ... */ + /* 000 */ V(2, 4, 3), /* 32 */ + /* 001 */ V(4, 2, 3), + /* 010 */ V(3, 3, 3), + /* 011 */ V(4, 0, 3), + /* 100 */ V(1, 4, 2), + /* 101 */ V(1, 4, 2), + /* 110 */ V(4, 1, 2), + /* 111 */ V(4, 1, 2), + + /* 0010 ... */ + /* 00 */ V(2, 3, 2), /* 40 */ + /* 01 */ V(3, 2, 2), + /* 10 */ V(1, 3, 1), + /* 11 */ V(1, 3, 1), + + /* 0011 ... */ + /* 00 */ V(3, 1, 1), /* 44 */ + /* 01 */ V(3, 1, 1), + /* 10 */ V(0, 3, 2), + /* 11 */ V(3, 0, 2), + + /* 0100 ... */ + /* 0 */ V(2, 2, 1), /* 48 */ + /* 1 */ V(0, 2, 1), + + /* 0000 0000 ... */ + /* 0 */ V(5, 5, 1), /* 50 */ + /* 1 */ V(4, 5, 1), + + /* 0000 0011 ... */ + /* 0 */ V(5, 4, 1), /* 52 */ + /* 1 */ V(0, 5, 1) +}; + +static +union huffpair const hufftab10[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 4), + /* 0010 */ PTR(48, 2), + /* 0011 */ V(1, 1, 4), + /* 0100 */ V(0, 1, 3), + /* 0101 */ V(0, 1, 3), + /* 0110 */ V(1, 0, 3), + /* 0111 */ V(1, 0, 3), + /* 1000 */ V(0, 0, 1), + /* 1001 */ V(0, 0, 1), + /* 1010 */ V(0, 0, 1), + /* 1011 */ V(0, 0, 1), + /* 1100 */ V(0, 0, 1), + /* 1101 */ V(0, 0, 1), + /* 1110 */ V(0, 0, 1), + /* 1111 */ V(0, 0, 1), + + /* 0000 ... */ + /* 0000 */ PTR(52, 3), /* 16 */ + /* 0001 */ PTR(60, 2), + /* 0010 */ PTR(64, 3), + /* 0011 */ PTR(72, 1), + /* 0100 */ PTR(74, 2), + /* 0101 */ PTR(78, 2), + /* 0110 */ PTR(82, 2), + /* 0111 */ V(1, 7, 4), + /* 1000 */ V(7, 1, 4), + /* 1001 */ PTR(86, 1), + /* 1010 */ PTR(88, 2), + /* 1011 */ PTR(92, 2), + /* 1100 */ V(1, 6, 4), + /* 1101 */ V(6, 1, 4), + /* 1110 */ V(6, 0, 4), + /* 1111 */ PTR(96, 1), + + /* 0001 ... */ + /* 0000 */ PTR(98, 1), /* 32 */ + /* 0001 */ PTR(100, 1), + /* 0010 */ V(1, 4, 4), + /* 0011 */ V(4, 1, 4), + /* 0100 */ V(4, 0, 4), + /* 0101 */ V(2, 3, 4), + /* 0110 */ V(3, 2, 4), + /* 0111 */ V(0, 3, 4), + /* 1000 */ V(1, 3, 3), + /* 1001 */ V(1, 3, 3), + /* 1010 */ V(3, 1, 3), + /* 1011 */ V(3, 1, 3), + /* 1100 */ V(3, 0, 3), + /* 1101 */ V(3, 0, 3), + /* 1110 */ V(2, 2, 3), + /* 1111 */ V(2, 2, 3), + + /* 0010 ... */ + /* 00 */ V(1, 2, 2), /* 48 */ + /* 01 */ V(2, 1, 2), + /* 10 */ V(0, 2, 2), + /* 11 */ V(2, 0, 2), + + /* 0000 0000 ... */ + /* 000 */ V(7, 7, 3), /* 52 */ + /* 001 */ V(6, 7, 3), + /* 010 */ V(7, 6, 3), + /* 011 */ V(5, 7, 3), + /* 100 */ V(7, 5, 3), + /* 101 */ V(6, 6, 3), + /* 110 */ V(4, 7, 2), + /* 111 */ V(4, 7, 2), + + /* 0000 0001 ... */ + /* 00 */ V(7, 4, 2), /* 60 */ + /* 01 */ V(5, 6, 2), + /* 10 */ V(6, 5, 2), + /* 11 */ V(3, 7, 2), + + /* 0000 0010 ... */ + /* 000 */ V(7, 3, 2), /* 64 */ + /* 001 */ V(7, 3, 2), + /* 010 */ V(4, 6, 2), + /* 011 */ V(4, 6, 2), + /* 100 */ V(5, 5, 3), + /* 101 */ V(5, 4, 3), + /* 110 */ V(6, 3, 2), + /* 111 */ V(6, 3, 2), + + /* 0000 0011 ... */ + /* 0 */ V(2, 7, 1), /* 72 */ + /* 1 */ V(7, 2, 1), + + /* 0000 0100 ... */ + /* 00 */ V(6, 4, 2), /* 74 */ + /* 01 */ V(0, 7, 2), + /* 10 */ V(7, 0, 1), + /* 11 */ V(7, 0, 1), + + /* 0000 0101 ... */ + /* 00 */ V(6, 2, 1), /* 78 */ + /* 01 */ V(6, 2, 1), + /* 10 */ V(4, 5, 2), + /* 11 */ V(3, 5, 2), + + /* 0000 0110 ... */ + /* 00 */ V(0, 6, 1), /* 82 */ + /* 01 */ V(0, 6, 1), + /* 10 */ V(5, 3, 2), + /* 11 */ V(4, 4, 2), + + /* 0000 1001 ... */ + /* 0 */ V(3, 6, 1), /* 86 */ + /* 1 */ V(2, 6, 1), + + /* 0000 1010 ... */ + /* 00 */ V(2, 5, 2), /* 88 */ + /* 01 */ V(5, 2, 2), + /* 10 */ V(1, 5, 1), + /* 11 */ V(1, 5, 1), + + /* 0000 1011 ... */ + /* 00 */ V(5, 1, 1), /* 92 */ + /* 01 */ V(5, 1, 1), + /* 10 */ V(3, 4, 2), + /* 11 */ V(4, 3, 2), + + /* 0000 1111 ... */ + /* 0 */ V(0, 5, 1), /* 96 */ + /* 1 */ V(5, 0, 1), + + /* 0001 0000 ... */ + /* 0 */ V(2, 4, 1), /* 98 */ + /* 1 */ V(4, 2, 1), + + /* 0001 0001 ... */ + /* 0 */ V(3, 3, 1), /* 100 */ + /* 1 */ V(0, 4, 1) +}; + +static +union huffpair const hufftab11[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 4), + /* 0010 */ PTR(48, 4), + /* 0011 */ PTR(64, 3), + /* 0100 */ V(1, 2, 4), + /* 0101 */ PTR(72, 1), + /* 0110 */ V(1, 1, 3), + /* 0111 */ V(1, 1, 3), + /* 1000 */ V(0, 1, 3), + /* 1001 */ V(0, 1, 3), + /* 1010 */ V(1, 0, 3), + /* 1011 */ V(1, 0, 3), + /* 1100 */ V(0, 0, 2), + /* 1101 */ V(0, 0, 2), + /* 1110 */ V(0, 0, 2), + /* 1111 */ V(0, 0, 2), + + /* 0000 ... */ + /* 0000 */ PTR(74, 2), /* 16 */ + /* 0001 */ PTR(78, 3), + /* 0010 */ PTR(86, 2), + /* 0011 */ PTR(90, 1), + /* 0100 */ PTR(92, 2), + /* 0101 */ V(2, 7, 4), + /* 0110 */ V(7, 2, 4), + /* 0111 */ PTR(96, 1), + /* 1000 */ V(7, 1, 3), + /* 1001 */ V(7, 1, 3), + /* 1010 */ V(1, 7, 4), + /* 1011 */ V(7, 0, 4), + /* 1100 */ V(3, 6, 4), + /* 1101 */ V(6, 3, 4), + /* 1110 */ V(6, 0, 4), + /* 1111 */ PTR(98, 1), + + /* 0001 ... */ + /* 0000 */ PTR(100, 1), /* 32 */ + /* 0001 */ V(1, 5, 4), + /* 0010 */ V(6, 2, 3), + /* 0011 */ V(6, 2, 3), + /* 0100 */ V(2, 6, 4), + /* 0101 */ V(0, 6, 4), + /* 0110 */ V(1, 6, 3), + /* 0111 */ V(1, 6, 3), + /* 1000 */ V(6, 1, 3), + /* 1001 */ V(6, 1, 3), + /* 1010 */ V(5, 1, 4), + /* 1011 */ V(3, 4, 4), + /* 1100 */ V(5, 0, 4), + /* 1101 */ PTR(102, 1), + /* 1110 */ V(2, 4, 4), + /* 1111 */ V(4, 2, 4), + + /* 0010 ... */ + /* 0000 */ V(1, 4, 4), /* 48 */ + /* 0001 */ V(4, 1, 4), + /* 0010 */ V(0, 4, 4), + /* 0011 */ V(4, 0, 4), + /* 0100 */ V(2, 3, 3), + /* 0101 */ V(2, 3, 3), + /* 0110 */ V(3, 2, 3), + /* 0111 */ V(3, 2, 3), + /* 1000 */ V(1, 3, 2), + /* 1001 */ V(1, 3, 2), + /* 1010 */ V(1, 3, 2), + /* 1011 */ V(1, 3, 2), + /* 1100 */ V(3, 1, 2), + /* 1101 */ V(3, 1, 2), + /* 1110 */ V(3, 1, 2), + /* 1111 */ V(3, 1, 2), + + /* 0011 ... */ + /* 000 */ V(0, 3, 3), /* 64 */ + /* 001 */ V(3, 0, 3), + /* 010 */ V(2, 2, 2), + /* 011 */ V(2, 2, 2), + /* 100 */ V(2, 1, 1), + /* 101 */ V(2, 1, 1), + /* 110 */ V(2, 1, 1), + /* 111 */ V(2, 1, 1), + + /* 0101 ... */ + /* 0 */ V(0, 2, 1), /* 72 */ + /* 1 */ V(2, 0, 1), + + /* 0000 0000 ... */ + /* 00 */ V(7, 7, 2), /* 74 */ + /* 01 */ V(6, 7, 2), + /* 10 */ V(7, 6, 2), + /* 11 */ V(7, 5, 2), + + /* 0000 0001 ... */ + /* 000 */ V(6, 6, 2), /* 78 */ + /* 001 */ V(6, 6, 2), + /* 010 */ V(4, 7, 2), + /* 011 */ V(4, 7, 2), + /* 100 */ V(7, 4, 2), + /* 101 */ V(7, 4, 2), + /* 110 */ V(5, 7, 3), + /* 111 */ V(5, 5, 3), + + /* 0000 0010 ... */ + /* 00 */ V(5, 6, 2), /* 86 */ + /* 01 */ V(6, 5, 2), + /* 10 */ V(3, 7, 1), + /* 11 */ V(3, 7, 1), + + /* 0000 0011 ... */ + /* 0 */ V(7, 3, 1), /* 90 */ + /* 1 */ V(4, 6, 1), + + /* 0000 0100 ... */ + /* 00 */ V(4, 5, 2), /* 92 */ + /* 01 */ V(5, 4, 2), + /* 10 */ V(3, 5, 2), + /* 11 */ V(5, 3, 2), + + /* 0000 0111 ... */ + /* 0 */ V(6, 4, 1), /* 96 */ + /* 1 */ V(0, 7, 1), + + /* 0000 1111 ... */ + /* 0 */ V(4, 4, 1), /* 98 */ + /* 1 */ V(2, 5, 1), + + /* 0001 0000 ... */ + /* 0 */ V(5, 2, 1), /* 100 */ + /* 1 */ V(0, 5, 1), + + /* 0001 1101 ... */ + /* 0 */ V(4, 3, 1), /* 102 */ + /* 1 */ V(3, 3, 1) +}; + +static +union huffpair const hufftab12[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 4), + /* 0010 */ PTR(48, 4), + /* 0011 */ PTR(64, 2), + /* 0100 */ PTR(68, 3), + /* 0101 */ PTR(76, 1), + /* 0110 */ V(1, 2, 4), + /* 0111 */ V(2, 1, 4), + /* 1000 */ PTR(78, 1), + /* 1001 */ V(0, 0, 4), + /* 1010 */ V(1, 1, 3), + /* 1011 */ V(1, 1, 3), + /* 1100 */ V(0, 1, 3), + /* 1101 */ V(0, 1, 3), + /* 1110 */ V(1, 0, 3), + /* 1111 */ V(1, 0, 3), + + /* 0000 ... */ + /* 0000 */ PTR(80, 2), /* 16 */ + /* 0001 */ PTR(84, 1), + /* 0010 */ PTR(86, 1), + /* 0011 */ PTR(88, 1), + /* 0100 */ V(5, 6, 4), + /* 0101 */ V(3, 7, 4), + /* 0110 */ PTR(90, 1), + /* 0111 */ V(2, 7, 4), + /* 1000 */ V(7, 2, 4), + /* 1001 */ V(4, 6, 4), + /* 1010 */ V(6, 4, 4), + /* 1011 */ V(1, 7, 4), + /* 1100 */ V(7, 1, 4), + /* 1101 */ PTR(92, 1), + /* 1110 */ V(3, 6, 4), + /* 1111 */ V(6, 3, 4), + + /* 0001 ... */ + /* 0000 */ V(4, 5, 4), /* 32 */ + /* 0001 */ V(5, 4, 4), + /* 0010 */ V(4, 4, 4), + /* 0011 */ PTR(94, 1), + /* 0100 */ V(2, 6, 3), + /* 0101 */ V(2, 6, 3), + /* 0110 */ V(6, 2, 3), + /* 0111 */ V(6, 2, 3), + /* 1000 */ V(6, 1, 3), + /* 1001 */ V(6, 1, 3), + /* 1010 */ V(1, 6, 4), + /* 1011 */ V(6, 0, 4), + /* 1100 */ V(3, 5, 4), + /* 1101 */ V(5, 3, 4), + /* 1110 */ V(2, 5, 4), + /* 1111 */ V(5, 2, 4), + + /* 0010 ... */ + /* 0000 */ V(1, 5, 3), /* 48 */ + /* 0001 */ V(1, 5, 3), + /* 0010 */ V(5, 1, 3), + /* 0011 */ V(5, 1, 3), + /* 0100 */ V(3, 4, 3), + /* 0101 */ V(3, 4, 3), + /* 0110 */ V(4, 3, 3), + /* 0111 */ V(4, 3, 3), + /* 1000 */ V(5, 0, 4), + /* 1001 */ V(0, 4, 4), + /* 1010 */ V(2, 4, 3), + /* 1011 */ V(2, 4, 3), + /* 1100 */ V(4, 2, 3), + /* 1101 */ V(4, 2, 3), + /* 1110 */ V(1, 4, 3), + /* 1111 */ V(1, 4, 3), + + /* 0011 ... */ + /* 00 */ V(3, 3, 2), /* 64 */ + /* 01 */ V(4, 1, 2), + /* 10 */ V(2, 3, 2), + /* 11 */ V(3, 2, 2), + + /* 0100 ... */ + /* 000 */ V(4, 0, 3), /* 68 */ + /* 001 */ V(0, 3, 3), + /* 010 */ V(3, 0, 2), + /* 011 */ V(3, 0, 2), + /* 100 */ V(1, 3, 1), + /* 101 */ V(1, 3, 1), + /* 110 */ V(1, 3, 1), + /* 111 */ V(1, 3, 1), + + /* 0101 ... */ + /* 0 */ V(3, 1, 1), /* 76 */ + /* 1 */ V(2, 2, 1), + + /* 1000 ... */ + /* 0 */ V(0, 2, 1), /* 78 */ + /* 1 */ V(2, 0, 1), + + /* 0000 0000 ... */ + /* 00 */ V(7, 7, 2), /* 80 */ + /* 01 */ V(6, 7, 2), + /* 10 */ V(7, 6, 1), + /* 11 */ V(7, 6, 1), + + /* 0000 0001 ... */ + /* 0 */ V(5, 7, 1), /* 84 */ + /* 1 */ V(7, 5, 1), + + /* 0000 0010 ... */ + /* 0 */ V(6, 6, 1), /* 86 */ + /* 1 */ V(4, 7, 1), + + /* 0000 0011 ... */ + /* 0 */ V(7, 4, 1), /* 88 */ + /* 1 */ V(6, 5, 1), + + /* 0000 0110 ... */ + /* 0 */ V(7, 3, 1), /* 90 */ + /* 1 */ V(5, 5, 1), + + /* 0000 1101 ... */ + /* 0 */ V(0, 7, 1), /* 92 */ + /* 1 */ V(7, 0, 1), + + /* 0001 0011 ... */ + /* 0 */ V(0, 6, 1), /* 94 */ + /* 1 */ V(0, 5, 1) +}; + +static +union huffpair const hufftab13[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 4), + /* 0010 */ PTR(48, 4), + /* 0011 */ PTR(64, 2), + /* 0100 */ V(1, 1, 4), + /* 0101 */ V(0, 1, 4), + /* 0110 */ V(1, 0, 3), + /* 0111 */ V(1, 0, 3), + /* 1000 */ V(0, 0, 1), + /* 1001 */ V(0, 0, 1), + /* 1010 */ V(0, 0, 1), + /* 1011 */ V(0, 0, 1), + /* 1100 */ V(0, 0, 1), + /* 1101 */ V(0, 0, 1), + /* 1110 */ V(0, 0, 1), + /* 1111 */ V(0, 0, 1), + + /* 0000 ... */ + /* 0000 */ PTR(68, 4), /* 16 */ + /* 0001 */ PTR(84, 4), + /* 0010 */ PTR(100, 4), + /* 0011 */ PTR(116, 4), + /* 0100 */ PTR(132, 4), + /* 0101 */ PTR(148, 4), + /* 0110 */ PTR(164, 3), + /* 0111 */ PTR(172, 3), + /* 1000 */ PTR(180, 3), + /* 1001 */ PTR(188, 3), + /* 1010 */ PTR(196, 3), + /* 1011 */ PTR(204, 3), + /* 1100 */ PTR(212, 1), + /* 1101 */ PTR(214, 2), + /* 1110 */ PTR(218, 3), + /* 1111 */ PTR(226, 1), + + /* 0001 ... */ + /* 0000 */ PTR(228, 2), /* 32 */ + /* 0001 */ PTR(232, 2), + /* 0010 */ PTR(236, 2), + /* 0011 */ PTR(240, 2), + /* 0100 */ V(8, 1, 4), + /* 0101 */ PTR(244, 1), + /* 0110 */ PTR(246, 1), + /* 0111 */ PTR(248, 1), + /* 1000 */ PTR(250, 2), + /* 1001 */ PTR(254, 1), + /* 1010 */ V(1, 5, 4), + /* 1011 */ V(5, 1, 4), + /* 1100 */ PTR(256, 1), + /* 1101 */ PTR(258, 1), + /* 1110 */ PTR(260, 1), + /* 1111 */ V(1, 4, 4), + + /* 0010 ... */ + /* 0000 */ V(4, 1, 3), /* 48 */ + /* 0001 */ V(4, 1, 3), + /* 0010 */ V(0, 4, 4), + /* 0011 */ V(4, 0, 4), + /* 0100 */ V(2, 3, 4), + /* 0101 */ V(3, 2, 4), + /* 0110 */ V(1, 3, 3), + /* 0111 */ V(1, 3, 3), + /* 1000 */ V(3, 1, 3), + /* 1001 */ V(3, 1, 3), + /* 1010 */ V(0, 3, 3), + /* 1011 */ V(0, 3, 3), + /* 1100 */ V(3, 0, 3), + /* 1101 */ V(3, 0, 3), + /* 1110 */ V(2, 2, 3), + /* 1111 */ V(2, 2, 3), + + /* 0011 ... */ + /* 00 */ V(1, 2, 2), /* 64 */ + /* 01 */ V(2, 1, 2), + /* 10 */ V(0, 2, 2), + /* 11 */ V(2, 0, 2), + + /* 0000 0000 ... */ + /* 0000 */ PTR(262, 4), /* 68 */ + /* 0001 */ PTR(278, 4), + /* 0010 */ PTR(294, 4), + /* 0011 */ PTR(310, 3), + /* 0100 */ PTR(318, 2), + /* 0101 */ PTR(322, 2), + /* 0110 */ PTR(326, 3), + /* 0111 */ PTR(334, 2), + /* 1000 */ PTR(338, 1), + /* 1001 */ PTR(340, 2), + /* 1010 */ PTR(344, 2), + /* 1011 */ PTR(348, 2), + /* 1100 */ PTR(352, 2), + /* 1101 */ PTR(356, 2), + /* 1110 */ V(1, 15, 4), + /* 1111 */ V(15, 1, 4), + + /* 0000 0001 ... */ + /* 0000 */ V(15, 0, 4), /* 84 */ + /* 0001 */ PTR(360, 1), + /* 0010 */ PTR(362, 1), + /* 0011 */ PTR(364, 1), + /* 0100 */ V(14, 2, 4), + /* 0101 */ PTR(366, 1), + /* 0110 */ V(1, 14, 4), + /* 0111 */ V(14, 1, 4), + /* 1000 */ PTR(368, 1), + /* 1001 */ PTR(370, 1), + /* 1010 */ PTR(372, 1), + /* 1011 */ PTR(374, 1), + /* 1100 */ PTR(376, 1), + /* 1101 */ PTR(378, 1), + /* 1110 */ V(12, 6, 4), + /* 1111 */ V(3, 13, 4), + + /* 0000 0010 ... */ + /* 0000 */ PTR(380, 1), /* 100 */ + /* 0001 */ V(2, 13, 4), + /* 0010 */ V(13, 2, 4), + /* 0011 */ V(1, 13, 4), + /* 0100 */ V(11, 7, 4), + /* 0101 */ PTR(382, 1), + /* 0110 */ PTR(384, 1), + /* 0111 */ V(12, 3, 4), + /* 1000 */ PTR(386, 1), + /* 1001 */ V(4, 11, 4), + /* 1010 */ V(13, 1, 3), + /* 1011 */ V(13, 1, 3), + /* 1100 */ V(0, 13, 4), + /* 1101 */ V(13, 0, 4), + /* 1110 */ V(8, 10, 4), + /* 1111 */ V(10, 8, 4), + + /* 0000 0011 ... */ + /* 0000 */ V(4, 12, 4), /* 116 */ + /* 0001 */ V(12, 4, 4), + /* 0010 */ V(6, 11, 4), + /* 0011 */ V(11, 6, 4), + /* 0100 */ V(3, 12, 3), + /* 0101 */ V(3, 12, 3), + /* 0110 */ V(2, 12, 3), + /* 0111 */ V(2, 12, 3), + /* 1000 */ V(12, 2, 3), + /* 1001 */ V(12, 2, 3), + /* 1010 */ V(5, 11, 3), + /* 1011 */ V(5, 11, 3), + /* 1100 */ V(11, 5, 4), + /* 1101 */ V(8, 9, 4), + /* 1110 */ V(1, 12, 3), + /* 1111 */ V(1, 12, 3), + + /* 0000 0100 ... */ + /* 0000 */ V(12, 1, 3), /* 132 */ + /* 0001 */ V(12, 1, 3), + /* 0010 */ V(9, 8, 4), + /* 0011 */ V(0, 12, 4), + /* 0100 */ V(12, 0, 3), + /* 0101 */ V(12, 0, 3), + /* 0110 */ V(11, 4, 4), + /* 0111 */ V(6, 10, 4), + /* 1000 */ V(10, 6, 4), + /* 1001 */ V(7, 9, 4), + /* 1010 */ V(3, 11, 3), + /* 1011 */ V(3, 11, 3), + /* 1100 */ V(11, 3, 3), + /* 1101 */ V(11, 3, 3), + /* 1110 */ V(8, 8, 4), + /* 1111 */ V(5, 10, 4), + + /* 0000 0101 ... */ + /* 0000 */ V(2, 11, 3), /* 148 */ + /* 0001 */ V(2, 11, 3), + /* 0010 */ V(10, 5, 4), + /* 0011 */ V(6, 9, 4), + /* 0100 */ V(10, 4, 3), + /* 0101 */ V(10, 4, 3), + /* 0110 */ V(7, 8, 4), + /* 0111 */ V(8, 7, 4), + /* 1000 */ V(9, 4, 3), + /* 1001 */ V(9, 4, 3), + /* 1010 */ V(7, 7, 4), + /* 1011 */ V(7, 6, 4), + /* 1100 */ V(11, 2, 2), + /* 1101 */ V(11, 2, 2), + /* 1110 */ V(11, 2, 2), + /* 1111 */ V(11, 2, 2), + + /* 0000 0110 ... */ + /* 000 */ V(1, 11, 2), /* 164 */ + /* 001 */ V(1, 11, 2), + /* 010 */ V(11, 1, 2), + /* 011 */ V(11, 1, 2), + /* 100 */ V(0, 11, 3), + /* 101 */ V(11, 0, 3), + /* 110 */ V(9, 6, 3), + /* 111 */ V(4, 10, 3), + + /* 0000 0111 ... */ + /* 000 */ V(3, 10, 3), /* 172 */ + /* 001 */ V(10, 3, 3), + /* 010 */ V(5, 9, 3), + /* 011 */ V(9, 5, 3), + /* 100 */ V(2, 10, 2), + /* 101 */ V(2, 10, 2), + /* 110 */ V(10, 2, 2), + /* 111 */ V(10, 2, 2), + + /* 0000 1000 ... */ + /* 000 */ V(1, 10, 2), /* 180 */ + /* 001 */ V(1, 10, 2), + /* 010 */ V(10, 1, 2), + /* 011 */ V(10, 1, 2), + /* 100 */ V(0, 10, 3), + /* 101 */ V(6, 8, 3), + /* 110 */ V(10, 0, 2), + /* 111 */ V(10, 0, 2), + + /* 0000 1001 ... */ + /* 000 */ V(8, 6, 3), /* 188 */ + /* 001 */ V(4, 9, 3), + /* 010 */ V(9, 3, 2), + /* 011 */ V(9, 3, 2), + /* 100 */ V(3, 9, 3), + /* 101 */ V(5, 8, 3), + /* 110 */ V(8, 5, 3), + /* 111 */ V(6, 7, 3), + + /* 0000 1010 ... */ + /* 000 */ V(2, 9, 2), /* 196 */ + /* 001 */ V(2, 9, 2), + /* 010 */ V(9, 2, 2), + /* 011 */ V(9, 2, 2), + /* 100 */ V(5, 7, 3), + /* 101 */ V(7, 5, 3), + /* 110 */ V(3, 8, 2), + /* 111 */ V(3, 8, 2), + + /* 0000 1011 ... */ + /* 000 */ V(8, 3, 2), /* 204 */ + /* 001 */ V(8, 3, 2), + /* 010 */ V(6, 6, 3), + /* 011 */ V(4, 7, 3), + /* 100 */ V(7, 4, 3), + /* 101 */ V(5, 6, 3), + /* 110 */ V(6, 5, 3), + /* 111 */ V(7, 3, 3), + + /* 0000 1100 ... */ + /* 0 */ V(1, 9, 1), /* 212 */ + /* 1 */ V(9, 1, 1), + + /* 0000 1101 ... */ + /* 00 */ V(0, 9, 2), /* 214 */ + /* 01 */ V(9, 0, 2), + /* 10 */ V(4, 8, 2), + /* 11 */ V(8, 4, 2), + + /* 0000 1110 ... */ + /* 000 */ V(7, 2, 2), /* 218 */ + /* 001 */ V(7, 2, 2), + /* 010 */ V(4, 6, 3), + /* 011 */ V(6, 4, 3), + /* 100 */ V(2, 8, 1), + /* 101 */ V(2, 8, 1), + /* 110 */ V(2, 8, 1), + /* 111 */ V(2, 8, 1), + + /* 0000 1111 ... */ + /* 0 */ V(8, 2, 1), /* 226 */ + /* 1 */ V(1, 8, 1), + + /* 0001 0000 ... */ + /* 00 */ V(3, 7, 2), /* 228 */ + /* 01 */ V(2, 7, 2), + /* 10 */ V(1, 7, 1), + /* 11 */ V(1, 7, 1), + + /* 0001 0001 ... */ + /* 00 */ V(7, 1, 1), /* 232 */ + /* 01 */ V(7, 1, 1), + /* 10 */ V(5, 5, 2), + /* 11 */ V(0, 7, 2), + + /* 0001 0010 ... */ + /* 00 */ V(7, 0, 2), /* 236 */ + /* 01 */ V(3, 6, 2), + /* 10 */ V(6, 3, 2), + /* 11 */ V(4, 5, 2), + + /* 0001 0011 ... */ + /* 00 */ V(5, 4, 2), /* 240 */ + /* 01 */ V(2, 6, 2), + /* 10 */ V(6, 2, 2), + /* 11 */ V(3, 5, 2), + + /* 0001 0101 ... */ + /* 0 */ V(0, 8, 1), /* 244 */ + /* 1 */ V(8, 0, 1), + + /* 0001 0110 ... */ + /* 0 */ V(1, 6, 1), /* 246 */ + /* 1 */ V(6, 1, 1), + + /* 0001 0111 ... */ + /* 0 */ V(0, 6, 1), /* 248 */ + /* 1 */ V(6, 0, 1), + + /* 0001 1000 ... */ + /* 00 */ V(5, 3, 2), /* 250 */ + /* 01 */ V(4, 4, 2), + /* 10 */ V(2, 5, 1), + /* 11 */ V(2, 5, 1), + + /* 0001 1001 ... */ + /* 0 */ V(5, 2, 1), /* 254 */ + /* 1 */ V(0, 5, 1), + + /* 0001 1100 ... */ + /* 0 */ V(3, 4, 1), /* 256 */ + /* 1 */ V(4, 3, 1), + + /* 0001 1101 ... */ + /* 0 */ V(5, 0, 1), /* 258 */ + /* 1 */ V(2, 4, 1), + + /* 0001 1110 ... */ + /* 0 */ V(4, 2, 1), /* 260 */ + /* 1 */ V(3, 3, 1), + + /* 0000 0000 0000 ... */ + /* 0000 */ PTR(388, 3), /* 262 */ + /* 0001 */ V(15, 15, 4), + /* 0010 */ V(14, 15, 4), + /* 0011 */ V(13, 15, 4), + /* 0100 */ V(14, 14, 4), + /* 0101 */ V(12, 15, 4), + /* 0110 */ V(13, 14, 4), + /* 0111 */ V(11, 15, 4), + /* 1000 */ V(15, 11, 4), + /* 1001 */ V(12, 14, 4), + /* 1010 */ V(13, 12, 4), + /* 1011 */ PTR(396, 1), + /* 1100 */ V(14, 12, 3), + /* 1101 */ V(14, 12, 3), + /* 1110 */ V(13, 13, 3), + /* 1111 */ V(13, 13, 3), + + /* 0000 0000 0001 ... */ + /* 0000 */ V(15, 10, 4), /* 278 */ + /* 0001 */ V(12, 13, 4), + /* 0010 */ V(11, 14, 3), + /* 0011 */ V(11, 14, 3), + /* 0100 */ V(14, 11, 3), + /* 0101 */ V(14, 11, 3), + /* 0110 */ V(9, 15, 3), + /* 0111 */ V(9, 15, 3), + /* 1000 */ V(15, 9, 3), + /* 1001 */ V(15, 9, 3), + /* 1010 */ V(14, 10, 3), + /* 1011 */ V(14, 10, 3), + /* 1100 */ V(11, 13, 3), + /* 1101 */ V(11, 13, 3), + /* 1110 */ V(13, 11, 3), + /* 1111 */ V(13, 11, 3), + + /* 0000 0000 0010 ... */ + /* 0000 */ V(8, 15, 3), /* 294 */ + /* 0001 */ V(8, 15, 3), + /* 0010 */ V(15, 8, 3), + /* 0011 */ V(15, 8, 3), + /* 0100 */ V(12, 12, 3), + /* 0101 */ V(12, 12, 3), + /* 0110 */ V(10, 14, 4), + /* 0111 */ V(9, 14, 4), + /* 1000 */ V(8, 14, 3), + /* 1001 */ V(8, 14, 3), + /* 1010 */ V(7, 15, 4), + /* 1011 */ V(7, 14, 4), + /* 1100 */ V(15, 7, 2), + /* 1101 */ V(15, 7, 2), + /* 1110 */ V(15, 7, 2), + /* 1111 */ V(15, 7, 2), + + /* 0000 0000 0011 ... */ + /* 000 */ V(13, 10, 2), /* 310 */ + /* 001 */ V(13, 10, 2), + /* 010 */ V(10, 13, 3), + /* 011 */ V(11, 12, 3), + /* 100 */ V(12, 11, 3), + /* 101 */ V(15, 6, 3), + /* 110 */ V(6, 15, 2), + /* 111 */ V(6, 15, 2), + + /* 0000 0000 0100 ... */ + /* 00 */ V(14, 8, 2), /* 318 */ + /* 01 */ V(5, 15, 2), + /* 10 */ V(9, 13, 2), + /* 11 */ V(13, 9, 2), + + /* 0000 0000 0101 ... */ + /* 00 */ V(15, 5, 2), /* 322 */ + /* 01 */ V(14, 7, 2), + /* 10 */ V(10, 12, 2), + /* 11 */ V(11, 11, 2), + + /* 0000 0000 0110 ... */ + /* 000 */ V(4, 15, 2), /* 326 */ + /* 001 */ V(4, 15, 2), + /* 010 */ V(15, 4, 2), + /* 011 */ V(15, 4, 2), + /* 100 */ V(12, 10, 3), + /* 101 */ V(14, 6, 3), + /* 110 */ V(15, 3, 2), + /* 111 */ V(15, 3, 2), + + /* 0000 0000 0111 ... */ + /* 00 */ V(3, 15, 1), /* 334 */ + /* 01 */ V(3, 15, 1), + /* 10 */ V(8, 13, 2), + /* 11 */ V(13, 8, 2), + + /* 0000 0000 1000 ... */ + /* 0 */ V(2, 15, 1), /* 338 */ + /* 1 */ V(15, 2, 1), + + /* 0000 0000 1001 ... */ + /* 00 */ V(6, 14, 2), /* 340 */ + /* 01 */ V(9, 12, 2), + /* 10 */ V(0, 15, 1), + /* 11 */ V(0, 15, 1), + + /* 0000 0000 1010 ... */ + /* 00 */ V(12, 9, 2), /* 344 */ + /* 01 */ V(5, 14, 2), + /* 10 */ V(10, 11, 1), + /* 11 */ V(10, 11, 1), + + /* 0000 0000 1011 ... */ + /* 00 */ V(7, 13, 2), /* 348 */ + /* 01 */ V(13, 7, 2), + /* 10 */ V(4, 14, 1), + /* 11 */ V(4, 14, 1), + + /* 0000 0000 1100 ... */ + /* 00 */ V(12, 8, 2), /* 352 */ + /* 01 */ V(13, 6, 2), + /* 10 */ V(3, 14, 1), + /* 11 */ V(3, 14, 1), + + /* 0000 0000 1101 ... */ + /* 00 */ V(11, 9, 1), /* 356 */ + /* 01 */ V(11, 9, 1), + /* 10 */ V(9, 11, 2), + /* 11 */ V(10, 10, 2), + + /* 0000 0001 0001 ... */ + /* 0 */ V(11, 10, 1), /* 360 */ + /* 1 */ V(14, 5, 1), + + /* 0000 0001 0010 ... */ + /* 0 */ V(14, 4, 1), /* 362 */ + /* 1 */ V(8, 12, 1), + + /* 0000 0001 0011 ... */ + /* 0 */ V(6, 13, 1), /* 364 */ + /* 1 */ V(14, 3, 1), + + /* 0000 0001 0101 ... */ + /* 0 */ V(2, 14, 1), /* 366 */ + /* 1 */ V(0, 14, 1), + + /* 0000 0001 1000 ... */ + /* 0 */ V(14, 0, 1), /* 368 */ + /* 1 */ V(5, 13, 1), + + /* 0000 0001 1001 ... */ + /* 0 */ V(13, 5, 1), /* 370 */ + /* 1 */ V(7, 12, 1), + + /* 0000 0001 1010 ... */ + /* 0 */ V(12, 7, 1), /* 372 */ + /* 1 */ V(4, 13, 1), + + /* 0000 0001 1011 ... */ + /* 0 */ V(8, 11, 1), /* 374 */ + /* 1 */ V(11, 8, 1), + + /* 0000 0001 1100 ... */ + /* 0 */ V(13, 4, 1), /* 376 */ + /* 1 */ V(9, 10, 1), + + /* 0000 0001 1101 ... */ + /* 0 */ V(10, 9, 1), /* 378 */ + /* 1 */ V(6, 12, 1), + + /* 0000 0010 0000 ... */ + /* 0 */ V(13, 3, 1), /* 380 */ + /* 1 */ V(7, 11, 1), + + /* 0000 0010 0101 ... */ + /* 0 */ V(5, 12, 1), /* 382 */ + /* 1 */ V(12, 5, 1), + + /* 0000 0010 0110 ... */ + /* 0 */ V(9, 9, 1), /* 384 */ + /* 1 */ V(7, 10, 1), + + /* 0000 0010 1000 ... */ + /* 0 */ V(10, 7, 1), /* 386 */ + /* 1 */ V(9, 7, 1), + + /* 0000 0000 0000 0000 ... */ + /* 000 */ V(15, 14, 3), /* 388 */ + /* 001 */ V(15, 12, 3), + /* 010 */ V(15, 13, 2), + /* 011 */ V(15, 13, 2), + /* 100 */ V(14, 13, 1), + /* 101 */ V(14, 13, 1), + /* 110 */ V(14, 13, 1), + /* 111 */ V(14, 13, 1), + + /* 0000 0000 0000 1011 ... */ + /* 0 */ V(10, 15, 1), /* 396 */ + /* 1 */ V(14, 9, 1) +}; + +static +union huffpair const hufftab15[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 4), + /* 0010 */ PTR(48, 4), + /* 0011 */ PTR(64, 4), + /* 0100 */ PTR(80, 4), + /* 0101 */ PTR(96, 3), + /* 0110 */ PTR(104, 3), + /* 0111 */ PTR(112, 2), + /* 1000 */ PTR(116, 1), + /* 1001 */ PTR(118, 1), + /* 1010 */ V(1, 1, 3), + /* 1011 */ V(1, 1, 3), + /* 1100 */ V(0, 1, 4), + /* 1101 */ V(1, 0, 4), + /* 1110 */ V(0, 0, 3), + /* 1111 */ V(0, 0, 3), + + /* 0000 ... */ + /* 0000 */ PTR(120, 4), /* 16 */ + /* 0001 */ PTR(136, 4), + /* 0010 */ PTR(152, 4), + /* 0011 */ PTR(168, 4), + /* 0100 */ PTR(184, 4), + /* 0101 */ PTR(200, 3), + /* 0110 */ PTR(208, 3), + /* 0111 */ PTR(216, 4), + /* 1000 */ PTR(232, 3), + /* 1001 */ PTR(240, 3), + /* 1010 */ PTR(248, 3), + /* 1011 */ PTR(256, 3), + /* 1100 */ PTR(264, 2), + /* 1101 */ PTR(268, 3), + /* 1110 */ PTR(276, 3), + /* 1111 */ PTR(284, 2), + + /* 0001 ... */ + /* 0000 */ PTR(288, 2), /* 32 */ + /* 0001 */ PTR(292, 2), + /* 0010 */ PTR(296, 2), + /* 0011 */ PTR(300, 2), + /* 0100 */ PTR(304, 2), + /* 0101 */ PTR(308, 2), + /* 0110 */ PTR(312, 2), + /* 0111 */ PTR(316, 2), + /* 1000 */ PTR(320, 1), + /* 1001 */ PTR(322, 1), + /* 1010 */ PTR(324, 1), + /* 1011 */ PTR(326, 2), + /* 1100 */ PTR(330, 1), + /* 1101 */ PTR(332, 1), + /* 1110 */ PTR(334, 2), + /* 1111 */ PTR(338, 1), + + /* 0010 ... */ + /* 0000 */ PTR(340, 1), /* 48 */ + /* 0001 */ PTR(342, 1), + /* 0010 */ V(9, 1, 4), + /* 0011 */ PTR(344, 1), + /* 0100 */ PTR(346, 1), + /* 0101 */ PTR(348, 1), + /* 0110 */ PTR(350, 1), + /* 0111 */ PTR(352, 1), + /* 1000 */ V(2, 8, 4), + /* 1001 */ V(8, 2, 4), + /* 1010 */ V(1, 8, 4), + /* 1011 */ V(8, 1, 4), + /* 1100 */ PTR(354, 1), + /* 1101 */ PTR(356, 1), + /* 1110 */ PTR(358, 1), + /* 1111 */ PTR(360, 1), + + /* 0011 ... */ + /* 0000 */ V(2, 7, 4), /* 64 */ + /* 0001 */ V(7, 2, 4), + /* 0010 */ V(6, 4, 4), + /* 0011 */ V(1, 7, 4), + /* 0100 */ V(5, 5, 4), + /* 0101 */ V(7, 1, 4), + /* 0110 */ PTR(362, 1), + /* 0111 */ V(3, 6, 4), + /* 1000 */ V(6, 3, 4), + /* 1001 */ V(4, 5, 4), + /* 1010 */ V(5, 4, 4), + /* 1011 */ V(2, 6, 4), + /* 1100 */ V(6, 2, 4), + /* 1101 */ V(1, 6, 4), + /* 1110 */ PTR(364, 1), + /* 1111 */ V(3, 5, 4), + + /* 0100 ... */ + /* 0000 */ V(6, 1, 3), /* 80 */ + /* 0001 */ V(6, 1, 3), + /* 0010 */ V(5, 3, 4), + /* 0011 */ V(4, 4, 4), + /* 0100 */ V(2, 5, 3), + /* 0101 */ V(2, 5, 3), + /* 0110 */ V(5, 2, 3), + /* 0111 */ V(5, 2, 3), + /* 1000 */ V(1, 5, 3), + /* 1001 */ V(1, 5, 3), + /* 1010 */ V(5, 1, 3), + /* 1011 */ V(5, 1, 3), + /* 1100 */ V(0, 5, 4), + /* 1101 */ V(5, 0, 4), + /* 1110 */ V(3, 4, 3), + /* 1111 */ V(3, 4, 3), + + /* 0101 ... */ + /* 000 */ V(4, 3, 3), /* 96 */ + /* 001 */ V(2, 4, 3), + /* 010 */ V(4, 2, 3), + /* 011 */ V(3, 3, 3), + /* 100 */ V(4, 1, 2), + /* 101 */ V(4, 1, 2), + /* 110 */ V(1, 4, 3), + /* 111 */ V(0, 4, 3), + + /* 0110 ... */ + /* 000 */ V(2, 3, 2), /* 104 */ + /* 001 */ V(2, 3, 2), + /* 010 */ V(3, 2, 2), + /* 011 */ V(3, 2, 2), + /* 100 */ V(4, 0, 3), + /* 101 */ V(0, 3, 3), + /* 110 */ V(1, 3, 2), + /* 111 */ V(1, 3, 2), + + /* 0111 ... */ + /* 00 */ V(3, 1, 2), /* 112 */ + /* 01 */ V(3, 0, 2), + /* 10 */ V(2, 2, 1), + /* 11 */ V(2, 2, 1), + + /* 1000 ... */ + /* 0 */ V(1, 2, 1), /* 116 */ + /* 1 */ V(2, 1, 1), + + /* 1001 ... */ + /* 0 */ V(0, 2, 1), /* 118 */ + /* 1 */ V(2, 0, 1), + + /* 0000 0000 ... */ + /* 0000 */ PTR(366, 1), /* 120 */ + /* 0001 */ PTR(368, 1), + /* 0010 */ V(14, 14, 4), + /* 0011 */ PTR(370, 1), + /* 0100 */ PTR(372, 1), + /* 0101 */ PTR(374, 1), + /* 0110 */ V(15, 11, 4), + /* 0111 */ PTR(376, 1), + /* 1000 */ V(13, 13, 4), + /* 1001 */ V(10, 15, 4), + /* 1010 */ V(15, 10, 4), + /* 1011 */ V(11, 14, 4), + /* 1100 */ V(14, 11, 4), + /* 1101 */ V(12, 13, 4), + /* 1110 */ V(13, 12, 4), + /* 1111 */ V(9, 15, 4), + + /* 0000 0001 ... */ + /* 0000 */ V(15, 9, 4), /* 136 */ + /* 0001 */ V(14, 10, 4), + /* 0010 */ V(11, 13, 4), + /* 0011 */ V(13, 11, 4), + /* 0100 */ V(8, 15, 4), + /* 0101 */ V(15, 8, 4), + /* 0110 */ V(12, 12, 4), + /* 0111 */ V(9, 14, 4), + /* 1000 */ V(14, 9, 4), + /* 1001 */ V(7, 15, 4), + /* 1010 */ V(15, 7, 4), + /* 1011 */ V(10, 13, 4), + /* 1100 */ V(13, 10, 4), + /* 1101 */ V(11, 12, 4), + /* 1110 */ V(6, 15, 4), + /* 1111 */ PTR(378, 1), + + /* 0000 0010 ... */ + /* 0000 */ V(12, 11, 3), /* 152 */ + /* 0001 */ V(12, 11, 3), + /* 0010 */ V(15, 6, 3), + /* 0011 */ V(15, 6, 3), + /* 0100 */ V(8, 14, 4), + /* 0101 */ V(14, 8, 4), + /* 0110 */ V(5, 15, 4), + /* 0111 */ V(9, 13, 4), + /* 1000 */ V(15, 5, 3), + /* 1001 */ V(15, 5, 3), + /* 1010 */ V(7, 14, 3), + /* 1011 */ V(7, 14, 3), + /* 1100 */ V(14, 7, 3), + /* 1101 */ V(14, 7, 3), + /* 1110 */ V(10, 12, 3), + /* 1111 */ V(10, 12, 3), + + /* 0000 0011 ... */ + /* 0000 */ V(12, 10, 3), /* 168 */ + /* 0001 */ V(12, 10, 3), + /* 0010 */ V(11, 11, 3), + /* 0011 */ V(11, 11, 3), + /* 0100 */ V(13, 9, 4), + /* 0101 */ V(8, 13, 4), + /* 0110 */ V(4, 15, 3), + /* 0111 */ V(4, 15, 3), + /* 1000 */ V(15, 4, 3), + /* 1001 */ V(15, 4, 3), + /* 1010 */ V(3, 15, 3), + /* 1011 */ V(3, 15, 3), + /* 1100 */ V(15, 3, 3), + /* 1101 */ V(15, 3, 3), + /* 1110 */ V(13, 8, 3), + /* 1111 */ V(13, 8, 3), + + /* 0000 0100 ... */ + /* 0000 */ V(14, 6, 3), /* 184 */ + /* 0001 */ V(14, 6, 3), + /* 0010 */ V(2, 15, 3), + /* 0011 */ V(2, 15, 3), + /* 0100 */ V(15, 2, 3), + /* 0101 */ V(15, 2, 3), + /* 0110 */ V(6, 14, 4), + /* 0111 */ V(15, 0, 4), + /* 1000 */ V(1, 15, 3), + /* 1001 */ V(1, 15, 3), + /* 1010 */ V(15, 1, 3), + /* 1011 */ V(15, 1, 3), + /* 1100 */ V(9, 12, 3), + /* 1101 */ V(9, 12, 3), + /* 1110 */ V(12, 9, 3), + /* 1111 */ V(12, 9, 3), + + /* 0000 0101 ... */ + /* 000 */ V(5, 14, 3), /* 200 */ + /* 001 */ V(10, 11, 3), + /* 010 */ V(11, 10, 3), + /* 011 */ V(14, 5, 3), + /* 100 */ V(7, 13, 3), + /* 101 */ V(13, 7, 3), + /* 110 */ V(4, 14, 3), + /* 111 */ V(14, 4, 3), + + /* 0000 0110 ... */ + /* 000 */ V(8, 12, 3), /* 208 */ + /* 001 */ V(12, 8, 3), + /* 010 */ V(3, 14, 3), + /* 011 */ V(6, 13, 3), + /* 100 */ V(13, 6, 3), + /* 101 */ V(14, 3, 3), + /* 110 */ V(9, 11, 3), + /* 111 */ V(11, 9, 3), + + /* 0000 0111 ... */ + /* 0000 */ V(2, 14, 3), /* 216 */ + /* 0001 */ V(2, 14, 3), + /* 0010 */ V(10, 10, 3), + /* 0011 */ V(10, 10, 3), + /* 0100 */ V(14, 2, 3), + /* 0101 */ V(14, 2, 3), + /* 0110 */ V(1, 14, 3), + /* 0111 */ V(1, 14, 3), + /* 1000 */ V(14, 1, 3), + /* 1001 */ V(14, 1, 3), + /* 1010 */ V(0, 14, 4), + /* 1011 */ V(14, 0, 4), + /* 1100 */ V(5, 13, 3), + /* 1101 */ V(5, 13, 3), + /* 1110 */ V(13, 5, 3), + /* 1111 */ V(13, 5, 3), + + /* 0000 1000 ... */ + /* 000 */ V(7, 12, 3), /* 232 */ + /* 001 */ V(12, 7, 3), + /* 010 */ V(4, 13, 3), + /* 011 */ V(8, 11, 3), + /* 100 */ V(13, 4, 2), + /* 101 */ V(13, 4, 2), + /* 110 */ V(11, 8, 3), + /* 111 */ V(9, 10, 3), + + /* 0000 1001 ... */ + /* 000 */ V(10, 9, 3), /* 240 */ + /* 001 */ V(6, 12, 3), + /* 010 */ V(12, 6, 3), + /* 011 */ V(3, 13, 3), + /* 100 */ V(13, 3, 2), + /* 101 */ V(13, 3, 2), + /* 110 */ V(13, 2, 2), + /* 111 */ V(13, 2, 2), + + /* 0000 1010 ... */ + /* 000 */ V(2, 13, 3), /* 248 */ + /* 001 */ V(0, 13, 3), + /* 010 */ V(1, 13, 2), + /* 011 */ V(1, 13, 2), + /* 100 */ V(7, 11, 2), + /* 101 */ V(7, 11, 2), + /* 110 */ V(11, 7, 2), + /* 111 */ V(11, 7, 2), + + /* 0000 1011 ... */ + /* 000 */ V(13, 1, 2), /* 256 */ + /* 001 */ V(13, 1, 2), + /* 010 */ V(5, 12, 3), + /* 011 */ V(13, 0, 3), + /* 100 */ V(12, 5, 2), + /* 101 */ V(12, 5, 2), + /* 110 */ V(8, 10, 2), + /* 111 */ V(8, 10, 2), + + /* 0000 1100 ... */ + /* 00 */ V(10, 8, 2), /* 264 */ + /* 01 */ V(4, 12, 2), + /* 10 */ V(12, 4, 2), + /* 11 */ V(6, 11, 2), + + /* 0000 1101 ... */ + /* 000 */ V(11, 6, 2), /* 268 */ + /* 001 */ V(11, 6, 2), + /* 010 */ V(9, 9, 3), + /* 011 */ V(0, 12, 3), + /* 100 */ V(3, 12, 2), + /* 101 */ V(3, 12, 2), + /* 110 */ V(12, 3, 2), + /* 111 */ V(12, 3, 2), + + /* 0000 1110 ... */ + /* 000 */ V(7, 10, 2), /* 276 */ + /* 001 */ V(7, 10, 2), + /* 010 */ V(10, 7, 2), + /* 011 */ V(10, 7, 2), + /* 100 */ V(10, 6, 2), + /* 101 */ V(10, 6, 2), + /* 110 */ V(12, 0, 3), + /* 111 */ V(0, 11, 3), + + /* 0000 1111 ... */ + /* 00 */ V(12, 2, 1), /* 284 */ + /* 01 */ V(12, 2, 1), + /* 10 */ V(2, 12, 2), + /* 11 */ V(5, 11, 2), + + /* 0001 0000 ... */ + /* 00 */ V(11, 5, 2), /* 288 */ + /* 01 */ V(1, 12, 2), + /* 10 */ V(8, 9, 2), + /* 11 */ V(9, 8, 2), + + /* 0001 0001 ... */ + /* 00 */ V(12, 1, 2), /* 292 */ + /* 01 */ V(4, 11, 2), + /* 10 */ V(11, 4, 2), + /* 11 */ V(6, 10, 2), + + /* 0001 0010 ... */ + /* 00 */ V(3, 11, 2), /* 296 */ + /* 01 */ V(7, 9, 2), + /* 10 */ V(11, 3, 1), + /* 11 */ V(11, 3, 1), + + /* 0001 0011 ... */ + /* 00 */ V(9, 7, 2), /* 300 */ + /* 01 */ V(8, 8, 2), + /* 10 */ V(2, 11, 2), + /* 11 */ V(5, 10, 2), + + /* 0001 0100 ... */ + /* 00 */ V(11, 2, 1), /* 304 */ + /* 01 */ V(11, 2, 1), + /* 10 */ V(10, 5, 2), + /* 11 */ V(1, 11, 2), + + /* 0001 0101 ... */ + /* 00 */ V(11, 1, 1), /* 308 */ + /* 01 */ V(11, 1, 1), + /* 10 */ V(11, 0, 2), + /* 11 */ V(6, 9, 2), + + /* 0001 0110 ... */ + /* 00 */ V(9, 6, 2), /* 312 */ + /* 01 */ V(4, 10, 2), + /* 10 */ V(10, 4, 2), + /* 11 */ V(7, 8, 2), + + /* 0001 0111 ... */ + /* 00 */ V(8, 7, 2), /* 316 */ + /* 01 */ V(3, 10, 2), + /* 10 */ V(10, 3, 1), + /* 11 */ V(10, 3, 1), + + /* 0001 1000 ... */ + /* 0 */ V(5, 9, 1), /* 320 */ + /* 1 */ V(9, 5, 1), + + /* 0001 1001 ... */ + /* 0 */ V(2, 10, 1), /* 322 */ + /* 1 */ V(10, 2, 1), + + /* 0001 1010 ... */ + /* 0 */ V(1, 10, 1), /* 324 */ + /* 1 */ V(10, 1, 1), + + /* 0001 1011 ... */ + /* 00 */ V(0, 10, 2), /* 326 */ + /* 01 */ V(10, 0, 2), + /* 10 */ V(6, 8, 1), + /* 11 */ V(6, 8, 1), + + /* 0001 1100 ... */ + /* 0 */ V(8, 6, 1), /* 330 */ + /* 1 */ V(4, 9, 1), + + /* 0001 1101 ... */ + /* 0 */ V(9, 4, 1), /* 332 */ + /* 1 */ V(3, 9, 1), + + /* 0001 1110 ... */ + /* 00 */ V(9, 3, 1), /* 334 */ + /* 01 */ V(9, 3, 1), + /* 10 */ V(7, 7, 2), + /* 11 */ V(0, 9, 2), + + /* 0001 1111 ... */ + /* 0 */ V(5, 8, 1), /* 338 */ + /* 1 */ V(8, 5, 1), + + /* 0010 0000 ... */ + /* 0 */ V(2, 9, 1), /* 340 */ + /* 1 */ V(6, 7, 1), + + /* 0010 0001 ... */ + /* 0 */ V(7, 6, 1), /* 342 */ + /* 1 */ V(9, 2, 1), + + /* 0010 0011 ... */ + /* 0 */ V(1, 9, 1), /* 344 */ + /* 1 */ V(9, 0, 1), + + /* 0010 0100 ... */ + /* 0 */ V(4, 8, 1), /* 346 */ + /* 1 */ V(8, 4, 1), + + /* 0010 0101 ... */ + /* 0 */ V(5, 7, 1), /* 348 */ + /* 1 */ V(7, 5, 1), + + /* 0010 0110 ... */ + /* 0 */ V(3, 8, 1), /* 350 */ + /* 1 */ V(8, 3, 1), + + /* 0010 0111 ... */ + /* 0 */ V(6, 6, 1), /* 352 */ + /* 1 */ V(4, 7, 1), + + /* 0010 1100 ... */ + /* 0 */ V(7, 4, 1), /* 354 */ + /* 1 */ V(0, 8, 1), + + /* 0010 1101 ... */ + /* 0 */ V(8, 0, 1), /* 356 */ + /* 1 */ V(5, 6, 1), + + /* 0010 1110 ... */ + /* 0 */ V(6, 5, 1), /* 358 */ + /* 1 */ V(3, 7, 1), + + /* 0010 1111 ... */ + /* 0 */ V(7, 3, 1), /* 360 */ + /* 1 */ V(4, 6, 1), + + /* 0011 0110 ... */ + /* 0 */ V(0, 7, 1), /* 362 */ + /* 1 */ V(7, 0, 1), + + /* 0011 1110 ... */ + /* 0 */ V(0, 6, 1), /* 364 */ + /* 1 */ V(6, 0, 1), + + /* 0000 0000 0000 ... */ + /* 0 */ V(15, 15, 1), /* 366 */ + /* 1 */ V(14, 15, 1), + + /* 0000 0000 0001 ... */ + /* 0 */ V(15, 14, 1), /* 368 */ + /* 1 */ V(13, 15, 1), + + /* 0000 0000 0011 ... */ + /* 0 */ V(15, 13, 1), /* 370 */ + /* 1 */ V(12, 15, 1), + + /* 0000 0000 0100 ... */ + /* 0 */ V(15, 12, 1), /* 372 */ + /* 1 */ V(13, 14, 1), + + /* 0000 0000 0101 ... */ + /* 0 */ V(14, 13, 1), /* 374 */ + /* 1 */ V(11, 15, 1), + + /* 0000 0000 0111 ... */ + /* 0 */ V(12, 14, 1), /* 376 */ + /* 1 */ V(14, 12, 1), + + /* 0000 0001 1111 ... */ + /* 0 */ V(10, 14, 1), /* 378 */ + /* 1 */ V(0, 15, 1) +}; + +static +union huffpair const hufftab16[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 4), + /* 0010 */ PTR(48, 4), + /* 0011 */ PTR(64, 2), + /* 0100 */ V(1, 1, 4), + /* 0101 */ V(0, 1, 4), + /* 0110 */ V(1, 0, 3), + /* 0111 */ V(1, 0, 3), + /* 1000 */ V(0, 0, 1), + /* 1001 */ V(0, 0, 1), + /* 1010 */ V(0, 0, 1), + /* 1011 */ V(0, 0, 1), + /* 1100 */ V(0, 0, 1), + /* 1101 */ V(0, 0, 1), + /* 1110 */ V(0, 0, 1), + /* 1111 */ V(0, 0, 1), + + /* 0000 ... */ + /* 0000 */ PTR(68, 3), /* 16 */ + /* 0001 */ PTR(76, 3), + /* 0010 */ PTR(84, 2), + /* 0011 */ V(15, 15, 4), + /* 0100 */ PTR(88, 2), + /* 0101 */ PTR(92, 1), + /* 0110 */ PTR(94, 4), + /* 0111 */ V(15, 2, 4), + /* 1000 */ PTR(110, 1), + /* 1001 */ V(1, 15, 4), + /* 1010 */ V(15, 1, 4), + /* 1011 */ PTR(112, 4), + /* 1100 */ PTR(128, 4), + /* 1101 */ PTR(144, 4), + /* 1110 */ PTR(160, 4), + /* 1111 */ PTR(176, 4), + + /* 0001 ... */ + /* 0000 */ PTR(192, 4), /* 32 */ + /* 0001 */ PTR(208, 3), + /* 0010 */ PTR(216, 3), + /* 0011 */ PTR(224, 3), + /* 0100 */ PTR(232, 3), + /* 0101 */ PTR(240, 3), + /* 0110 */ PTR(248, 3), + /* 0111 */ PTR(256, 3), + /* 1000 */ PTR(264, 2), + /* 1001 */ PTR(268, 2), + /* 1010 */ PTR(272, 1), + /* 1011 */ PTR(274, 2), + /* 1100 */ PTR(278, 2), + /* 1101 */ PTR(282, 1), + /* 1110 */ V(5, 1, 4), + /* 1111 */ PTR(284, 1), + + /* 0010 ... */ + /* 0000 */ PTR(286, 1), /* 48 */ + /* 0001 */ PTR(288, 1), + /* 0010 */ PTR(290, 1), + /* 0011 */ V(1, 4, 4), + /* 0100 */ V(4, 1, 4), + /* 0101 */ PTR(292, 1), + /* 0110 */ V(2, 3, 4), + /* 0111 */ V(3, 2, 4), + /* 1000 */ V(1, 3, 3), + /* 1001 */ V(1, 3, 3), + /* 1010 */ V(3, 1, 3), + /* 1011 */ V(3, 1, 3), + /* 1100 */ V(0, 3, 4), + /* 1101 */ V(3, 0, 4), + /* 1110 */ V(2, 2, 3), + /* 1111 */ V(2, 2, 3), + + /* 0011 ... */ + /* 00 */ V(1, 2, 2), /* 64 */ + /* 01 */ V(2, 1, 2), + /* 10 */ V(0, 2, 2), + /* 11 */ V(2, 0, 2), + + /* 0000 0000 ... */ + /* 000 */ V(14, 15, 3), /* 68 */ + /* 001 */ V(15, 14, 3), + /* 010 */ V(13, 15, 3), + /* 011 */ V(15, 13, 3), + /* 100 */ V(12, 15, 3), + /* 101 */ V(15, 12, 3), + /* 110 */ V(11, 15, 3), + /* 111 */ V(15, 11, 3), + + /* 0000 0001 ... */ + /* 000 */ V(10, 15, 2), /* 76 */ + /* 001 */ V(10, 15, 2), + /* 010 */ V(15, 10, 3), + /* 011 */ V(9, 15, 3), + /* 100 */ V(15, 9, 3), + /* 101 */ V(15, 8, 3), + /* 110 */ V(8, 15, 2), + /* 111 */ V(8, 15, 2), + + /* 0000 0010 ... */ + /* 00 */ V(7, 15, 2), /* 84 */ + /* 01 */ V(15, 7, 2), + /* 10 */ V(6, 15, 2), + /* 11 */ V(15, 6, 2), + + /* 0000 0100 ... */ + /* 00 */ V(5, 15, 2), /* 88 */ + /* 01 */ V(15, 5, 2), + /* 10 */ V(4, 15, 1), + /* 11 */ V(4, 15, 1), + + /* 0000 0101 ... */ + /* 0 */ V(15, 4, 1), /* 92 */ + /* 1 */ V(15, 3, 1), + + /* 0000 0110 ... */ + /* 0000 */ V(15, 0, 1), /* 94 */ + /* 0001 */ V(15, 0, 1), + /* 0010 */ V(15, 0, 1), + /* 0011 */ V(15, 0, 1), + /* 0100 */ V(15, 0, 1), + /* 0101 */ V(15, 0, 1), + /* 0110 */ V(15, 0, 1), + /* 0111 */ V(15, 0, 1), + /* 1000 */ V(3, 15, 2), + /* 1001 */ V(3, 15, 2), + /* 1010 */ V(3, 15, 2), + /* 1011 */ V(3, 15, 2), + /* 1100 */ PTR(294, 4), + /* 1101 */ PTR(310, 3), + /* 1110 */ PTR(318, 3), + /* 1111 */ PTR(326, 3), + + /* 0000 1000 ... */ + /* 0 */ V(2, 15, 1), /* 110 */ + /* 1 */ V(0, 15, 1), + + /* 0000 1011 ... */ + /* 0000 */ PTR(334, 2), /* 112 */ + /* 0001 */ PTR(338, 2), + /* 0010 */ PTR(342, 2), + /* 0011 */ PTR(346, 1), + /* 0100 */ PTR(348, 2), + /* 0101 */ PTR(352, 2), + /* 0110 */ PTR(356, 1), + /* 0111 */ PTR(358, 2), + /* 1000 */ PTR(362, 2), + /* 1001 */ PTR(366, 2), + /* 1010 */ PTR(370, 2), + /* 1011 */ V(14, 3, 4), + /* 1100 */ PTR(374, 1), + /* 1101 */ PTR(376, 1), + /* 1110 */ PTR(378, 1), + /* 1111 */ PTR(380, 1), + + /* 0000 1100 ... */ + /* 0000 */ PTR(382, 1), /* 128 */ + /* 0001 */ PTR(384, 1), + /* 0010 */ PTR(386, 1), + /* 0011 */ V(0, 13, 4), + /* 0100 */ PTR(388, 1), + /* 0101 */ PTR(390, 1), + /* 0110 */ PTR(392, 1), + /* 0111 */ V(3, 12, 4), + /* 1000 */ PTR(394, 1), + /* 1001 */ V(1, 12, 4), + /* 1010 */ V(12, 0, 4), + /* 1011 */ PTR(396, 1), + /* 1100 */ V(14, 2, 3), + /* 1101 */ V(14, 2, 3), + /* 1110 */ V(2, 14, 4), + /* 1111 */ V(1, 14, 4), + + /* 0000 1101 ... */ + /* 0000 */ V(13, 3, 4), /* 144 */ + /* 0001 */ V(2, 13, 4), + /* 0010 */ V(13, 2, 4), + /* 0011 */ V(13, 1, 4), + /* 0100 */ V(3, 11, 4), + /* 0101 */ PTR(398, 1), + /* 0110 */ V(1, 13, 3), + /* 0111 */ V(1, 13, 3), + /* 1000 */ V(12, 4, 4), + /* 1001 */ V(6, 11, 4), + /* 1010 */ V(12, 3, 4), + /* 1011 */ V(10, 7, 4), + /* 1100 */ V(2, 12, 3), + /* 1101 */ V(2, 12, 3), + /* 1110 */ V(12, 2, 4), + /* 1111 */ V(11, 5, 4), + + /* 0000 1110 ... */ + /* 0000 */ V(12, 1, 4), /* 160 */ + /* 0001 */ V(0, 12, 4), + /* 0010 */ V(4, 11, 4), + /* 0011 */ V(11, 4, 4), + /* 0100 */ V(6, 10, 4), + /* 0101 */ V(10, 6, 4), + /* 0110 */ V(11, 3, 3), + /* 0111 */ V(11, 3, 3), + /* 1000 */ V(5, 10, 4), + /* 1001 */ V(10, 5, 4), + /* 1010 */ V(2, 11, 3), + /* 1011 */ V(2, 11, 3), + /* 1100 */ V(11, 2, 3), + /* 1101 */ V(11, 2, 3), + /* 1110 */ V(1, 11, 3), + /* 1111 */ V(1, 11, 3), + + /* 0000 1111 ... */ + /* 0000 */ V(11, 1, 3), /* 176 */ + /* 0001 */ V(11, 1, 3), + /* 0010 */ V(0, 11, 4), + /* 0011 */ V(11, 0, 4), + /* 0100 */ V(6, 9, 4), + /* 0101 */ V(9, 6, 4), + /* 0110 */ V(4, 10, 4), + /* 0111 */ V(10, 4, 4), + /* 1000 */ V(7, 8, 4), + /* 1001 */ V(8, 7, 4), + /* 1010 */ V(10, 3, 3), + /* 1011 */ V(10, 3, 3), + /* 1100 */ V(3, 10, 4), + /* 1101 */ V(5, 9, 4), + /* 1110 */ V(2, 10, 3), + /* 1111 */ V(2, 10, 3), + + /* 0001 0000 ... */ + /* 0000 */ V(9, 5, 4), /* 192 */ + /* 0001 */ V(6, 8, 4), + /* 0010 */ V(10, 1, 3), + /* 0011 */ V(10, 1, 3), + /* 0100 */ V(8, 6, 4), + /* 0101 */ V(7, 7, 4), + /* 0110 */ V(9, 4, 3), + /* 0111 */ V(9, 4, 3), + /* 1000 */ V(4, 9, 4), + /* 1001 */ V(5, 7, 4), + /* 1010 */ V(6, 7, 3), + /* 1011 */ V(6, 7, 3), + /* 1100 */ V(10, 2, 2), + /* 1101 */ V(10, 2, 2), + /* 1110 */ V(10, 2, 2), + /* 1111 */ V(10, 2, 2), + + /* 0001 0001 ... */ + /* 000 */ V(1, 10, 2), /* 208 */ + /* 001 */ V(1, 10, 2), + /* 010 */ V(0, 10, 3), + /* 011 */ V(10, 0, 3), + /* 100 */ V(3, 9, 3), + /* 101 */ V(9, 3, 3), + /* 110 */ V(5, 8, 3), + /* 111 */ V(8, 5, 3), + + /* 0001 0010 ... */ + /* 000 */ V(2, 9, 2), /* 216 */ + /* 001 */ V(2, 9, 2), + /* 010 */ V(9, 2, 2), + /* 011 */ V(9, 2, 2), + /* 100 */ V(7, 6, 3), + /* 101 */ V(0, 9, 3), + /* 110 */ V(1, 9, 2), + /* 111 */ V(1, 9, 2), + + /* 0001 0011 ... */ + /* 000 */ V(9, 1, 2), /* 224 */ + /* 001 */ V(9, 1, 2), + /* 010 */ V(9, 0, 3), + /* 011 */ V(4, 8, 3), + /* 100 */ V(8, 4, 3), + /* 101 */ V(7, 5, 3), + /* 110 */ V(3, 8, 3), + /* 111 */ V(8, 3, 3), + + /* 0001 0100 ... */ + /* 000 */ V(6, 6, 3), /* 232 */ + /* 001 */ V(2, 8, 3), + /* 010 */ V(8, 2, 2), + /* 011 */ V(8, 2, 2), + /* 100 */ V(4, 7, 3), + /* 101 */ V(7, 4, 3), + /* 110 */ V(1, 8, 2), + /* 111 */ V(1, 8, 2), + + /* 0001 0101 ... */ + /* 000 */ V(8, 1, 2), /* 240 */ + /* 001 */ V(8, 1, 2), + /* 010 */ V(8, 0, 2), + /* 011 */ V(8, 0, 2), + /* 100 */ V(0, 8, 3), + /* 101 */ V(5, 6, 3), + /* 110 */ V(3, 7, 2), + /* 111 */ V(3, 7, 2), + + /* 0001 0110 ... */ + /* 000 */ V(7, 3, 2), /* 248 */ + /* 001 */ V(7, 3, 2), + /* 010 */ V(6, 5, 3), + /* 011 */ V(4, 6, 3), + /* 100 */ V(2, 7, 2), + /* 101 */ V(2, 7, 2), + /* 110 */ V(7, 2, 2), + /* 111 */ V(7, 2, 2), + + /* 0001 0111 ... */ + /* 000 */ V(6, 4, 3), /* 256 */ + /* 001 */ V(5, 5, 3), + /* 010 */ V(0, 7, 2), + /* 011 */ V(0, 7, 2), + /* 100 */ V(1, 7, 1), + /* 101 */ V(1, 7, 1), + /* 110 */ V(1, 7, 1), + /* 111 */ V(1, 7, 1), + + /* 0001 1000 ... */ + /* 00 */ V(7, 1, 1), /* 264 */ + /* 01 */ V(7, 1, 1), + /* 10 */ V(7, 0, 2), + /* 11 */ V(3, 6, 2), + + /* 0001 1001 ... */ + /* 00 */ V(6, 3, 2), /* 268 */ + /* 01 */ V(4, 5, 2), + /* 10 */ V(5, 4, 2), + /* 11 */ V(2, 6, 2), + + /* 0001 1010 ... */ + /* 0 */ V(6, 2, 1), /* 272 */ + /* 1 */ V(1, 6, 1), + + /* 0001 1011 ... */ + /* 00 */ V(6, 1, 1), /* 274 */ + /* 01 */ V(6, 1, 1), + /* 10 */ V(0, 6, 2), + /* 11 */ V(6, 0, 2), + + /* 0001 1100 ... */ + /* 00 */ V(5, 3, 1), /* 278 */ + /* 01 */ V(5, 3, 1), + /* 10 */ V(3, 5, 2), + /* 11 */ V(4, 4, 2), + + /* 0001 1101 ... */ + /* 0 */ V(2, 5, 1), /* 282 */ + /* 1 */ V(5, 2, 1), + + /* 0001 1111 ... */ + /* 0 */ V(1, 5, 1), /* 284 */ + /* 1 */ V(0, 5, 1), + + /* 0010 0000 ... */ + /* 0 */ V(3, 4, 1), /* 286 */ + /* 1 */ V(4, 3, 1), + + /* 0010 0001 ... */ + /* 0 */ V(5, 0, 1), /* 288 */ + /* 1 */ V(2, 4, 1), + + /* 0010 0010 ... */ + /* 0 */ V(4, 2, 1), /* 290 */ + /* 1 */ V(3, 3, 1), + + /* 0010 0101 ... */ + /* 0 */ V(0, 4, 1), /* 292 */ + /* 1 */ V(4, 0, 1), + + /* 0000 0110 1100 ... */ + /* 0000 */ V(12, 14, 4), /* 294 */ + /* 0001 */ PTR(400, 1), + /* 0010 */ V(13, 14, 3), + /* 0011 */ V(13, 14, 3), + /* 0100 */ V(14, 9, 3), + /* 0101 */ V(14, 9, 3), + /* 0110 */ V(14, 10, 4), + /* 0111 */ V(13, 9, 4), + /* 1000 */ V(14, 14, 2), + /* 1001 */ V(14, 14, 2), + /* 1010 */ V(14, 14, 2), + /* 1011 */ V(14, 14, 2), + /* 1100 */ V(14, 13, 3), + /* 1101 */ V(14, 13, 3), + /* 1110 */ V(14, 11, 3), + /* 1111 */ V(14, 11, 3), + + /* 0000 0110 1101 ... */ + /* 000 */ V(11, 14, 2), /* 310 */ + /* 001 */ V(11, 14, 2), + /* 010 */ V(12, 13, 2), + /* 011 */ V(12, 13, 2), + /* 100 */ V(13, 12, 3), + /* 101 */ V(13, 11, 3), + /* 110 */ V(10, 14, 2), + /* 111 */ V(10, 14, 2), + + /* 0000 0110 1110 ... */ + /* 000 */ V(12, 12, 2), /* 318 */ + /* 001 */ V(12, 12, 2), + /* 010 */ V(10, 13, 3), + /* 011 */ V(13, 10, 3), + /* 100 */ V(7, 14, 3), + /* 101 */ V(10, 12, 3), + /* 110 */ V(12, 10, 2), + /* 111 */ V(12, 10, 2), + + /* 0000 0110 1111 ... */ + /* 000 */ V(12, 9, 3), /* 326 */ + /* 001 */ V(7, 13, 3), + /* 010 */ V(5, 14, 2), + /* 011 */ V(5, 14, 2), + /* 100 */ V(11, 13, 1), + /* 101 */ V(11, 13, 1), + /* 110 */ V(11, 13, 1), + /* 111 */ V(11, 13, 1), + + /* 0000 1011 0000 ... */ + /* 00 */ V(9, 14, 1), /* 334 */ + /* 01 */ V(9, 14, 1), + /* 10 */ V(11, 12, 2), + /* 11 */ V(12, 11, 2), + + /* 0000 1011 0001 ... */ + /* 00 */ V(8, 14, 2), /* 338 */ + /* 01 */ V(14, 8, 2), + /* 10 */ V(9, 13, 2), + /* 11 */ V(14, 7, 2), + + /* 0000 1011 0010 ... */ + /* 00 */ V(11, 11, 2), /* 342 */ + /* 01 */ V(8, 13, 2), + /* 10 */ V(13, 8, 2), + /* 11 */ V(6, 14, 2), + + /* 0000 1011 0011 ... */ + /* 0 */ V(14, 6, 1), /* 346 */ + /* 1 */ V(9, 12, 1), + + /* 0000 1011 0100 ... */ + /* 00 */ V(10, 11, 2), /* 348 */ + /* 01 */ V(11, 10, 2), + /* 10 */ V(14, 5, 2), + /* 11 */ V(13, 7, 2), + + /* 0000 1011 0101 ... */ + /* 00 */ V(4, 14, 1), /* 352 */ + /* 01 */ V(4, 14, 1), + /* 10 */ V(14, 4, 2), + /* 11 */ V(8, 12, 2), + + /* 0000 1011 0110 ... */ + /* 0 */ V(12, 8, 1), /* 356 */ + /* 1 */ V(3, 14, 1), + + /* 0000 1011 0111 ... */ + /* 00 */ V(6, 13, 1), /* 358 */ + /* 01 */ V(6, 13, 1), + /* 10 */ V(13, 6, 2), + /* 11 */ V(9, 11, 2), + + /* 0000 1011 1000 ... */ + /* 00 */ V(11, 9, 2), /* 362 */ + /* 01 */ V(10, 10, 2), + /* 10 */ V(14, 1, 1), + /* 11 */ V(14, 1, 1), + + /* 0000 1011 1001 ... */ + /* 00 */ V(13, 4, 1), /* 366 */ + /* 01 */ V(13, 4, 1), + /* 10 */ V(11, 8, 2), + /* 11 */ V(10, 9, 2), + + /* 0000 1011 1010 ... */ + /* 00 */ V(7, 11, 1), /* 370 */ + /* 01 */ V(7, 11, 1), + /* 10 */ V(11, 7, 2), + /* 11 */ V(13, 0, 2), + + /* 0000 1011 1100 ... */ + /* 0 */ V(0, 14, 1), /* 374 */ + /* 1 */ V(14, 0, 1), + + /* 0000 1011 1101 ... */ + /* 0 */ V(5, 13, 1), /* 376 */ + /* 1 */ V(13, 5, 1), + + /* 0000 1011 1110 ... */ + /* 0 */ V(7, 12, 1), /* 378 */ + /* 1 */ V(12, 7, 1), + + /* 0000 1011 1111 ... */ + /* 0 */ V(4, 13, 1), /* 380 */ + /* 1 */ V(8, 11, 1), + + /* 0000 1100 0000 ... */ + /* 0 */ V(9, 10, 1), /* 382 */ + /* 1 */ V(6, 12, 1), + + /* 0000 1100 0001 ... */ + /* 0 */ V(12, 6, 1), /* 384 */ + /* 1 */ V(3, 13, 1), + + /* 0000 1100 0010 ... */ + /* 0 */ V(5, 12, 1), /* 386 */ + /* 1 */ V(12, 5, 1), + + /* 0000 1100 0100 ... */ + /* 0 */ V(8, 10, 1), /* 388 */ + /* 1 */ V(10, 8, 1), + + /* 0000 1100 0101 ... */ + /* 0 */ V(9, 9, 1), /* 390 */ + /* 1 */ V(4, 12, 1), + + /* 0000 1100 0110 ... */ + /* 0 */ V(11, 6, 1), /* 392 */ + /* 1 */ V(7, 10, 1), + + /* 0000 1100 1000 ... */ + /* 0 */ V(5, 11, 1), /* 394 */ + /* 1 */ V(8, 9, 1), + + /* 0000 1100 1011 ... */ + /* 0 */ V(9, 8, 1), /* 396 */ + /* 1 */ V(7, 9, 1), + + /* 0000 1101 0101 ... */ + /* 0 */ V(9, 7, 1), /* 398 */ + /* 1 */ V(8, 8, 1), + + /* 0000 0110 1100 0001 ... */ + /* 0 */ V(14, 12, 1), /* 400 */ + /* 1 */ V(13, 13, 1) +}; + +static +union huffpair const hufftab24[] = { + /* 0000 */ PTR(16, 4), + /* 0001 */ PTR(32, 4), + /* 0010 */ PTR(48, 4), + /* 0011 */ V(15, 15, 4), + /* 0100 */ PTR(64, 4), + /* 0101 */ PTR(80, 4), + /* 0110 */ PTR(96, 4), + /* 0111 */ PTR(112, 4), + /* 1000 */ PTR(128, 4), + /* 1001 */ PTR(144, 4), + /* 1010 */ PTR(160, 3), + /* 1011 */ PTR(168, 2), + /* 1100 */ V(1, 1, 4), + /* 1101 */ V(0, 1, 4), + /* 1110 */ V(1, 0, 4), + /* 1111 */ V(0, 0, 4), + + /* 0000 ... */ + /* 0000 */ V(14, 15, 4), /* 16 */ + /* 0001 */ V(15, 14, 4), + /* 0010 */ V(13, 15, 4), + /* 0011 */ V(15, 13, 4), + /* 0100 */ V(12, 15, 4), + /* 0101 */ V(15, 12, 4), + /* 0110 */ V(11, 15, 4), + /* 0111 */ V(15, 11, 4), + /* 1000 */ V(15, 10, 3), + /* 1001 */ V(15, 10, 3), + /* 1010 */ V(10, 15, 4), + /* 1011 */ V(9, 15, 4), + /* 1100 */ V(15, 9, 3), + /* 1101 */ V(15, 9, 3), + /* 1110 */ V(15, 8, 3), + /* 1111 */ V(15, 8, 3), + + /* 0001 ... */ + /* 0000 */ V(8, 15, 4), /* 32 */ + /* 0001 */ V(7, 15, 4), + /* 0010 */ V(15, 7, 3), + /* 0011 */ V(15, 7, 3), + /* 0100 */ V(6, 15, 3), + /* 0101 */ V(6, 15, 3), + /* 0110 */ V(15, 6, 3), + /* 0111 */ V(15, 6, 3), + /* 1000 */ V(5, 15, 3), + /* 1001 */ V(5, 15, 3), + /* 1010 */ V(15, 5, 3), + /* 1011 */ V(15, 5, 3), + /* 1100 */ V(4, 15, 3), + /* 1101 */ V(4, 15, 3), + /* 1110 */ V(15, 4, 3), + /* 1111 */ V(15, 4, 3), + + /* 0010 ... */ + /* 0000 */ V(3, 15, 3), /* 48 */ + /* 0001 */ V(3, 15, 3), + /* 0010 */ V(15, 3, 3), + /* 0011 */ V(15, 3, 3), + /* 0100 */ V(2, 15, 3), + /* 0101 */ V(2, 15, 3), + /* 0110 */ V(15, 2, 3), + /* 0111 */ V(15, 2, 3), + /* 1000 */ V(15, 1, 3), + /* 1001 */ V(15, 1, 3), + /* 1010 */ V(1, 15, 4), + /* 1011 */ V(15, 0, 4), + /* 1100 */ PTR(172, 3), + /* 1101 */ PTR(180, 3), + /* 1110 */ PTR(188, 3), + /* 1111 */ PTR(196, 3), + + /* 0100 ... */ + /* 0000 */ PTR(204, 4), /* 64 */ + /* 0001 */ PTR(220, 3), + /* 0010 */ PTR(228, 3), + /* 0011 */ PTR(236, 3), + /* 0100 */ PTR(244, 2), + /* 0101 */ PTR(248, 2), + /* 0110 */ PTR(252, 2), + /* 0111 */ PTR(256, 2), + /* 1000 */ PTR(260, 2), + /* 1001 */ PTR(264, 2), + /* 1010 */ PTR(268, 2), + /* 1011 */ PTR(272, 2), + /* 1100 */ PTR(276, 2), + /* 1101 */ PTR(280, 3), + /* 1110 */ PTR(288, 2), + /* 1111 */ PTR(292, 2), + + /* 0101 ... */ + /* 0000 */ PTR(296, 2), /* 80 */ + /* 0001 */ PTR(300, 3), + /* 0010 */ PTR(308, 2), + /* 0011 */ PTR(312, 3), + /* 0100 */ PTR(320, 1), + /* 0101 */ PTR(322, 2), + /* 0110 */ PTR(326, 2), + /* 0111 */ PTR(330, 1), + /* 1000 */ PTR(332, 2), + /* 1001 */ PTR(336, 1), + /* 1010 */ PTR(338, 1), + /* 1011 */ PTR(340, 1), + /* 1100 */ PTR(342, 1), + /* 1101 */ PTR(344, 1), + /* 1110 */ PTR(346, 1), + /* 1111 */ PTR(348, 1), + + /* 0110 ... */ + /* 0000 */ PTR(350, 1), /* 96 */ + /* 0001 */ PTR(352, 1), + /* 0010 */ PTR(354, 1), + /* 0011 */ PTR(356, 1), + /* 0100 */ PTR(358, 1), + /* 0101 */ PTR(360, 1), + /* 0110 */ PTR(362, 1), + /* 0111 */ PTR(364, 1), + /* 1000 */ PTR(366, 1), + /* 1001 */ PTR(368, 1), + /* 1010 */ PTR(370, 2), + /* 1011 */ PTR(374, 1), + /* 1100 */ PTR(376, 2), + /* 1101 */ V(7, 3, 4), + /* 1110 */ PTR(380, 1), + /* 1111 */ V(7, 2, 4), + + /* 0111 ... */ + /* 0000 */ V(4, 6, 4), /* 112 */ + /* 0001 */ V(6, 4, 4), + /* 0010 */ V(5, 5, 4), + /* 0011 */ V(7, 1, 4), + /* 0100 */ V(3, 6, 4), + /* 0101 */ V(6, 3, 4), + /* 0110 */ V(4, 5, 4), + /* 0111 */ V(5, 4, 4), + /* 1000 */ V(2, 6, 4), + /* 1001 */ V(6, 2, 4), + /* 1010 */ V(1, 6, 4), + /* 1011 */ V(6, 1, 4), + /* 1100 */ PTR(382, 1), + /* 1101 */ V(3, 5, 4), + /* 1110 */ V(5, 3, 4), + /* 1111 */ V(4, 4, 4), + + /* 1000 ... */ + /* 0000 */ V(2, 5, 4), /* 128 */ + /* 0001 */ V(5, 2, 4), + /* 0010 */ V(1, 5, 4), + /* 0011 */ PTR(384, 1), + /* 0100 */ V(5, 1, 3), + /* 0101 */ V(5, 1, 3), + /* 0110 */ V(3, 4, 4), + /* 0111 */ V(4, 3, 4), + /* 1000 */ V(2, 4, 3), + /* 1001 */ V(2, 4, 3), + /* 1010 */ V(4, 2, 3), + /* 1011 */ V(4, 2, 3), + /* 1100 */ V(3, 3, 3), + /* 1101 */ V(3, 3, 3), + /* 1110 */ V(1, 4, 3), + /* 1111 */ V(1, 4, 3), + + /* 1001 ... */ + /* 0000 */ V(4, 1, 3), /* 144 */ + /* 0001 */ V(4, 1, 3), + /* 0010 */ V(0, 4, 4), + /* 0011 */ V(4, 0, 4), + /* 0100 */ V(2, 3, 3), + /* 0101 */ V(2, 3, 3), + /* 0110 */ V(3, 2, 3), + /* 0111 */ V(3, 2, 3), + /* 1000 */ V(1, 3, 2), + /* 1001 */ V(1, 3, 2), + /* 1010 */ V(1, 3, 2), + /* 1011 */ V(1, 3, 2), + /* 1100 */ V(3, 1, 2), + /* 1101 */ V(3, 1, 2), + /* 1110 */ V(3, 1, 2), + /* 1111 */ V(3, 1, 2), + + /* 1010 ... */ + /* 000 */ V(0, 3, 3), /* 160 */ + /* 001 */ V(3, 0, 3), + /* 010 */ V(2, 2, 2), + /* 011 */ V(2, 2, 2), + /* 100 */ V(1, 2, 1), + /* 101 */ V(1, 2, 1), + /* 110 */ V(1, 2, 1), + /* 111 */ V(1, 2, 1), + + /* 1011 ... */ + /* 00 */ V(2, 1, 1), /* 168 */ + /* 01 */ V(2, 1, 1), + /* 10 */ V(0, 2, 2), + /* 11 */ V(2, 0, 2), + + /* 0010 1100 ... */ + /* 000 */ V(0, 15, 1), /* 172 */ + /* 001 */ V(0, 15, 1), + /* 010 */ V(0, 15, 1), + /* 011 */ V(0, 15, 1), + /* 100 */ V(14, 14, 3), + /* 101 */ V(13, 14, 3), + /* 110 */ V(14, 13, 3), + /* 111 */ V(12, 14, 3), + + /* 0010 1101 ... */ + /* 000 */ V(14, 12, 3), /* 180 */ + /* 001 */ V(13, 13, 3), + /* 010 */ V(11, 14, 3), + /* 011 */ V(14, 11, 3), + /* 100 */ V(12, 13, 3), + /* 101 */ V(13, 12, 3), + /* 110 */ V(10, 14, 3), + /* 111 */ V(14, 10, 3), + + /* 0010 1110 ... */ + /* 000 */ V(11, 13, 3), /* 188 */ + /* 001 */ V(13, 11, 3), + /* 010 */ V(12, 12, 3), + /* 011 */ V(9, 14, 3), + /* 100 */ V(14, 9, 3), + /* 101 */ V(10, 13, 3), + /* 110 */ V(13, 10, 3), + /* 111 */ V(11, 12, 3), + + /* 0010 1111 ... */ + /* 000 */ V(12, 11, 3), /* 196 */ + /* 001 */ V(8, 14, 3), + /* 010 */ V(14, 8, 3), + /* 011 */ V(9, 13, 3), + /* 100 */ V(13, 9, 3), + /* 101 */ V(7, 14, 3), + /* 110 */ V(14, 7, 3), + /* 111 */ V(10, 12, 3), + + /* 0100 0000 ... */ + /* 0000 */ V(12, 10, 3), /* 204 */ + /* 0001 */ V(12, 10, 3), + /* 0010 */ V(11, 11, 3), + /* 0011 */ V(11, 11, 3), + /* 0100 */ V(8, 13, 3), + /* 0101 */ V(8, 13, 3), + /* 0110 */ V(13, 8, 3), + /* 0111 */ V(13, 8, 3), + /* 1000 */ V(0, 14, 4), + /* 1001 */ V(14, 0, 4), + /* 1010 */ V(0, 13, 3), + /* 1011 */ V(0, 13, 3), + /* 1100 */ V(14, 6, 2), + /* 1101 */ V(14, 6, 2), + /* 1110 */ V(14, 6, 2), + /* 1111 */ V(14, 6, 2), + + /* 0100 0001 ... */ + /* 000 */ V(6, 14, 3), /* 220 */ + /* 001 */ V(9, 12, 3), + /* 010 */ V(12, 9, 2), + /* 011 */ V(12, 9, 2), + /* 100 */ V(5, 14, 2), + /* 101 */ V(5, 14, 2), + /* 110 */ V(11, 10, 2), + /* 111 */ V(11, 10, 2), + + /* 0100 0010 ... */ + /* 000 */ V(14, 5, 2), /* 228 */ + /* 001 */ V(14, 5, 2), + /* 010 */ V(10, 11, 3), + /* 011 */ V(7, 13, 3), + /* 100 */ V(13, 7, 2), + /* 101 */ V(13, 7, 2), + /* 110 */ V(14, 4, 2), + /* 111 */ V(14, 4, 2), + + /* 0100 0011 ... */ + /* 000 */ V(8, 12, 2), /* 236 */ + /* 001 */ V(8, 12, 2), + /* 010 */ V(12, 8, 2), + /* 011 */ V(12, 8, 2), + /* 100 */ V(4, 14, 3), + /* 101 */ V(2, 14, 3), + /* 110 */ V(3, 14, 2), + /* 111 */ V(3, 14, 2), + + /* 0100 0100 ... */ + /* 00 */ V(6, 13, 2), /* 244 */ + /* 01 */ V(13, 6, 2), + /* 10 */ V(14, 3, 2), + /* 11 */ V(9, 11, 2), + + /* 0100 0101 ... */ + /* 00 */ V(11, 9, 2), /* 248 */ + /* 01 */ V(10, 10, 2), + /* 10 */ V(14, 2, 2), + /* 11 */ V(1, 14, 2), + + /* 0100 0110 ... */ + /* 00 */ V(14, 1, 2), /* 252 */ + /* 01 */ V(5, 13, 2), + /* 10 */ V(13, 5, 2), + /* 11 */ V(7, 12, 2), + + /* 0100 0111 ... */ + /* 00 */ V(12, 7, 2), /* 256 */ + /* 01 */ V(4, 13, 2), + /* 10 */ V(8, 11, 2), + /* 11 */ V(11, 8, 2), + + /* 0100 1000 ... */ + /* 00 */ V(13, 4, 2), /* 260 */ + /* 01 */ V(9, 10, 2), + /* 10 */ V(10, 9, 2), + /* 11 */ V(6, 12, 2), + + /* 0100 1001 ... */ + /* 00 */ V(12, 6, 2), /* 264 */ + /* 01 */ V(3, 13, 2), + /* 10 */ V(13, 3, 2), + /* 11 */ V(2, 13, 2), + + /* 0100 1010 ... */ + /* 00 */ V(13, 2, 2), /* 268 */ + /* 01 */ V(1, 13, 2), + /* 10 */ V(7, 11, 2), + /* 11 */ V(11, 7, 2), + + /* 0100 1011 ... */ + /* 00 */ V(13, 1, 2), /* 272 */ + /* 01 */ V(5, 12, 2), + /* 10 */ V(12, 5, 2), + /* 11 */ V(8, 10, 2), + + /* 0100 1100 ... */ + /* 00 */ V(10, 8, 2), /* 276 */ + /* 01 */ V(9, 9, 2), + /* 10 */ V(4, 12, 2), + /* 11 */ V(12, 4, 2), + + /* 0100 1101 ... */ + /* 000 */ V(6, 11, 2), /* 280 */ + /* 001 */ V(6, 11, 2), + /* 010 */ V(11, 6, 2), + /* 011 */ V(11, 6, 2), + /* 100 */ V(13, 0, 3), + /* 101 */ V(0, 12, 3), + /* 110 */ V(3, 12, 2), + /* 111 */ V(3, 12, 2), + + /* 0100 1110 ... */ + /* 00 */ V(12, 3, 2), /* 288 */ + /* 01 */ V(7, 10, 2), + /* 10 */ V(10, 7, 2), + /* 11 */ V(2, 12, 2), + + /* 0100 1111 ... */ + /* 00 */ V(12, 2, 2), /* 292 */ + /* 01 */ V(5, 11, 2), + /* 10 */ V(11, 5, 2), + /* 11 */ V(1, 12, 2), + + /* 0101 0000 ... */ + /* 00 */ V(8, 9, 2), /* 296 */ + /* 01 */ V(9, 8, 2), + /* 10 */ V(12, 1, 2), + /* 11 */ V(4, 11, 2), + + /* 0101 0001 ... */ + /* 000 */ V(12, 0, 3), /* 300 */ + /* 001 */ V(0, 11, 3), + /* 010 */ V(3, 11, 2), + /* 011 */ V(3, 11, 2), + /* 100 */ V(11, 0, 3), + /* 101 */ V(0, 10, 3), + /* 110 */ V(1, 10, 2), + /* 111 */ V(1, 10, 2), + + /* 0101 0010 ... */ + /* 00 */ V(11, 4, 1), /* 308 */ + /* 01 */ V(11, 4, 1), + /* 10 */ V(6, 10, 2), + /* 11 */ V(10, 6, 2), + + /* 0101 0011 ... */ + /* 000 */ V(7, 9, 2), /* 312 */ + /* 001 */ V(7, 9, 2), + /* 010 */ V(9, 7, 2), + /* 011 */ V(9, 7, 2), + /* 100 */ V(10, 0, 3), + /* 101 */ V(0, 9, 3), + /* 110 */ V(9, 0, 2), + /* 111 */ V(9, 0, 2), + + /* 0101 0100 ... */ + /* 0 */ V(11, 3, 1), /* 320 */ + /* 1 */ V(8, 8, 1), + + /* 0101 0101 ... */ + /* 00 */ V(2, 11, 2), /* 322 */ + /* 01 */ V(5, 10, 2), + /* 10 */ V(11, 2, 1), + /* 11 */ V(11, 2, 1), + + /* 0101 0110 ... */ + /* 00 */ V(10, 5, 2), /* 326 */ + /* 01 */ V(1, 11, 2), + /* 10 */ V(11, 1, 2), + /* 11 */ V(6, 9, 2), + + /* 0101 0111 ... */ + /* 0 */ V(9, 6, 1), /* 330 */ + /* 1 */ V(10, 4, 1), + + /* 0101 1000 ... */ + /* 00 */ V(4, 10, 2), /* 332 */ + /* 01 */ V(7, 8, 2), + /* 10 */ V(8, 7, 1), + /* 11 */ V(8, 7, 1), + + /* 0101 1001 ... */ + /* 0 */ V(3, 10, 1), /* 336 */ + /* 1 */ V(10, 3, 1), + + /* 0101 1010 ... */ + /* 0 */ V(5, 9, 1), /* 338 */ + /* 1 */ V(9, 5, 1), + + /* 0101 1011 ... */ + /* 0 */ V(2, 10, 1), /* 340 */ + /* 1 */ V(10, 2, 1), + + /* 0101 1100 ... */ + /* 0 */ V(10, 1, 1), /* 342 */ + /* 1 */ V(6, 8, 1), + + /* 0101 1101 ... */ + /* 0 */ V(8, 6, 1), /* 344 */ + /* 1 */ V(7, 7, 1), + + /* 0101 1110 ... */ + /* 0 */ V(4, 9, 1), /* 346 */ + /* 1 */ V(9, 4, 1), + + /* 0101 1111 ... */ + /* 0 */ V(3, 9, 1), /* 348 */ + /* 1 */ V(9, 3, 1), + + /* 0110 0000 ... */ + /* 0 */ V(5, 8, 1), /* 350 */ + /* 1 */ V(8, 5, 1), + + /* 0110 0001 ... */ + /* 0 */ V(2, 9, 1), /* 352 */ + /* 1 */ V(6, 7, 1), + + /* 0110 0010 ... */ + /* 0 */ V(7, 6, 1), /* 354 */ + /* 1 */ V(9, 2, 1), + + /* 0110 0011 ... */ + /* 0 */ V(1, 9, 1), /* 356 */ + /* 1 */ V(9, 1, 1), + + /* 0110 0100 ... */ + /* 0 */ V(4, 8, 1), /* 358 */ + /* 1 */ V(8, 4, 1), + + /* 0110 0101 ... */ + /* 0 */ V(5, 7, 1), /* 360 */ + /* 1 */ V(7, 5, 1), + + /* 0110 0110 ... */ + /* 0 */ V(3, 8, 1), /* 362 */ + /* 1 */ V(8, 3, 1), + + /* 0110 0111 ... */ + /* 0 */ V(6, 6, 1), /* 364 */ + /* 1 */ V(2, 8, 1), + + /* 0110 1000 ... */ + /* 0 */ V(8, 2, 1), /* 366 */ + /* 1 */ V(1, 8, 1), + + /* 0110 1001 ... */ + /* 0 */ V(4, 7, 1), /* 368 */ + /* 1 */ V(7, 4, 1), + + /* 0110 1010 ... */ + /* 00 */ V(8, 1, 1), /* 370 */ + /* 01 */ V(8, 1, 1), + /* 10 */ V(0, 8, 2), + /* 11 */ V(8, 0, 2), + + /* 0110 1011 ... */ + /* 0 */ V(5, 6, 1), /* 374 */ + /* 1 */ V(6, 5, 1), + + /* 0110 1100 ... */ + /* 00 */ V(1, 7, 1), /* 376 */ + /* 01 */ V(1, 7, 1), + /* 10 */ V(0, 7, 2), + /* 11 */ V(7, 0, 2), + + /* 0110 1110 ... */ + /* 0 */ V(3, 7, 1), /* 380 */ + /* 1 */ V(2, 7, 1), + + /* 0111 1100 ... */ + /* 0 */ V(0, 6, 1), /* 382 */ + /* 1 */ V(6, 0, 1), + + /* 1000 0011 ... */ + /* 0 */ V(0, 5, 1), /* 384 */ + /* 1 */ V(5, 0, 1) +}; + +# undef V +# undef PTR + +/* external tables */ + +union huffquad const *const mad_huff_quad_table[2] = { hufftabA, hufftabB }; + +struct hufftable const mad_huff_pair_table[32] = { + /* 0 */ { hufftab0, 0, 0 }, + /* 1 */ { hufftab1, 0, 3 }, + /* 2 */ { hufftab2, 0, 3 }, + /* 3 */ { hufftab3, 0, 3 }, + /* 4 */ { 0 /* not used */ }, + /* 5 */ { hufftab5, 0, 3 }, + /* 6 */ { hufftab6, 0, 4 }, + /* 7 */ { hufftab7, 0, 4 }, + /* 8 */ { hufftab8, 0, 4 }, + /* 9 */ { hufftab9, 0, 4 }, + /* 10 */ { hufftab10, 0, 4 }, + /* 11 */ { hufftab11, 0, 4 }, + /* 12 */ { hufftab12, 0, 4 }, + /* 13 */ { hufftab13, 0, 4 }, + /* 14 */ { 0 /* not used */ }, + /* 15 */ { hufftab15, 0, 4 }, + /* 16 */ { hufftab16, 1, 4 }, + /* 17 */ { hufftab16, 2, 4 }, + /* 18 */ { hufftab16, 3, 4 }, + /* 19 */ { hufftab16, 4, 4 }, + /* 20 */ { hufftab16, 6, 4 }, + /* 21 */ { hufftab16, 8, 4 }, + /* 22 */ { hufftab16, 10, 4 }, + /* 23 */ { hufftab16, 13, 4 }, + /* 24 */ { hufftab24, 4, 4 }, + /* 25 */ { hufftab24, 5, 4 }, + /* 26 */ { hufftab24, 6, 4 }, + /* 27 */ { hufftab24, 7, 4 }, + /* 28 */ { hufftab24, 8, 4 }, + /* 29 */ { hufftab24, 9, 4 }, + /* 30 */ { hufftab24, 11, 4 }, + /* 31 */ { hufftab24, 13, 4 } +};
mad-xess/libmad/huffman.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/timer.h =================================================================== --- mad-xess/libmad/timer.h (nonexistent) +++ mad-xess/libmad/timer.h (revision 1765) @@ -0,0 +1,100 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: timer.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_TIMER_H +# define LIBMAD_TIMER_H + +typedef struct { + signed long seconds; /* whole seconds */ + unsigned long fraction; /* 1/MAD_TIMER_RESOLUTION seconds */ +} mad_timer_t; + +extern mad_timer_t const mad_timer_zero; + +# define MAD_TIMER_RESOLUTION 352800000UL + +enum mad_units { + MAD_UNITS_HOURS = -2, + MAD_UNITS_MINUTES = -1, + MAD_UNITS_SECONDS = 0, + + /* metric units */ + + MAD_UNITS_DECISECONDS = 10, + MAD_UNITS_CENTISECONDS = 100, + MAD_UNITS_MILLISECONDS = 1000, + + /* audio sample units */ + + MAD_UNITS_8000_HZ = 8000, + MAD_UNITS_11025_HZ = 11025, + MAD_UNITS_12000_HZ = 12000, + + MAD_UNITS_16000_HZ = 16000, + MAD_UNITS_22050_HZ = 22050, + MAD_UNITS_24000_HZ = 24000, + + MAD_UNITS_32000_HZ = 32000, + MAD_UNITS_44100_HZ = 44100, + MAD_UNITS_48000_HZ = 48000, + + /* video frame/field units */ + + MAD_UNITS_24_FPS = 24, + MAD_UNITS_25_FPS = 25, + MAD_UNITS_30_FPS = 30, + MAD_UNITS_48_FPS = 48, + MAD_UNITS_50_FPS = 50, + MAD_UNITS_60_FPS = 60, + + /* CD audio frames */ + + MAD_UNITS_75_FPS = 75, + + /* video drop-frame units */ + + MAD_UNITS_23_976_FPS = -24, + MAD_UNITS_24_975_FPS = -25, + MAD_UNITS_29_97_FPS = -30, + MAD_UNITS_47_952_FPS = -48, + MAD_UNITS_49_95_FPS = -50, + MAD_UNITS_59_94_FPS = -60 +}; + +# define mad_timer_reset(timer) (*(timer) = mad_timer_zero) + +int mad_timer_compare(mad_timer_t, mad_timer_t); + +# define mad_timer_sign(timer) mad_timer_compare((timer), mad_timer_zero) + +void mad_timer_negate(mad_timer_t *); +mad_timer_t mad_timer_abs(mad_timer_t); + +void mad_timer_set(mad_timer_t *, unsigned long, unsigned long, unsigned long); +void mad_timer_add(mad_timer_t *, mad_timer_t); +void mad_timer_multiply(mad_timer_t *, signed long); + +signed long mad_timer_count(mad_timer_t, enum mad_units); +unsigned long mad_timer_fraction(mad_timer_t, unsigned long); +void mad_timer_string(mad_timer_t, char *, char const *, + enum mad_units, enum mad_units, unsigned long); + +# endif
mad-xess/libmad/timer.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/rq_short.dat =================================================================== --- mad-xess/libmad/rq_short.dat (nonexistent) +++ mad-xess/libmad/rq_short.dat (revision 1765) @@ -0,0 +1,574 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: rq_short.dat,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +/* + * This is the lookup table used to compute x^(4/3) for Layer III + * requantization. To maintain the best possible accuracy, the value is + * stored as a normalized mantissa with exponent. The requantization + * algorithm recombines these parts with appropriate scaling. + */ + +/* Table shortened by MM. */ + + /* 0 */ { MAD_F(0x00000000) /* 0.000000000 */, 0 }, + /* 1 */ { MAD_F(0x04000000) /* 0.250000000 */, 2 }, + /* 2 */ { MAD_F(0x050a28be) /* 0.314980262 */, 3 }, + /* 3 */ { MAD_F(0x0453a5cd) /* 0.270421794 */, 4 }, + /* 4 */ { MAD_F(0x06597fa9) /* 0.396850263 */, 4 }, + /* 5 */ { MAD_F(0x04466275) /* 0.267183742 */, 5 }, + /* 6 */ { MAD_F(0x05738c72) /* 0.340710111 */, 5 }, + /* 7 */ { MAD_F(0x06b1fc81) /* 0.418453696 */, 5 }, + /* 8 */ { MAD_F(0x04000000) /* 0.250000000 */, 6 }, + /* 9 */ { MAD_F(0x04ae20d7) /* 0.292511788 */, 6 }, + /* 10 */ { MAD_F(0x0562d694) /* 0.336630420 */, 6 }, + /* 11 */ { MAD_F(0x061dae96) /* 0.382246578 */, 6 }, + /* 12 */ { MAD_F(0x06de47f4) /* 0.429267841 */, 6 }, + /* 13 */ { MAD_F(0x07a44f7a) /* 0.477614858 */, 6 }, + /* 14 */ { MAD_F(0x0437be65) /* 0.263609310 */, 7 }, + /* 15 */ { MAD_F(0x049fc824) /* 0.289009227 */, 7 }, + + /* 16 */ { MAD_F(0x050a28be) /* 0.314980262 */, 7 }, + /* 17 */ { MAD_F(0x0576c6f5) /* 0.341498336 */, 7 }, + /* 18 */ { MAD_F(0x05e58c0b) /* 0.368541759 */, 7 }, + /* 19 */ { MAD_F(0x06566361) /* 0.396090870 */, 7 }, + /* 20 */ { MAD_F(0x06c93a2e) /* 0.424127753 */, 7 }, + /* 21 */ { MAD_F(0x073dff3e) /* 0.452635998 */, 7 }, + /* 22 */ { MAD_F(0x07b4a2bc) /* 0.481600510 */, 7 }, + /* 23 */ { MAD_F(0x04168b05) /* 0.255503674 */, 8 }, + /* 24 */ { MAD_F(0x0453a5cd) /* 0.270421794 */, 8 }, + /* 25 */ { MAD_F(0x04919b6a) /* 0.285548607 */, 8 }, + /* 26 */ { MAD_F(0x04d065fb) /* 0.300878507 */, 8 }, + /* 27 */ { MAD_F(0x05100000) /* 0.316406250 */, 8 }, + /* 28 */ { MAD_F(0x05506451) /* 0.332126919 */, 8 }, + /* 29 */ { MAD_F(0x05918e15) /* 0.348035890 */, 8 }, + /* 30 */ { MAD_F(0x05d378bb) /* 0.364128809 */, 8 }, + /* 31 */ { MAD_F(0x06161ff3) /* 0.380401563 */, 8 }, + + /* 32 */ { MAD_F(0x06597fa9) /* 0.396850263 */, 8 }, + /* 33 */ { MAD_F(0x069d9400) /* 0.413471222 */, 8 }, + /* 34 */ { MAD_F(0x06e2594c) /* 0.430260942 */, 8 }, + /* 35 */ { MAD_F(0x0727cc11) /* 0.447216097 */, 8 }, + /* 36 */ { MAD_F(0x076de8fc) /* 0.464333519 */, 8 }, + /* 37 */ { MAD_F(0x07b4ace3) /* 0.481610189 */, 8 }, + /* 38 */ { MAD_F(0x07fc14bf) /* 0.499043224 */, 8 }, + /* 39 */ { MAD_F(0x04220ed7) /* 0.258314934 */, 9 }, + /* 40 */ { MAD_F(0x04466275) /* 0.267183742 */, 9 }, + /* 41 */ { MAD_F(0x046b03e7) /* 0.276126771 */, 9 }, + /* 42 */ { MAD_F(0x048ff1e8) /* 0.285142811 */, 9 }, + /* 43 */ { MAD_F(0x04b52b3f) /* 0.294230696 */, 9 }, + /* 44 */ { MAD_F(0x04daaec0) /* 0.303389310 */, 9 }, + /* 45 */ { MAD_F(0x05007b49) /* 0.312617576 */, 9 }, + /* 46 */ { MAD_F(0x05268fc6) /* 0.321914457 */, 9 }, + /* 47 */ { MAD_F(0x054ceb2a) /* 0.331278957 */, 9 }, + + /* 48 */ { MAD_F(0x05738c72) /* 0.340710111 */, 9 }, + /* 49 */ { MAD_F(0x059a72a5) /* 0.350206992 */, 9 }, + /* 50 */ { MAD_F(0x05c19cd3) /* 0.359768701 */, 9 }, + /* 51 */ { MAD_F(0x05e90a12) /* 0.369394372 */, 9 }, + /* 52 */ { MAD_F(0x0610b982) /* 0.379083164 */, 9 }, + /* 53 */ { MAD_F(0x0638aa48) /* 0.388834268 */, 9 }, + /* 54 */ { MAD_F(0x0660db91) /* 0.398646895 */, 9 }, + /* 55 */ { MAD_F(0x06894c90) /* 0.408520284 */, 9 }, + /* 56 */ { MAD_F(0x06b1fc81) /* 0.418453696 */, 9 }, + /* 57 */ { MAD_F(0x06daeaa1) /* 0.428446415 */, 9 }, + /* 58 */ { MAD_F(0x07041636) /* 0.438497744 */, 9 }, + /* 59 */ { MAD_F(0x072d7e8b) /* 0.448607009 */, 9 }, + /* 60 */ { MAD_F(0x075722ef) /* 0.458773552 */, 9 }, + /* 61 */ { MAD_F(0x078102b8) /* 0.468996735 */, 9 }, + /* 62 */ { MAD_F(0x07ab1d3e) /* 0.479275937 */, 9 }, + /* 63 */ { MAD_F(0x07d571e0) /* 0.489610555 */, 9 }, + + /* 64 */ { MAD_F(0x04000000) /* 0.250000000 */, 10 }, + /* 65 */ { MAD_F(0x04156381) /* 0.255221850 */, 10 }, + /* 66 */ { MAD_F(0x042ae32a) /* 0.260470548 */, 10 }, + /* 67 */ { MAD_F(0x04407eb1) /* 0.265745823 */, 10 }, + /* 68 */ { MAD_F(0x045635cf) /* 0.271047409 */, 10 }, + /* 69 */ { MAD_F(0x046c083e) /* 0.276375048 */, 10 }, + /* 70 */ { MAD_F(0x0481f5bb) /* 0.281728487 */, 10 }, + /* 71 */ { MAD_F(0x0497fe03) /* 0.287107481 */, 10 }, + /* 72 */ { MAD_F(0x04ae20d7) /* 0.292511788 */, 10 }, + /* 73 */ { MAD_F(0x04c45df6) /* 0.297941173 */, 10 }, + /* 74 */ { MAD_F(0x04dab524) /* 0.303395408 */, 10 }, + /* 75 */ { MAD_F(0x04f12624) /* 0.308874267 */, 10 }, + /* 76 */ { MAD_F(0x0507b0bc) /* 0.314377532 */, 10 }, + /* 77 */ { MAD_F(0x051e54b1) /* 0.319904987 */, 10 }, + /* 78 */ { MAD_F(0x053511cb) /* 0.325456423 */, 10 }, + /* 79 */ { MAD_F(0x054be7d4) /* 0.331031635 */, 10 }, + + /* 80 */ { MAD_F(0x0562d694) /* 0.336630420 */, 10 }, + /* 81 */ { MAD_F(0x0579ddd8) /* 0.342252584 */, 10 }, + /* 82 */ { MAD_F(0x0590fd6c) /* 0.347897931 */, 10 }, + /* 83 */ { MAD_F(0x05a8351c) /* 0.353566275 */, 10 }, + /* 84 */ { MAD_F(0x05bf84b8) /* 0.359257429 */, 10 }, + /* 85 */ { MAD_F(0x05d6ec0e) /* 0.364971213 */, 10 }, + /* 86 */ { MAD_F(0x05ee6aef) /* 0.370707448 */, 10 }, + /* 87 */ { MAD_F(0x0606012b) /* 0.376465960 */, 10 }, + /* 88 */ { MAD_F(0x061dae96) /* 0.382246578 */, 10 }, + /* 89 */ { MAD_F(0x06357302) /* 0.388049134 */, 10 }, + /* 90 */ { MAD_F(0x064d4e43) /* 0.393873464 */, 10 }, + /* 91 */ { MAD_F(0x0665402d) /* 0.399719406 */, 10 }, + /* 92 */ { MAD_F(0x067d4896) /* 0.405586801 */, 10 }, + /* 93 */ { MAD_F(0x06956753) /* 0.411475493 */, 10 }, + /* 94 */ { MAD_F(0x06ad9c3d) /* 0.417385331 */, 10 }, + /* 95 */ { MAD_F(0x06c5e72b) /* 0.423316162 */, 10 }, + + /* 96 */ { MAD_F(0x06de47f4) /* 0.429267841 */, 10 }, + /* 97 */ { MAD_F(0x06f6be73) /* 0.435240221 */, 10 }, + /* 98 */ { MAD_F(0x070f4a80) /* 0.441233161 */, 10 }, + /* 99 */ { MAD_F(0x0727ebf7) /* 0.447246519 */, 10 }, + /* 100 */ { MAD_F(0x0740a2b2) /* 0.453280160 */, 10 }, + /* 101 */ { MAD_F(0x07596e8d) /* 0.459333946 */, 10 }, + /* 102 */ { MAD_F(0x07724f64) /* 0.465407744 */, 10 }, + /* 103 */ { MAD_F(0x078b4514) /* 0.471501425 */, 10 }, + /* 104 */ { MAD_F(0x07a44f7a) /* 0.477614858 */, 10 }, + /* 105 */ { MAD_F(0x07bd6e75) /* 0.483747918 */, 10 }, + /* 106 */ { MAD_F(0x07d6a1e2) /* 0.489900479 */, 10 }, + /* 107 */ { MAD_F(0x07efe9a1) /* 0.496072418 */, 10 }, + /* 108 */ { MAD_F(0x0404a2c9) /* 0.251131807 */, 11 }, + /* 109 */ { MAD_F(0x04115aca) /* 0.254236974 */, 11 }, + /* 110 */ { MAD_F(0x041e1cc4) /* 0.257351652 */, 11 }, + /* 111 */ { MAD_F(0x042ae8a7) /* 0.260475783 */, 11 }, + + /* 112 */ { MAD_F(0x0437be65) /* 0.263609310 */, 11 }, + /* 113 */ { MAD_F(0x04449dee) /* 0.266752177 */, 11 }, + /* 114 */ { MAD_F(0x04518733) /* 0.269904329 */, 11 }, + /* 115 */ { MAD_F(0x045e7a26) /* 0.273065710 */, 11 }, + /* 116 */ { MAD_F(0x046b76b9) /* 0.276236269 */, 11 }, + /* 117 */ { MAD_F(0x04787cdc) /* 0.279415952 */, 11 }, + /* 118 */ { MAD_F(0x04858c83) /* 0.282604707 */, 11 }, + /* 119 */ { MAD_F(0x0492a59f) /* 0.285802482 */, 11 }, + /* 120 */ { MAD_F(0x049fc824) /* 0.289009227 */, 11 }, + /* 121 */ { MAD_F(0x04acf402) /* 0.292224893 */, 11 }, + /* 122 */ { MAD_F(0x04ba292e) /* 0.295449429 */, 11 }, + /* 123 */ { MAD_F(0x04c7679a) /* 0.298682788 */, 11 }, + /* 124 */ { MAD_F(0x04d4af3a) /* 0.301924921 */, 11 }, + /* 125 */ { MAD_F(0x04e20000) /* 0.305175781 */, 11 }, + /* 126 */ { MAD_F(0x04ef59e0) /* 0.308435322 */, 11 }, + /* 127 */ { MAD_F(0x04fcbcce) /* 0.311703498 */, 11 }, + + /* 128 */ { MAD_F(0x050a28be) /* 0.314980262 */, 11 }, + /* 130 */ { MAD_F(0x05251b73) /* 0.321559381 */, 11 }, + /* 132 */ { MAD_F(0x054031a0) /* 0.328172327 */, 11 }, + /* 134 */ { MAD_F(0x055b6ae9) /* 0.334818756 */, 11 }, + /* 136 */ { MAD_F(0x0576c6f5) /* 0.341498336 */, 11 }, + /* 138 */ { MAD_F(0x0592456d) /* 0.348210741 */, 11 }, + /* 140 */ { MAD_F(0x05ade5fa) /* 0.354955651 */, 11 }, + /* 142 */ { MAD_F(0x05c9a84a) /* 0.361732758 */, 11 }, + /* 144 */ { MAD_F(0x05e58c0b) /* 0.368541759 */, 11 }, + /* 146 */ { MAD_F(0x060190ee) /* 0.375382356 */, 11 }, + /* 148 */ { MAD_F(0x061db6a5) /* 0.382254261 */, 11 }, + /* 150 */ { MAD_F(0x0639fce4) /* 0.389157191 */, 11 }, + /* 152 */ { MAD_F(0x06566361) /* 0.396090870 */, 11 }, + /* 154 */ { MAD_F(0x0672e9d4) /* 0.403055027 */, 11 }, + /* 156 */ { MAD_F(0x068f8ff5) /* 0.410049398 */, 11 }, + /* 158 */ { MAD_F(0x06ac557f) /* 0.417073724 */, 11 }, + + /* 160 */ { MAD_F(0x06c93a2e) /* 0.424127753 */, 11 }, + /* 162 */ { MAD_F(0x06e63dc0) /* 0.431211234 */, 11 }, + /* 164 */ { MAD_F(0x07035ff3) /* 0.438323927 */, 11 }, + /* 166 */ { MAD_F(0x0720a087) /* 0.445465593 */, 11 }, + /* 168 */ { MAD_F(0x073dff3e) /* 0.452635998 */, 11 }, + /* 170 */ { MAD_F(0x075b7bdb) /* 0.459834914 */, 11 }, + /* 172 */ { MAD_F(0x07791620) /* 0.467062117 */, 11 }, + /* 174 */ { MAD_F(0x0796cdd4) /* 0.474317388 */, 11 }, + /* 176 */ { MAD_F(0x07b4a2bc) /* 0.481600510 */, 11 }, + /* 178 */ { MAD_F(0x07d294a0) /* 0.488911273 */, 11 }, + /* 180 */ { MAD_F(0x07f0a348) /* 0.496249468 */, 11 }, + /* 182 */ { MAD_F(0x0407673f) /* 0.251807447 */, 12 }, + /* 184 */ { MAD_F(0x04168b05) /* 0.255503674 */, 12 }, + /* 186 */ { MAD_F(0x0425bcdd) /* 0.259213318 */, 12 }, + /* 188 */ { MAD_F(0x0434fcad) /* 0.262936282 */, 12 }, + /* 190 */ { MAD_F(0x04444a5a) /* 0.266672472 */, 12 }, + + /* 192 */ { MAD_F(0x0453a5cd) /* 0.270421794 */, 12 }, + /* 194 */ { MAD_F(0x04630eed) /* 0.274184158 */, 12 }, + /* 196 */ { MAD_F(0x047285a2) /* 0.277959474 */, 12 }, + /* 198 */ { MAD_F(0x048209d3) /* 0.281747652 */, 12 }, + /* 200 */ { MAD_F(0x04919b6a) /* 0.285548607 */, 12 }, + /* 202 */ { MAD_F(0x04a13a50) /* 0.289362253 */, 12 }, + /* 204 */ { MAD_F(0x04b0e66e) /* 0.293188507 */, 12 }, + /* 206 */ { MAD_F(0x04c09faf) /* 0.297027285 */, 12 }, + /* 208 */ { MAD_F(0x04d065fb) /* 0.300878507 */, 12 }, + /* 210 */ { MAD_F(0x04e0393e) /* 0.304742092 */, 12 }, + /* 212 */ { MAD_F(0x04f01963) /* 0.308617963 */, 12 }, + /* 214 */ { MAD_F(0x05000655) /* 0.312506041 */, 12 }, + /* 216 */ { MAD_F(0x05100000) /* 0.316406250 */, 12 }, + /* 218 */ { MAD_F(0x0520064f) /* 0.320318516 */, 12 }, + /* 220 */ { MAD_F(0x0530192e) /* 0.324242764 */, 12 }, + /* 222 */ { MAD_F(0x0540388a) /* 0.328178922 */, 12 }, + + /* 224 */ { MAD_F(0x05506451) /* 0.332126919 */, 12 }, + /* 226 */ { MAD_F(0x05609c6e) /* 0.336086683 */, 12 }, + /* 228 */ { MAD_F(0x0570e0cf) /* 0.340058145 */, 12 }, + /* 230 */ { MAD_F(0x05813162) /* 0.344041237 */, 12 }, + /* 232 */ { MAD_F(0x05918e15) /* 0.348035890 */, 12 }, + /* 234 */ { MAD_F(0x05a1f6d5) /* 0.352042040 */, 12 }, + /* 236 */ { MAD_F(0x05b26b92) /* 0.356059619 */, 12 }, + /* 238 */ { MAD_F(0x05c2ec39) /* 0.360088563 */, 12 }, + /* 240 */ { MAD_F(0x05d378bb) /* 0.364128809 */, 12 }, + /* 242 */ { MAD_F(0x05e41105) /* 0.368180294 */, 12 }, + /* 244 */ { MAD_F(0x05f4b507) /* 0.372242955 */, 12 }, + /* 246 */ { MAD_F(0x060564b1) /* 0.376316732 */, 12 }, + /* 248 */ { MAD_F(0x06161ff3) /* 0.380401563 */, 12 }, + /* 250 */ { MAD_F(0x0626e6bc) /* 0.384497391 */, 12 }, + /* 252 */ { MAD_F(0x0637b8fd) /* 0.388604155 */, 12 }, + /* 254 */ { MAD_F(0x064896a7) /* 0.392721798 */, 12 }, + + /* 256 */ { MAD_F(0x06597fa9) /* 0.396850263 */, 12 }, + /* 260 */ { MAD_F(0x067b737c) /* 0.405139433 */, 12 }, + /* 264 */ { MAD_F(0x069d9400) /* 0.413471222 */, 12 }, + /* 268 */ { MAD_F(0x06bfe0c0) /* 0.421845199 */, 12 }, + /* 272 */ { MAD_F(0x06e2594c) /* 0.430260942 */, 12 }, + /* 276 */ { MAD_F(0x0704fd35) /* 0.438718042 */, 12 }, + /* 280 */ { MAD_F(0x0727cc11) /* 0.447216097 */, 12 }, + /* 284 */ { MAD_F(0x074ac575) /* 0.455754717 */, 12 }, + /* 288 */ { MAD_F(0x076de8fc) /* 0.464333519 */, 12 }, + /* 292 */ { MAD_F(0x07913641) /* 0.472952132 */, 12 }, + /* 296 */ { MAD_F(0x07b4ace3) /* 0.481610189 */, 12 }, + /* 300 */ { MAD_F(0x07d84c81) /* 0.490307336 */, 12 }, + /* 304 */ { MAD_F(0x07fc14bf) /* 0.499043224 */, 12 }, + /* 308 */ { MAD_F(0x041002a1) /* 0.253908756 */, 13 }, + /* 312 */ { MAD_F(0x04220ed7) /* 0.258314934 */, 13 }, + /* 316 */ { MAD_F(0x04342ed7) /* 0.262739982 */, 13 }, + + /* 320 */ { MAD_F(0x04466275) /* 0.267183742 */, 13 }, + /* 324 */ { MAD_F(0x0458a989) /* 0.271646056 */, 13 }, + /* 328 */ { MAD_F(0x046b03e7) /* 0.276126771 */, 13 }, + /* 332 */ { MAD_F(0x047d716a) /* 0.280625739 */, 13 }, + /* 336 */ { MAD_F(0x048ff1e8) /* 0.285142811 */, 13 }, + /* 340 */ { MAD_F(0x04a2853c) /* 0.289677844 */, 13 }, + /* 344 */ { MAD_F(0x04b52b3f) /* 0.294230696 */, 13 }, + /* 348 */ { MAD_F(0x04c7e3cc) /* 0.298801231 */, 13 }, + /* 352 */ { MAD_F(0x04daaec0) /* 0.303389310 */, 13 }, + /* 356 */ { MAD_F(0x04ed8bf5) /* 0.307994802 */, 13 }, + /* 360 */ { MAD_F(0x05007b49) /* 0.312617576 */, 13 }, + /* 364 */ { MAD_F(0x05137c9a) /* 0.317257503 */, 13 }, + /* 368 */ { MAD_F(0x05268fc6) /* 0.321914457 */, 13 }, + /* 372 */ { MAD_F(0x0539b4ab) /* 0.326588316 */, 13 }, + /* 376 */ { MAD_F(0x054ceb2a) /* 0.331278957 */, 13 }, + /* 380 */ { MAD_F(0x05603321) /* 0.335986261 */, 13 }, + + /* 384 */ { MAD_F(0x05738c72) /* 0.340710111 */, 13 }, + /* 388 */ { MAD_F(0x0586f6fd) /* 0.345450393 */, 13 }, + /* 392 */ { MAD_F(0x059a72a5) /* 0.350206992 */, 13 }, + /* 396 */ { MAD_F(0x05adff4c) /* 0.354979798 */, 13 }, + /* 400 */ { MAD_F(0x05c19cd3) /* 0.359768701 */, 13 }, + /* 404 */ { MAD_F(0x05d54b1f) /* 0.364573594 */, 13 }, + /* 408 */ { MAD_F(0x05e90a12) /* 0.369394372 */, 13 }, + /* 412 */ { MAD_F(0x05fcd992) /* 0.374230929 */, 13 }, + /* 416 */ { MAD_F(0x0610b982) /* 0.379083164 */, 13 }, + /* 420 */ { MAD_F(0x0624a9c7) /* 0.383950977 */, 13 }, + /* 424 */ { MAD_F(0x0638aa48) /* 0.388834268 */, 13 }, + /* 428 */ { MAD_F(0x064cbae9) /* 0.393732939 */, 13 }, + /* 432 */ { MAD_F(0x0660db91) /* 0.398646895 */, 13 }, + /* 436 */ { MAD_F(0x06750c26) /* 0.403576041 */, 13 }, + /* 440 */ { MAD_F(0x06894c90) /* 0.408520284 */, 13 }, + /* 444 */ { MAD_F(0x069d9cb7) /* 0.413479532 */, 13 }, + + /* 448 */ { MAD_F(0x06b1fc81) /* 0.418453696 */, 13 }, + /* 452 */ { MAD_F(0x06c66bd6) /* 0.423442686 */, 13 }, + /* 456 */ { MAD_F(0x06daeaa1) /* 0.428446415 */, 13 }, + /* 460 */ { MAD_F(0x06ef78c8) /* 0.433464796 */, 13 }, + /* 464 */ { MAD_F(0x07041636) /* 0.438497744 */, 13 }, + /* 468 */ { MAD_F(0x0718c2d3) /* 0.443545176 */, 13 }, + /* 472 */ { MAD_F(0x072d7e8b) /* 0.448607009 */, 13 }, + /* 476 */ { MAD_F(0x07424946) /* 0.453683161 */, 13 }, + /* 480 */ { MAD_F(0x075722ef) /* 0.458773552 */, 13 }, + /* 484 */ { MAD_F(0x076c0b72) /* 0.463878102 */, 13 }, + /* 488 */ { MAD_F(0x078102b8) /* 0.468996735 */, 13 }, + /* 492 */ { MAD_F(0x079608ae) /* 0.474129372 */, 13 }, + /* 496 */ { MAD_F(0x07ab1d3e) /* 0.479275937 */, 13 }, + /* 500 */ { MAD_F(0x07c04056) /* 0.484436356 */, 13 }, + /* 504 */ { MAD_F(0x07d571e0) /* 0.489610555 */, 13 }, + /* 508 */ { MAD_F(0x07eab1ca) /* 0.494798460 */, 13 }, + + /* 512 */ { MAD_F(0x04000000) /* 0.250000000 */, 14 }, + /* 520 */ { MAD_F(0x04156381) /* 0.255221850 */, 14 }, + /* 528 */ { MAD_F(0x042ae32a) /* 0.260470548 */, 14 }, + /* 536 */ { MAD_F(0x04407eb1) /* 0.265745823 */, 14 }, + /* 544 */ { MAD_F(0x045635cf) /* 0.271047409 */, 14 }, + /* 552 */ { MAD_F(0x046c083e) /* 0.276375048 */, 14 }, + /* 560 */ { MAD_F(0x0481f5bb) /* 0.281728487 */, 14 }, + /* 568 */ { MAD_F(0x0497fe03) /* 0.287107481 */, 14 }, + /* 576 */ { MAD_F(0x04ae20d7) /* 0.292511788 */, 14 }, + /* 584 */ { MAD_F(0x04c45df6) /* 0.297941173 */, 14 }, + /* 592 */ { MAD_F(0x04dab524) /* 0.303395408 */, 14 }, + /* 600 */ { MAD_F(0x04f12624) /* 0.308874267 */, 14 }, + /* 608 */ { MAD_F(0x0507b0bc) /* 0.314377532 */, 14 }, + /* 616 */ { MAD_F(0x051e54b1) /* 0.319904987 */, 14 }, + /* 624 */ { MAD_F(0x053511cb) /* 0.325456423 */, 14 }, + /* 632 */ { MAD_F(0x054be7d4) /* 0.331031635 */, 14 }, + + /* 640 */ { MAD_F(0x0562d694) /* 0.336630420 */, 14 }, + /* 648 */ { MAD_F(0x0579ddd8) /* 0.342252584 */, 14 }, + /* 656 */ { MAD_F(0x0590fd6c) /* 0.347897931 */, 14 }, + /* 664 */ { MAD_F(0x05a8351c) /* 0.353566275 */, 14 }, + /* 672 */ { MAD_F(0x05bf84b8) /* 0.359257429 */, 14 }, + /* 680 */ { MAD_F(0x05d6ec0e) /* 0.364971213 */, 14 }, + /* 688 */ { MAD_F(0x05ee6aef) /* 0.370707448 */, 14 }, + /* 696 */ { MAD_F(0x0606012b) /* 0.376465960 */, 14 }, + /* 704 */ { MAD_F(0x061dae96) /* 0.382246578 */, 14 }, + /* 712 */ { MAD_F(0x06357302) /* 0.388049134 */, 14 }, + /* 720 */ { MAD_F(0x064d4e43) /* 0.393873464 */, 14 }, + /* 728 */ { MAD_F(0x0665402d) /* 0.399719406 */, 14 }, + /* 736 */ { MAD_F(0x067d4896) /* 0.405586801 */, 14 }, + /* 744 */ { MAD_F(0x06956753) /* 0.411475493 */, 14 }, + /* 752 */ { MAD_F(0x06ad9c3d) /* 0.417385331 */, 14 }, + /* 760 */ { MAD_F(0x06c5e72b) /* 0.423316162 */, 14 }, + + /* 768 */ { MAD_F(0x06de47f4) /* 0.429267841 */, 14 }, + /* 776 */ { MAD_F(0x06f6be73) /* 0.435240221 */, 14 }, + /* 784 */ { MAD_F(0x070f4a80) /* 0.441233161 */, 14 }, + /* 792 */ { MAD_F(0x0727ebf7) /* 0.447246519 */, 14 }, + /* 800 */ { MAD_F(0x0740a2b2) /* 0.453280160 */, 14 }, + /* 808 */ { MAD_F(0x07596e8d) /* 0.459333946 */, 14 }, + /* 816 */ { MAD_F(0x07724f64) /* 0.465407744 */, 14 }, + /* 824 */ { MAD_F(0x078b4514) /* 0.471501425 */, 14 }, + /* 832 */ { MAD_F(0x07a44f7a) /* 0.477614858 */, 14 }, + /* 840 */ { MAD_F(0x07bd6e75) /* 0.483747918 */, 14 }, + /* 848 */ { MAD_F(0x07d6a1e2) /* 0.489900479 */, 14 }, + /* 856 */ { MAD_F(0x07efe9a1) /* 0.496072418 */, 14 }, + /* 864 */ { MAD_F(0x0404a2c9) /* 0.251131807 */, 15 }, + /* 872 */ { MAD_F(0x04115aca) /* 0.254236974 */, 15 }, + /* 880 */ { MAD_F(0x041e1cc4) /* 0.257351652 */, 15 }, + /* 888 */ { MAD_F(0x042ae8a7) /* 0.260475783 */, 15 }, + + /* 896 */ { MAD_F(0x0437be65) /* 0.263609310 */, 15 }, + /* 904 */ { MAD_F(0x04449dee) /* 0.266752177 */, 15 }, + /* 912 */ { MAD_F(0x04518733) /* 0.269904329 */, 15 }, + /* 920 */ { MAD_F(0x045e7a26) /* 0.273065710 */, 15 }, + /* 928 */ { MAD_F(0x046b76b9) /* 0.276236269 */, 15 }, + /* 936 */ { MAD_F(0x04787cdc) /* 0.279415952 */, 15 }, + /* 944 */ { MAD_F(0x04858c83) /* 0.282604707 */, 15 }, + /* 952 */ { MAD_F(0x0492a59f) /* 0.285802482 */, 15 }, + /* 960 */ { MAD_F(0x049fc824) /* 0.289009227 */, 15 }, + /* 968 */ { MAD_F(0x04acf402) /* 0.292224893 */, 15 }, + /* 976 */ { MAD_F(0x04ba292e) /* 0.295449429 */, 15 }, + /* 984 */ { MAD_F(0x04c7679a) /* 0.298682788 */, 15 }, + /* 992 */ { MAD_F(0x04d4af3a) /* 0.301924921 */, 15 }, + /* 1000 */ { MAD_F(0x04e20000) /* 0.305175781 */, 15 }, + /* 1008 */ { MAD_F(0x04ef59e0) /* 0.308435322 */, 15 }, + /* 1016 */ { MAD_F(0x04fcbcce) /* 0.311703498 */, 15 }, + + /* 1024 */ { MAD_F(0x050a28be) /* 0.314980262 */, 15 }, + /* 1040 */ { MAD_F(0x05251b73) /* 0.321559381 */, 15 }, + /* 1056 */ { MAD_F(0x054031a0) /* 0.328172327 */, 15 }, + /* 1072 */ { MAD_F(0x055b6ae9) /* 0.334818756 */, 15 }, + /* 1088 */ { MAD_F(0x0576c6f5) /* 0.341498336 */, 15 }, + /* 1104 */ { MAD_F(0x0592456d) /* 0.348210741 */, 15 }, + /* 1120 */ { MAD_F(0x05ade5fa) /* 0.354955651 */, 15 }, + /* 1136 */ { MAD_F(0x05c9a84a) /* 0.361732758 */, 15 }, + /* 1152 */ { MAD_F(0x05e58c0b) /* 0.368541759 */, 15 }, + /* 1168 */ { MAD_F(0x060190ee) /* 0.375382356 */, 15 }, + /* 1184 */ { MAD_F(0x061db6a5) /* 0.382254261 */, 15 }, + /* 1200 */ { MAD_F(0x0639fce4) /* 0.389157191 */, 15 }, + /* 1216 */ { MAD_F(0x06566361) /* 0.396090870 */, 15 }, + /* 1232 */ { MAD_F(0x0672e9d4) /* 0.403055027 */, 15 }, + /* 1248 */ { MAD_F(0x068f8ff5) /* 0.410049398 */, 15 }, + /* 1264 */ { MAD_F(0x06ac557f) /* 0.417073724 */, 15 }, + + /* 1280 */ { MAD_F(0x06c93a2e) /* 0.424127753 */, 15 }, + /* 1296 */ { MAD_F(0x06e63dc0) /* 0.431211234 */, 15 }, + /* 1312 */ { MAD_F(0x07035ff3) /* 0.438323927 */, 15 }, + /* 1328 */ { MAD_F(0x0720a087) /* 0.445465593 */, 15 }, + /* 1344 */ { MAD_F(0x073dff3e) /* 0.452635998 */, 15 }, + /* 1360 */ { MAD_F(0x075b7bdb) /* 0.459834914 */, 15 }, + /* 1376 */ { MAD_F(0x07791620) /* 0.467062117 */, 15 }, + /* 1392 */ { MAD_F(0x0796cdd4) /* 0.474317388 */, 15 }, + /* 1408 */ { MAD_F(0x07b4a2bc) /* 0.481600510 */, 15 }, + /* 1424 */ { MAD_F(0x07d294a0) /* 0.488911273 */, 15 }, + /* 1440 */ { MAD_F(0x07f0a348) /* 0.496249468 */, 15 }, + /* 1456 */ { MAD_F(0x0407673f) /* 0.251807447 */, 16 }, + /* 1472 */ { MAD_F(0x04168b05) /* 0.255503674 */, 16 }, + /* 1488 */ { MAD_F(0x0425bcdd) /* 0.259213318 */, 16 }, + /* 1504 */ { MAD_F(0x0434fcad) /* 0.262936282 */, 16 }, + /* 1520 */ { MAD_F(0x04444a5a) /* 0.266672472 */, 16 }, + + /* 1536 */ { MAD_F(0x0453a5cd) /* 0.270421794 */, 16 }, + /* 1552 */ { MAD_F(0x04630eed) /* 0.274184158 */, 16 }, + /* 1568 */ { MAD_F(0x047285a2) /* 0.277959474 */, 16 }, + /* 1584 */ { MAD_F(0x048209d3) /* 0.281747652 */, 16 }, + /* 1600 */ { MAD_F(0x04919b6a) /* 0.285548607 */, 16 }, + /* 1616 */ { MAD_F(0x04a13a50) /* 0.289362253 */, 16 }, + /* 1632 */ { MAD_F(0x04b0e66e) /* 0.293188507 */, 16 }, + /* 1648 */ { MAD_F(0x04c09faf) /* 0.297027285 */, 16 }, + /* 1664 */ { MAD_F(0x04d065fb) /* 0.300878507 */, 16 }, + /* 1680 */ { MAD_F(0x04e0393e) /* 0.304742092 */, 16 }, + /* 1696 */ { MAD_F(0x04f01963) /* 0.308617963 */, 16 }, + /* 1712 */ { MAD_F(0x05000655) /* 0.312506041 */, 16 }, + /* 1728 */ { MAD_F(0x05100000) /* 0.316406250 */, 16 }, + /* 1744 */ { MAD_F(0x0520064f) /* 0.320318516 */, 16 }, + /* 1760 */ { MAD_F(0x0530192e) /* 0.324242764 */, 16 }, + /* 1776 */ { MAD_F(0x0540388a) /* 0.328178922 */, 16 }, + + /* 1792 */ { MAD_F(0x05506451) /* 0.332126919 */, 16 }, + /* 1808 */ { MAD_F(0x05609c6e) /* 0.336086683 */, 16 }, + /* 1824 */ { MAD_F(0x0570e0cf) /* 0.340058145 */, 16 }, + /* 1840 */ { MAD_F(0x05813162) /* 0.344041237 */, 16 }, + /* 1856 */ { MAD_F(0x05918e15) /* 0.348035890 */, 16 }, + /* 1872 */ { MAD_F(0x05a1f6d5) /* 0.352042040 */, 16 }, + /* 1888 */ { MAD_F(0x05b26b92) /* 0.356059619 */, 16 }, + /* 1904 */ { MAD_F(0x05c2ec39) /* 0.360088563 */, 16 }, + /* 1920 */ { MAD_F(0x05d378bb) /* 0.364128809 */, 16 }, + /* 1936 */ { MAD_F(0x05e41105) /* 0.368180294 */, 16 }, + /* 1952 */ { MAD_F(0x05f4b507) /* 0.372242955 */, 16 }, + /* 1968 */ { MAD_F(0x060564b1) /* 0.376316732 */, 16 }, + /* 1984 */ { MAD_F(0x06161ff3) /* 0.380401563 */, 16 }, + /* 2000 */ { MAD_F(0x0626e6bc) /* 0.384497391 */, 16 }, + /* 2016 */ { MAD_F(0x0637b8fd) /* 0.388604155 */, 16 }, + /* 2032 */ { MAD_F(0x064896a7) /* 0.392721798 */, 16 }, + + /* 2048 */ { MAD_F(0x06597fa9) /* 0.396850263 */, 16 }, + /* 2080 */ { MAD_F(0x067b737c) /* 0.405139433 */, 16 }, + /* 2112 */ { MAD_F(0x069d9400) /* 0.413471222 */, 16 }, + /* 2144 */ { MAD_F(0x06bfe0c0) /* 0.421845199 */, 16 }, + /* 2176 */ { MAD_F(0x06e2594c) /* 0.430260942 */, 16 }, + /* 2208 */ { MAD_F(0x0704fd35) /* 0.438718042 */, 16 }, + /* 2240 */ { MAD_F(0x0727cc11) /* 0.447216097 */, 16 }, + /* 2272 */ { MAD_F(0x074ac575) /* 0.455754717 */, 16 }, + /* 2304 */ { MAD_F(0x076de8fc) /* 0.464333519 */, 16 }, + /* 2336 */ { MAD_F(0x07913641) /* 0.472952132 */, 16 }, + /* 2368 */ { MAD_F(0x07b4ace3) /* 0.481610189 */, 16 }, + /* 2400 */ { MAD_F(0x07d84c81) /* 0.490307336 */, 16 }, + /* 2432 */ { MAD_F(0x07fc14bf) /* 0.499043224 */, 16 }, + /* 2464 */ { MAD_F(0x041002a1) /* 0.253908756 */, 17 }, + /* 2496 */ { MAD_F(0x04220ed7) /* 0.258314934 */, 17 }, + /* 2528 */ { MAD_F(0x04342ed7) /* 0.262739982 */, 17 }, + + /* 2560 */ { MAD_F(0x04466275) /* 0.267183742 */, 17 }, + /* 2592 */ { MAD_F(0x0458a989) /* 0.271646056 */, 17 }, + /* 2624 */ { MAD_F(0x046b03e7) /* 0.276126771 */, 17 }, + /* 2656 */ { MAD_F(0x047d716a) /* 0.280625739 */, 17 }, + /* 2688 */ { MAD_F(0x048ff1e8) /* 0.285142811 */, 17 }, + /* 2720 */ { MAD_F(0x04a2853c) /* 0.289677844 */, 17 }, + /* 2752 */ { MAD_F(0x04b52b3f) /* 0.294230696 */, 17 }, + /* 2784 */ { MAD_F(0x04c7e3cc) /* 0.298801231 */, 17 }, + /* 2816 */ { MAD_F(0x04daaec0) /* 0.303389310 */, 17 }, + /* 2848 */ { MAD_F(0x04ed8bf5) /* 0.307994802 */, 17 }, + /* 2880 */ { MAD_F(0x05007b49) /* 0.312617576 */, 17 }, + /* 2912 */ { MAD_F(0x05137c9a) /* 0.317257503 */, 17 }, + /* 2944 */ { MAD_F(0x05268fc6) /* 0.321914457 */, 17 }, + /* 2976 */ { MAD_F(0x0539b4ab) /* 0.326588316 */, 17 }, + /* 3008 */ { MAD_F(0x054ceb2a) /* 0.331278957 */, 17 }, + /* 3040 */ { MAD_F(0x05603321) /* 0.335986261 */, 17 }, + + /* 3072 */ { MAD_F(0x05738c72) /* 0.340710111 */, 17 }, + /* 3104 */ { MAD_F(0x0586f6fd) /* 0.345450393 */, 17 }, + /* 3136 */ { MAD_F(0x059a72a5) /* 0.350206992 */, 17 }, + /* 3168 */ { MAD_F(0x05adff4c) /* 0.354979798 */, 17 }, + /* 3200 */ { MAD_F(0x05c19cd3) /* 0.359768701 */, 17 }, + /* 3232 */ { MAD_F(0x05d54b1f) /* 0.364573594 */, 17 }, + /* 3264 */ { MAD_F(0x05e90a12) /* 0.369394372 */, 17 }, + /* 3296 */ { MAD_F(0x05fcd992) /* 0.374230929 */, 17 }, + /* 3328 */ { MAD_F(0x0610b982) /* 0.379083164 */, 17 }, + /* 3360 */ { MAD_F(0x0624a9c7) /* 0.383950977 */, 17 }, + /* 3392 */ { MAD_F(0x0638aa48) /* 0.388834268 */, 17 }, + /* 3424 */ { MAD_F(0x064cbae9) /* 0.393732939 */, 17 }, + /* 3456 */ { MAD_F(0x0660db91) /* 0.398646895 */, 17 }, + /* 3488 */ { MAD_F(0x06750c26) /* 0.403576041 */, 17 }, + /* 3520 */ { MAD_F(0x06894c90) /* 0.408520284 */, 17 }, + /* 3552 */ { MAD_F(0x069d9cb7) /* 0.413479532 */, 17 }, + + /* 3584 */ { MAD_F(0x06b1fc81) /* 0.418453696 */, 17 }, + /* 3616 */ { MAD_F(0x06c66bd6) /* 0.423442686 */, 17 }, + /* 3648 */ { MAD_F(0x06daeaa1) /* 0.428446415 */, 17 }, + /* 3680 */ { MAD_F(0x06ef78c8) /* 0.433464796 */, 17 }, + /* 3712 */ { MAD_F(0x07041636) /* 0.438497744 */, 17 }, + /* 3744 */ { MAD_F(0x0718c2d3) /* 0.443545176 */, 17 }, + /* 3776 */ { MAD_F(0x072d7e8b) /* 0.448607009 */, 17 }, + /* 3808 */ { MAD_F(0x07424946) /* 0.453683161 */, 17 }, + /* 3840 */ { MAD_F(0x075722ef) /* 0.458773552 */, 17 }, + /* 3872 */ { MAD_F(0x076c0b72) /* 0.463878102 */, 17 }, + /* 3904 */ { MAD_F(0x078102b8) /* 0.468996735 */, 17 }, + /* 3936 */ { MAD_F(0x079608ae) /* 0.474129372 */, 17 }, + /* 3968 */ { MAD_F(0x07ab1d3e) /* 0.479275937 */, 17 }, + /* 4000 */ { MAD_F(0x07c04056) /* 0.484436356 */, 17 }, + /* 4032 */ { MAD_F(0x07d571e0) /* 0.489610555 */, 17 }, + /* 4064 */ { MAD_F(0x07eab1ca) /* 0.494798460 */, 17 }, + + /* 4096 */ { MAD_F(0x04000000) /* 0.250000000 */, 18 }, + /* 4160 */ { MAD_F(0x04156381) /* 0.255221850 */, 18 }, + /* 4224 */ { MAD_F(0x042ae32a) /* 0.260470548 */, 18 }, + /* 4288 */ { MAD_F(0x04407eb1) /* 0.265745823 */, 18 }, + /* 4352 */ { MAD_F(0x045635cf) /* 0.271047409 */, 18 }, + /* 4416 */ { MAD_F(0x046c083e) /* 0.276375048 */, 18 }, + /* 4480 */ { MAD_F(0x0481f5bb) /* 0.281728487 */, 18 }, + /* 4544 */ { MAD_F(0x0497fe03) /* 0.287107481 */, 18 }, + /* 4608 */ { MAD_F(0x04ae20d7) /* 0.292511788 */, 18 }, + /* 4672 */ { MAD_F(0x04c45df6) /* 0.297941173 */, 18 }, + /* 4736 */ { MAD_F(0x04dab524) /* 0.303395408 */, 18 }, + /* 4800 */ { MAD_F(0x04f12624) /* 0.308874267 */, 18 }, + /* 4864 */ { MAD_F(0x0507b0bc) /* 0.314377532 */, 18 }, + /* 4928 */ { MAD_F(0x051e54b1) /* 0.319904987 */, 18 }, + /* 4992 */ { MAD_F(0x053511cb) /* 0.325456423 */, 18 }, + /* 5056 */ { MAD_F(0x054be7d4) /* 0.331031635 */, 18 }, + + /* 5120 */ { MAD_F(0x0562d694) /* 0.336630420 */, 18 }, + /* 5184 */ { MAD_F(0x0579ddd8) /* 0.342252584 */, 18 }, + /* 5248 */ { MAD_F(0x0590fd6c) /* 0.347897931 */, 18 }, + /* 5312 */ { MAD_F(0x05a8351c) /* 0.353566275 */, 18 }, + /* 5376 */ { MAD_F(0x05bf84b8) /* 0.359257429 */, 18 }, + /* 5440 */ { MAD_F(0x05d6ec0e) /* 0.364971213 */, 18 }, + /* 5504 */ { MAD_F(0x05ee6aef) /* 0.370707448 */, 18 }, + /* 5568 */ { MAD_F(0x0606012b) /* 0.376465960 */, 18 }, + /* 5632 */ { MAD_F(0x061dae96) /* 0.382246578 */, 18 }, + /* 5696 */ { MAD_F(0x06357302) /* 0.388049134 */, 18 }, + /* 5760 */ { MAD_F(0x064d4e43) /* 0.393873464 */, 18 }, + /* 5824 */ { MAD_F(0x0665402d) /* 0.399719406 */, 18 }, + /* 5888 */ { MAD_F(0x067d4896) /* 0.405586801 */, 18 }, + /* 5952 */ { MAD_F(0x06956753) /* 0.411475493 */, 18 }, + /* 6016 */ { MAD_F(0x06ad9c3d) /* 0.417385331 */, 18 }, + /* 6080 */ { MAD_F(0x06c5e72b) /* 0.423316162 */, 18 }, + + /* 6144 */ { MAD_F(0x06de47f4) /* 0.429267841 */, 18 }, + /* 6208 */ { MAD_F(0x06f6be73) /* 0.435240221 */, 18 }, + /* 6272 */ { MAD_F(0x070f4a80) /* 0.441233161 */, 18 }, + /* 6336 */ { MAD_F(0x0727ebf7) /* 0.447246519 */, 18 }, + /* 6400 */ { MAD_F(0x0740a2b2) /* 0.453280160 */, 18 }, + /* 6464 */ { MAD_F(0x07596e8d) /* 0.459333946 */, 18 }, + /* 6528 */ { MAD_F(0x07724f64) /* 0.465407744 */, 18 }, + /* 6592 */ { MAD_F(0x078b4514) /* 0.471501425 */, 18 }, + /* 6656 */ { MAD_F(0x07a44f7a) /* 0.477614858 */, 18 }, + /* 6720 */ { MAD_F(0x07bd6e75) /* 0.483747918 */, 18 }, + /* 6784 */ { MAD_F(0x07d6a1e2) /* 0.489900479 */, 18 }, + /* 6848 */ { MAD_F(0x07efe9a1) /* 0.496072418 */, 18 }, + /* 6912 */ { MAD_F(0x0404a2c9) /* 0.251131807 */, 19 }, + /* 6976 */ { MAD_F(0x04115aca) /* 0.254236974 */, 19 }, + /* 7040 */ { MAD_F(0x041e1cc4) /* 0.257351652 */, 19 }, + /* 7104 */ { MAD_F(0x042ae8a7) /* 0.260475783 */, 19 }, + + /* 7168 */ { MAD_F(0x0437be65) /* 0.263609310 */, 19 }, + /* 7232 */ { MAD_F(0x04449dee) /* 0.266752177 */, 19 }, + /* 7296 */ { MAD_F(0x04518733) /* 0.269904329 */, 19 }, + /* 7360 */ { MAD_F(0x045e7a26) /* 0.273065710 */, 19 }, + /* 7424 */ { MAD_F(0x046b76b9) /* 0.276236269 */, 19 }, + /* 7488 */ { MAD_F(0x04787cdc) /* 0.279415952 */, 19 }, + /* 7552 */ { MAD_F(0x04858c83) /* 0.282604707 */, 19 }, + /* 7616 */ { MAD_F(0x0492a59f) /* 0.285802482 */, 19 }, + /* 7680 */ { MAD_F(0x049fc824) /* 0.289009227 */, 19 }, + /* 7744 */ { MAD_F(0x04acf402) /* 0.292224893 */, 19 }, + /* 7808 */ { MAD_F(0x04ba292e) /* 0.295449429 */, 19 }, + /* 7872 */ { MAD_F(0x04c7679a) /* 0.298682788 */, 19 }, + /* 7936 */ { MAD_F(0x04d4af3a) /* 0.301924921 */, 19 }, + /* 8000 */ { MAD_F(0x04e20000) /* 0.305175781 */, 19 }, + /* 8064 */ { MAD_F(0x04ef59e0) /* 0.308435322 */, 19 }, + /* 8128 */ { MAD_F(0x04fcbcce) /* 0.311703498 */, 19 }, +
mad-xess/libmad/rq_short.dat Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/huffman.h =================================================================== --- mad-xess/libmad/huffman.h (nonexistent) +++ mad-xess/libmad/huffman.h (revision 1765) @@ -0,0 +1,66 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: huffman.h,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifndef LIBMAD_HUFFMAN_H +# define LIBMAD_HUFFMAN_H + +union huffquad { + struct { + unsigned short final : 1; + unsigned short hlen : 3; + unsigned short v : 1; + unsigned short w : 1; + unsigned short x : 1; + unsigned short y : 1; + } value; + struct { + unsigned short final : 1; + unsigned short bits : 3; + unsigned short offset : 12; + } ptr; + unsigned short final : 1; +}; + +union huffpair { + struct { + unsigned short final : 1; + unsigned short hlen : 3; + unsigned short x : 4; + unsigned short y : 4; + } value; + struct { + unsigned short final : 1; + unsigned short bits : 3; + unsigned short offset : 12; + } ptr; + unsigned short final : 1; +}; + +struct hufftable { + union huffpair const *table; + unsigned short linbits; + unsigned short startbits; +}; + +extern union huffquad const *const mad_huff_quad_table[2]; +extern struct hufftable const mad_huff_pair_table[32]; + +# endif
mad-xess/libmad/huffman.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/frame.c =================================================================== --- mad-xess/libmad/frame.c (nonexistent) +++ mad-xess/libmad/frame.c (revision 1765) @@ -0,0 +1,467 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: frame.c,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "global.h" + +# include "bit.h" +# include "stream.h" +# include "frame.h" +# include "timer.h" +# include "layer3.h" + +static +unsigned char const bitrate_table_2k[2][15] = { + /* MPEG-1 */ + { 0/2, 32/2, 40/2, 48/2, 56/2, 64/2, 80/2, 96/2, /* Layer III */ + 112/2, 128/2, 160/2, 192/2, 224/2, 256/2, 320/2 }, + + /* MPEG-2 LSF */ + { 0/2, 8/2, 16/2, 24/2, 32/2, 40/2, 48/2, 56/2, /* Layers */ + 64/2, 80/2, 96/2, 112/2, 128/2, 144/2, 160/2 } /* II & III */ +}; + +static +unsigned short const samplerate_table[3] = { 44100, 48000, 32000 }; + +/* + * NAME: header->init() + * DESCRIPTION: initialize header struct + */ +inline void mad_header_init(struct mad_header *header) +{ + header->crc_check = 0; + header->crc_target = 0; +} + +/* + * NAME: frame->init() + * DESCRIPTION: initialize frame struct + */ +inline void mad_frame_init(struct mad_frame *frame) +{ + mad_header_init(&frame->header); + + frame->options = 0; + + frame->overlap = 0; + mad_frame_mute(frame); +} + +/* + * NAME: frame->finish() + * DESCRIPTION: deallocate any dynamic memory associated with frame + */ +inline void mad_frame_finish(struct mad_frame *frame) +{ + mad_header_finish(&frame->header); +} + +/* + * NAME: decode_header() + * DESCRIPTION: read header data and following CRC word + */ +inline static +int decode_header(struct mad_header *header, struct mad_stream *stream) +{ + unsigned int index; + + header->flags = 0; + header->private_bits = 0; + + /* header() */ + + /* syncword */ + mad_bit_skip(&stream->ptr, 11); + + /* MPEG 2.5 indicator (really part of syncword) */ + if (mad_bit_read(&stream->ptr, 1) == 0) + header->flags |= MAD_FLAG_MPEG_2_5_EXT; + + /* ID */ + if (mad_bit_read(&stream->ptr, 1) == 0) + header->flags |= MAD_FLAG_LSF_EXT; + else if (header->flags & MAD_FLAG_MPEG_2_5_EXT) { + stream->error = MAD_ERROR_LOSTSYNC; + return -1; + } + + /* layer */ + header->layer = 4 - mad_bit_read(&stream->ptr, 2); + + if (header->layer != 3) { + stream->error = MAD_ERROR_BADLAYER; + return -1; + } + + /* protection_bit */ + if (mad_bit_read(&stream->ptr, 1) == 0) { + header->flags |= MAD_FLAG_PROTECTION; + header->crc_check = mad_bit_crc(stream->ptr, 16, 0xffff); + } + + /* bitrate_index */ + index = mad_bit_read(&stream->ptr, 4); + + if (index == 15) { + stream->error = MAD_ERROR_BADBITRATE; + return -1; + } + + if (header->flags & MAD_FLAG_LSF_EXT) + header->bitrate = 2000 * bitrate_table_2k[1][index]; + else + header->bitrate = 2000 * bitrate_table_2k[0][index]; + + /* sampling_frequency */ + index = mad_bit_read(&stream->ptr, 2); + + if (index == 3) { + stream->error = MAD_ERROR_BADSAMPLERATE; + return -1; + } + + header->samplerate = samplerate_table[index]; + + if (header->flags & MAD_FLAG_LSF_EXT) { + header->samplerate /= 2; + + if (header->flags & MAD_FLAG_MPEG_2_5_EXT) + header->samplerate /= 2; + } + + /* padding_bit */ + if (mad_bit_read(&stream->ptr, 1)) + header->flags |= MAD_FLAG_PADDING; + + /* private_bit */ + if (mad_bit_read(&stream->ptr, 1)) + header->private_bits |= MAD_PRIVATE_HEADER; + + /* mode */ + header->mode = 3 - mad_bit_read(&stream->ptr, 2); + + /* mode_extension */ + header->mode_extension = mad_bit_read(&stream->ptr, 2); + + /* copyright */ + if (mad_bit_read(&stream->ptr, 1)) + header->flags |= MAD_FLAG_COPYRIGHT; + + /* original/copy */ + if (mad_bit_read(&stream->ptr, 1)) + header->flags |= MAD_FLAG_ORIGINAL; + + /* emphasis */ + header->emphasis = mad_bit_read(&stream->ptr, 2); + + if (header->emphasis == 2) { + stream->error = MAD_ERROR_BADEMPHASIS; + return -1; + } + + /* error_check() */ + + /* crc_check */ + if (header->flags & MAD_FLAG_PROTECTION) + header->crc_target = mad_bit_read(&stream->ptr, 16); + + return 0; +} + +/* + * NAME: free_bitrate() + * DESCRIPTION: attempt to discover the bitstream's free bitrate + */ +inline static +int free_bitrate(struct mad_stream *stream, struct mad_header const *header) +{ + struct mad_bitptr keep_ptr; + unsigned long rate = 0; + unsigned int pad_slot, slots_per_frame; + unsigned char const *ptr = 0; + + keep_ptr = stream->ptr; + + pad_slot = (header->flags & MAD_FLAG_PADDING) ? 1 : 0; + slots_per_frame = (header->layer == MAD_LAYER_III && + (header->flags & MAD_FLAG_LSF_EXT)) ? 72 : 144; + + while (mad_stream_sync(stream) == 0) { + struct mad_stream peek_stream; + struct mad_header peek_header; + + peek_stream = *stream; + peek_header = *header; + + if (decode_header(&peek_header, &peek_stream) == 0 && + peek_header.layer == header->layer && + peek_header.samplerate == header->samplerate) { + unsigned int N; + + ptr = mad_bit_nextbyte(&stream->ptr); + + N = ptr - stream->this_frame; + + if (header->layer == MAD_LAYER_I) { + rate = (unsigned long) header->samplerate * + (N - 4 * pad_slot + 4) / 48 / 1000; + } + else { + rate = (unsigned long) header->samplerate * + (N - pad_slot + 1) / slots_per_frame / 1000; + } + + if (rate >= 8) + break; + } + + mad_bit_skip(&stream->ptr, 8); + } + + stream->ptr = keep_ptr; + + if (rate < 8 || (header->layer == MAD_LAYER_III && rate > 640)) { + stream->error = MAD_ERROR_LOSTSYNC; + return -1; + } + + stream->freerate = rate * 1000; + +# if 0 && defined(DEBUG) + fprintf(stderr, "free bitrate == %lu\n", stream->freerate); +# endif + + return 0; +} + +/* + * NAME: header->decode() + * DESCRIPTION: read the next frame header from the stream + */ +int mad_header_decode(struct mad_header *header, struct mad_stream *stream) +{ + register unsigned char const *ptr, *end; + unsigned int pad_slot, N; + + ptr = stream->next_frame; + end = stream->bufend; + + if (ptr == 0) { + stream->error = MAD_ERROR_BUFPTR; + goto fail; + } + + /* stream skip */ + if (stream->skiplen) { + if (!stream->sync) + ptr = stream->this_frame; + + if (end - ptr < stream->skiplen) { + stream->skiplen -= end - ptr; + stream->next_frame = end; + + stream->error = MAD_ERROR_BUFLEN; + goto fail; + } + + ptr += stream->skiplen; + stream->skiplen = 0; + + stream->sync = 1; + } + + sync: + /* synchronize */ + if (stream->sync) { + if (end - ptr < MAD_BUFFER_GUARD) { + stream->next_frame = ptr; + + stream->error = MAD_ERROR_BUFLEN; + goto fail; + } + else if (!(ptr[0] == 0xff && (ptr[1] & 0xe0) == 0xe0)) { + /* mark point where frame sync word was expected */ + stream->this_frame = ptr; + stream->next_frame = ptr + 1; + + stream->error = MAD_ERROR_LOSTSYNC; + goto fail; + } + } + else { + mad_bit_init(&stream->ptr, ptr); + + if (mad_stream_sync(stream) == -1) { + if (end - stream->next_frame >= MAD_BUFFER_GUARD) + stream->next_frame = end - MAD_BUFFER_GUARD; + + stream->error = MAD_ERROR_BUFLEN; + goto fail; + } + + ptr = mad_bit_nextbyte(&stream->ptr); + } + + /* begin processing */ + stream->this_frame = ptr; + stream->next_frame = ptr + 1; /* possibly bogus sync word */ + + mad_bit_init(&stream->ptr, stream->this_frame); + + if (decode_header(header, stream) == -1) + goto fail; + + /* calculate frame duration */ + mad_timer_set(&header->duration, 0, + 32 * MAD_NSBSAMPLES(header), header->samplerate); + + /* calculate free bit rate */ + if (header->bitrate == 0) { + if ((!stream->sync || !stream->freerate) && + free_bitrate(stream, header) == -1) + goto fail; + + header->bitrate = stream->freerate; + header->flags |= MAD_FLAG_FREEFORMAT; + } + + /* calculate beginning of next frame */ + pad_slot = (header->flags & MAD_FLAG_PADDING) ? 1 : 0; + + if (header->layer == MAD_LAYER_I) + N = ((12 * header->bitrate / header->samplerate) + pad_slot) * 4; + else { + unsigned int slots_per_frame; + + slots_per_frame = (header->layer == MAD_LAYER_III && + (header->flags & MAD_FLAG_LSF_EXT)) ? 72 : 144; + + N = (slots_per_frame * header->bitrate / header->samplerate) + pad_slot; + } + + /* verify there is enough data left in buffer to decode this frame */ + if (N + MAD_BUFFER_GUARD > end - stream->this_frame) { + stream->next_frame = stream->this_frame; + + stream->error = MAD_ERROR_BUFLEN; + goto fail; + } + + stream->next_frame = stream->this_frame + N; + + if (!stream->sync) { + /* check that a valid frame header follows this frame */ + + ptr = stream->next_frame; + if (!(ptr[0] == 0xff && (ptr[1] & 0xe0) == 0xe0)) { + ptr = stream->next_frame = stream->this_frame + 1; + goto sync; + } + + stream->sync = 1; + } + + header->flags |= MAD_FLAG_INCOMPLETE; + + return 0; + + fail: + stream->sync = 0; + + return -1; +} + +/* + * NAME: frame->decode() + * DESCRIPTION: decode a single frame from a bitstream + */ +int mad_frame_decode(struct mad_frame *frame, struct mad_stream *stream) +{ + frame->options = stream->options; + + /* header() */ + /* error_check() */ + + if (!(frame->header.flags & MAD_FLAG_INCOMPLETE) && + mad_header_decode(&frame->header, stream) == -1) + goto fail; + + /* audio_data() */ + + frame->header.flags &= ~MAD_FLAG_INCOMPLETE; + + if (frame->header.layer != 3) + goto fail; + if (mad_layer_III(stream, frame) == -1) { + if (!MAD_RECOVERABLE(stream->error)) + stream->next_frame = stream->this_frame; + + goto fail; + } + + /* ancillary_data() */ + + if (frame->header.layer != MAD_LAYER_III) { + struct mad_bitptr next_frame; + + mad_bit_init(&next_frame, stream->next_frame); + + stream->anc_ptr = stream->ptr; + stream->anc_bitlen = mad_bit_length(&stream->ptr, &next_frame); + + mad_bit_finish(&next_frame); + } + + return 0; + + fail: + stream->anc_bitlen = 0; + return -1; +} + +/* + * NAME: frame->mute() + * DESCRIPTION: zero all subband values so the frame becomes silent + */ +void mad_frame_mute(struct mad_frame *frame) +{ + unsigned int s, sb; + + for (s = 0; s < 36; ++s) { + for (sb = 0; sb < 32; ++sb) { + frame->sbsample[0][s][sb] = + frame->sbsample[1][s][sb] = 0; + } + } + + if (frame->overlap) { + for (s = 0; s < 18; ++s) { + for (sb = 0; sb < 32; ++sb) { + (*frame->overlap)[0][sb][s] = + (*frame->overlap)[1][sb][s] = 0; + } + } + } +}
mad-xess/libmad/frame.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/stream.c =================================================================== --- mad-xess/libmad/stream.c (nonexistent) +++ mad-xess/libmad/stream.c (revision 1765) @@ -0,0 +1,116 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: stream.c,v 1.3 2001-11-06 17:01:28 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +# include "global.h" + +# include "bit.h" +# include "stream.h" + +/* + * NAME: stream->init() + * DESCRIPTION: initialize stream struct + */ +inline void mad_stream_init(struct mad_stream *stream) +{ + stream->buffer = 0; + stream->bufend = 0; + stream->skiplen = 0; + + stream->sync = 0; + stream->freerate = 0; + + stream->this_frame = 0; + stream->next_frame = 0; + mad_bit_init(&stream->ptr, 0); + + mad_bit_init(&stream->anc_ptr, 0); + stream->anc_bitlen = 0; + + stream->main_data = 0; + stream->md_len = 0; + + stream->options = 0; + stream->error = 0; +} + +/* + * NAME: stream->finish() + * DESCRIPTION: deallocate any dynamic memory associated with stream + */ +inline void mad_stream_finish(struct mad_stream *stream) +{ + mad_bit_finish(&stream->anc_ptr); + mad_bit_finish(&stream->ptr); +} + +/* + * NAME: stream->buffer() + * DESCRIPTION: set stream buffer pointers + */ +inline void mad_stream_buffer(struct mad_stream *stream, + unsigned char const *buffer, unsigned long length) +{ + stream->buffer = buffer; + stream->bufend = buffer + length; + + stream->this_frame = buffer; + stream->next_frame = buffer; + + stream->sync = 1; + + mad_bit_init(&stream->ptr, buffer); +} + +/* + * NAME: stream->skip() + * DESCRIPTION: arrange to skip bytes before the next frame + */ +static void mad_stream_skip(struct mad_stream *stream, unsigned long length) +{ + stream->skiplen += length; +} + +/* + * NAME: stream->sync() + * DESCRIPTION: locate the next stream sync word + */ +int mad_stream_sync(struct mad_stream *stream) +{ + register unsigned char const *ptr, *end; + + ptr = mad_bit_nextbyte(&stream->ptr); + end = stream->bufend; + + while (ptr < end - 1 && + !(ptr[0] == 0xff && (ptr[1] & 0xe0) == 0xe0)) + ++ptr; + + if (end - ptr < MAD_BUFFER_GUARD) + return -1; + + mad_bit_init(&stream->ptr, ptr); + + return 0; +}
mad-xess/libmad/stream.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/libmad/Makefile =================================================================== --- mad-xess/libmad/Makefile (nonexistent) +++ mad-xess/libmad/Makefile (revision 1765) @@ -0,0 +1,59 @@ +CC = or32-rtems-gcc +AS = or32-rtems-as +AR = or32-rtems-ar +RUNLIB = or32-rtems-ranlib + +LIBMAD_OBJ = fixed.o \ + bit.o \ + timer.o \ + stream.o \ + frame.o \ + synth.o \ + decoder.o \ + layer3.o \ + huffman.o + +CC_OPTS = -DHAVE_CONFIG_H -DFPM_DEFAULT -fno-delayed-branch -Wall -nostdlib \ + -g -O -fforce-mem -fforce-addr -fthread-jumps -fcse-follow-jumps \ + -fcse-skip-blocks -fexpensive-optimizations -fregmove -fschedule-insns2 \ + -I. -I./../ + +all: libmad.a + +libmad.a: $(LIBMAD_OBJ) + $(AR) cru libmad.a $(LIBMAD_OBJ) + $(RUNLIB) libmad.a + +fixed.o: fixed.c config.h global.h fixed.h + $(CC) $(CC_OPTS) -c -o fixed.o fixed.c + +bit.o: bit.c config.h global.h bit.h + $(CC) $(CC_OPTS) -c -o bit.o bit.c + +timer.o: timer.c config.h global.h timer.h + $(CC) $(CC_OPTS) -c -o timer.o timer.c + +stream.o: stream.c config.h global.h bit.h stream.h + $(CC) $(CC_OPTS) -c -o stream.o stream.c + +frame.o: frame.c config.h global.h bit.h stream.h frame.h fixed.h timer.h layer3.h + $(CC) $(CC_OPTS) -c -o frame.o frame.c + +synth.o: synth.c config.h global.h fixed.h frame.h timer.h stream.h bit.h synth.h \ + ../audio.h mad.h D.dat + $(CC) $(CC_OPTS) -c -o synth.o synth.c + +decoder.o: decoder.c config.h global.h stream.h bit.h frame.h fixed.h timer.h \ + synth.h decoder.h + $(CC) $(CC_OPTS) -c -o decoder.o decoder.c + +layer3.o: layer3.c config.h global.h fixed.h bit.h stream.h frame.h timer.h \ + huffman.h layer3.h rq_short.dat imdct_s.dat + $(CC) $(CC_OPTS) -c -o layer3.o layer3.c + +huffman.o: huffman.c config.h global.h huffman.h + $(CC) $(CC_OPTS) -c -o huffman.o huffman.c + +clean: + rm -rf $(LIBMAD_OBJ) libmad.a *~ *.bak +
mad-xess/libmad/Makefile Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/fsyst.c =================================================================== --- mad-xess/fsyst.c (nonexistent) +++ mad-xess/fsyst.c (revision 1765) @@ -0,0 +1,102 @@ +#ifdef HAVE_CONFIG_H +# include "config.h" +#endif + +#ifndef EMBED +# include +# include +#else +# warning assert checking disabled +# define assert(x) +#endif + +#include "fsyst.h" + +/* Return next file. */ + +inline struct file_struct * +next_file (struct file_struct *file) { + return (struct file_struct *)((unsigned char *)file + swap(file->length)); +} + +/* Returns file, that holds track number. */ + +struct file_struct * +find_track_no (int no, struct file_struct *root) { + assert(swap(root->type) == FT_ROOT); + while (swap(root->type) != FT_END) { + if (swap(root->type) == FT_TRACK_NO && swap(root->data[0]) == no) + return root; + root = next_file (root); + } + return 0; +} + +/* Returns track name from file struct, pointing at FT_TRACK_NO. */ + +char * +track_name (struct file_struct *fs) { + assert(swap(fs->type) == FT_TRACK_NO); + fs = next_file (fs); + if (swap(fs->type) == FT_TRACK_NAME) + return (char *)&fs->data[0]; + else + return ""; +} + +/* Returns track data from file struct, pointing at FT_TRACK_NO. */ + +struct file_struct * +track_data (struct file_struct *fs) { + assert(swap(fs->type) == FT_TRACK_NO); + fs = next_file (fs); + if (swap(fs->type) == FT_TRACK_NAME) + fs = next_file (fs); + assert (swap(fs->type) == FT_TRACK_DATA); + return fs; +} + +/* Finds last record. */ + +inline struct file_struct * +end_file (struct file_struct *root) { + assert(swap(root->type) == FT_ROOT); + while (swap(root->type) != FT_END) + root = next_file (root); + return root; +} + +/* Adds file to the end of file list. Returns new file address, if + sucessful, otherwise NULL. */ + +struct file_struct * +add_file (struct file_struct *root, struct file_struct *file) { + struct file_struct *end = end_file (root); + memcpy (end, file, file->length); + file = end; + end = next_file (end); + end->type = swap(FT_END); + end->length = swap(0); + return file; +} + +/* Initializes filesystem at root. */ + +void +init_fsyst (struct file_struct *root) { + root->type = swap(FT_ROOT); + root->length = swap(sizeof (struct file_struct) - sizeof (unsigned int)); + root = next_file (root); + root->type = swap(FT_END); + root->length = swap(0); +} + +#ifndef EMBED +unsigned int swap (unsigned int x) { + return (x & 0xFF) << 24 + | (x & 0xFF00) << 8 + | (x & 0xFF0000) >> 8 + | (x & 0xFF000000) >> 24; +} +#endif +
mad-xess/fsyst.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/config.h =================================================================== --- mad-xess/config.h (nonexistent) +++ mad-xess/config.h (revision 1765) @@ -0,0 +1,100 @@ +/* config.h. Generated automatically by configure. */ +/* config.h.in. Generated automatically from configure.in by autoheader. */ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: config.h,v 1.6 2001-11-06 17:01:07 simons Exp $ + */ + +# ifndef CONFIG_H +# define CONFIG_H + +/***************************************************************************** + * Definitions selected automatically by `configure' * + *****************************************************************************/ + +/* Define to empty if the keyword does not work. */ +/* #undef const */ + +/* Define if you don't have vprintf but do have _doprnt. */ +/* #undef HAVE_DOPRNT */ + +/* Define if you have a working `mmap' system call. */ +/* #undef HAVE_MMAP */ + +/* Define if you have the vprintf function. */ +/* #undef HAVE_VPRINTF */ + +/* Define as __inline if that's what the C compiler calls it. */ +/* #undef inline */ + +/* Define if you need to in order for stat and other things to work. */ +/* #undef _POSIX_SOURCE */ + +/* Define if you have the ANSI C header files. */ +#define STDC_HEADERS 1 + +/* Define to be the name of your default audio output module. */ +#define AUDIO_DEFAULT audio_null + +/* Define if you have mmap() and you want to use it. */ +#define USE_MMAP 1 + +/* Define to enable diagnostic debugging support. */ +/* #undef DEBUG */ + +/* Define to disable debugging assertions. */ +/* #undef NDEBUG */ + +/* Define to enable experimental code. */ +/* #undef EXPERIMENTAL */ + +#define EMBED 1 + +/* Define to enable pcm output trogh or1ksim simulator */ +/*#define OR1K_SIM 1*/ + +/* Define if you have the getpagesize function. */ +/* #undef HAVE_GETPAGESIZE */ + +/* Define if you have the madvise function. */ +/* #undef HAVE_MADVISE */ + +/* Define if you have the strcasecmp function. */ +#define HAVE_STRCASECMP 1 + +/* Define if you have the strncasecmp function. */ +#define HAVE_STRNCASECMP 1 + +/* Define if you have the header file. */ +#define HAVE_UNISTD_H 1 + +/* Define if you have the efence library (-lefence). */ +/* #undef HAVE_LIBEFENCE */ + +/* Name of package */ +#define PACKAGE "mad" + +/* Version number of package */ +#define VERSION "0.13.0b" + +/***************************************************************************** + * End of automatically configured definitions * + *****************************************************************************/ + +# endif
mad-xess/config.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/fsyst.h =================================================================== --- mad-xess/fsyst.h (nonexistent) +++ mad-xess/fsyst.h (revision 1765) @@ -0,0 +1,56 @@ + + +/* Where does filesystem start */ +extern unsigned char *root_file; +#define ROOT_FILE ((struct file_struct *)root_file)//0x20000) + +enum enum_file_type { + /* Must be first file. */ + FT_ROOT, + /* Track number. */ + FT_TRACK_NO, + /* Track name. */ + FT_TRACK_NAME, + /* Track data. */ + FT_TRACK_DATA, + /* Last record. */ + FT_END +}; + +struct file_struct { + /* Length of file, including header. */ + unsigned int length; + + /* File type. */ + enum enum_file_type type; + + /* Actual file data. */ + unsigned int data[1]; +}; + +#define HEADER_SIZE (sizeof (struct file_struct) - sizeof (unsigned int)) + +/* Returns file, that holds track number. */ +extern struct file_struct *find_track_no (int no, struct file_struct *root); + +/* Returns track name from file struct, pointing at FT_TRACK_NO. */ +extern char *track_name (struct file_struct *fs); + +/* Returns track data from file struct, pointing at FT_TRACK_NO. */ +extern struct file_struct *track_data (struct file_struct *fs); + +/* Finds last record. */ +extern struct file_struct * end_file (struct file_struct *root); + +/* Adds file to the end of file list. Returns new file address, if + sucessful, otherwise NULL. */ +extern struct file_struct *add_file (struct file_struct *root, + struct file_struct *file); +/* Initializes filesystem at root. */ +extern void init_fsyst (struct file_struct *root); + +#ifdef EMBED +#define swap(x) (x) +#else +extern unsigned int swap (unsigned int x); +#endif
mad-xess/fsyst.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/acconfig.h =================================================================== --- mad-xess/acconfig.h (nonexistent) +++ mad-xess/acconfig.h (revision 1765) @@ -0,0 +1,73 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: acconfig.h,v 1.3 2001-11-06 17:01:07 simons Exp $ + */ + +# ifndef CONFIG_H +# define CONFIG_H + +/***************************************************************************** + * Definitions selected automatically by `configure' * + *****************************************************************************/ +@TOP@ + +/* Define to the name of the distribution. */ +#undef PACKAGE + +/* Define to the version of the distribution. */ +#undef VERSION + +/* Define to be the name of your default audio output module. */ +#define AUDIO_DEFAULT 0 + +/* Define if you have mmap() and you want to use it. */ +#undef USE_MMAP + +/* Define to 1 if NLS is requested. */ +#undef ENABLE_NLS + +/* Define as 1 if you have catgets and don't want to use GNU gettext. */ +#undef HAVE_CATGETS + +/* Define as 1 if you have gettext and don't want to use GNU gettext. */ +#undef HAVE_GETTEXT + +/* Define if your locale.h file contains LC_MESSAGES. */ +#undef HAVE_LC_MESSAGES + +/* Define as 1 if you have the stpcpy function. */ +#undef HAVE_STPCPY + +/* Define to enable diagnostic debugging support. */ +#undef DEBUG + +/* Define to disable debugging assertions. */ +#undef NDEBUG + +/* Define to enable experimental code. */ +#undef EXPERIMENTAL + +#undef EMBED + +@BOTTOM@ +/***************************************************************************** + * End of automatically configured definitions * + *****************************************************************************/ + +# endif
mad-xess/acconfig.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/audio_oss.c =================================================================== --- mad-xess/audio_oss.c (nonexistent) +++ mad-xess/audio_oss.c (revision 1765) @@ -0,0 +1,163 @@ +/* + * mad - MPEG audio decoder + * Copyright (C) 2000-2001 Robert Leslie + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA + * + * $Id: audio_oss.c,v 1.3 2001-11-06 17:01:07 simons Exp $ + */ + +# ifdef HAVE_CONFIG_H +# include "config.h" +# endif + +#ifndef EMBED +# include +# include +# include +# include +# include + +# include "mad.h" +# include "audio.h" + +# if !defined(AFMT_S32_NE) +# if defined(WORDS_BIGENDIAN) +# define AFMT_S32_NE AFMT_S32_BE +# else +# define AFMT_S32_NE AFMT_S32_LE +# endif +# endif + +# if !defined(AFMT_S16_NE) +# if defined(WORDS_BIGENDIAN) +# define AFMT_S16_NE AFMT_S16_BE +# else +# define AFMT_S16_NE AFMT_S16_LE +# endif +# endif + +# if !defined(SNDCTL_DSP_CHANNELS) && defined(SOUND_PCM_WRITE_CHANNELS) +# define SNDCTL_DSP_CHANNELS SOUND_PCM_WRITE_CHANNELS +# endif + +# define AUDIO_DEVICE "/dev/dsp" + +static int sfd; +static unsigned int (*audio_pcm)(unsigned char *, unsigned int, + mad_fixed_t const *, mad_fixed_t const *); +inline static +int init(struct audio_init *init) +{ + if (init->path == 0) + init->path = AUDIO_DEVICE; + + sfd = open(init->path, O_WRONLY); + if (sfd == -1) { + audio_error = ":"; + return -1; + } + + return 0; +} + +inline static +int config(struct audio_config *config) +{ + int format; + + if (ioctl(sfd, SNDCTL_DSP_SYNC, 0) == -1) { + audio_error = ":ioctl(SNDCTL_DSP_SYNC)"; + return -1; + } + + format = AFMT_S16_NE; + + if (ioctl(sfd, SNDCTL_DSP_SETFMT, &format) == -1) { + audio_error = ":ioctl(SNDCTL_DSP_SETFMT)"; + return -1; + } + + audio_pcm = audio_pcm_s16le; + + if (ioctl(sfd, SNDCTL_DSP_CHANNELS, &config->channels) == -1) { + audio_error = ":ioctl(SNDCTL_DSP_CHANNELS)"; + return -1; + } + + if (ioctl(sfd, SNDCTL_DSP_SPEED, &config->speed) == -1) { + audio_error = ":ioctl(SNDCTL_DSP_SPEED)"; + return -1; + } + + return 0; +} + +inline +int output_s(unsigned char const *ptr, unsigned int len) +{ + while (len) { + int wrote; + + wrote = write(sfd, ptr, len); + if (wrote == -1) { + if (errno == EINTR) { + printf("."); + continue; + } + else { + audio_error = ":write"; + return -1; + } + } + + ptr += wrote; + len -= wrote; + } + + return 0; +} + +inline static +int finish(struct audio_finish *finish) +{ + int result = 0; + + if (close(sfd) == -1 && result == 0) { + audio_error = ":close"; + result = -1; + } + + return result; +} + +inline int audio_oss(union audio_control *control) +{ + audio_error = 0; + + switch (control->command) { + case AUDIO_COMMAND_INIT: + return init(&control->init); + + case AUDIO_COMMAND_CONFIG: + return config(&control->config); + + case AUDIO_COMMAND_FINISH: + return finish(&control->finish); + } + + return 0; +} +#endif
mad-xess/audio_oss.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/memcpy.c =================================================================== --- mad-xess/memcpy.c (nonexistent) +++ mad-xess/memcpy.c (revision 1765) @@ -0,0 +1,116 @@ +/* +FUNCTION + <>---copy memory regions + +ANSI_SYNOPSIS + #include + void* memcpy(void *<[out]>, const void *<[in]>, size_t <[n]>); + +TRAD_SYNOPSIS + void *memcpy(<[out]>, <[in]>, <[n]> + void *<[out]>; + void *<[in]>; + size_t <[n]>; + +DESCRIPTION + This function copies <[n]> bytes from the memory region + pointed to by <[in]> to the memory region pointed to by + <[out]>. + + If the regions overlap, the behavior is undefined. + +RETURNS + <> returns a pointer to the first byte of the <[out]> + region. + +PORTABILITY +<> is ANSI C. + +<> requires no supporting OS subroutines. + +QUICKREF + memcpy ansi pure + */ +#ifdef HAVE_CONFIG_H +# include "config.h" +#endif + +#ifdef EMBED +#include <_ansi.h> +#include +#include + +/* Nonzero if either X or Y is not aligned on a "long" boundary. */ +#define UNALIGNED(X, Y) \ + (((long)X & (sizeof (long) - 1)) | ((long)Y & (sizeof (long) - 1))) + +/* How many bytes are copied each iteration of the 4X unrolled loop. */ +#define BIGBLOCKSIZE (sizeof (long) << 2) + +/* How many bytes are copied each iteration of the word copy loop. */ +#define LITTLEBLOCKSIZE (sizeof (long)) + +/* Threshhold for punting to the byte copier. */ +#define TOO_SMALL(LEN) ((LEN) < BIGBLOCKSIZE) + +_PTR +_DEFUN (memcpy, (dst0, src0, len0), + _PTR dst0 _AND + _CONST _PTR src0 _AND + size_t len0) +{ +#if defined(PREFER_SIZE_OVER_SPEED) || defined(__OPTIMIZE_SIZE__) + char *dst = (char *) dst0; + char *src = (char *) src0; + + _PTR save = dst0; + + while (len0--) + { + *dst++ = *src++; + } + + return save; +#else + char *dst = dst0; + _CONST char *src = src0; + long *aligned_dst; + _CONST long *aligned_src; + int len = len0; + + /* If the size is small, or either SRC or DST is unaligned, + then punt into the byte copy loop. This should be rare. */ + if (!TOO_SMALL(len) && !UNALIGNED (src, dst)) + { + aligned_dst = (long*)dst; + aligned_src = (long*)src; + + /* Copy 4X long words at a time if possible. */ + while (len >= BIGBLOCKSIZE) + { + *aligned_dst++ = *aligned_src++; + *aligned_dst++ = *aligned_src++; + *aligned_dst++ = *aligned_src++; + *aligned_dst++ = *aligned_src++; + len -= BIGBLOCKSIZE; + } + + /* Copy one long word at a time if possible. */ + while (len >= LITTLEBLOCKSIZE) + { + *aligned_dst++ = *aligned_src++; + len -= LITTLEBLOCKSIZE; + } + + /* Pick up any residual with a byte copier. */ + dst = (char*)aligned_dst; + src = (char*)aligned_src; + } + + while (len--) + *dst++ = *src++; + + return dst0; +#endif /* not PREFER_SIZE_OVER_SPEED */ +} +#endif
mad-xess/memcpy.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/Makefile =================================================================== --- mad-xess/Makefile (nonexistent) +++ mad-xess/Makefile (revision 1765) @@ -0,0 +1,71 @@ +CC = or32-rtems-gcc +AS = or32-rtems-as +AR = or32-rtems-ar +RUNLIB = or32-rtems-ranlib + +SUBDIRS = libmad + +MAD_OBJ = reset.o \ + minimad.o \ + fsyst.o \ + audio_oss.o \ + audio.o \ + memcpy.o \ + memmove.o \ + flash.o + + +CC_OPTS = -DHAVE_CONFIG_H -fno-delayed-branch -Wall -nostdlib \ + -I. -I./libmad/ + +#LD_OPTS = -T xess.ld -L/home/simons/or1k/lib/gcc-lib/or32-rtems/2.95.2/ +LD_OPTS = -T xess.ld + +all: + @set fnord $(MAKEFLAGS); amf=$$2; \ + target=`echo $@ | sed s/-recursive//`; \ + list='$(SUBDIRS)'; for subdir in $$list; do \ + echo "Making $$target in $$subdir"; \ + (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$target) \ + || case "$$amf" in *=*) exit 1;; *k*) fail=yes;; *) exit 1;; esac; \ + done; \ + $(MAKE) $(AM_MAKEFLAGS) "minimad" || exit 1; \ + +minimad: $(MAD_OBJ) libmad/libmad.a + $(CC) $(LD_OPTS) -o minimad $(MAD_OBJ) libmad/libmad.a + +reset.o: reset.S + $(CC) $(CC_OPTS) -c -o reset.o reset.S + +minimad.o: minimad.c config.h audio.h libmad/mad.h fsyst.h + $(CC) $(CC_OPTS) -c -o minimad.o minimad.c + +fsyst.o: fsyst.c config.h fsyst.h + $(CC) $(CC_OPTS) -c -o fsyst.o fsyst.c + +audio_oss.o: audio_oss.c config.h + $(CC) $(CC_OPTS) -c -o audio_oss.o audio_oss.c + +audio.o: audio.c config.h audio.h libmad/mad.h + $(CC) $(CC_OPTS) -c -o audio.o audio.c + +memcpy.o: memcpy.c config.h _ansi.h + $(CC) $(CC_OPTS) -c -o memcpy.o memcpy.c + +memmove.o: memmove.c config.h + $(CC) $(CC_OPTS) -c -o memmove.o memmove.c + +flash.o: flash.c config.h + $(CC) $(CC_OPTS) -c -o flash.o flash.c + + +clean: + @set fnord $(MAKEFLAGS); amf=$$2; \ + target=`echo $@ | sed s/-recursive//`; \ + list='$(SUBDIRS)'; for subdir in $$list; do \ + echo "Making $$target in $$subdir"; \ + (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$target) \ + || case "$$amf" in *=*) exit 1;; *k*) fail=yes;; *) exit 1;; esac; \ + done; \ + rm -rf $(MAD_OBJ) minimad *~ *.bak +
mad-xess/Makefile Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: mad-xess/songs/Beethoven-Symphony_No_5_in_C_Minor-16.mp3 =================================================================== --- mad-xess/songs/Beethoven-Symphony_No_5_in_C_Minor-16.mp3 (nonexistent) +++ mad-xess/songs/Beethoven-Symphony_No_5_in_C_Minor-16.mp3 (revision 1765) @@ -0,0 +1,2525 @@ +˙ó ÄHŔ˙ŽçÎ""PˆUËxîz"' ˙ôOwsŽç „ĄđüÇđ˙AF˙ó Ä'ń$C /ž_í24ʧ?ÝÉÍÔŕđHœ܂“,.;Č&X‘uOžzĎ.˙ó Ä*t‚š8ik<ůče•‹˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ü ˙ó"Äd‚XɊB7ÉŁňĚŚ7đřŐŁ +%SÂhňŻW\ÝQ@ÓK›ŤŞŹŤmE˙ó ÄXB$ŘŁ7M@)K‚Ă^ůĄhÓ $)шh×­Šp;Ąčk + +[Ąë;Ć˙ó Ä"HN$ÂF$9ȘŞçiŤaDĘœx™OŔŽ +€GŔŤ:Áhń×ç˛9k˙ó Ä' R$ŇF$QĹXšŃXi„S.č´Đ-ž×S˙öžúýţ.Çĺh@8ét˙ó"Ä)đB$ф%őŠKöi󘁣°L`ŕčĂ!š;(“oD÷Ž:„dš Ş˙ó Ä-ŔF$ÂD$)–ű¨†DœáŚPÄYŕşDŤ<‡"˘œ +mH\C ž~‘I˙ó Ä1:0ËŘqx +żˇ€ňŤţő0 >4$";+ ĚДVԅybŞŞŞ˙ó ÄđVąJF& ĹčpŔĺ)ĆH" +:°!w“SS/[ŕűë´ ĺ,˙˙˙đ™A˙ó"Ä +˜Ňš`Ćq§Ůžŕ‡äœPȆŠÇŁ›‡n7,ƒšŇNťáÖ{?†|ý–[9Ú˙ó Ä *´a„q*NT‡Â†Úeš‘§cÎ÷čF~…c˝ú;Űďzu˘ˆů@b‘łŕô˙ó Ä !&͐HÄq Çúü`+â—ĐeM˛úÂѤdŞŽ˝V[,ˇŠő}Pp€7đótL˙ó Ä ‚ɐY„HvaBŽĆG×lC^žJ”TMÜ{a2ÇÍ,Ç€€3€ňČHM$˙ó"Ä řţÁYÄp¸H—EŚë˝qâÓ §uYćc“jŮč źFű5ŚnśU—†GěUyÎ˙ó Ä +Q&°z„qӀ(:ě~­’˙8ľmú7§_耞c4C€ +ŞE\,:€rŁ˙ó Ä Ö´bpJŢ Pwż/Js#ΰ3]VoÉ€Ä"šlŒ!6$Šă´–ił= +Ë„˙ó Ä +Ą*ŹzPqԂǛbš26˛â2ÄTłMfň@.[ÓHC^Ł]Ţ,Ł Š@ü6Q˙ó"Ä`ŇŔH„pŞ +ą@3!ˆhÎţtfşj+S˛h-ÜňîŹ'XŔ9ýtĺÝî˙ó Ä +é*ɐyDpÍáęĽŘ†xşzx‡Ťş:ý,+÷ăX€4Ě<6MvŘĽY˙ó Ä + ÖÁpyDp—2 ŐÄ{MĐ&ľgTZťţČ)űŽw=@řFIvŘŹCĽŽd ˙ó ÄČÖ´xÄp[+ÚéÄp“=˜›4Ěs7{—ČŔ¨zËtľEĘÂ%.`üě˙ó"Ä .˝Xy„qXVË4˙ÚcČLŐ#Zv¨8˙!™LŐv!„kŒŔ =í ň“H˙ó Ä *͸IFq‘œh~Ťrz´L­„pƒůŢx¤L˝!<ęHX"ýEH/ňĺf˙ó Äé"Äzq°žÜB„O`şgŽ9ÍÖ7FĚĽfÝY.n\Ę-7< jĚ칢° ˙ó Ä +ĐÖÄ(Z„p”D]Š†§™˜é˜ÚڜŹżvWf ×Q˘ş€¨9Ęü‘iX6˙ó"Ä +đúÚX0„q€aHúwţv˛ł‘}ë2żŽ‰<Ýpý +]>6X¤°trięsŸ§† ˙ó ĸ‚¸bVHŤ×~ňťŚP&Á`ČMş|H­đœTëŃ°¨ąžŔˆŇAej˙ó Ä +ŘÖ͐`ĆqϨGšĂ[Ž=Ĺp B}šŠčďřN?`@ B:TՎ€qÄ_ J˙ó Ä Ą*ź8aŒqN2ퟱ—­­+ݙ,Y“ˇ2ůišˇÖĎ)Ť" +gÜ6ŸPç˙ó"Ä XĆČI„q…3@­ +•0őĽ +·Číšţ4älÝmżéď;[úŃ[€O9ŔĚ&0˙ó Ä°jĐ(JL(Çčzd’ą(Sü˜tśy˘1ĺĎ!ĆO:yěřŘTáä‘Îudč +˙ó Ä +8ŚĚK +L˛ČŰ)T8~ :ôálĄM¨kďť ‹Ô0Ŕ5„"Ú‘ÂKś +ý˙ó ĈfĐ(BĚ(đąő…ˇ°láüEĂÄt€€7đç|­Ą)@´Ř(kž× –˙ó"ÄčŞŮI„Lü} +Ő2ŁęQaN8 ˆŃPaă 0†łŕOZ…$ŰĎĘź–Ş˙ó ÄčŚŃI„LřŽ(t”şra‹X7ŕ´F‚Fk 6Ma!5ӏЉBYM¨Ďů˙ó Ä ٘1Dp˙¤´TWÄÇľŕqů¨IĽ#ÁŽ=ęŔ–őĂ +żńśŽRć|ÓŇ˙ó ÄxĆÄJp|JíÖ˛ř]jĐ8ľĄ–ĂyŠĘˆ˜Ŕ íV'ĐĎű_J„?˙ó"Ä" Y +ĺ¸0„q¸"ŔLŕńsKF‡’7ŘÚ`×ʟr+id$Y}FŁ-UOąML‘˙ó Ä$ ŚČČJNLPlç¨ÔbsœIjl lłÖ +ţöťrq=f(ÝYŃ*]&e›’ +˙ó Ä&HÎĐČHÄr@8xŁ“‚†čş@–PŽôâűĺ¨s•Ő[óˇé{ęqŔ@뢝Y ˙ó Ä+  +ٸH„p˛Yť´EبŒé||“¤Í†ˇŻ^ľšá›ľşa  őđđ#§ Ă˙ó"Ä-ŕćŔyÄp;m!1ŒĄ…oQNZNŮ°A=˛[Ô¤~ŇÖGă@˛đú'ÓIwŒŤ˙ó Ä1 ѐIDp#úן/Ţוp…@ŰňçňÂÂÎhbôžĄ ůWGr^ŃîScŕÜqQ5˙ó Ä3 fѐK(ęDwěßáúH_&¤3ďS?áGýWč +pđĎčŽJČĹ(NZ=Ž˙ó Ä5 !&ŔzDqŻ‘z÷Šfkő_Łš˝t`Ÿ€˜LÖ>A5xCHĽ—ŘÖœ˙ó Ä7ČęŔzpŰh˙Đßš—ŐG:¤Žpţ€ń:ĄĽÄÓęďÍ +×t󛝗ŇsËÂ˙ó"Ä:`îŔaÄp>źGG܉˝RŢŻü3ú‘ ˜z@ßm?0'¸ĚGżÎńtŚ§oĎ_D˙ó Ä@ JźI„qfŽ˘ęnœ8>—#+†l ÂČXBYďDŐ.„űg'ŕĘ|+s\˙ó ÄBaźJp,ݬˀ`źœ9€ü—şYÎLJ˜2ÎĽŕúňęÎěßçü#î.{,˙ó ÄG ĆźaÄpa€b ŕŠ;ŘŰĽ‘˙ŻĐCÓd w›‹âŒĘ˜ÔTXb˙ó"ÄI HćŢX0ÄpŔdŕŁt;ř>ŘPԗ`ÂXŤt›čVy­ýč”÷¤ŤáŔB +˙ó ÄKЌɐY„L<DtjgƒĚÓG:×:ó9×(WŽ†oŮ˙ÇËP$śąa°ÖŔ0đ˙ó ÄN ! +ŢX0„pä- âƒÚŔ†¨Ś‡­Kî1חECH +ž‹úŤćŘHëáň ôY#CG˙ó ÄP ! +ĹpIÄp  uŇM@A庐?}ľ˘„iČ3zÂ\‡(é0‚€|ŕŇA(Ň#×˙ó"ÄR YŐ1Äp°RŔ‘Î÷čí ‹VT™ž‡ŕ6ĽBś ôťD”rČ­KazAťŠm˙ó ÄTŕŞŔI„L^;\MP„~°4ĺŽeůcŘŠ°›%))/†ƒŹß}}O÷Rú˙ó Ä[đŠÉYŠLÉN4ˇ­úúŸ”ÂqXiŮÖ‹°ŰŒ B +5­íÝą<ż˙ó Ä^hŚźzDLŘżs_ŠJvö8]`–š!R‰#CT]JŹˆ3rʙNŠśÎžXşf +é˙ó"ÄcpŞźbJLş7|Aßł‰ŔíKŁŇt[NĆłm›CP=„wŤŠ3>ÝcŻ/ÚÝ­Ś ^˙ó Äi *ј1DpsBľLĐ7 ĹĽĆ!$_# +př5ÝSćUs­Neۄr `6]™˙ó ÄkĐę¸bĘpžĺŹ<| |Ôi!B˜#‰ +fČÂ7Ş.X}šĐíę˘d~w  ’˙ó Än ę¸zpü˝š -4Ő++"Ş}ůI¤óü*1Ż¨CŸ°űčęfŃpé‹.§D˙ó"Äq ĆźČYÄp Q˜áXnŕVšâ€@ŁůĆ}TwB‚é9gő‚š‚S@|đáá1Ç˙ó Ät°ęźJŠpÄZUĚŕĘ: +uŕƒ÷ÔvŁě çD{Ž~§ŽšđđBpđăę>ÇR˙ó ÄxŕÎź¨bĘpÓľŠB5´7MAťŚE'ó?ů˝98Ápĺ…ëT2ĆH˛JČ˙ó Ä{ Ş´zL´˛ +—Y‘ őJôň T͆+áŕ-wŹe15ĺ ˘AqÓRUíÁ˙ó"Ä}čĆɐHŠp™ýĹöÔ3 +eNó$sƒXxšůECÎŤ|ązÚmDýDu‡˙ó āiŐH„pŰXaŘľŞiéŕçCzsFŻžŁĘэT¨ď‘pţńđŢÄM´ĺŐ˙ó ĆČ^ŐJÎ(7¸Ł9 Ô…´âówÇP˛9Źďeˆ|h˙Ď7žŠĄĹĺgÂĎö˙ó ĉ0ƸH„pÚ\ °ňÝcëFoHĄZœŃőFŁđ—ôżččSwÂűƒ˙ó"ďčŞŔĐI„LňV4*9LNÖ0ä/&ł6řjĄ+Žž`FÜ~č_ßą•€ƒÔ8˙ó ēĄ´JĘpđÓ#˜™ŽE ŕc%˘ťvđa?‘Šć‘@›łXťń*1C iHŠ˙ó ėą´YŠprŚE0/ ˛ƒĆAŰn%´ĐQZ^%)Đ7ŠëSĄ90œeHť•5+˙ó ě &˝IDq€Ńy&¸úŞłÚĐ~^B5´"ďŠßŇÚ>âČŞ öë¸ŇŸYh$D/ ˙ó"ĝ +¸ČZÄp_.Tš$ű +ÂjŚ§z›‡ëk[€ŻŃÔ{*éĘ׉Ľ(ĄľÚČi‚“Ý˙ó Ä  ŞÉY„LBńš‡čBxJľďu˝›čâżtoŽ‘h8}Ü8‘âˆfň˘–PS­ç˙ó ÄŁ ĘɘX„pÎŃ]ÎŻ4­ůĎů-ŐŕŰľů0H:Í S†ŸŕąxőßďÎ˙ó ÄĽ źĐyDp3Çą˙+~ +ĽłaűÚďžÂ@ ’”Ő}‚ °Ń=ŕ­H§×ňĄ×V˙ó"ĨřθyÄpÉ{˝3ú9tîÍđÉRŔ€KbFQˇƒĘ…™“@ lĘKŤ) +Â˙ó ÄŹů&´zÄq}œ4ěO\%\0<­žĺ’lÔ{…Źgę$:Dýǚ\Řü)˙ó ÄŻ ! +ŔđIDp­ŽŹYԓňŹC[oąDú•”tŽ)3ஃĚ_ěÍM>´‡~ě@ŻÉ˙ó Äą ęŘIDqđÓ4€\ütl\ëp1Cö^Ł'Mup‹h7ć„şmôbs,fí~.˙ó"Äł  +¸¨bÄpz°“D=¨ĆęŽ +:ąT}Â$m*¤/ŕ},€i¨;¤YőłX–˙ó ÄśxšźĐYNLCULFŁi.Ăyľn\bjΧŐ7Ń8^–_“3ŹĘ yAkÂ˙ó Äť Š¸(yLmXĆâ“]Ë(¨2t2ďŔ¨TŠÜŁôĘЀ,<ȉ8l%g˙ó Äž ć´zp“G^śú؈>Ú?迆ëឱ + ëŐ3f“E˜˛šĂŠ˙ó"ÄŔ ęźČJp4Č_Ą €úˇż˝™×Ą[îż°vâüĐëĎϝba˛+`âZźťďŹ˙ó ÄĂčĘź¨aDpľÝ™^őÇßSˇzł}˙[Â#RĚjÉŇsJUŃŹĄô˙ó ÄĆpĆ´bÄp~‚Ú’°ÖőÓ×dHŮŢ|;Sľýbaîą ž,š9ƃ>|˛o˙ó ÄËxŚ¸ZĆL ś–í—*ÄŔÔÓzŔ +K QiYĺ0…~ńČ m\kX˘ Pr:˛Ľ˙ó"ÄĐ Y +˝pIDpmň?×üvýŃDťÔ鄺ĺ˘M Žś2?šŻNęW™ç|÷%§eꎴĘ˙ó ÄŇé´zÄpjůH/’vÄź39Z(¤"ĺŒA‘ÄBő˙?Ô?đĺŢÎĂŇň˙ó ÄŐÉ +´zDpÇk„j  ‚äŒĄÖ o"´€G­ú“Ž´ŽęöAšŤŇ”KĎ˙ó ÄŘ ÄxX„p,§ş8 .ü:BüňLœ<žżŸĐ8œ(UóŹgS÷sîRßžŽ˙ó ÄŰĐŞŔđxĘL§ß˘WP\$źÝIKJF¨q—B5äN÷÷}(#ŽŐoŽwÝŁ>´+Ü˙ó"ÄŢ AŔPiDq ŽţŇý÷€”Ă&T ĘĘEśOXQ ›§ÔH!kßřś“QܔěOE +ţ˙ó Äá î´zÄpÚí@Ňஂjź#É‹_‘ĘF–đË<`EKlkq÷& \ťi˙ó ÄăřîÄđYDp˝rŒý` 0ˆ–MŔÔAŢäţpôÇę:÷\3‹˝\ÄblžÔ+ţŽúź˙ó Äć hć¸PZqů …ŤôGw@Â"ůˆŐŹqP¨nËV{H<|™ž¨Űčž\Ý˙ó"Äç +1*ŔđHĆpÉ+•ëŔ‰Đ+Ş´Ő°Ţ[G-Š łăCŸŠęĘc“mýĚż˙ó Äć Řę°(bDqî§ĺJ‡ÓŠJl‡¤ÂŽ +ƒF˛Ýą–˛7•â´Őů\Ż>¨ŁŇE˙ó Äĺ ćŹbpTÖý@ƒ `4ŤźNľ1ĺEŠ +ŘÁź–Pď#Š`nĆůßöGW˙ó Äç ŘŚ¤zĐLČöN‚Ÿ*ĐË›$@ԕŠKĂ;")ˆŐŤďŔvý'gřá7IžZl˙ó"Äć ů&ÎXIDqĂž‰3ˇâ; +Ś ˇ5†Q‚QJƒ +|-šDŹL΂š +'NąÚ˙ó Äć €Ć°PyÄpˆSŒ<=]JWáĆŠşÜ‡ %•÷îa&kžôsšJżš~ n˙ó Äç +*š¸aFq"7–5Źˆ&ŕ5R°źÉĄCĐ}†Ţln^Ä$ŽŹ +x!§˘˙ó Äć ˜Î zFpLőf^´ŒĐ‚@,qÖ.ŐI˜sţŇo9čż#~‚˜N\ťľ%˙ó"Äć ů*š¸IDp0ë2Aŕ@( ÂóÂĽ÷sĘ2ÁěN ‹VóţPߏšÎ™ĺíKƒŻ˙ó Äć Ń +œcqdeaŽ€)áF…šS)ťž5ŤqóĐTa–0;\ľ¤cĽ85Ýę3ű”˙ó Äĺ Ş (zĘLD3ǀ0#ŮĐ÷MdĚ(Šťâ ÄcFő4uů­0JąŒßC~˙ó Äç đć¤đzÄpď2Ţ^혣u+<<ŁŞłRz–vÔE§’"Ă|‹ń§Đó÷Š˙ó"Äć +Ş­¸YDL.‘2Ŕaˆ(ŰŽ´fŠÍľ2 Hĺ7”6nF­FűÓ{Í'—ëÚł˙ó Äĺ ŽŠ¸a„L$,ľ(ŽđO°Dą÷]*d—-㢞âÉ +g",€.$¨–Š˙ó Äç + zNLdrţ4c°žŔŐNĄő ĺ$rŕŽçń0,$§yˆâý˛=U¨Ŕp39˙ó Äĺ ʜ0zp°ĺ^ŤŤÄŞŠ’uZ}Ű˛‰˘Ů•ôy€•N'š=™§Ź w!ƒŕ˙ó"Äç + ”đzqőśH€ŕˇ7äM}?3Pď_ćp‚yœjŠŐŤF’hG +Ó• ĆśDG˙ó Äć XŚˆĂL ć"k4|IňuŔЉŠü,ŔH^ÉghpU <ĺňŸDHČ˙ó Äç aŒ0zqÁĂЀ,ÚDŐěęäŘϓĹ?!öÝí˜G™ůłqŕô‡a‰*!§Iы×˙ó Äŕ‘FtĂŢq ţ%EŠ[ţˆHűbafę]@ůžInň…Y7MJÝ#ƒŢaí˙ó"ÄŹšN„Ćq˙śkńľ˜˛#SMűéŕŔ (&äP­Ž‹˛đ­„Š3Ë>× J˙ő˙ó Ä}˜žź0ĘMŠf§†oť÷ęúĹ@ç輀s 9Ś–Ń ^|Lßő’íż9˙ó ā–ÄI†LVŰíŸéŔH&âçÓ@)aˎfvox8aľŽnš(/˙˙‡ddlS;u˙ó ć +Ŕy„q-ž2—›I?@'‚„uűCHž;—°şż˙ó ĐĐN´JL%˙˛ŁötG>„ĺÁfK8ŚU ˆŽř;'ɄBáô/\¨VĽuŠ?˙ó ė +ŘÖ´bDqűŒ´ŚM MťA +V*­`ę“Le˜dŹtř´…ć5l˛‡Ou˙ó Ē ą*¸zÄsœŤšőPĎď$űót€ +".@','LţÁ'qVü—ąE\ň +˙ó"Ċ Ů*ŔY„p ¸\‚vJUY@䍎sĹRy ł USß"wÁC-ĚÚf¸3˙ó Ċ !*ĐđX„qDŕœmw-ßŰ`…Ň;@0đîĐO §ł”ĄC"{…Úís˙ó ČČv¸IŒImiÝŮŃc+Úč&~´d Łśô9f˘_J6\ĺĽÜů+UŔDý˙ó ď`vźaHŠ đ#œœ âRaČč˜b@Ő°DQ°-%č3ŠÉQyxmĽfţŔÂčhf˙ó"Ĕ Š +¸¸zpä +a•,ŤŤ­” |úŔŐŹň4ił!Ă*rçZ÷5Š]ŔŁîä˙ó č +y.ѐ0Šq_ÎÄjüÂŔ\ +8›EÖ …ĎămčF{tTÉWŘSŕŤQ˙ó ĊŽČJDL5ސ”‡ŞLpHZe‹K ÷îNîłüÍ"Vm–yœçâ˙ó ĎŔrٸI„H­"ƒ;vX*xB>]˘ŹíAë9…ź +´ŸÄ{ëřE‡cžŹ¤YI–˙ó"ĒŃ.ČČHÄs’'Z9r`űô˛* +B"‹Ä]vsCý +GŤsËÖůśčŮ×|S˙ó Ė ŢŔČ`ÄpţŢŠÍďÂýYw°ŒŚčSÂŐgŽWÂy*뚎PőDÝҍúżČO ˙ó Ę 8ŢŔa„p3[Pó<\`,Ęůp^hŐŢ5ĹZQoŻý[ČӉQd>-ý`˙ó Ě š"ź(zFq9ŰŃÚÁ˜ŻŠô˘’pVIJBäÔMÖ˔Ö!iÁąŠbL( ˜8˙ó"Ē bČK)~m„źz–P€™Š{ÓVŘHYôĐęYŸO—ü_€-$ťRőč˙ó ĖŠĚzpŚ-nö2 Š\Oüp_lęčk¨“5ČޏůÇúŮÂPÓŒe€˙ó Ě˜şĚILуڴPpLpyŮ;żĎ`âŸń} ‹ü]JHţ +źňśĂRI˘G‘KU˙ó ĞřúĚ(HÄp¤kq>ÁB˟ŽWĄS5˜­ŔŮöÄw,§Ą,(’×€ń4Ÿ˝M;’˙ó ÄĄ ĐđH„p0űBţvÖŤ|ű^‚Ý53C˛ÍX”$NäQ%ĽŽ.îh!{'c!w˙ó"ÄŁ A6Č(`ÄqîKsŻý0mĄď՟MˇĹŽ¤€íNčĆ˝(÷orÚ!IŹw­ĐM$Âľ˙ó ÄŚ ţÍP`„p%őłuČN^}3‚âşŒó–—ž<=@ [_%÷ZbŕŃű‘ßňŻ˙yş˙ó ÄŠ šĚČzL/&roŤ +Ôw(Ԁ̭¸P§mX°?y†łQPöÚłrőU‰Ň˙ó ÄŹčŢęXH„pJUđßo>ý´€ˆ-†RŒVŚÍ!Jí)QA??^˙mn˙ “˜˙ó"ÄŻ IÄbDpdTTň!ŚV'˘˜9ÂEĐ´Ĺäî4"Řađŕ]üW§üŻô.ěŞěž`ž˙ó Äą˜ÚÄ{Dp'źŠ ĚC‰–˜`§Éü˜Œ)˛{xŠł8żŠŰœW2ܟ‚_ ýOʀ˙ó ÄľřŢŔbDpÔ0ا-:‹ `ř„ cę3nZ?\veC@€Hć˙ó"Äş @âČĐBpBĆ ä^Ł*ŒdV:řËŻ”­ÖçŐ§uŃɀČČcđü˝iĹ˙ó Ä˝ŕţČđ2p͢ĺČċq Šž:–ÁoßśçӃý˜3H”ęěۈ¨ż˙ó ÄŔˆţŔZÄpšHĄ×s‰ą‹Y93k o]W÷Fv’Ó§ŮĹ@Ŕ§ |qĘ˙ó ÄÄ !6źaDqH‘ÇÔ1duˆ„?"Ú<?ĐKŽţĽý[ę‐Œpü8E"‰Ĺ˙ó"ÄĆŮѐX„pĹCt‘čŸ'o úđoő'č%Řť%ƒ@Ŕ + ŹÔóż#TU˙ó ÄĘ ŢŐ2 +ppQúÄFŘŠ)’~ßŔŰŐřîƒL˜Ÿ"p•ęNQ ÄŠSľx˙ó ÄĚ >ѐIDqˇ>`§FËČ/‹ÁmfŔŔˆĹ@ôe;/ćF PĹh?ĺé z˙ó ÄÎ :ÉpJŠqž{X˘O}ţNtUTűh{ZüĄœéÜmьQď2KA"ŞÎńďj˙ó"ÄĐ Q:ŘIÄq˙bö Ôý} Ŕn&\ŹR€Nľäá`J ľăď|Gô–KŇ2Ľ“˙ó ÄŇŘúɐ2„pšgĺCŕŔŒ ĘEPÁǨNdœD˘ƒ™NRńĎďßr˝ +żšÖz)˙ó ÄŐů:ɐIDq´hâ-°@ÁŔínŃM 8!ű’űcĂÖŚTńú”wŸ—ň;ÉD_˙ó ÄؐâÉpIDp×đčstA@íqŘÖUŽÂäm“ß,SŻż!œ‘9ěşKDÉĹű^˙ó"ÄÜ 16ŃxIDqŘlÁĽŘM„Ý.YEěż< śč}Čf;˙:ĺ ľ'> +ޔ`é—QÉč˙ó Äߘžź{ÎL˘oAŔ8@ć A„/-üvšŔ!‰6ÇŐ˛óśźŘ˝÷Té;{˙ó ÄăŘŢјIDp#iƒ@Ŕƒ$ŔâaѲ)6´RŁČ +$Š”#őóŐvąUöĚo¨a˙˙ó Äć Ą6͘YÄqo@ev€79ĂŔö đQ˘żpŔhbTJBž÷G§.ĺK€ż˙ó"Äć +Áx{Dqń]F@鈎ÖN$Ë&„ôg`ęVJcTk÷ÔŃN–áb Žő@ÉŃä˙ó Äĺp’¸zDLżťW€@‰$!,#ŃUVŽ{qĹuW:xŇ3)ńpO˜xďĘŐ˙ó Äę +ą:ÁxbqâFo‰€€J*,ČËsm%ő8Ŕ‹%Eô⏎ĄőLCYŹÁ†Kiú˙ó Äć Ą:Î8H„qœ[=Ň7@˘(<Œ0|ďMqN@Tú—=Ř]ŽƒjÓPÎŰžüë˙ó"Äć + ĹxJpo¨ţĺ‚0’ú1wYfůe3Ą˝AH2 +›ú‹z. +ěĎFę3˛˙ó ÄĺĐÚÄ(IDr/h$*̏T‚ƤnXV1g +žœBÍ÷é\WPŚ0[ńăG˙ó Äč řÚ´zĘpjJÔ°€ĂŸ.*y­qg?ˇ 8^˜šabÎŰ6œ%ítĺňĺż˙ó Äç É6ŘXŠqUÚĽÔĚÂp霤oLHÍńaŇ´6é´^‰G{˙Éc×C~RÔČś>š˙ó"Äć +6ɘIDqQžůpÎae%ŘݎÜ(Qf h!R2š¤žôMx%°ÍdUôˇ˙ó Äĺ ŔđIĘpțUGX +"¨=ZW×#ç*ěĂŔ ŐÄěćf[œô3 2ŮIꡛ"˙ó Äç Ŕúź°cDp%Á÷dÍŇČ)ľĐ:‚ëCym+'qőę ýŕÇú3:ť(>Wœ›w˙ó Äç  ţźĐJĘpôü˘Š$ˇKś"Qëç,UĽĹÜqěo‚ +5^Śm5žŔ“˙ó"Äç Á6šPyÎqâNyh ` +Ü2"ęăņm6Öˇ$ćŽÎ Ďî;ô }ÄWPq˙ó Äč +9:Áy„qgĺˆĎƒ  + ŕs XŔĄ˜Ęb ™BEB9ÉÉY+†wŻ1żßżÎ˙ó Äć ą6Ŕř`„q` +( / AĄÚ!{ŹYŞÔńPţ!3ůžŠő3ďdΎČFÓ9ż˙ó Äć ČŢŔđxÄpG%lA@€4¨‘‰Älm*Ž Y]aë^v­Ý„˝^‹ü3z˙ó"Äĺ 9:Ő¸H„q“őkćP€w†™ +ŹŽë jŠX(ű69śş2o˛ďHwÝ_úI˙ó Äč +!6´aÄsňTD%4 +ą*@š“Ę#¤34ôz>#*Ý ‡môiK¨—Yu6ű˙ó Äć ŘŢŔđZpzčx@Œé:Éy^…ěw €ôŒBĹŞčť _ÔL{˛6'Č˙ó ÄĺŮźČJ„pš@]J +i×÷'kŔřž.f1t}ł¤4évý´ÖĽ’Ş[ˆľĎœK˙ó"Äč +Q6¸8bÄqîS7E~€B0é—8ƒąçP×đ´?œŐĂŻ|GŻâ2ŻÂŻzÝĽ˙ó Äć á:Á˜IDq`×)ş:nŽiöŃ/´° š‰hhýďęć!.hM;î-Ś7ő˙ó Äĺń6ÄĐYDqkÚ˘"̈‰0ôw,Xx U/I!‚@YFŒœ(_•b¨7ăÚó‰fŽŞ5˙ó Äč é6¸aÄqłřŸq¤ë‡%˙áöčc +ŃăgÉŞŔ@ĽŃńYovźŹJęŇúA˙ó Äç Ŕú¸ČyJp¨Ä‘`pöŤ ö +/ąĂ{ ´`l˙s)Kl(üľ +/ę­Vč?”˙ó"Äç +Q6ŔřxĘq=‘hB@Ó˜|ýË=o $:%-œHfŇ˙s~ŤşWU'ň~Ł6˙ó Äĺ`ž¸ČyŠLäŔ $NˆNđv1éĺÔľĆu”˝žÜíÁ7Ű\Żp„ť>s튺r˙ó Äę +š>ŹyŠqčŘÇ̀Œ0ë8Ŕ¤b*Âi˙-H،ת˙­[|žô1~˙ó Äć ČŢ˝yDpDý:;Ŕ›˘ą u˝YĹ<î†ÖJ8#&„ĹKŸ(ŚA…ú;˙ó"Ä娢¸zL˛ŕëˇLn&űĐF\|żÄ`”f¤äS\h÷žéߪz˙ó Äę +Ą>źXJqnd+ŠjWŽ PŞ=*Î8žÇU|Ł/Q0ÇUj.ĘȂľž5˙ó Äć ú°aŠpŰ|M>4ŕT†‘Ŕ"yŽ&3ÜAAős!vĚÝdPkőSIĆu3˙ó Äč +!:°a„q(ŠƒÄ@ČŚhY-ś|t4ČĄăoą€°€ďlΡ|p$j˝Óů}ę?­ş˙ó"Äć +>šJDqŔ€ K(Ę\{ä×Âo‡@Š űAScĽCńÖAžë…YËëôaVęq'˙ó ÄĺXf´c(_!ž‚¨ĄĚ +Śâ8]Ł•ĺȍ +^í2Yżţ—úĺĚ!†YUú˙ó Äę +1°z +pÓ~‰÷Œŕˆlwx­ů$u—u(kVš'=>wŕŁPÔ[ąŁŤh˙ó Äč +Y6¸ĐjŠq˙ŚE߀L;Tí~+ąMÉ{ę2ĺwi÷‚úĘŰćđĄwůM'ě˙ó"Äĺ PŢ͸9FpŢGëPłYP€°Ě)[RtČb‘Ą,cŤ +Ä˙AUN뙪ęÚY˙ó ÄçŢ°ZÎpŹ€ íS6NōŹśŮ˝y€Xł(߃}ü˘nxkˇşeĐŻžĂţ˙ó Äë +ů:°XbĘqŠů„uЀaŰĽíC‚TŞŰŽń̌‚]ë6v‹×]S'ň)žźĆJqM˙ó Äć š:Řbq¨ţż$€$đ˝˘Ó5Ř|FÍvƄ2Ž,`bląŸŠťnŢŞAó~˙ó"Äć Ů6ɘyÄqQ-S"e@Č: Sk€ŕhäqŘma@Á˝Ýśż¨ŚzĚRÚʄ_Ë˙ó Äć á6¸zJqůĹr €řpźÎę\+-XĘCăŢ$˝bGjĺY|#h’ M +ů˙ó Äĺ¨ÚɐYDpë&ÂŔ€0”ąŹAƒ‚dcÍ`‰ôÂľ +WŰCm˙é÷Š˙źˇíŠ~˙ó Äé +a6´°{JqaÍńJ̀€č¸(vą 3Ý VôÚřT}Ň@ŐžPłX*,6Q˙ó"Äć x޸Đ{Dp낼ŢTB˜,*@+Ĩ`ƒG˘c-6 ¸\FKËĚŮ +üŠÚÄV(?˙ó Äč +Q:Ć8yDqĄ¸¸„@ٜ熰• ëćW Î]ŐŞ›Ďţk/ţßäv×7 +˙ó Äĺ ŢX0„pv˝'u‡ŔČ ˜–dÁ‡N­fáa+ ‰A‹Ň=ßćýGjŽÚs˙ó Äç Ń:Î8H„qžřľ@Ŕ.ÁĄUPôˆžaíK @„–âz8PíU7’/Ń;J˙ó"Äć ń°°c +qú“ő+úpƒ™oqD;;ć SŽý^3kęě°<7}–k§ĆľĹ-‡őť˙ó Äć ¸˘ľxZNLQ|:O˜[Ťi:mœLNjP,7?ăÇkĄ÷ô’OŠ˙|W?š˙ó Äć (ž°bNLú +$ –nßĚ„˛ąŘ$éÖ´MXÎ;•9›­ +íĆÜNdIđŕ˙ó Äč +PţÁ˜2DpšK„Y@@ČĘH—MĐä:p€áj –]9ƒF{ćŤz›őŚe˙ó"Äĺ Q6Á˜IDqűšłŽĹ@‚ %jź{t'tĽmœhc4]ˆŰÜßßśQÖvŹvTn˙ó Äç +!:ąpb„qÁ*4|:‘z`ю)+hˇ°šŇdž–áŮńӛ5ŚÔÎŰutô˙ó Äĺ ž°¨{LóŽ_ +\ŔsŃɉP’„HŠŸĹ!+ľ7^&čçŮ\‡ßđ­›Tś˙ó Äé ř˘¨{M +š ţ4ú Hi!ڕőF€Ç,¸ÜŁą§kńż¨OÔßa ؀î˙ó"Äč +`˘ 8{ +LřX>ˆT,‰ÍŮ20ç €&ؤ5Ł!+˙×A(ýż!ďĐ@)˙ó Äć Đúľ˜b„p•r>€‚ uđ1 iˇĐ +LęŽ T%ĹËň흏ßZTŇ~˙ó Äĺř޸xaDpőšÝ„ž€‚\´ řŕXšN?œŽčP'ЂäŸÔâÉdB€Œţbú!ĺ˙ó Äč +8ú¤đy„pÚÉńŔrŇhÁ”ŕŮá‹ó\T°%wżŔôţ5_â{áÍ{Đ_¸˙ó"Äć +ö¨XzDpĎx Í´>#áR•jŞŞqj)8äi×bmđB˙úî‚~‰˙ó Äĺřú¨XaDpNĽOD€* –w÷°zƤńë1 J+5Ä#.Ď[ˆ˝Ża>UÔ˙ó Äč ŕڝpzDpu€*RQ¤śJ"…ƒőý)ˇ˘‚f łĽš~¤űBś•'˙ó Äç +>Š¸aÄqŐÚźË÷‡1í€ę‹ŒGžƒv:ŕűB(ÁaÎüƒ~ l!Bş˙ó"Äĺ Xú­YĘp}˝[ěŔ  6ÖM„“Řë‰ĐŠŤ(TGg9Ž<,§ËwĐý´Eފ˙ó Äç řţœřzp+ˆůP€Ś`=MäĄ@řěˆ~ćBŽPÚśřúŽUݒr~ +ż2ü˙ó Äć Ń:”0yÄq–]Ž p‚Ç€şáh™ĽPçEdôłzŠˆ6;ŰcżA˙ľÖŽ4˙ó Äĺ ژ8{Jp­ÄúŽy°Äł`1'Šn– ×Îć† 7Ł^^Řk}ţě;š¸fů…j˙ó"Äç +A:˜řcDqw  ˛dŠxř¨éNśq;/0śšŁ˛yă)˙ż‚řWüču˙ó Äć Ń>ŞXIÄqw´x €Ôc5E1U4 kIVjbźÉž eë}ôʎ3އ˙ó Äĺ â”đyÄpâfĺxhX%,Ĺ@§D‰ŘÜI\Š ¨–şĐą0!˜jXśPV÷ŽŠäĹ˙ó Äç á6™¸x„qp™ŽőŔŕ üĐ}ŁVϤ“CC;|x6š‘“äo˙™˙Ł7ÖJ=@˙ó Äć ŕţ•xyDp‘?GPâlžž'vMNoˇp/wşŹ2D +W´óßLĽwŸ"ËK˙ó"Äĺ¸úĄ¸`„pQ‚*N×Ô š‚q‰éN­†Hň‰ľţd!ńˇŠAÔ Đő~"CĄęŤ“S˙ó Äę +Y:€¸zÄqńĐŘÂŕ˝ ĺY4śŁsmĂ5vźAžézżÄÜDcá‹˙ó Äç đ˘…pzNLHĽ?ďJŃe]œ#šŤ)ÄF‡Ű`ô€Ÿ‰ľyÄKëŕŸŐ˙ó Äć ŘÂ|zJLŒ2ü0đ°;G.̆“# %ędŠ:Š€Şçƙß^ĎőxnŹüó˙ó"Äĺ (ބČy„p˝üŞ@vK@ŕöŒĹŽÄł)ÝÝ[č3}…!˙† ’á^í˙ó Äč +`Â|ĂÎLr›ěq€9„°š~PθíĐ9Ń_8BŁżý^ş&Ŕü{ńg˙ó Äĺ 8^„{Ţ(ë)†Cd$`PÜďĄ +ÖT ťšKnŠĘĹńŘÓ8ZuÓBłĐP]˙ó Äç řfˆČ{ +)Q`x;•Äö°ŒC‹h<ćrÄV˙BšöĹ-VBˇVę%ŚęČge˙ó"Äć áŽ0yDqűƒ;íH0D°ŇĽőCŮŠľFc΢Ú p—ńýůQ[•m€1?A˝!˙ó Äć Î|zÄpíăŔ€€&RĄÂ1MĹ/”×a9ÝGżÇ}ţJżí˘ +˙ó Äč +a"žXH„qAźv †Ţš#ž3K7ž/l’€=đŕuQÜUčTĎěq˙áˆJnD˙ó Äĺ€Ňˆ(yDr>ŠMD °é7 QI^ äąGÝÝ ąĂHż ô°¸G˙ó"Äę +Á€HaĘpAĆâĚŃȜżŹ%°‚€‚@y‚îŰpTÜŞÝE2?6§˘!Ěoć˙ó Äç é"HÄqpԚŞVařŕ $*4Ż ď7CVá×N8†ő.‰ůgő‰*˙8Ÿđf˙ó Äć ú€ČaDr¸­`Ŕ ‘…äbČÍË—šÉÓ4ť‡Cc3Úšłýşua˙Á˙ó Äč +`ú…˜aFp›ňLɀA€¸ŕŞRŘY Îş}ƒŒÄĐŹ]R˘†oš­ěú7öd`C˙ó"Äĺ Hú|zp9ŰB n 9 Č:P™Ń[n •řҎÎĹ8lE¤ú1aƒ%DŽoÁ˙ó Äç !B€ČIĆq‡äŔ;* ‹č›X”_eŻDD + +xž„…/mă-~ĎŃ +Fő˘M@ÇĚ˙ó Äá !&•°H„p)ĎX%Žäş–đWĽ) 4ę. *=(*oŤ[çŞt˜‘ŠÝ š$˙ó Äă ö„đb„pwM"ÝĽ`@¸hl˛ń&Jš9ńŐ$GQ)x+Gڊ]I3ű)Šo^˙ó"Äĺ Hö}ˆcDpŘGř“!Đ…Ŕ0ĺŁ ň§ Ç),îog˝_×ŇÔĽ"˘żôWú˙ó Äç á&‰aDp„9”0őÁĄBřś—Ńö¸<Ĺâjŕ!ăţœÂ˙ţQŠZ1(ŠV˙ó Äć ~€(c +HaĆ + JučÖu† ȞďSŹ{˙ťs‡ř¨~ˇœ&ä]á Ó˙ó Äč Š +„(aĘp‰=NdťÇX™AԆ"ĽsŤŘ˘— Y^HL–P•xŒág¸ř˙ó"Äč +Ą.€yĘqEú€Ťd4 ˛˘Ç˜|ĐĽP\^%› +)8Ťą-ţ<{i: 6ő­Uť?œ˙ó Äĺ *ˆŕaĘq1ŹíŠ ŔŕŇ*ÉjçË #Ĺ\@Gq Ga˙ÄĘGŮrýź!ßďĆ˙ó Äç + •¸I„pëĂş 0ƒˇęŃ&ăTw;„Q°k‰ƒEĘŽ—ŠöđΔrŽŸČ'˙ó ÄĺĄ +€bpěK +ÔSPa}âa1(ůÁŹq= óĹ- +çzEtb•ÉđlŻ uśô˙ó"Äé +Ń +€PbĘp +â0k& hp+Lˇ†F–9]Â;ÎE5ŃK•ţcŮř-´č‚˙ó Äĺ †„K +H˙ÓÓ'ď@€ h){+Ŕŕó‡Í08bŕ(ł(O[ÔUűIR‹~Šs˙ó Äč +i.‘JŠqŹ›Î +Ý=L‡¤ŔŻÜ `¸ňŽw˛ +ž›“ÄŰhěŽăűˇĚ÷Ö˙ó Äĺ !.•YDq×eV`l]'7Ȁ‰íJ ”ůMˆ<Kb?&„‡…kř;ż‚IsőŹ˙ó"Äç š6PyÄpN[ŽŇ?żlˆŮ¸qlODÝ];´ŔŕYń[2 <”żÍĄ–¤њ˙ó Äč ů +ŒpaÄp˙ öVđőj‘QŘȀV9Ťbę¤61rů'­J?Éý:şă1ÝË +l˙ó Äç +yÄqzG-Ŕđ ’đŁ†So@䃝G@ŽÁއu&;-[é;ú“ĄŐMT˙ó Äĺ 2ˆđaDpfůBlś3 la!.ƒg‹NçŒŇ|ţ‡˜oŁ )i‹š=˙ó"Äß HćˆzÄpŁ§Î…čŔý ľÝ€Lš%kž‚řÂÚŢî M:"čČovŐܜăţ˙ó ÄáđŠˆzÄM\#R¸ƒ5(*I%Ě×$? {ňłĆí¤÷ú•6‘´Ť•–œ(Ś­˙ó Ääů +„yÄpl!‡?˝WM€‘.™ ĽŇˆY’úŽ~„JO—†HÚËqw#˙ó Äç +! +˜IÄq›ř-üŕü•ßŔYt_Y‰ă‹ČNcž~'ś‰fô'÷dTÚľU)]ú˙ó"Äĺ 1*IDqŚPđ~𢠋ŔŠ8 +X ]FxŃňšeIůÚţƒĽ íB˙ó Äč +a2‘pJ„p?׹űä€đ|đžŐx%v tc$ăţW\óUßĺۧNůůźâÜ˙ó Äĺů6şX1Dpă¤@ź9~z& Śź‘{`ďć‘č{9n€´70&§ŠBL™Ä˙ó Äč +!.˘8IDq:„…ą@  uœN¤^qn[…ĘĐ×7]Üű|‰˝í¨Ď~˙ó"Äć ŘĘŞX1Äq4Ç(ˆC{yw)RŇđş27Đ.„|ÇacĘąr+ř€ăŻ˙ó Äć Ą&śX1Dqӊvőŕ™" +đÝB+1M˝ƒrœx6TáôžsŠdî˘^C˙ó Äć ŮĄAÄq,S‡ŔđA ƒ këŮڐ. …"F‚ͲŹ4řŔDřú/ďŸo˙ó ÄĺŃ&ĄJ„pˆwظŘ +j–‹„BŃᦔŤ” xĎţ†(ß@_ČŚţ˘ŽŽ˙ó Äč +`ţ˘P2Äp$v"pŘŹűŽƒĺ—!aZ‹Âľ­@LůÇÁŸ„4ńˆâݓ˙ó"Äĺ 0ţ•YÄpo@°…§’Ęđśę$žŘL‹ţNŒGMTi_á$á:)‘u˙ó Äč +Y&’@zŠqą•°.(QúJŚRq”‚Ç°J¸Ű\ů–ř€äwořAŞ*ý˙ó Äĺ Ş˛řH„LĆŠ`šbÎ<…)ÎXť˛%ř{˝Ť…œ˜ˇő9Žţ„_Ó@p˙ó Äç é"•pyĘqĂěr)””?€,HŇŽn BâĆĹ_ÂłW‡MŚtýŹk–(˙ó"Äć é +™đIĘpKŤĽż(ź ÖÂJŒ‰Awm 5 +Î/š%—ůȤ_G?Ě…˙ŮŽĆ˙ó Äć ‘"‘ŕaJqtqd(Xđ´t'@ĹXĘLgvŤa“Šößag׊ż#Ť}šx˙ +1˙ó Äć €Şš0bĘL<ěI´Á ćˆPŁxö  ÇźS2ťěvÓÔŚ˙ž˙ó Äç ™"‘HIĘr(oˆ2Y¸^6‚—"¤ÂDů˛ ńMt°p„Ž űfŁi“ƒ˙ó"Äç Řú‘ˆzÄpÜsQ­đ™|xd†.ô AĘŔ‚ozƒńsü\S–ó…ˇň°g~˙ó Äç éJ™ˆIJq°dX\ĺŤJŃěđgŞţIĐPÔśúčHƒ§Á—ĎqaÝ _˙ó Äć á"˘0IÄpŐ p őuł éŘEXcPL0$ß)>˝,ě~Ř”Rˇú0˙ó Äĺ *ŽXIDqďęf~ćŔ´€ÂÁ’~`!0‡˝ƒ˛ŃsÔiŁ}”Ägđ_ÎE˙¸ú˙ó"Äç +A&–0IJp˃\xđ4 läë`(%]-P:w+œ]|ĽŤ}ßŇÂ[éjŒć)Ő˙ó Äć á +˘PIDp)Iß°đŚ|a˜ě +Ő4¤Â -=D‚Ť'ş&ďv7ČO¸GŹ NV]˙ó Äĺą +ŒYĘpFP0ŔDÝwź>›…ÚÜč:ËCQŽ˘Q֏[:úú‘ܢăŻÄš(˙ó Äé +8ʖb„p5Ň_Hœ@‡1ňČÂŮ7ƒ[Ćy|–”Šm”OéŸ"üý÷”…˙ó"Äç +YJ‘đcDq7Řd¸Ŕ„ؒ‚Á—puŽ{YqŞ,ŠMMÓäđkůęj‹öě˙ó Äĺé +ž01Äpč*Ž¨đ@b̍ +A3„Ń ż_7Ět+3Z˘?Ń°œET˙ó Äč +a"•J„q9,ęĆř@.äŽńU|Źđ.13§;%ž`5qg +űz7˘čÚ˙ó Äĺđʌ[Dpą Q- xy 9p0ŻC… %Ą$ô7ŸË€´¸¤r4ď¨çQ˙ó"Äč é˜Č[JrňĹDdóČđ ‹ţÚŕZQˇ äŮÚ:ŸőO×MIéô˙ó Äč J˘XAÄq]”Œ{;ŢŔ¨Ä"ëú!:6YC“yŤ9 ŐP?ä_ŤO˜?׏0˙ó Äé +iš0[Dp΀¸€€!€¨ľŚ# +Šßŕ(o;ŸQÝ(ĺą`ÎĎꎚÉ˙˙ó Äć ˆâŚXCDpم\Îé ‘ pKęŒĂE)@",ĺů]HK˝ęĎđŁ~zpżőH#˙ó"Äć é*˛xIÄpŤ/ Œ‚ …mÖÎEn"ő€™\ĂQ‡ ֓Ńďň7óŢS„+˙= ˙ó Äć ŔŚˇ„L2ˆ倴B@žr´†2śĹ :ŕřříLĄŤ:ăżtVÔWë˙ó Äć AđJŠp x`đGš¤„@)Č n#p‹ŒP0őŞˇAĄ?Ö˘˝ţ˙ó Äč + –cDqżœe•ôG€7(Ý*6Čőo€Ă˘źźů_ç~ˇýYŸ*şˇÔ˙ó"Äć +!"ŚXIÄqB˜ĹŠp@Z7•)řX߆b„/ÉřÂŁ-'ŸDoD§sű’‚˙ó ÄĺٝR„pYA—U#MÚ§ €K†I/qŔ†g>ă3fžs7Ăáč›b!––3˙ó Äč +š8{Dpr:@@7Wőš˘FA­{_ aÍäÜ*jOw4śĄ:o4Şé˙ó Äć °âŽxHŠp˝>‚ćp΀ŤńÎŹ ƒË똰ţľ+ŁÎěŻwôFýy_ĺ˙ó"Äć +"•°aĘpÂI é0  „)uľ†9ˆƒî°ŕ؏ŒšÎó Ö˘×ű2Ü"}>˙ó Äć A&ŞXIDp 1 wcŔLĆ7œ1„ľ^–ĽzâdĚş- Ô×S;7Ć7ú&ćű÷¨+˙ó Äč +Q"žXz„pß@t<@ŻćŃYd˝2°qŁ5Ň.žgĄ™ŃœH+ţšŐż˙ó ÄĺĐވjŠpy‰mـĐ|K€Ž¤ľ ˇ=c> ü{”őĘ8GĺG˙î`ËĘN˙ó"Äč +‰‰Šq¸+1j_°°=*˘ďWl$¤ÖśăˇâŻ!Ë5śo™ŐţB25D˙ó Äĺ ćžXcJpŠâ鬔gSÂUN,Ů¨ŞˆčgśĘßžęş s˙ó"Äć + ‹Dpg0áŔüßŔ}gÂbw˛ź?Ô+™żűɢUL&"ßf"˙ó Äĺ¨V–83Z$"2ÜH’¸8@ŐÖr@ƒ´ ^iőGşN¨M(güJškđĄ×˙ó Äé +8҉h|„qÔŽč ¸D5ü Ęl°= +8ď‹*h4ş˜˜űŃz´S—öá:Ą+˙ó Äç čţšPj„pWűxŁYYí¸Đď"W­¨¨`úTkYúŠcÎfüěMř˙ó Äć á"žxZ†qcL˙g:PČ&žŮÔá}zĂ;A“-ĄŤžţumŒ”÷ę{žô ˙ó Äç + ţ…ˆcFp÷p‰sxč ĂĽB ­Ś1._ćŃvŽ˙Âo+#hv’Vs;×˙ó"Äĺ @ú™IDp‡]mI°˛ö0 ŔŢ>&ĹE}ěNĚ5˙ž>Š+oŽ“Oŕ‚jœ˙ó Äč +`ޑ3Dp‡¸dŹ +9/mšĐz’8"@’ +Ô‚B$Łó#ż‰ôRˇžGӎćz˙ó Äĺ¸ţ•HÄp,ßÂÔ &ÁŰ´ËÍÔ°@(%šďEuQgë&˙~u;{LVϗFß!˙ó Äé +:ŚX1FqTÁŞěh ám2ű˛´{źƒáˆ8z8ůĽÝůŠcč‰ůÚ|y˙ó"Äć řţž8Jq÷Ýôú í€ô9°™—-GÖ¤jk]KŒ?1HY×QÍÄh˙ó Äć ŕţ˘8XÄpQŁ`°P]t֖L¸‘!aşgĚ&bNžč7ňš}Bˆüô;˙ó ÄĺđâŒjDpÚÁ˜… +đ6łűŁ' PhšęT1ÝJŠÉUůW$ýBşĐŠv÷˜_Ţ˙ó Äč ŘڕđaDqtE?šÉ°™ŠŮ-q$;Ą€ž<~@@ÄWîGňă˙˙ŸC˙ó"Äç ń6řIĘqvóÄ8´¸9[uXl*‘ 2 +œ˘Ž>hŮC-ű‹ýÔ‰'{•ľĺߣ˙ó Äç + 6š°b„qސ@ĚŘúÁtŽPm@IŠ 4 °u„RËOŤť?=ĽMJČgřîľ˙ó ÄĺŘb +(ÓśâŘˇ Ą†ÜŇ›şWŁˆ›ƒíÂg÷Ô*“ŃŽ}ń˙ó Äč +A–aÄp ˛ŁŽŠ °Ăĺp +­yŠ‘ +bU#uežvŻŠ­HŹ7­ż t˙ó"Äć + â•paÄqžóăz˛Ă›ŻĎĄaeŠŞ0”ř +§6ĐIŮ-ů2%?‘ťE7TÝSŞ˙ó Äĺé:şř0„qÝżáE€´řE…żŒ Ä^¸YMČä|Ň~RSáů¨F꒓ö˙ó Äč ů:–aÄqJľ7~`˜3xŕ˝LqŃ‚Ă`§ŚccŠîçűR…đ­ő§˙ó Äç ą:˘0H„qšn€źBvK€¤Żdhř׀- Í?b59ËčŤt*‹őuFoŒŽť˙ó"Äç +16˘8`„qJÎôař€” zzĆÍLŠń­wIToϤĎÝjŽ67¤3[Š˙ó Äć ¸ţŚ8H„qeCœOüœůπ’Ż¸˘Ä÷ jé͝9?÷vŃÖő;O˙čݔŽ˙ó Äć ‰6¨zqJ3őÜ0¸ˇ€ÓÝŘJB5Ŕ y{ŒDÓšĚ]ÜĽh˙Ö!Ńžƒ7˙ó Äć á2šyÄqđö؀”x*ŔF÷¤-ÂĽĺüo,™źqÓ˛łt~âÍn’˙ó"Äĺ 6Ś8aDq9$"~ ˜ŠĂgív‚eń!NŽ‡Ës/7Î"_ęƒ?ů +Éú˙‡˙ó Äč +16ŽXH„q¸ ź8€œ<(”H‡RI¤ +‹ół)ŠĺTn@d˝ř$§îcÝş˙ó Äć ‘:ř`„q8dYAŁ09*ýX1(Í)lű+x5Łs=šŠp§éĐ"Ś”P˙ó Äć ÉşX1„q(žŒaeç×فĽ$"Äĺ¤&mČ>b@ćíńB!+˙ó"Äĺ !"˛X1Dp˘§}9ĚÔƒœ}”‚ťA< +"ˆna"C+âBŠ˜Q– Ÿé0í˙ó Äč +`úŞXAĘp¨"ýâáđŘI[;p°ńQÁ X]@ĽČ ř‡Á6Ľ˙B´˘ž>˙ó Äĺé&Ś0IÄpÂXŮe{d&sŠîăÇT´œ†§Çř~Śo~‹¨_P˙ó Äč +Q*ŞXIDqép@/‡€œ!Á.ňN-`}e hí”˙đăx$8CĹ|Ný˙ó"Äĺ @ŚŞXQ„L"ǚ°đ‚[YJƒGŃ<ĂŠMDG*Nż&–wr„Ă˙âcrž˙ó Äč +9žJ„qF?íFž ؐ†Šűœ—–AđBˆÂ4Ćë3;HŸě/ŰA +˙ó Äć ŕţŞ8IJpüčđÔ a3ĽĄÎh*E™ÁŠ:PŸó)Ť0?n†ßľĐž˙ó Äĺń.ĽđIDqĽč + x ćŃT‰Ů‡¤ ZŒGFÂÝ;r'đO‚7ű„¤ťa˙ó"Äč +‘.•đbÄp‰oz˜â@đQŞ_›É=5šś˜ľHLokŻęžćR‚ŰÔ +#˙ó Äĺ šžř1DLáß÷ƒČÜ‚†ÁO/*Ćy Ž‡Ýl-\o‰łěw ţÝGyź˙ó Äç +֙PKJq˙psˆ‡Đ˜Ç׀,z’7ĄŢ ˛uő}ů<€#Ôz‰C"@ÂŤ˙ó Äĺ úŽ1DqŻXpx5Ÿw–‹ ˇm|b$A&Š€Áz7Íţ˝_ƛ‘öčeĹ­ç˙ó Äç Ą*˘J„pÍށ—ěr˙ó"Äç +.đJ„qý̤A@¸e [ĂmŘ9˘X"ă\V@~­ˇ4ŸB‰ĐnžŠF čGţ˙ó Äć š&•`bDqˆ€œ´@6‰Ňš„`rXEÉjŒeëë2MtW›Gř/ZÜĂŰ˙ó Äć á"Ś0ADqŐ´`1ţ°5Y ĎHh#VőœČ,ćý“1 IgâÚ˙ó Äĺđž˛8BDL§eʊȐaXa™űČľPü +@űĄG2üçńÚSçöJł>‡˙ó"Äč +1.™ŕbÎq•?ő<ş1…BĂ +°Ř H˛j +pĄv)8úůőrí¨2i-H˙ó Äç ™.ĄřIÄqOS?˙ŔâÁ§S@ÔŔĚrŞęż>ž(_Q_şŽŐŞI˙ó Äç q.™čIÄqW@ćź.œ1EŮĺÓ x4šp­˝˙üĚ΁żR?C"ˆŸÔT˙ó Äč +!2šKJqý–qÀ” ’žś¤ +śąţ!•Ô čĺž%–ovü$/ÔSdę4\˙ó"Äć +HҙřbÄp˙}[Pđ´ŞçN…bNG…FMɒ÷šü­Ášx"yiřF˙ó Ää +a>Ş1Nq'ÓśĄ˜ˆ6ľjx mÇI­ƒP1Ź8•xĎoAwCxž—H†áý˙ó Äáů:Ş)Äq4Ôqœ¸@ÔěÚÎ6Mڋ8xfô.ďRóźk2\˝Ű˘-ÎŢ4z˙ó ÄäÁ:œČ*q˙˛ŕ(Ŕx R$I˝r„ďH č\¨Ąe(ʕňçřĂ=Iŕýˇš˙ó"Äč +Q6řIŠq…Ÿďřş€ź m<_WA:ŇŔC[$čüßŕ äéy„Ěśs|˙ó Äć i6ĄčIĘqú° Ŕܲ˜ÁČxě`Žä¸Pôĺô}ř’zƒ|š†f×1żőî˙ó Äç ů:řiÄqp‚FÜ  t3iEĆő0o…QFxŘZˆ”~żÂîźQz CŤř0˙ó Äć q>˘IDq"~ŇrŒ4)˝'LŔ<Ë!ސŔ‘Auőň߈ő.AôăčŢ˙ó"Äç +>žaĘq(ß´FX¸ÁńK˙p4ŠƒäŠ†Â-ˆ ¨ŐňE“ČOŞ +[œŽs˙ó Äć ™6ž IĘqůŒ˙ţ° Ô ŘPWĚ0 +ڈŃĆRľŐhŐ~ä̍Źž9JQjO˙ó Äć Ů6žJqöőŘ Ě 'ľš)… ˜ÂÇCş!¨Ô𷾍oŤeS¨T+ř—ţ˙ó Äĺ :Ş01DqÓr”Ř!t›Œŕ@>y!~)< ,ШŃ§x¤—ÔŸŠ txĆMę˙ó"Äç +16řIÄqŸ– Ă +@˜RÔŔżžÔLfzkH>ÔÁĎř‡Č­NĄ˝ĎFfŕ ý˙ó Äć ą6– b„q˙0é@ô‚PB9d*BŮ>Š •›/e}űˇ˘^ľňLßQ-ý˙ó Äć Ů:žaÄqC>Ž Ŕ™č‰wcLKMp•"&Ş>ÂŮş+ۨgáŮď][˙ó Äĺ :Ş8X„qţ°EŔ¸‚0€9i8ˆůpÝŘâ\Hě÷ňŤ• ˘Đœ8ƒŠ˙ó"Äç ą>Ś8YDq[ěŸęÜŔŔÜ$Đźƒn‡~äŮ"Ě " +ű<2ä /&Ž]Ř˙ó Äč +aB™đIĘqá˘ŇŔx0Ć ţ4ňPčl-ëö,NZžoüßÁ=_Bý*šhoń˙ó Äĺ :ŞXIDq…ľbt˜ +Ť5VZ9•ţœ¤ĐÁŃăK쥠ßËČ!ô!ż˙ó Äç Ń>ž8IÄqR‡tťŘ$ű€ŕ +ČҁXDˆp%{MŃş—ű€Á§gčwř˙ó"Äć Ů>˘01DqAÎâROY ˜b‚Ůq,Ř-TKÄŔŐ ˛gůGú‚nĆň‚ +?˙ó Äć Ń:˘X1ÄqIzȀذ8[ŔH%ŸO| ¨0Ę#@•5óťźJÁáܟü˙ľÔÍ˙˙ó Äĺ šJLvƒH˙h‡¤ŮÉSŸ@QĐ +Â°Ę :K”??ňÇ“Ľ˝˙ó Äç ń&š8I„qżĆâP-V2˛  q(lČă˜°ŰśĹţˇ^†!ÂÂúýę˙ó"Äć Á:•đyDq߀çöM´ 51@p%ŘjÂŢű‹ďGćwŞĐS9;żš•ůż˙ó Äç + úž01Äpr‚‹Ü 6EDÄťFxÔe™ĄĂĺĺ÷č_ŸAÓO [üďô˙ó Äĺ ž0IÄp&<œăŔ° € -ą˛§„TqŠ‚dčJ1/­řš˙SĂ­ý˙@Ď˙ó Äç É:ŚX0qՆžÍpy÷ ŕĘ0]€Ü61RÜź0áĘ)sŇCęäF X[2ý˙ó"ÄŢŮ”¨)ÎpNogq¸ŕÖÇőĄâ‚Ś‚ ugk>|ƒ9ÁX“ŒŚřŽ=cĺAŠ˙ó Äâ ™p:p˙![O ‰ żC*HđŸ p¸˘pʝšžíʡčăbí[œ[üt!˙ó Ää ţŞXDpŸß\˜ŚĘ`ŐžGĹmŹw8r=[ŠYšYQß^Ž1<2˙ó Äç +–PIÎpC óťÉU†4ę:.Kž +“ǤýŃČyŠezťř+;˙ó"Äĺ I"•řJŠpt>ž4 keŕÖDÉďŸpďđ(n=Q‚Ú†k.ę:)§ˆ§˙ó Äç J‰đCNqŘż´ö:Tsţ`ř„ž\´P0ťäšĺ†ú ĹÓÄYSŠčţ˙ó ÄÝ !•2 +pç˙­P0łD⿞ 88˝ŔšHČF*AF-ŸJ9Fbˆ– F˙ó ÄßúŒ)Îp˙Š>˙ţ\2ýŘ˙ú/ČÔAöŠTÖKôS)PĺŕŚF˙ó"Äć ůš8IDp˙a¨wĘ2˝bm ŮŕD+rŁy˛ę((.g”žĘrŁ}ř˛ď˙ó Äć ŔúPKDp˙îbéBĽ?g`ŮЄK@ž÷äR; ń…÷b˙Ą^ýľRF"˙ó Äć 1.†bÎpA€NĎůÉ˙ó"ÄÜ ž˘PJDL˙›ł›˙ă Á‘ńŔ¤X:^ś€ŤŁź8Şă­‰’ńu˜ßSýž˜™8G˙ó Äß !.ŽX1ÄqPp´ HŸ•*BŒtÜŮŇ Ýk^ĎŇŕďô?Ěl§řĐÄń%öĐPS˙ó ÄáđâśX1Dpô2'„‡ &%ĐˇM +U˜ęőýŤőËDwžŹ„!˘óÖŁ˙ó"Äĺ 1 +Âř(„p휚őŕś0ƒ1BĂŐ{4MO^ +˙œ~ź&Ă(ą#—˙ńŸÝ˙ó Äč É.˘yDpľăÝ°ăŠb$0ŸŐmˇ!ĚaÔ%ˇS‡{p_ŠÓvMć gŘ˙ó Äç Ů +™ŕbNpżHKž`)őŻ`ä”6Ĺţ…÷?üVĂżŐĆôƒeÍ˙ó Äć É +đJDpqB[™äŔŕ€=@g3š@óxÜ5Ň%Ş;ĄG‚#6WčŐ˙ýPw¸˙ó"Äĺ YJŽŘADqJI>ă…XŘ 3LLŘ2gŔ 8Ť.­CôhUĘgÔÁèţßž ˙ó Äç +J˘(1„q؂×( € q5ý,›_šŒÖßňÎ3˙Ľ`Á6śŠC/Š›ů˙ó ÄĺńJ˛X)JqĎî 9—´P÷|ÁC¨Ĺ:IĂ̢­¨Ćum:ťbżý``ę˙ó Äč á +ĽđyÄq§rPĎe°°hĚľ‡`€ŠoŒŒ ‚x5üÖWűHÚxlƒú=˙˙ó"Äç +Q +šZpâôŒÁÓźęőcx‘-wÔ/CËSčę{Ö´śNĺ&?ďň ‰˙ó Äĺ  +˛X9Jqú{Đđ +őĐ:021ŕPçp)_š‚öƞŔ›žŞÖ%w˙`żT˙ó Äç +*ŞđADp9ž oĐđ X $1;'˛'˛ZxC˙ŒĹ}ƒ +bř_\c˙ó Äć Á&Ş0A„p‚HżúîĆççF×Č (ôÔLˇPĘ9‚ű™ĺقzł­˙ó"Äć +!*ŚYJq~řˇü¨ +d_ßÂÚp1#3Púş P˛DŒęşŚÚű;ŠÎÝ5Ş=˙ó ÄĺŮ +Ąŕj„pz~C?@€Ŕ` ż„ČiÂĹ4?I^Áź´źßlŮ÷U3i]Ç?ű˙ó Äč +9.Ś0yĘqżÔGáf” Œ­¨Ž†&— :g–‰Ż÷÷ÍţŞLŚ>”çv˙GŰ˙ó Äć Ą>ĄpADqÔŁ\ ÔLŮßó ÁëBŚu +Ÿ;Ű;zßĆÎ>Ĺ~MH }Čy˙ó"Äć qJŚ8I†qWwI Â`ú˜Ç‰AfÁgŸœn$ŁÍ_šJЋ- >cRÄťúäÝ˙ó ÄÜá:ŹČ(ÄqA0ý &)›‚2!ŮŃÇŔs+TżC.Eʑçô7ö˙ó Äß™:š)DqSyˆT€ú‹Ž šÁ7sĘ2"…T‚ëyţn¤ľŇëęFţŁĹÜ˙ó Äăů*ą9„qě˙0°FˇŢ"e Ľqś‡ŸR˘Ĺŕ#uŃAďŢëćÔÖŻŁum˙ó"Äć ą*ŽY„q>D1ŕ螐ý TE€hÎúN Œ%}Pď(ÜuúĽ"™˙ĐşÁ˙ó Äç + +ś82Äpꉉ€¸ Ŕ ˝l 9č‘+fž,(űžóőŁ*úHOő;˙ó Äĺ ú :Îp`ćŔi`A”˜Ąƒ@\D6ŁœP‡/Vâú”Ĺ*ˆýČ8$˙ó Äé +™FśXAÄqZ%‚uýŹŚ€€ż„”ĄĘ•Ť)pžŇű/C˙´mÍ#˙ó"Äĺřţ ;JpţĄT;ŤTxĐô€Pű5Ü +Cîóŕ8“Ow˘?Ś€˝}?ĺ˙ó Äé +ĄžbÎpI+čźLůÎŔÁĺö8ƒäŒ`Ŕ˝šŽÝ-DłëSG2Î;ú%ţ˙ó Äĺ ţžX1ÄpŁÜII 9ŕđż4‹ćžÔ4żGî‰Ę3–§˙ű†áA5 v˙ó Äç Ů&Ş83Dp§?:~)ÍďCč‰ě}K,LjC˙ďôßq.lďýÔ|B¨ç˙ó"Äć YJ˛ř)DqÍ%ô‡´œĹ>ÄÎ + ÎbEĄxŹżűü€j˙ţÎ@ é˙ó Äŕ !J¤Č*Žq÷Đd°Đƒ'í0ŚE4ˆ%u$Ë~Uťy +›U'˙Ť ’_ç'˙ó Äâů&Ś1ÄpúĄŞđbÎŰůUěŕ@–ś1aňóužć +IŁ˘c’8=NX„$˙ó ÄĺąJŽ02Šqo4˙ő‰ČĘ}cC žř!cÎÔťj­ŢoVÁ'účŔű Í˙ó"Äé +Á*Ş81ĆpWošÁÝjXc!™1bŔXB‚w + + ŰĎÔćša:ěŞĺ6žĄÎ˙ó Äć ˆţ˘€JpÔU+|¸b…l‰Ď DĐ(ţd¸Źt,­¨Ţ9ZĐ6gľćםš˙ó Äć ˛X* +pvúý[ԈĄĄŰîĘ*š€Slőœ Hű ­źąG˜źURyŮ ˙ó Äç Á*˘IÔpڍŇSB˙Pçż  + +GÔŔ\0<˛…^ôWő5 Üż˙ó"Äç +I.•@cNq˙ú"˜&žO &Ź0 + ĂŚđˆ>Bíe‹€ńdÝħębyŤęŸP›ę˙ó Äĺ .Ś8AÄqň‡uĐü0MJ‰@X•jť0äć•.šáe˙‘fߐëŕÂýzz&˙ó Äč *˜ČiÄqüGT@É Ďö(¤O›œ\‚Ě;ĽIßÉ#=4!žą˝IőÇ˙ó Äă Q.śXJĘp~uD†$D@FDaß]@‚´v' ý yRŠq‚cţ¤Ż˙ó ÄÜůŹČkDpő8—€Ô:gśóC$~ŽYú[ŰüűčýX]úFßâ ˙ó"Äß AJşX9ÄqŢÎâσ ´ ‚!—ŞK`ĘŞÔm(¨Öw˘ËOËŁşxr +ëŰJ2‰˙ó Äâ¸Ćś0ZŠpoŮú„ †PP ›ć +Lćžŕ0šGG’ć"—ńÁéž­ůŐżöÇ +˙ó Äć ą*žX*pčví p€˜€€,Îm"SGfŐ¨Č/# +ó˙•H!óô7˙đ˙˙ó Äć Ń +˛PZp‘zĐu¤Pżě<ŕÝ3Š˘ +Rcóßdçz˙%$^š?č!ţ×˙ó"Äĺ I +śŕ1ĘpëKŚşœě@8?Ś>­™ŠěKD@wt *%?ƒó˙RÁĎ^&oÂ?GTo˙ó Äç Ů.ŽZĘpĂű+Ä01ÂŚ—űb3WS@$s”6";ąíԄżŠä _÷ő(—˙ó Äć ŠJŽ0[Dqč"ęČx: +fZÚ¤ÚÜđŰEN‘ż˙˝Ţ„dŠŔŸőţ˙ó Äć ŮJ˛¸1Ęq >ŕŠë“€_@4 sÖŽMw†đs|5řĆ"/mÍ|şő +˙ó"Äĺ AJśŘIDq­“œ€ÔŹą wş9  Ţ ¸ö"śAoAœtô˙Mˆ„ť˙ó Äč +9JĽŕZÎqÎN‹€Y9wľHž†ä¨8Heć żŃFף˛)SĚţč˙ó Äć š +ŽzĘp8¸oH†#ÎĄŹ˜(R˝Ť I×Rł[ׅëŕˇ_CŞý˙ó Äć ‰*Ž0JŠp”o¨găŔj1ĺŔíFœ –ońŽLx3če~cáŸř=_ŘßŘÄý˙ó"Äć + &˛řIÄq‡_ř<¸&h ¨¤Ę…ČeŐÂUËWu/A"b_^@OUë­ű˙ó Äĺ芠SĐLֈoQEě€dŁ`€@BiO8\b)Ťł}q^Ú„EŢÚ1Ä˙ó Äč +Jş8ADq:ž;-všŔ­üs´#xs>’ŠőŤœ6t0,-ŽjűĺťlűÚ˙ó Äć ŠśČYDpŽ„íĹԁĂ:VěŸ˙Ç1Ó?R;ůćkn“HŽƒŐe„˘nćC-ň˙ó"Äć +*Âř2„p6/żí|V˝âŒÖ¨ďŠŁĆ˛Â/ŢĂmĎÖDŔXůú¤yF¸XȂŠÂ@˙ó Äĺń*ĆŘ1Äq't“dŃQAzúo},J7ÂÍń´ HÎOŐŇÂjJ‚+rÜhŻ˙ó Äč +AJ˛8YDqIřťJđíSýŤ'ýCÚésRՀ3Â3Đ˙Áű(ÔŔä áč‹Z%q˙ó Äć +Qś0„qđďPÎŰť~÷uzÜ Š"" đQI¸)Y!Ů +bU¸çKšnž÷;˙ó"ÄăÉJĄX{ qgÇ~TĺLYžąT`v„ ŕ˜ ýÍGCQ^ÄÎ3#€a‹÷9í*˙ó ÄĎ°ţ´jDpśą5ü1!¤ôŻRÇ×í§ŃżübVýĚ €ůvąFW#CNĄť ˙ó ÄÓ "´ČYJqłÔJŐ‰5ŸC‚Ą"r/4ďóDŢŚďĺ!Č)6‹’ÎEÔÜG˙ó ÄÖČţž(IDpš‡>pţ6ťî†¨gůRüĐóŻÁÇ֐󝂬]I…˜ÜâŞeŹYAŞé˙ó"ÄŮ ţÁ1Dp˘qCfë­aĐ†Qb €˘1ɔ ŽBůqóű­Oˆ˙ó ÄÜřŽĹI†L˝(‚`îŠH:šýăŔ€! é#d,ŒŽuKś#5ŸŤ+şś˙ó Äß +>šXbPquŞîťŸĐŢ՞xđąÉW¤´Ř\Ů"úŚ;Ćr¸%usßS˙ó ÄŃ ţÄ1NpƒčŠóŽĹmĂźďý^#DŞN1SjԍJs`Š8Ę÷Ź0ę„CšĐč˙ó"ÄÔ ŇјHÎpŽ|ŽÔ< Ź(+ۨg+X§ÚqČí6SŔ&żŻëłGęÓ GŁ&A˙ó Ä× ŽźKLŞŁbhX˛Ć….ŢnçpÍuѸŘ썫ŻÍŁ“Dę¨_ "˙ó ÄŮ ˛Í˜1ŠLŕĺĘý]vľuŠwË]´Úî˙Ěä…]ű̀ćŻ;` 76…‚aȢf˙ó ÄŰ +0ţɐJp×KꃯWNřšK‡™žd€éĈȌ!H Ź> L‡d̂…ËeŃ˙ó"ÄŮ´{p@S;ć.77üňţ§ČŸ TšŢď~.ëĐR*§ŢćČĄhŕ…œčÔ{„˙ó ÄČÁČa„po´M`ŽQvĆaÍuńţ0EW@ŁĘčíŠúŒ¨cÜ\ŔĹâHDó˙ó ÄĚ úÄZqŔčALÁű¸B+”ëgŻ“đ§­˙Ž‚"ż—ÖŤBˆ0΀‹œą0 Uzf˙ó ÄĎ ÎÄČJp'4GuĽžßnQ(ôŔŹĽcjůo¸ęĹ<™˘Ŕœ~ƒ…‰ŃJč˙ó"ÄŃ ¸ŽŔbPL&zTÖÍśq…Ť:CńJ>¸fQ’ż˛ Ěŕ_Pý9™ůuó÷˙ó ÄŇ ™"ČĐIq8 ŕpŇÓů™Ę蕃Wq˛*ž;vîŚeMŇU 3g(š"‹˛@˜˙ó ÄĘ pâÔČI„pś™ˇ\Źš ›K1>Ü şľÇČji +ŘŘÔźW^÷Ë~ąœ×ăKŤJ˙ó ÄË .ĺ˜0ŠqŠn¤?Hš ’>Т_@ÍűڐA÷öęň“;ę츲e1÷IZľťľ˙ó"ÄÍ XâШIŠp8ű­N.ö`ŁT>Œ\lP…% aż%KíBî[đdlÔź[.Ö´ŘĄ+˙ó ÄĎŕšČbDLő€žEŃ´ezDNmaĐk0†°GďQ/˛Űe"8ç +˙ó ÄŇ ).ŃX`Äq‚éÔ†)žÔĆŮP†îM[C‚Ç.$vą(ľďŃ ťâPB$H˙ó ÄĚ +)&Ŕ{qŃą,ŒÉ™'šň;ţ~ąŒC‡ßUŻ蠟ǰŘÚĘBđö|G¨˙ó"Äž yÔbJpDŇ5B;8Ř#´ü˜˛‚šÉFť:Ł{ŚŠŤ†ëę‘"hĄ 0ŕđe˙ó ÄŔ ŠÜJRL¤źK˜•K€h‘Ô" Ž„Ř\g‡@é KŽ +đ abŹ W/˙ó ÄÂ0ŞÜ¨JJL'ŰaY>Ü°vŒ1NŚú ŠzΰFäÂľ•Äčbh…6‹ú@ó˙ó ÄČ VÜČK$e1ô;ČÍóݗ;5:‹îčęƒÂbgÄ@á(Ë3Q­ť‡œÂ…k˙ó ÄĘČŞĺ˜I„L&Ďú‡éă°@0ëkŤ B + ęD„Œ™˜›ť‘Áςv´˙ó"ÄÍ A +áHÄpť+.Ł+l”‘œ°•Ă`qi^ÁIgfĘßĆwţs€ľŚSč°C˝Ş˙ó ÄА†ĚIH +b“ +ÖŇekÇ9׋Vxˇœ˘N8'íÍń OL˜S™Ž8Őô—Ą˙ó ÄÔřĆČIŠpŒňđďU R5›ČősćVÁŻhmČěw;ÎëI7ÓĐ­×ćmý6˙ó Ä×ÁĐ`ÄrbŃâUoÖ:ń2OˇŽľ4ŃŇ-a…$Š;›xŰ +J|ŕZü㸊T˙ó"ÄŰđŞČJLL­Œië +Q%Ř™PPBą)ČÖUDoŸ/˘Ĺő*gě„ű‹[5ťŢ˙ó ÄßčrѐI„HF,vĚ0N8ȈNJT¸ť“" +z;5ŠŽ÷K-Úr™-Ů5Ę9˜/˙ó Ä⸊ÄbLLÚ˘ DsčœĹ]q üč5œ@…Ş]Ô^ěnseüLAWÄŻ˙ó Äć `ÎźyŽpۙ'ďř8đ˜ ¸ˆW< Е5şĆ°SĚ°5ž)1× Eöua{˙ó"Äç +8Ęź{pŮF<D.:Łb‹\„tĚ3SŹ|;#×ô*ÄaÉťO'jiđn˙ó Äć €žŔ(yŒL*Ě*EáČ¢ľˆć€÷RŃőr2¨şŽ&LříďT'VůXˆ-˙ó Äç +! +͘HĆqŠ`Ščš;˜ŞŢWMyŽ#°rßńĐ>Al>ŒCM˘&2ŽlŒd˙ó ÄĺŘÎŔ(I„p7AćňXĄY&Ź‰8bÍ⣎]q¸ď‹ÜxO8<)ôŞšx78˙ó"Äč + ŽÄřJDMz]v:ž@Ô@ ]„%aG)L.`‹B•‚99Č+ ,'śČˆ<˙ó ÄĺđĘŔPbp0–˛ĂH7.âAÁƒÝ퓅 uÄ%c[I–bĘpÁVĘŻšŒ&˙ó Äč ů +ŘHÄp€đ€(ŁX?ÜU…ČŒ"[˝Œä2ăÎFq+ âM&qţŁ Ź…˙ó Äç ™¸0Jpěć4ř˙lLq&Œ6ěw @>(@ĐăĐ0D€ő$ösvŻÉşˆS'˙ó"Äç™BŒĂŘqíŁ]ŒĂű¤?ş<ť'™Qöď H€Tô +ôübp=ƒĄěź…\˙ó ÄźáB¤{Ěq;=[ŁGâ 2ę )ćő‰ú€W>“ ś0`Ch<Ň÷ÝËçü÷ýŞ˙ó ě +iF͘bFq˝ĚˆŸűJ?R +Ë žD8LŸ! +‰P äb[@‘ÇÇh™˜˙ó Č +iÔ(JFqg'§ÝŞó˝|y@j$A‚H釣ƒoëďöŠUdĘřăő3 ˙ó"ĉ ˘ÜČJDL›!• šř6>TËňúEᒠ‹‰ ‹kË íýPčŰPEĚ˙ó ČąÜ(I„pXTĎjé ˜Ň0ӂáĹPUBƒđ#ž‘XńŽ ÁVíŹ:°?˙ó Đ Ôbq›ÔęÍ2~D4Qt6F‰(źśâ’ĐpÚTđo,Cr1s`˙ó ĒřŚÜĐZJLΌŘl´Ž$0„(DŠÜ(XUŢzU†˝8ä­ä˝ä€×d´˙ó"ĕ ŽŘ(zFLQ*ćGƒšua´ă_;Ek¸H(h”{ĹĘ=P =J$í\d•˙ó Ę rĚKĆH`Y!şZeÖ25ÖĚ0fkĹ +Ć{lN?ś‚b#ƒÄ˘AÎ˙ó ě˜zČbLH?ˆYÖçK7ˆA9ˇXçţÉ’t}@N>Ç*NEáÇ,ł +>ĐÇ˙ó ğžČ`ĘLĽ››ź ľ#懑% >V\÷3ÉUQäůľóAA‡úMü1Z§Ě˙ó"ÄŁđvÄc HÔBđȈŤÚśˆ–›žO$ŸG%j +˘`<ĹĘl‘N§VđcĄ˙ó ħ ’ĚđyŠL&¸Őr”ôü>ŔHv!s˘ńdˇf\„čŒœ„%A¨˙ó ÄŞŘŚÄbPLץ˛ÉvŔóŠîÄţz"hkźEçŽĹćçÎĎą+ĐŁ§5í˙ó Ä­€vČ(zLH°ę +ŔäÜ䏏"F@ĄTPűƒĎ^ÚÁ֍óČܜ‘CŻSMĄ’ŢB˙ó"IJřrŔ(zLHž ‹V†ä˘hđ*q5=ßâqŽˆůĹEg51EFą1V=˙ó Äś€~źbLH_*‡ëś%°x'ejÓĽď“ŔrßîţĚôlÎ,0űmęĂhť˝n˙ó Äť ŚČđI„L%`Ž#‚ΛąY`ss{w9ŃäăėXÝ@ž#? :eĄ#˙ó Ä˝ ćÄ8I„q†¤CfGÝŚuś:Âŕű‹;üž5ÂöüQżŔ†Ug j˙ó"Äżŕv¸c HČk™([3äƒ&Fí6Z‡‡ŇÚđ<vˆ¸Íƒ,pAG1 *ó#<0ƒŇ˙ó ÄĂčvźĐ[H)ň&œ”7”%Ľ(×eź˙•„˝ŸŠB­w=Š‚Ť›Œ U[đč˙ó ÄĆ Ş´(zLLXŒ/>›¸ˇň‚<ţżŞˆÝ‚˜đqÔFhKI rz[‰AňW¤‹ŒX˙ó ÄÉŔ~°zLHçSŮŐLr˝ŃME6 Űp2á|ÁCŞZ +0ĚaháŔă pÍ–¨Ú…˙ó"ÄÍ +8f¸PbL)ét-ÂQăo°WďM舼CbşL€hgĂz‚9’”A{hÄ˙ó ÄĚ +ń¤{ĆqJÜće^Éż +Ť‚^íJłíčŔBĚô‘žd‰Ńř3ć×׍{Ç˙ó Äť a:´zFsúéľ +bÔ3~őmű¸qdŇֆ\öBŒ…v˜zŁ˜xĎxÇ^T׌˙_˙ó Ä°a>°{Đq{ćsj˝Ľ +K+QzŮÔ9/ňîš0 ŕ€<%đ×Č>ˆČZ˙ó"ĕ Q>Ä8y†qŻVw[î ,óÖr$ň6Ř|Ôâđ#6ą?ß֊32Őßę Ž)Ľ+˙ó ċ šÜČI„p7('Wܑ`#> Đö"ž‚a7|5KĹǐ×É9XY7n¨ĘJl˙ó ċůŕČI„pkĹşŢŢGĽ†W T÷ŮěÎ9>Ë}îŰű~m@nG¤YÜHt˙ó Ď˜öÜ(X„p—á`ôJl@e +íŃ´ŽˇnPÁC\šěË)úEœÍ§Űľ¤ł˙ó Ē ŽÜČJDL0ř čŇ ¸Ě…ňťž”ČÓľ˜ĂśčÂV ůôĹąët˛pÚ@5&ŕéŘ˙ó"Ĕ Ü(Jp€FĂŤ7@ƒŮďíƒáą*™Ř/őĺÔ;JáÄĺȂŽ§™"ĚđQ3ă7˙ó Ę ˛ÔZLLŕČąHjÝ÷/-a點YśĐwŁ(çŚ,A´őɀ€Łpř —:=j˙ó Ě0ŞŘJDLʇּ!˙aXÜaŰToéZâ{K“|NÄ}`4Ţ07+6Ď4Œ5ń˙ó Ä  Žá1„L?#ĐVů­5÷\/Á!üţ Ÿ1ëRˆ*A8#2K"s6¸ÂĽKŢź˙ó"Ģ 8ŽÔ(a†LŒóSMľô–âČšü)ĽŻpŽźĎ2,„pÇ@ü‰v5h¤Á¸Ŕ{x^˙ó ÄĽ ˛ŘČY†L6m{ŮFu ˙wC{ŔŚi + "ˆ˘ BčG˘ľs`Ž4ÖwĽ˙ó ħزݐI†LQ(ş ˙źŢŞz~MAŔÔň< jzlÍP}P{Ł J Ÿ˘+͈˙ó ÄŞȂĐČZVH,Ý<÷ü˘†}I5:!Ȩ˝˘˝Ť`Ĺ÷3KŇw,š×pN…oĽ˙ó"Ä­ 8ţĐ0bpý0Š%ß´"чŔ‹Q<0÷Ż€ ölěAS–­Őžűíý§ů‚ˆ˙ó Ä° úćX1Dp˙üĐÍ ó•MЍX…Ů‚é}‚ŠĚŒą[gŒnžž 4=ćˇčhář˙ó IJáÔPIDp@A„ó0ńš¨ŘĚ×D!šČ x6śŐvgS2?ůžßpŁ˙‚6€˙ó Äľ úĚČIJpԝ$ŔňśƒŒ°g5•Ÿž6_Lw˝Ýu@›,”{é:˙­ŔŔB˙ó"ĸ¸ţĚ(XÄrqA@ü`äÉŻV y|C˜ęT>ţc˙ć5>„˙ţÎpçT@<˙ó Ä˝ !FČĐIDq‰)$ RjB_˜ËŤÝoICĎţMBţ´ @¤t0>„Rá˙ó ÄżąŔyÎpŹZČ=~ehÓ[z^čE*űó=čŘ$'˙ü!Â뀞Cž&ŁĽĚ˙ó ÄĂ FՐH„qAM,Žj:ńo¨80ćü˛ŃíÁ˜˙ +:ÜńŔüRGÄmÝÖa›˙ó"ÄĹ ŞÄČbJLŕÝj—̨ĐlÄK˙ĄŰńčĆ Ăŕ€ó0ö͡wfݘ+&Çoě˙ó ÄČ JÖXH„qfčvžĚáĄČô=Ź ˙ÔԀ <Č=HĚŻçŹro”wgXFë2V˙ó ÄËŔúɸ@„pĹĽ;Dë{ąsŚ5. ?”ÜŠk%– Ůtë"Ć×wÚĚŽ˙ó ÄĎ JŐ¸1DqB_íVô˙č?€ă? —:(T€Ľ˜ˆa•źCĄ Çş_ůŽč˙ó"ÄŃ ͐aDp§W%“@g˙ČNŘÜcŔúJFŘś‹ŕ˛œX3čnGf(7´šEƒw™/˙ó ÄŐ ţâXH„pŹ7ü0L#ÁóăD'Őą›Yh—Řk¨oľ÷ó +=ţđđąSś˙ó Ä× ŚÉzÄL9ýađA‚ ř+@(…ŠřÓ +^xkš”ďwůCWţżÎƒ˙ó ÄŮˆ˛ÄđzDLŹţ,$€=€˘˘siE"†ÖJC˙…ŤľśőAŕ;˙[[Đh˙ó"ÄÝ ţ¸(iÎp/˙ô` pfXľV–°ĄŇśŔ,^ŽÇ˜Ú:]‡(Ôĺ/Ó ˙ó Äá úɸH„p7ô@˛‚ř.ٓľ8ŽŽ@De5€lşú>”C˙›J=DA…˙ó ÄăđŚÖXIDL?Đ€Ŕ’€Ŕ٤*é5§QIŔQ•ŠuÖ§ýJ¤ŒýݍSYŽ˙ó Äć ŕúÁ˜J +p¤6;˙&Ŕ5 @+‚hR‰Úi.X”˙ľ×œq#t<@§vţ–Ś”Š˙ó"Äĺ ţšJp˙úÁŠJX¸uď&'h‘Š!ĄeO3ľ_U5¨ÄP™Ž˙ÓRüă˙ó Äč +!J´đJ +qß˙Ć@!şŹ`ŔžZf°Z?c¤Ďo&ŻwWkţXG˙ň€'˙˙ó Äć ¸XYĘpú 8 +ФP2 A„ď>)] ĺÔtÁ9•“'ě4w\ÁЃ•Už0,˙ó Äç ‰˝˜bŠpSň˘AŔŔăÝÄ-ęž|"#Ůg‘]ńpݓz/Ţx–,7üďô˙ó"Äç ůľ˜KNp˙ă§<ŒP@›OF ˘B~]34<$MŐ{œOřŐßÎ_ë˙ó Äç šF˝¸IÄqţ €€sńRrU‡Š˙˙÷ +˙ó Äć ÉJ­zŽqß˙ő*ŕ.Ř´Q@ń*çHôäjÄSđˇdt^휪R7ű+ţŽ?˙˙ó Äĺ úşXcJpň€nĐqú €)= V~P ědÖţ&q7űÍs%ÉR˙ó Äç +Š{Np˙ř“H XJ€Ň Ë;eĐQšäUÎhtúőˇńЀ4_˙ôĐ˙ó"Äĺ 9­{Np˙˙ĺÔ +h°`@řšä‚“i• Quő†ÉŻö3ţa˘`oó˘úăT˙ó Äč +AJŽXcJq[ýađÖĚఞáîECÉ0Őř'_˙SIC"ßŐLÖxüB“˙ó Äć ŮJŞ@aÎqż˙ő—p +J‚¨pńYŠQ~c +UŚuф×T_ó@1ßţɨĐđ˙ó Äĺ JĆXIDqŤ˙’.€’€ ~>xĺÜÉ|dYóĄu'K]˙ąP|$ţ˙ÔúˆĄ˙ó"Äç +9FžX2Šq ç˙Ž +ڃˆ`Ř1ĹŘÁĹM59ᡖzˇ*ÍřPá‡ţŠţľ˙ó Äć ąJŽ8KNq8?ő Âó›…ţWŠnŮö­?Ź"‰3˙n‰E˘#˙ţľ ‹Ŕ0˙ó Äć aśXKJpĎęŔĘĘ@ęá9RffT/!3ţßČá~˙ç'Ś/ +p˙ó Äç +!NŽ8b”qPßŕřŘŒĤ$欚+¨Ť&ŢT dg˙oŘńp`˙3S)ľB˙ó Äĺ ţśXYĘpŰ˙űŒ %(ĚЙAY‹;xî篞3Ůé˙óJßß˙RF•7˙ó"Äč +yJĄ˜{Nq˙ú¸ +€˛€\,”—ŒiHŕn]:úÁôţˇú”dA˙ţŚ˘jă1˙ó Äć ŮŽXaÄp˙ü’5!,€PŚDď@e¤łžj72n U?ý˙ ŹJ%˙úTN)$˙ó Äĺ ŠcNp˙ńÂso\P‘°A 6ůĄ‘Œ*LwC@Ę˙öĺZÄf˙ý18;˙ó Äç ŠŽ8J”p˙Y0D́5hűjýnk­|Ččˇo˙Ń ‘ ‘ýżŢ řQŽ˙ó"Äç +QJŞ8KTq/˙ę3.xP8LJ瞰pŽŻĆ‚PIżŐýÔnˇ˙Đs +˙ó ÄĺéJŽ8JŽq‹[˙řá€&úĂĘ1rŮ +&‹(bć+Ž@ÇţŸĺ@ď˙újŠ˙ó Äč +AJĄ˜cZq˙ƒ Ć8M â!gY_xj%đđ{˙ţ˛ĄÇţßŐˀŻ’g›˙˙ó Äć ÉFŞXKNqëQ$jŕ〰 + ŒČ6Íâ=Qd@&'˙Żů@\"ö= Ô¸yă˙ó"Äĺ ú˛XJŽpţ§ĘP”‚Ę;5Îť&!ؘL˙ţ€áB‡˙]ŐD?‚Ö1G˙ó Äč +9JŚ8KTq˙őX +ÚCŠmÇíC§ˇăŚ9œt +ý˝˙(*Ž/ôúPÄ˙ó Äć ŮJśŘcNq/˙ţ>% +ր`gÖĎ*Ľ|*˝ZůŔ$KÝč% + ˙ţŐ !~˙ó Äĺٲ8JŠp¤Ć?(Öpî>şßžËUÔâß˙˛4U`؟˙SŞB1 ˙ó"Äč ůJĄřJšq“?”dp`ŤĂđfâ‘X­Ťë ž­˙ąq`A +>~żJ”ą˙ó Äč +JĄ˜JŽqň˙˙AůŕBčŒBoď֎¤óišÉŕ ,˙˙” dŰď +G˙ó Äç éJXaZqߖ +€&H°Đ„Ÿ2ĺÓIľ˘¤.˘hąľ/ő˙0ě˙ŹČh ˙ó Äć ÁJŞ8aÔqW˙ţ‘u €(Č`<>ܐn˛ÂnëŮ0 +¤6ô,Żň‚aG˙ţ &˙ó"Äć +˘XcTp˙˙D,ŔKřĂ´|äőAS•y;?Ö +ä?m˜|]ˆ + dpąún˙ó Äć QŞXKTpƒâďýdŔ +hŔx +M•,˝Î(RĽl}âAţŠU˙QébO˙ůçä˙ó Äç +J˘XKTq˙Đ *üŘq‹‹Ěu]nl›2[Ô I~ŚoEzB7öc;7ƒ˙ó Äĺ Ś0IÎp˙˙ cŹ(ŘĐ`î$ˆQ…"C“z3{]vópWôSňŢ˙ó"Äç +!J– KZq˘bő…@afpF&")-ÔqeĘůĆë˙ńřfL˙;ŁR٢q˙˙ó Äć ™N™ KNqüŔŚß@Ŕq,JŘÓ‰wá„(/<ąëoęŻýGC/űîꌞ¤˙ó Äć ŃŽxKTpĘ/˙ô& ˛č@Ôg@XéĹÍ:ˆQ­7q,nMúó˙če”3˙ü#˙ó Äĺ ž@KTp˙Š˙Ç°÷f‚á/ăGR‹S˙§ +ęlł†ň 1{řs¸&˙ó"Äç +9FŚXJ„qoQœ +Îu˝@$Żh¨¨P \D(0`&żÄp† Ž ¸Đ›É\9çŰĂ˙ó Äć ŃšX1Jpf–ťZ++v*vQd@0śé¨âJ%ń7ŞUƒ aŮŤ aű†[ĎŇ˙ó ÄĺáJžXH”qLG[œ–ż^=+‚HU>ŕŔ•°ŠMÁlî5,^š: -€(†˙ó Äč +YJžXJŽqkĹs'wbP'pXŚŻÍ-ç +™ťĽV—vŠkKEfiš IĽŮ˙ó"Äĺ @úŽXaÄp›żUHa­ĐɃúqEĚ +ƒŔő0cś§´¸TÜšÍC-ĚĐăö˙ó Äč +™ +­zpß|ŇÓ§˜{*ť˝/ +ťçČ %`›Š7‡[&ćŔ‰SÂŐrHj˙ó ÄäNxŢrqQžúH@ʊö ýb€Ů&€šá^•@k ˇű?ÎčĆ˙ó ĢŃBąXzÚqşÁľ/Ů )HU@L")°4CÍăíŃž( *.S"đ€˙zTj¸˙ó"ĉ ‰Äy„p§íä˙¨`Wýő‘@ěEEŽ˘ödbA˘ĄHŞŻ×ÇĆüŠ%éĘPn˙Á˙ó Ċ śŃb +Lv§ŰÖ@˘¸˜`T険c†BVţĐźŽ~}íBśë”złôa˙ó Č şŮ˜2 +LĚßfĂ˙ŮŠ%ŕ™ă1lžk3dIŒ()ß qýľwoÔ°śď˘˙ó Đ  +Ő¸YDq˙áGOł4‚ôPŔh(|lĺŃżl#W]Â"ôŮĐȍţ@Ämž˙Ó˙ó"Ē¸ŢŔzpţUäŔhž„ů[š-uYESŹ(L6łîăŹ˙œßčÍţa^˙ó ė +Á6јIŠqИ1~î÷;ŤÂ+MŽ3ˇx(ÉšoĄţœĽô7PNŞH-Ú8ě˙ó ē :ݘH„qPăGjűŤQAÄE7kGŽŇ˘~Ň +=ěýĐM˝ŽđđgŠ­ž2S˙ó ĕ >٘IDqOYâ1Öůwš"f +(ÜZWzŚéťPćÝzÇ/Ďď:ń }o&€˙ó"ėéȸyDpý=żíg˜`°ä$ďŤ=Wë +ÝR%q˛}¤C“żVn¨'#¤Š˙ó ěđŢŔ(zÄpúü.é<\>ˆP`ńFkLëô(­ +˝Đňƒ_üţôËä +˙{P˙ó ĞhŢÄz +p‡‹,ËÝ?3l.×kŇ"8Źł)e D0žż‡}žßŃýb˙ó ÄŁ +a6Ŕ{ĚsP`Ęń%ä'ńŒDR’nĄÄô6ęW˙! ţ…˙„1}ęĚQ@˙ó"Ĕ y +ÔČyĘp`í‰éá+(×Y˜FŇJ)SUBUţ^mFôÉţěř`R€älö%˙ó Ėů +ՐJpb‡â:i1)˝ňpÚ ţ–X ­˘2vÁct0™Łč€ĎÔŔä˙ó ęáĚ yÎpˆ .ó6—ÇĺIJő5vÎÁ¸Ń™+Ż­;3ácç#ŃA`€chj¤˙ó Ĝ :ј`„q]p§Qу ĹŕPJ8ôŰ %ĘY¤ľ‚€]F;ŤŚł*ÎV˙ó Ğ Ä°zpŹ€§ţ˙܃DUľ)÷´Ďŕˇé¨j$šS46vŐůS>O5Śh¨˙ó"Ä  x˛ĚČ1†Lę„[ô-u+ć€j€Ä8čĆ'F“F•Z /Š˙Â>救ŸR˛ĄŽ˙ó ĢÁ.ź¸{Ćqó¸ł ‰U%ńf%g08ĘTƒ­EjOOękŻČJ{kiQƒ§ď˙ó Ď ĐJp5˛áŸibRŠŕĘmŃ]œ°›“w>9?˝÷€vŚŠŁ’˝ôčRń¨ď˙ó đĐâĐzÎpBŇh‰-HĄÁ¨-*`!ýýH +ýîáÍř%öĆM™ßč€óa ˙ó"Ĕ A*î80„páwĹQ#HÓâĽaŒ• ]„‚°ÍQúâÎÉ<űőĽĺ@LŚ0˙ó ėš +ć0„q‚öjmśŃÁ‰4N6I§^ž }ü3t^3űBŽˆŔ†‚Ě$H˙ó ěů*ШYŠqKČ #M˜hG8×SłĘoęßšËú?†oč8nĚ'hÍ@U[!˙ó Ğ žĐ¨1ŠMďą6ęĄÚD÷oПŚM9ÁU@‰ě€(ńĽ1ĺ…0ˆ^:2 ˙ó"Ä  XžČJJLôl`A{%AÚDVŇ÷RýL3+,H€˘<(‹Oöœk đĄi˙ó ĢŃݘIDpú¸˜+iáúćpÍŰŤjĎS8ˆ ÍXÝľb.ě˘áuĂn[՘Œ˙ó ÄĽŠ*â8H„q–öŐ;Ó՛ôOÜLwƒŕI +ŔęąE[ƒŹ¤řö8ń0-”ëb +6˙ó ÄŠé +î8IDpרý[Ruđ雨Wq`Ň +d3t "Él›Ş^!„ćâ]šĹ÷z‚ŻŇ˙ó"ÄŹ 1ĚČYDpŃÇţ:7ýPƒëXĐHyŕul?%‰í{i›F}Oqr?_Íětę'˙ó ÄŻńŃpIDpďĚm=…:Ô§˜öşš¤ëľ“=př&—Eý sűźŕ?_?J˘•ţă˙ó IJ  +Ě8JĘpKů†&¨č˘ˇc9™ťŸƒĂ*•rbLö| N˙ATە?PŻü˙ó Ä´áِIDpľ€€€K7KCáŐaŁ˘ťƒ^™ßűÜţĄÖłˇď =bj˙ó"ġ !ČZÎpQ=áK +‘Q<ĽK¨mޜ㝨[üyłŸS +üĄRIg;(Źƒ˙ó Äşů̸JĘpŔĽÉ Rľœ-\ §.G÷ ˙CśÍŻ‰ +Aú€| =˙ó Ä˝ń*ČJĘq’X„şn.głIS…ÁĽ$–.Łżâřßĺ~eÍĹHHCy˙ó ÄŔ &Ě[DpřTY'ě’Î\źŽ<<ăŸ@™ż‹ż¨ŤüNA`&CŃřˆčîŞzPÄ˙ó"Ä PâŃXJĘp9.T´Öş˙˙ [÷-ťt=5I˙\¸#Ś*\1ŹŁ.Ăn4]>˙ó ÄÄů&ĐŘIÎqŤ|?‡ˇýjLůüE>žBߊN°â:đong]ŘaË‚%Śă˙ó ÄÇŃِHŠpďFÜ_G˜ă7”;žĽšŔƒ 1P,v î´ŢšZâ×u8‘˙ó ÄĘ  +ݸJ„pďúŃ ąž´ěĂó>ßĚÝĂ`ä(ň?3iBCi˝hJÍŁÇ÷ç˛N!˙ó"ÄĚĄȸJpí¸zŕSĽđSx"A“ĂœľcËĄ`^ꙕœč§UuNęm€8ž˙ó ÄŃé*ŃPJpąœ×ŐůSü°@Až€›5ž‘Uď˘ˆJ{žAgŐˇ+ÚÚôň˙ó ÄÔáÄ JÔrţAńúŔ€G@”6ý™HJî- â&T<Ţş›`ËüôóLړ˙ó Äć ąŔcTpČC˝AĄ>o .ÇN\łeO4¸EĆkűkjˇ˙s\ŕ6ű5œôÓŠ˙ó"Äć ůÄXKTpňąşd Ŕ¸:jpclc/&ćËşb +FX1wő°Lţ¤ +§‘™ťä˙ó Äćř–ŔKÚLd:Cŕaś +üĹĘĆ՗)1Ä!î5řŹFm>ßôĚŰů‚?Źů}˙ó Äé +9 +Ä8KTpQÓý`š›ö?$Ö×őg´^ró ÝĆÇUŹ9Żë`’{n\^ˇć|˙ó Äç +!ÄPKTq Mć+$ů5śIáŔ˛e)ŇÜšlˆkŸK<‹ Żň§l÷Vľż~“˙ó"Äĺ Ä[TpţŁ@K„ +MD‘ŞĹ°ÁąpC:FÖ*žÜ3_˜~h{TÔ˙ó Äč +AŔ0cÔqAěOż@2Â7i¸ moČ,ŢGĺCg-šŕěÖŞňÖs<Ż˙ó Äć XţČđJpřűrŔđ8MđČ)÷şšÉ“&ů˛]ŚšŞ}Îüpť"{ů…˙ó Äç Ů.ŔiÚpfƒ@Áśe :ŠřiýšÓí{H—~ż›ôw§ž7lŰĎ,˙ó Äć ¸ŢŔĐzÚp†Éů, L”|ŤČEË]ÁâěęˇrĽëwZŚ˝gPˇ~čů˙ó"Äć ŠźzZp¤ŕâđŔŘý@… r0áéË*˘ť†D(Řĺ~MwĐR_RG˙ó Äç +ţČ8JŽqřąŚY JNŽlƒË¸,s+ÔáŕÁŤîpףč×Ö{ţ¤=Ŕƒń˙ó Äĺ ŔĐyÎpPG˘ńt|šĐ§ -"äŕ +i;ĺ†G<[`ĚߚSüá‘öˇZfż ˙ó Äç ™¸{TpćŕA–Ľ÷G]běö+14ă\>…™őÎîăM8ůnžvőĽˇ˜'ő˙ó"Äç šÄĐbq dŚŰ¨ŘŔäj>Fy† q‡ätqˆş; +şu͘šŽŤřáÉ˙ó Äč éźXb”páuώ ˜”‚ç:”ט\ŔtŤ2’†ô|đ5ˇMéýůí˝˙ó Äç +Ţź¸bÚpŁě]ÎMĐ“‚×DUÉřž á6ŚZiSS.pÁ•VŚ +ă^ SKő˙ó Äĺ`ŢČXaÔpť„٨´;Ř&KY Ĺ×GsÎćb´Öš9Á’ŮĆÜ&§śmSďŠm˙ó"Äę Ů*¸zsŻą™Ž€€Ŕ˜'I+3ŮŁ…ˆń[ú6 &Ă šsOŚ…˙ó Äę +ą*¸0{ZqbšSqŽB:0>IťEŁ8m7*LÄ)ÓgSݯہ*zԕż’ö4~˙ó Äć ¸âŐZŽqş.¤Ȁ”/`=ƒ­ŕöžÎ‘@N™Đ_ű0NéܛżCËţ`đ÷˙ó Äć á +˝paÎq ů5œ…rEblŔTA„€1_€*›ľ&ßÍPŕ c늭ßO˙ó"Äĺ 0žź¨bÚLĘ*ţ¤Éô€*,ÜŹ‡‘ž˙ó Äę +É.´zpîŰ90€œ?x +Ŕ^˘SíIĹ*šPŧ¸ýDŤćşp6yţÚ}kÜło˙ó Äĺ žŔ(RŽL43Š$ ĆY˘+ˇŃâ2°b5 +8ő,Í OZI@ Y>PĄÍfÔâ˙ó Äč +@ţ˝hzÔp˙•pŁ` N¨¸ZĘÜl}č"g(hˆjĽsžŻtÂhŐśŚVúé%˙ó"Äć °ćźyÚpüٙ• Ŕ866Ą#`x_7ŕů?\G"°ĄŸŤŁć@ęó7AĐt˙ó Äç XâŔ¨aÔrR[Ž…Ţ@z\ƒ¸‰ö`8KĘŚ0wő_N‚ý_aä˙ó Äč é*ÁđITqƋhn°„@žÝŒ6 +FK#œ2ŽŽƒ­§4ϙätîqß˙ó Äç đâź°bĐpŠs뙐@š@ęľa¤Žs§ünčpŹ36SÔďĐz9 ˛oŘžc:!‚˙ó"Äć Ůź°yTp—ľ(Ĺ؀śČĺi' +‰ŕůŽŽ$‚Ú7NŒ† JĚ8ÝCoÉÉ˙ó Äć ‰˝HaZpX}ę€ŔČÔJ܈ŚîL*—ů$ënŢĆ1ŔeÚŻž=KłńăQ*˙ó Äć €šŔđBL ť.P|Ýá&[u–"ŢŁÎ3&ĹĐ ľ˙Y€ˆÇßbgŤz˜“˙ó Äç +!ŢX1Jp—Š9&Ą@ M"ŔĘĐŹ~ˇÎ–QŻž:u¨PoíŤ˙ó"Äç +Y*Áxz„pýLę +€81Ü㒈q;Á“{ŠÂZS•&ßÁÓ7ŰTčőB$A˙ó ÄĺŕćÖX1Jp@&ˆp śœĂŮUVic˜“CŰŻçInÝŔKýç7|Ăú;|q˙ó Äč ‰° zĘpÔą“ A!çJ˝+Ms‡s%X{(ˆn`΄ëŠÔ_ęâ aëűą÷˙ó Äč +I +° ÂpčaÜ87P@á˜Îv&ĎÚîUZĘ75@ĘD F3ĎÜăŐր3˙fýEş˙ó"Äĺ špyĘpţ Íˆ„ŞńiĘ0ş—Mž•8đe‘Š~mß7¸9O星R˙Đ˙ó Äč +IŹ ĂNpŞpšĘĂ`˛´8 Ą@r*…ć™TuJ°\eöOžT"ˇŐŰřă~ƒž˙ó Ä噸yÎp rrA/ynľLž"†á#‚EŤB]ŰŮ4™?Ž=@í÷qVÓ ‘˙ó Äé +2°{Np”]ŹPL„cöhĺEsƒyíC]@5ů=*ľ˙ęü˘›ż ě˙ó"Äç +)Ź0{Jp­T˙D€@PČ +ŃřĄ˘řüƒ[„{eq‚ëÔ%üÄęn*9öą ˙ó Äć €ćŹ0{Jp9ÝY€ G çöQMćë°Ł{ěa‰Š*üŢXđ-ýÜNŚ Ý´;˙ó Äç +°řbÎp, +pŰľ€<Žf˘đŘ%Žˇě–réiŸaxSžgPyř—ĂtÄ˙ó ÄĺaŹ¨yÎrÄąP˜e ÄŇaǂ$éN +…ôްƲs“ĘT봏ʊ͐DúoÔ˙ó"Äę +ń +¤XÂĘpPwf˛`‡kE‹8OǸđŮŽľ ˇ¤tpe?*˙ó Äç +– P{LőŇaĂ  §€ž5쐪&ÚmŞ–@š÷eqň-Łęý÷M­Ę˙ó"Äĺ (šœ‚ÔLż‘ŇP\$ř GQœuxŠ*e’(•- 6˝Wúęű(˖¤cjˇ‰dd˙ó Äč +â˜ĂNpę:@Œ@@ €—]!sąVÁ|ă÷YĄˇđŕmŁńËüă˙ó Äć ‘. yÎpsŤ¨ČŇ66!ŻÜ2öŽÖuC4ňPMó ;ú…ô#ţo˘¸đ˙ó Äć 8ž”|NLŕŠ{˛jU!:%ŕ„~Ëů +ýŠ(áAu+(,oŕ=ż’˙b˙Ě;ö˙ó"Äč + Ţ˜PÁÎpÔ`!¤0GóZčńĆíLjóŘ,ckVpĎę€Tż{ +˜ŐßJs˙ó ÄĺđޜPaÎpŹĹI€&ŕ<Ä6“ě¤`ŕAŽ)„ínTn˙Ŕçý J˙<Šű˙ó Äč Ń&ĽyÄp)Ľ4  DQÝŚy$ŇłS ŠĚ˘äzĎűX€ +˙ËîËÚÜďń˙ó Äç é”Xb„p™ßŠgq!'Đ!Q§NY݆ož%ĘŠ v|Þ2~ž +'é˙ ˙A˙ó"Äć +*˘zŽqňaű×aâóő5Ď&`ěfî1 +Pő)÷C‚Hçń3ëœ˙˙ó Äĺš&˜¸aÎpšy'‚@ Čh(´]xîkŠc‰ŠÜ~Ć3Ťc†ľŐ˛†e˙Sßż5˙˙ó Äé +‰•yÎp=KœÄĆ@€€¸ńՇÓFînŔů‘ĺ€#ęî˙Ş°=7ř—˙ó Äĺů*”ŘaÎq*%ÄŔ0F@˜v"tG/>”D<š yÖ˛‡ą;˝wý˙÷zg˙ó"Äč +i„PÂTpR;0!p%HůrÂtëc‰Â€ (XyHŮ ‹ŒŠď`ܞ˜ƒ&hÓcýBYÇÎçĐż*"1˙ó Äć Ń„¸cTpÖő4wEbţ„oţ€’ŽLčűďy)ÚÜđü+ÓԒžçš˙Břzg˙ó"Äĺ Xâ„řJ +p)˙ăŒÔŘF@ŒC đ€˙ŘYHWđdŤyPŢI6¤ź§ő†ôŞ*˙ó Äç ţˆXJ„q:'ĚOű‚@$Ř <‘Q^ÂeŁ™e8¨6VLçlżŻú Aˆ{˙ó Äé +ĄˆŘaĘq[{0d%*ˆŕk^ăŮâŠ) >ť!)ďů7ô%“áNvÓÂÚú˙ó Äĺ  +ˆ8b„pA硂 °ŰԛšApl°Ó†`Śä_ăOáOAmĐCĐ1ş˙ó"Äç ˆâ|(cÎqű–7đh ĐłđŔ0Ž0˘ŕ#&p×ú›>ŢčŐ7ŁŽů@ZaŽ˙Ž˙ó Äŕ ބzNpÇ=­Ťö0Ź#Á<3ŁËĄ4°EVđŻĽnąŰ˙fź%ń%ń+ţiç˙ó Äâ !:•aÄqoűJşŕ bđZœ€S‰jl)‚sťTƒÄ[ů¸šýţ#G@dS1˙ó Ääŕć–8IDpÄD¸qČਇ @čŞ.še–Äŕ"f ‡b=Ht˛}x‡ô;˙ÁżeÔŞ˙ó"Äç +â|z +rGځÁˆ #¸ş)Oރš; źd]ÔOĚ;ÜĂ ęż°G“|‡˙ó Äć Řހ°bqJ„@˜đ…*† +ÔdF\!:œ˛Ú¤NŒŮŰŠĂ]šűť÷:Îs˙ó Äĺ + ˛‘2NM1Ш¤EH‘@OŽŹZPĺĽavÍ'(gt-°ůˆňúˆ˙˘„ţć@˙ó ÄăŘڑPHĘqŽÖá0FŚ'Őśr!ĄtZ„.™´ űÖBCű˙ćŃń÷ąB}Ľ|2;˙ó"Äć ů.•IDqőÍĄ +C†7TĺŻĹ%´n ëš0'úˆžâAŕÄéĘ‘HfVŽ)˙ó Äć Ů:š8IDqýě4˘ č‹>ŕĺó˘Ś2’G^~–žö_˙.ěVżđĺĽô˙ó ÄĺŘâ€(Jp˜üČ# } éŁÝ×>E„Ă•}ľx‘ąŻ&´)V-Ó,O˝7‰őlP ˙ó Äč B‰IJq0˘ˆďŸnŇđJ¤ŹfčŻN%—źŹ˙”“2 :\ŤŻôÓ`Îjœĺ†˙ó Äâi +„IÄpGÔÇQíÚpLLˆ|ZżŘ͂ƒ‡ŒŻ(B& =řŠ÷;[*”Iö[ž˙ó"Äç ů:€bqŠž`g÷ 4ó(ŔýUëíÄúbŸŻűCä­˙śƒż˜\żÂşĘ‡˙ó Äç ą6€¨aJq Ź4 u LUb›´JŒMéJ`@ĘȀ:˝ô„KűŒˇâÇ˙ó Äç +!:y„q÷a6€‹@ş‹j~™ťÔ8ÉGÜMáÂ˙üŸőEţS “ô˙ó Äĺč–xzÄL\S ×Ę_¸ĺ€Ý U\l 5bˇů Hď߉ +čŚ1Ÿ¨á-¨ł‰˙ó"Äě Ą*t°y†qŻŐC6€e÷ \g‹‰Ž,ł‰C—%ňDŰŽŁĂľţ1ŹNč˙ó Äĺ Y&„ČH„qÔL¸Ó +Pa";$’âś‚đb˛?ó‡íaĂ3˙ůFŇŹh„÷˝ę…Úć˙ó Äć Á +‘aŠq3Ń?Ąä‘ +űeŻ~tP†j‡7SAšŔí'k3/Î˙iĆʈ˙ó Äć á&p`ŠpK-N˜?@PÂ!c1Ā)—ŞDNęu#â×Sţ?€čŠéťe˙ó"Äĺ 9žXHŠq?AO° @  š‹ŃĚy‚y4čORď2œYŚo ˜§öíV˙ó Äč Ń.@bq9ÝDűJ¨'#ŢÁ…D'é h`S„ä—Îú¤7ŹX_ň‚§ź˙ó Äç 1*@bŠqŔ­=ľłŤsX™Ô@ĘĆéŠ%ÚUŮWî=j ++óŁ˙úŸ(ů˙ó Äé +.•IŽq–$֐(Ş7Č{ő “ŒP8ˆ˘źAľ2'ŕDű(1ÁLl‡ĺ˙ó"Äć +.™°aDqК…UАBíšűěŕÝ”ŕ ááÜěn(ßyĂ>âűJiîő˙ó Äĺá.H1Äq\k–ůŔ°Ăq–Ą˝ř$Y‹]…ƒhEÄ[B=ţßŐżĽ +‘Ÿ˙ó Äč +:‘XYĘqT@¤iúłˇĄ’gcŃĹT’ fČUŹ 8­đ‰ţ5ż ˛č‚Ě˙ó Äç + +€ J +p&Wͨž¸R +Te`ŠůŔdÇżB€ śĽő(ü›1?ŒŞ[HÂÇ˙ó"Äĺ !€JĘpŢÂłâß°ń 9°ś Ň­ +Ż´2űčDgôóˆ?îEę‰A^ý˙ó Äč ů*’aDpämÖp0ÂŹMýë"cŔ@S@qœacţ́>TCÍčZĂí‰+˙ó Äç +*‘PyJq(.€P2Âľp‹ŕY 8Ś璾 +N-=ž +ÜôCţ3ż˜™ö˙ó ÄĺÁ•PyDqŐR.͜¤ۃŒ°÷‡Šmđ  +Ąx éół}JŸ#ŠśR”č[˙ó"Äé +š&HyĘq…a_@0 +ŹŽÉ–B&FGîœ8ᚌ}B/ŔHż‡?äŢL˙ó Äć á˘81Ęq˝„ +4댄iHZţ; ¨ŠťüŻč(-ő Ä‘‡ ˙ó Äĺ 1Dqźí¨Ÿ‘†Äˇ(#)čŃćqy}Z–Đ+“đăé:–ĺžç@˙ó Äč  vš0IĐHy(ćPC ôŕTO‰‹z +2­…ľT9Oë#|Ađ‡=o¸˙ó"Äč + 6–0z„q„WöŔąš@šqǒ' #•H‘Ízo}PŔţ gĘs\˙ó Äç +.šx„q p[“ń@Ü0@q(…E°™V Şj˘ Š`ĎŚz˙‚důˇnŰř#ů˙ó Äĺ  +đaDpęŽŘ0-Lă +뚕h­Ô‰LoÉűČʜşóŠ§Đ]ő$+˙ó Äç +*‰đaJqľJŃÁ0ă iýöX09Ŕ 0íÄťŃœ:řČâo˜cőbEJ˙ó"Äć +˘8H„qëŤëݐ€mů%’@őŒżƒ‡áw÷ŤĄýߑjÍÚçř_ŰĐď˙ó Äĺ .AÄqLlI-€ř@-:ůi +Q +'Ä ş0„*üîšşąo=Böđ/˙ó Äç +™x1ÄqR r˝ŃŕĐAś’ţ‰™C83Ga!ŤĐŕßú”ÝZgśÔ?Eč'˙ó ÄĺéŚ8IDqâ& +äŔˇˇË”íˆŕfóŚĺuŰŢ˙I¨—ţĄľÎĘߍ[rűő˙ó"Äč +i +‰HKĘpTË2Ʉ +[-RRĘCh ăZń4młŰń-Ń[Ľi@Í˙ó Äć Ą"ˆ¨Jq2+ÖŔƒnŚtŹ˝â‘ %R´Rň2‰7đMëßd&âH‹˙ó Äć ‰*‘p2Dq;`Ŕ‘¸üs&+2ȃ”B׍UŠ] +â~z(eÝYĘnm_j˙ó Äć Ů*Ľ˜H„pĄDŻđŞ} Ýńˆ í8vlŁa`Ž5AFÉWŸĘtj܁lœqç5˙ó"Äĺ Q"pH„p´ˇu`Y“‰Ă¤Çˆ/đ=ć„}˘b*˙:ŰLçËs:ý*ć˙ó Äç €ŢŒzLpŰP†ŕfŽńŠ´čľ€x˙”—˜Č|ľĚëňŢŃ/+ů E&U˙ó Äč +"•đyJqßtŹé)ŘćôŔkš62 {şŠúÂôścŸđŐ՚ꪭtG˙ó Äç ™ +‘PaÄq՝D€Ę^j-ÇT÷N‡mńä@ô+?Jüôźđ¤#éœ Ď­8ě˙ó"Äç +Y.™˜aDq{Kő<,…şQińP˘ÁŠĘZRű1ţĆm‹†ó̙A1řř7M˙ó Äĺ ҌB +pýíPĺËč`×~…0qCƒTř…ŮU¸v@LVďČń4ňŸ0Ë$˙ó Äç y +–aÄprZ€C^äJÉFxţ@ˆËÚ@Î|îa5˝‡?ŮŔ‰ĺ+ŻiÎä=˙ó Äč +I +Œ°ZDq˛Ű5ßhŔ&~˜ŤĄś"Şł;ô{5SsŚvM=ťh,yČ,Dë™˙ó"Äĺ *Ą˜H„qb‚ëˆ g +>$†°<‘ŕ|$ŇżUˆÓvýôÝ\§š¤ +Ł3S˙ó Äč ˆâˆbFp9…•úě`s>@Ąxˆ5*)Âl@ř„Fë&TrŠˆ^âŔƒ˙ó Äč +đşˆC Mľ! Śëä7Ńôgs€Ą‡ +E˘(żŘ–×ŘĹsX8‹„ŽN)ů˙ó ÄăPž˜¨ÄLbuŞ„pž´ +Š (ɚ=bízŠ­çęqßîT#7֋úDälß˙ó Äč +XňPzÄq;‹!@ j&Ŕam‚ ´Đ“"q ‘'üsđ­ĹvĄÝË˝˙ó"Äĺ šŒ(CÄL#‘°Ŕ}Ů0gś’! €cÇ8ŤlÁ>OőZ>‹ 0Ńdí›Vˇ˙ó Äé +Ą +–8I„qT×逘Ŕ‚€ -UNƒăBÓ=!DPFŕĎöTLAű—úď; Ę˙ó ÄĺŘR”Č $Ž~TŰí €U('A—‰aŤ+ˇYcÖ[ćß瀽¨Čh-Yéj˙ó Äč +a.Œy„q‡)PŒ lšä(;…ŕPąU +Ä U3)a,ű(îňąý:.Ż–¤Š˙ó"Äĺ şZLLŐŰfZ€1ĘŕŐ@H˘b eăUDƗGţ"'żš5÷Š˙ó Äč +a +Ś81Äp¸Ňŕs! +pä6ɘJ¨k¸1,G~2oЬ̆ozĚ.ƒ‘$8/˙ó Äĺ n”2R(iP_ € Ŕ8Ăs>-H,ie“ĽŰď6ţť2+Sě^¤iŒĚ­Ü˙ó Äč +`nx2D)Sż2f‚ţ€@<đĚľCɅ)B˛+VôŃşŔ‹œŁ‘b^Žvť~ągŘŚ˙ó"Äĺ (šœĐCÄLÉ÷¤€Ź>IRH"M€6ČHČeqźNoĆ2ÎýŸX6\Fř•˙ó Äč +!. XaDqô +é1ĆęčĹy‰N4~¸ 9ŁsüĘŚZŹGŒ ™ Ëć)ł˙ó Äć Ů"Ľ˜IJqfjĹÎüţ‘?“Qˇ žZˆŔ¨kżwœŞ1.ÜüŢÎýŰ˜4˙ó Äĺ Ň¤ř1DpáWVؐ‘ç0dčTŠ}cAV<čq˙ĘÖVëî}ę˙ó"Äé +Ń”PI„qQ—ökJŔ×fja$ň*›D=Éäzśok 8΁F8×OQĺ€ZŇ;*0˙ó Äĺđž˜Č[ÄLůN° á­§!‰áź#Jă•ŢY֕Ňnk“˙óąmOňvrśňYů˙ó Äč +֜đIDpę!¨€-şÜń“ł$eĹÚ,Ş:2“ĆĘýš.Ĺe¨ƒÚwVÍ0˙ó Äç ˆ’(cĘL6ýŁfu B”ë¨! ôÉ rb„<3Y‰á~řf×Ěng‘ü˙ó"Äç + ҈ÂDpˆîNVƒ…ÖŮé"Šát_ôa1ěćQ§yŮK˙Róó3uń˙ó Äć áŚ8IDqX2żƒ™ż +‘Â%ŁŰRy–ˆ– (2%ŽĂ˝éäŠŮLě +Ǹ˜˙ó Äĺŕ–{ÎLÁŇ@ᎃ45Ľ”Ë]A(,ĹŹ:fą'ůj¤vŤKÁ>YůŐ˙ó Äč Á.”0bp‹‰K¤A%ŕŮ:ŐIt@0býyŒ—KS[vG‹KšşËž˙ó"Äč +Y.˜aDqčXjŮYš0Ň0hR\:„„ƒ­Čf€gľKä^W™@âÖśňď\˙ó Äć Á*Ś8H„q…Ҁ¼@Œˆýäé‡8éBŤĆúVž2ŚbMŔŽ˛ć!gľ˙ó Äć Á•pbDp€$\(yQ‰4sX´E¸0d†9œŹˆ.ˇĆDŠŘ/]N„Á,/˙ó Äć Xć˜PaFp]Ő>ÂF€"j‘F(M‚Ť´ş÷‹侨ěE,ˆű‚6hqJPĹĐ˙ó"Äç đҐ0`Äp]Ú6^@Áł˝>,íńĚӍĹDX8;RŽ­eé_ú59żGE1îŐ˙ó Äç + ę0bp˛Klj+٢Є ]1 $t$qSȨ1LŠş­Ż˙ó ÄĺŘjŒJP(ŽýŽ‹ŮőQÁ˙Ŕ‚6đú<rB‰:Á§ ĄĆYPŸIH”ů˙ó Äč žˆKĐL ńY’Ś%Â$u "@‚( đ'ČÁRq((f”Eś0LáYd_łő`E˙ó"Äę ˆđa„p8ÓůÂÝLô€ŹCWK 3G’e# 9 qĂ3ÖO+ţ”‹ŁúäJěś˙ó Äĺ Š”PJĆLôK8†|8k!á(äs[SĹIĹ Ř) +`Ń\ŁŻř!Ú­đƒ6ž{˘Çc˙ó Äç Á:„0{ +qł}ŞĄ Đˆ&ĺ)˘(™÷6ßtuŻ˛†Rş+ŰŸíQsĺÔO|F˙ó ÄŰŘâ”(IDpÍb˘ŕ@8p Y!ӈŠM„ÉSԙÂ0:|WÇů芽ęĹöźŽ˙JĄ˙ó"ÄŢ 8ʝH„pŸë0`´š1a:ȄA.Ľ˛)Ôr@óţşý +$MôŔŻ"˛A˙ó ÄáȲ™2DLř„‚Ű˜VbŒüYşqR‘ŽÔŚŢ ¤ľ1ˇáĹ{|§*ér„(Cd˙ó Ää :œřH„q\Ł,í ”Ě„ř”Š^‰óíE(˘űúŸGő_ŞĄ -‰84˙ó Äç +!6ˆ`Äqƒźx$@1 ´Ć°łĐRt8"¨űę‡č™ śÜ"Ž¨Şr˙ó"Äĺ ˛€K +LÄň ŔŠ`‰ Bu‘š2ŒN€¨îľŽúż#˙hÝ‰™QUS˙ó Äč + 6‘Jp‹ěœÎaŔ"‚n*óćŞŰĽÝĆ)ÔÂ3[Ö*'^Ę#×eT.ŽŹ˙˙ó Äć 0śˆJNLĐ8@>zf–3öŽ¸˜&I˘ČşŮÚ)$ţ§L{‘÷řČdGňé ůŢ˙ó Äč +`ހzÄp$ݕh€x$LJҏíl!XncŸđ ‡3×EýŽďţĄ“}d˙ó"Äĺčބ¨aDp‘WřQŔÂÂ$áA˛(BĺN˜<Ł™ŃĂĆ<îĂĎú 2ˇř4oĽ(?i˙ó Äé +Y6€IDqAŞŔ##Č7&"3­Žâj$1R/P1řŤuzüŁC˙ƒúbˇŞ˙ó Äć Ů:ˆ°HŠqŁ(¤¤X¸hr\gĚHuqˆ$Ku‘AߊđöqoK×k˜ľ˙ó ÄĺŠ6ˆ aÄq§QěŘIGћ@ƒ{t“ˆ]›üŠ˙ŔoűCľţćQĆżĹ6ýCŸ˙ó"Äé +Ń|ŔcFpÚ,äDc$ĐÖT @ő|€LQ6+ˆë-Â>w”2˝]]XżD +şç…˙ó Äĺ 2ˆđaÄq)›ÔY€Î +ç +ÜÁ’[)c‰XÚ0/é5YţO‡_l Ąň/ćO˙ó Äç Y6…PIÄqäń`@˛0ŻŽaAŰV™qÚɁœĚϚ“Ôgˇ×ţDÉ˙ó Äč Á:€aDqüŽBDôž +]€YQŁ˝3Żź2‹ŁóLżĺošfýůú8‡˙ó Äč é.…HaJqJ6 *72 Î”‘2˛˛č€pmŠ`˙{NŮA¨(ĎNJx+XŕÉÉC˙ó"Äç É…ča„p‰Ü Ę@PŔ (!+c}Jzł–˛o‡Đ3Ť7Ďk`ŮüŠGţVöVw˙ó Äç +.aDqţĐČ +4ťU8j­€ĚEVđč) 0\A†?ՕŹŠ{ú„Đpˇę˙ó Äĺ ! +˘PXĘp*|ô ÂG…Ě9>^ĚP˜ď˛ ĺ…%÷BŮQ>˙ł˙;zş‚#Ö˙ó Äç ą.Hx”pĚÁ ý`Ş›LŰwrÜJ$wŞAŒľLűk“ç7ć*€ˆŢąţŔ(Ú)˙ó"Äç +Y*ŽXIDq܂~˘@ œ_Ł› Ť ěĚ#†ďfüTŤ2qRŢ$ߐ˝â!˙ó Äĺ žŒ¨JĘLޏilBĐ˝rňXń”ŻÂ¨*XŁB鴝ź)–.ß* +7°‰.˙ó Äç š™˜yÄqöń˘)Ђ +%t1Qä#ŻÔ„=C(‰~+ĎnŽŠżĐżs7˙ó Äç +!„(yÎpšĹv˘ˇ`¨†™ťŤk]? ĘAF‹Ň]d§VýGţ nČXs˙ó"Äĺ Y&ŒĐaÄq^]ÎjŕŔÁ š”, ‘ČD +4YSŠՙ]üżćô”ż3Mű˙ó Äç Ń*€zÄqĽDIŔĘoúŚ*J+L{‚–|ée2@¨ƒĆ^aű+|˜‡OE˙ó Äć ą„¨bŠq:7ŞXO`Ř ĺp X6ˆFńĄX>1Ĺ9?ŐýLŸ›ÔCÂŽQH˙ó Äć Ą.„ JŠsď¨WFĐD&ąƒ öü4@– ˘fąŹQÜľŹţ&=ţ.;ŃbÂŻ˙ó"Äć +!.„¨bJqĺI2Ž5Ŕp‚Aä”ŐmbCpâc§‹xTÝ =|A/őú›ĐÔ˙ó Äĺ ‘đ`„qńm*B´ykWeţMäáŢć!dŐLľóe%W<#ô  +S˛řî˙ó Äç Ń6…PIĘq­Äˇt€ŘD÷ˆ”ŁŁŠ‡~†c:ľ-P7řÉ'ďÚć +ż˙ó Äć Ů.ˆČKDqž÷Mń@ ‚‘捏ę`"­˛Ľĺ ĄŹ”$ż~-˙‚NîŇ=äL˙ó"Äĺ A6Ş8DqAzŃÄ%đž +*ö*Ű\@Ž d&2gŞ ďü@ČŢĽű÷]ęˆŮÚ˙ó Äč +Q.…HcJqă¸}`˜Hň`DŒŠ’üÁş…‘U\ÉŽîęzôE˝‰˙ó Äĺů’0YÄpŕ‡Ň(y@´9 Éň\3(DNůÇëozś'=ůí?ĺűÜ1ŚŐ˙ó Äč +Y*| {Dqź€ŹTřpGŞöÓ0,ž++°€ł‰j +ËQPƒÚýřĎű÷v,˙ó"Äĺ Púž8H„qÍ@z÷ ‹?3°H#\ó {M”™ĂXś‰Í4ŸĘ +‡ěĹ˙ó Äç Ů*PyÄpŤ†ÄĎ.ȆˇOŹĽqЙĂ +/U×@@ +ü1-NJˆŁY$Ő˙ó Äć Y.™pHŠqźgŹŠ„<ž<’ ": ý G¨?×Ď ‹ţŒóĎúĽp~YŽé†˙ó Äç +š8HÄpxGë)Ȁ’§@WŤœJ˛•bÁOqw9Ş­F7ˇgĺ †T$ٟ˙ó"Äĺ 0ň‘ča„p€yűv€€02đť—⨏ă#ĄU(Iśş qš‰ťőë ƒ1Kg˙ó Äč +1 +–yDp`Ľ%X +7̏'%˘Ç‚ĹAě"—Őľo’DҎŕÄěut@˙ó Äć ¸ş€cL7?@ŽTŃÔ7m€ćć19łđ h5§Mjeű6!Žş´ Íł!Šă˙ó Äć Ń +ž8H„pqgţŻ ď Ä⠏(‚-BhĹďr˛łĘŘ]É 6./UŽˇS¤˙ó"Äĺ PrČC I@W‡ü$Ây¨L–5šŚ/ޡÜCţĘĺ”ó×sä7$tęgŕĚ˙ó Äç +ŚXIDqɜg˛…÷0V~ `âŃ\R mđj+ŢîŹţuJ×V˝<˙ó ÄĺŘ❐IDpCŤ(˜NőŞ$ĹŔ`s◑U +;Äç„?|tĐ˘MŞ6†Ť˙ó Äč +1 +ž8X„pxŔ~“Ő€€ ¸"Ó_–dMö<ĆagďS…?™âËNŒƒ!35 ˙ó"Äć + î˛X0„qV7 ššĎü —! ć+U +‰qŁç˝”M˙AOé:Ď诡¨ú˙ó Ä冐SĘHÔEŽiđFŐ1×ͲĄÜ†Ô`y… Ç7ˆXÇ×=÷S7Äş… +ŽÔĺĎ˙ó Äë +ů +ĐY†qě3˙ÜÂŔŔ…@cß…8Ü2 n'v}Ĺů_T'žďľ4mĎ|GĘŔ˙ó Äć Á˘80„pĎť€ˆ€5hŠ>… j‡Ć0ĂŘ Xô}F÷ä/ˇÁöiúŮ˙ó"Äć É +„¨aĘpA9D˛€,‚Óß`mť8@4€ĹöTƒ×˝Ě˙ŰęśŮ +\ś:ÔŠ˘˙ó Äć ÉŒđyDq3đ`X x+IÝ jäC‚€ŠŠM’Œoůt żřmŘľ.„ZhŮ:P˙ó Äĺ Œ(aDpÁ;ëÁpŸ đ&{…Ó™ʅ% őúł&›­-ż;Ťýoůƒ0×˙ó Äç +ʈzqh,™Ű!ת’>RÄđä s˛Ż¸ ě‹g…čö™¨čČ­˙ó"Äĺ &•xQDqŠY}_€DL™(bk|ŕV=+k?<ôŽĽŹ>űŤĚ׆čÄٗaC˙ó Äč +A.š8H„q—&Ń*Ň°ƒ•ď—€ęap–ňL&$ŔÚJößÄĹƒ2ś˙ó Äć ŠŒĐI„q'?Xl7^”ĺ–PÄĂ…Ea)Xű?Ž3žţj†˛%řsşĽ^—šçÔO˙ó Äć áđa„qŞUˇ,€ÂncˆfD¸=iaU@Œš´Bx˝­˙ĐĂ:Ŕé÷Öu˙ó"Äĺ @š˜P:FM0ôÉޤŐI€öM°)3v  +ŞEF=9ţ|v˙łÚR5´u˙ó Äč ů6”0YDqċ  äČ4t,1€uŕî˘ +č‚o[Áyîţ@‰wN˘ĽÎt ć˙ó Äç + â•pbpҡ.<¨÷ö*-"tJŻĄ¨ zÉMôđMŢΊ$šč`´W:ü‘ ˙ó Äĺ辔¨JDLŁ€š_ę +ᏇA4ܒ*qç)2IŻłŞL_GO +÷_'TĄB0š˙ó Äč +9&”bq0Ð||ĐĂń_˜öAĘŰb&*ş s)YîąUl$**%?P œJ&˙ó"Äć + *Pb +q1WŸ˙$äĐ0Wa˝Ű?0,#*;b“ĺ&ůąĹ7ĎzŖeYΏÓ{˙ó Äĺ ! +­xHÄpľkYúÜ"l‹0Ć˝á1@ů+É}• ™,#ĄĆŹőě§ču* ˙ó Äç čâ”aŠr´_´\ DK@œ,,!¤˜ž‡ÍYŞiiwá uLßČţ[Ş;J™š˙ó Äć Ŕ”{L*ˆű<[ÄŔ +€ęŚB‰ráHaktŽ]MžˆĹÚ<.ľ<Ǩů˙ó"Äć 辜0ZNLüĆq‚oŔŐƔ,:›FM˜sŔ{‚93ąů +ÓPŚ}ŒËÔ,˙ó Äć xšŒ{ĐL˜×üŐ°6„éN3…y Ŕ ag źzE_ė\טŁ—^âüôRő˙ó Äç ĐޔbÎpKEůp„ƒ’ˆH œ ÜÎ$¨Ľ$á›Ë!łŮ˙ĆӸô ëX™î‰˙ó Äć pž{Lž˙U; ŔH´vÇÓÎÂÜ{é„6`ažFS%s×­őp7ţal˙I˙ó"Äç +Y.Ąxb +qýD—} Śh.^C<˘î."#ŮngĂk>)C%ë]GÔ ) Œ‡Vé%˙ó Äĺ ž˜°cLšżĐ‚ +nˆ0ÔG1íčkşJ]ľú23ônŕěcFňľáýp ˙ó Äç + âśXH„q&ž‚o*$ŔŔ–p= ›Áb­÷Ôƒ¤"_Kt˙=€[ť§t)]äĘö˙ó Äĺ ! +œĐbpö`ă/ˆŘĚz•@Ł70¸]ÂÍŐűĄś5|k€Ń<Čt;ť˙˙ó"Äç Řž¤řI”M‚!9 +0ő:€Aŕ6_x6mbäO+ŢÔÔW?đƒâè?,źŤ˝˙ó Äç ŘţĄxzpí­Î ć#…Z° 8bQ- ëJR ‰WwaťŃäwgţ7QÂk(ú˙ó Äć 8ž”cÚLEs‹GëQ€ÝŔ錒Dů×!c0šGßĂŽ˙žŠ0QhX^g×L˙ó Äč +8Š˜JNM‰P“ŁŰ– +Á@OfŽ§qTşzňĚúšÓ'¸^)ÜOQĐ=ůxŰđ˙ó"Äć é&­¸HÄq蟿)ź9°ƒ­Ec ŔŠm/\Ľ6čîŐzŠlŸiĚWŃ´‘ŞR˙ó Äć +P”cLŰ)‰Ăŕpą“‡S҃k6ˇ8Pló“Ě;55&ě˘'jóĹ +Z™8˙ó Äă°ž¨0K +Lw'ă€ÔÂÂ0"Ás(Ÿráűö}űĺí‚=ú4ިÔCř˙ó Äç +ž˜KĐLcţęÂÎę€2đú)šćŤB÷ ¨Ą[ž'l…$ĐB ůPéÚ` ˙ó"Äć +ŽşXIĆLƒ3Śƒ@Ô`Ł†œÔ)†Űw…'Ń5+ôďż}&řO0Á˙‰“ţ˙ó Äć @Ž 0KĘM7Ú; =Ů`áŰĹÚş….ÓY>–M/ůĎqý[aňŠ /岧ł˙ó Äč +Y" JÄpCAńD€˛ĽĄ­H40V@řÄkfŐÖż‡J4çťt‡ >ƒĽm Ťb˙ó Äĺ ޤ°KLłĹ›rI8˜Đđp@}qtS˛äwrŽŁůľŕŸżŘŽŕ˝OU˙ó"Äç +A&ĽpJÄp@‘‡*  /VĎůL X#_PjÍ;ż]ÚFŐ~sȏůĄé˝ĺę˙ó Äć XŚŠ1„Lý;::íˇĘĺč)+”†ŹŁľň›˙ż¨Żg.úá&PÄôE2r˙ó Äç +ţĽJĘp‡V÷š}ÚÚ`) ĘZQ‚ňCNGŮŰŸČzÎb:ř“˙ó Äć ˆĘ˜zDpćë  €^¨9Kéľ4…Ŕ—# ßj +AzĂ!nA܁çóB˙ó"Äć +(ʘKÄp ŔĐuđ>hZŃŔąŁ: ß ŽVzđFú?¨$F~°OA˙ó Äĺ Öą2pšT`#!>šJ łJ é 捹Ľ>J)2żö\Ż™ˇ†ڏ˙ó Äç řŠœPcMkĆŔ0č\L=âřshžb×BÖ&˘t¸ëiô+™÷ů> oţŒ˙ó Äć €Îœ({p+9¸ä­€ŢëM[Ŕ€ˆœÔ FĹróŘAŐjbsP[Ë3űôŇSłüÝ˙ó"Äç +HĘĄz +pPVŕȨđ1°ą$ƒMąô=€:ŁÇ¤ĘPĆ܊•c(W÷֌Ĺű˙ó Ä吆ŹđHÄH2ľD:ŕŔLČ7[ƒ䢆ÂÚkę]‚űwŚP—ćGś ü]k˙ó Äé +i2ŠpIÄq`°đ!2°éŠŚb…EJ ĺJŁÜ~yh„iĹâMů€ÍěŚAz˙ó Äć IŠpJÄqqúOű ˝…p€Ń.‰WB÷ +č(ąš™ÔŞí%+œÄ_˙ˇŘ™^˙ó"Äç +  °KDq mÇt +n€Ŕdĺ$ÔśD‹XӘf Gť\Ÿžěĺá ŹM~÷ąŸ˙ó Äć ŠFĆXIDq)€¤0ä‘VŮc§Va5!—YFّ:^tŮŁŤ¨c_ϡĄK˙˙ó Äć ŮĽ2ŠpŕŢ}Ć@¸:;ą¸*"}˛`6I/8H\­˝u°=>˙S E~˙ó Äĺ â Č1ŠpŚF-Ç(Fő“çýŔ‘‡ÎPPmž|äf +˙FAbĄSíÖPŠžŮ˙ó"Äç +­1Ęqľq\đ8Ed›Ö`đĚԊ$˜Ň×zrœ—7/ůDÖyjP­˙ó Äç + :ÂX1Dq‡4ţŒŔCRŔ;¨™BZmEä˘ÖśŰ0Rłőýf7–kd˙ó Äĺ ¨ČJ„pq¨¨đDZ@„BĎÔCÚŐÎ>ŢG_ +_ż­ĂŕœĘżű)żŁ?˙ó Äç Ń­3DpÄ ôV€•ŻLbc­!ŁLS7ˇ×ˆQqČěřśž¨ĘBů*ˇß˙ó"Äć +!.ą˜KDpä”( Q@ĚŔĽ +Pö>ĹŸ(U?§˜io¤˙Ü/úüě˛0˙ó Äĺ !ŇXŠp§3ń€`V m6z錍™‘ůE¸ŇœchlkC’Ăš_”Ă˜D9ÖM˙ó Äç Áą˜IDqńhn^př”EĐ8cPtŕ€Fܡ0|ř2w\.6 éoÇ8‚˙ó Äç q¨Đ1Äp€Đ!0™FlźŮ+†VW8W$`ˇB ĽůţœŐŽŔ.Eňţ“ú˙ó Äč +!œKÄp6r•Đ ă€đQËň@ĐĄˆěr¸mÁŽĹށŮ*-ä1Œ)”e@×B˙ó"Äć Á ¨bÄr¤H€€u<(i|HPHAŔĄĄŰńýC27u"˙ &ôz˙ó Äç ˜˘¨Ř2Mƒ­N§Ű^\aöĺk™ŞL’Ćf"ëy=Ż>ďŠ˙]m‹Đ&íęć˙ó Äç +!ŠXHŠq@ďž~Ş@”8\łƒÜ§TBhFkÜůŽů ËôŃϞô{=jţ÷/úś˙ó Äĺ^ľ¸2D(˘PěŹHíL„:ŠÓljdGk=¨qĽ-˝f˜Äť•ßŠ;]NÝ˙ó"Äé +Ń.Ľ˜bqFţ˝DŸ˜{IŞ>#HÄÂ˙-,–y} Le㷞öƒě…ĄvMu˙ó ÄĺˆŽœKĘLŔíí˛€ŒÄŤ€ŕ™ů4 w!üĎü š,ŕKőž[ţ!uH˙ó Äé +Š˜IDqlPmɐ–M€ŕˆm¤Ě +äłČŠľę7 +qČÍVëzNš˙ó Äć Đâ˜{ÄpäĐî} NK@Ąˆ}2­Żƒ{‡¸REôűŽŢţrˇář>ľÇ”˙ó"Äĺąœcqŕf†sO娸ˆ )źłKĐë´AŇţ‡„ŁI +]ŤBŠYůr˙ó Äę +Š{Äq—i2)°Ř(Čfa€ň/¨9 )î`Č<GŚdď÷ză­gý@ŃÔ9˙ó Äć  â˜¨zĘp¤îƒ@ Ä `4‡J8$ËäuŘäőĄß;zŠűžm˛R˙ó Äć €v˛XJLHĘ XÉoBŔ00–ķ˄’ śÚ•ÜšÉ­Ž×§ŚślÔő˛]˙ó"Äç +śXIÄpĄŻ*°ź ˆť +Šş;ÚË*¨űPó Ô"ÂLjɓu­B*5tŃĺo˙ó Äć ŘbśXJF)ňŤ—6Ö ƒtÇ e⽍źĂ-HöÁĐłŃTÖVŻ,HŒeńqÂD˙ó Äĺ ^œcÖ(EÔiÖ{ÍăČLÇÁGż˙(EN€8 L„Łťş]…’Â˙ó Äč Ů (zp +˘¨ž…KčiďyźMČ\dsű6˙˛‡ÇÓá÷pH^`d<Ş ňK˙ó"Äç +Q¨Xa„p‹_‹źÂKĆš=8RŒ/Z?ŁÝ?”Ł¸˜+†GmŐ2ÍzŚZœ¨˙ó Äĺŕr ({ŇHĐťGÂĆfÓĎáŮűŁx.żč'ňń €°ŔU9ĺĹîÓ:*4C$˙ó Äč +9¤đyDpdŔ¸âl„'aoéÓ؝ŃAsÝe€ š@ŕ3–¨Ľ#­–< ,1˙ó ÄćI>”PzĐqƒÝťŻşp%šąŠE“Ňň˙Ž,żV° +0 <2.î4ŐŰĄąřIR˙ó"ÄÓ +é.ŹřyĘq@…˝Ń×Ngâvýd°ŠâXńĎđDž\şŁéłéĄŒńń8€‘őyV,˙ó ÄĎń +ąHIJp}ŁsLjڐůxb ÚVúL1—áĂ-`„¨(ÖźmD$ĄńŇ˙ó ÄŇń:ŹĐyÄqU ľbĽIëÁ3łéwĚ{KۤoB +`ŕč„Ć oMSMţ˙ó ÄŐ ˘Ľ{Lç0¸%'ÚŕŮ­@DăZa˝@ÉěˀŚVlďéľůBÜ@Ö1 łŢŽtŠ˙ó"Ä× +ĄśXH†q—N'ӕ´— RhÜP@‡zpŸĺů¨Ć‘Eđ PIółu4˙ó ÄÔ áB¤đzqE…x 9Á +Äń7ő xĚ´‹úáׯG¸Ťş\ +j Úcr„˘Ňš)Äqu˛“,A˙*tÜßíB +Rj•Ye€†P8¨~„ž:üÁ!Çŕ–‚˙ó ÄÇ ĘšDp‘”…[~ĺţÍ@Ă›D´€ŇžA‰ó O<Šš ݟęS:Ď=F{˙ó ÄÉ ú˝0„pjpwFCݍý˛-JŠ€‚’jѤź‘‘ƒŃé4–4ßáśç×_:Íř˙ó"Ä̸Ž°KL@3˘#œ‡ďč!őKëÁg1đ8<Ł}ůGŠýA”#pňşŮü +܈îToÂ˙ó ÄŃá´IÄpČß÷Óőľ"€Lˆ&8DŽ %~–ĹóŘÎ;yJ­YŮ ŚÇ ů6˙ó ÄÔ˜ţźČIÄri€Ţ˝YĹŠ•Ę%j.ó“mƒ“Ű@W{›U•,ÝňwЗ˙Dú˙ó ÄŘÉ:͸0„q€u„˜PÚ:‚Xš"YÝ #ŽÄvnŽ.m˜ý +ţŞ^V˙ó ÄŰ úŹbÎpű˘¨pIp€Ą2Q‚D‚˝…šČ@ěďpÓő¸Zĺ6ÉoJ†ťŤ˜˙ßË˙ó"ÄÝ ţ°¨bĘp›ýѕH& `q 2˘6KZĆäÇŮ–F×Cż3*|*gŚÄ=›n ˙ó Äŕ :͸H„q˙YC .nš=ŔáůłBáăƒú´H,QÁ1ŽÚ"(pe­LvŰÚ˙ę˙ó Äă Ş¨ČKÄL^ßKńX€nŔĆŕ9÷MB=yJ7F%x1=lŠľ[ň9؎żˆ?˙ó ÄĺPţŹZĘpů|kÂ@!Â̑ŚŔ:GP'Œ‘\yś”%úuţË\oÔC>ېş‘z˙ó"Äę +a:ąXJÄqďTőOˆ/ƧŮĺ‡îycAšzńÇzÚßŰ_wŔÍĽÖ˝˙ŕÜ˙ó Äč +Y:Ź°ZÎqť–ƒA#@â4!_'čĽĂž#S 7óę×ŮK?ŕÝ} T鉎÷V˙ó Äĺ úŹĐKDp˛€yş`‡D +pAŔ"ȂZ{\ƒwىďČÄNˆ7ýđKđŁ˙ó Äç + :ÎXIDq2öÁ˛@Ä!¨‰+D"…ÇçDƒĎ ŢĐńĆďBřËĆ_†OŮm˙ó"Äĺ Y:ŸIĘp›đŁőzŔŃ­‚bĺ61JŤ6!đŔ|°WčiŰÚůń˙…6ú 蝡_˙ó Äç +ţąPJÄpB›â€1`‘Ĺp…sk(ÉŹĺłśŰř“ĺÇýVá'B˙ó ÄćřúŹKÄp*0#űÍcČ*Ž‰ “a§ńÁŔţ`źŹą=y´:hˇŔ ǚď/˙ó Äé +`ţľxJĘq^ŁE—ĐOÜîŐţ€ťî/ę AŠĎZ öü*rz +´;JŘ[˙ó"Äć Ů"Á¸1DqzúšůýśÖ…pP"dˆť)6DX}ĺŰ~´‡°qľŘWďĹ˙ó Äć ‘ľ˜JÄpZdý`éăÚČťĹ{đLB1r€ÎÎ×oqđH7f“1&?áB˙ó Äć ɤcÄqü‘wîŒ  œ4v‡PWMƆ +I­üĚ>cvœKĆ˙üóL˙ó Äĺ +ŠcĘqC—vš;P˝›I +d%|\Jé Ř +‹ßž-Y4śÂ™SĹEţT˙ó"Ä× °JÄpdn‚‹ˆp{&VÖ§QÂÎ΄#Š‡Š°p‚O4‹¸c4ˇ?Wy˙ó ÄŰéźPIÄpÚĚVňNތßčő1˛ĺŔ2;cľľ3řBź †ÎřˆÓŃć˙ó ÄŢ Ž¸PJLś…ßńNގi^P¨ĺ%ˊ€M +`(zR?Ť +SjŘÚí˙ó ÄŕČŞ¨{ÎLxŸŠRüşi€‰”:<@áA„”c•-ߨ o0,6Vé’>_Ă˙ó"Äă +@Š°đJDM•˙Ťđ“ßčŸé…ĚŤŠŠ&Ş/r= Ž(ćnmHĆëӁ }˙ó Äâ yJŹ8zJqŃćW<ůš_Ńvԅ­[/¨P™ëčՠ΀QdŃײœÁd,Ö{ ˙ó Ä× .Á2„p:SŤ€ň¤SýʍăšĂëţ¨*mƒŔ@ !NĚČRő#€óŹ†Ľw˙ó ÄŮ âŹ(yÄpň=˛|óÇíäOÄĂżč=‰˛;@ˆ‚Y€úCdfÁp~Ýž˘§°˙ó"ÄŰ 0Š˝¸H„LÁűŽÍČč"v¸•á°‡Q˜Ĺّ(!* j‚mœévq-P•˙ó ÄŢ ‰F¨0zĐqÔő4ŘH@Mî´Śz€Ä`P(Ŕ(M9-†JQx7Ä5ÜÜ3sö˙ë.í˙ó ÄÖ +QFľpxĘqC)ţ +ÝĽ@&ŅÓŰu˙ó ÄÜ஬jDL5=ů.ˆ˛`܃ˆhŤĐD +ë7âţLH,đâŁńÂşƒÝ~†˙ó Äß +qJ°ŘJNqâ`ŽŰ ü÷w­Ć6Óű˝A_ÜôĐŐŃ"ú^ó‡ĄÓľ4lÉť˝ž˙ó"ÄĐ á +´bĐqśžwôƒ#çoíaź¸ŒÓ +qÉź )Ć/G(] e­zÖď +§Đ”˙ó ÄȁČČ1Dp˛ľwů.`˝PŘÁV›PˆąSŔ荨Q0ח˝ęgm'[€Ő>x[˙ó ÄÍ ŔJDp[0T§U@Éţă†ĆV)Îđіĺ™Ŕ„ŔFŠ*úůö§lM7ˆŁˆj˙ó ÄĎ°šźbJLvzňŔ °ą Dâtć2'AĄEáBše +ĘĂô*ôfŃçPw9}˙ó"ÄÓ‰͐HĘpZ­Ň!ŽaDkńç#dľiL@+Rœ›}†Î×á/ë0čżţ¸6˙ó ÄŘą +źa„púť Í(2Z\’ć‹đÁP.ko\׿Ł†xwn˜FĄúő˙ó ÄÜiźJpx“ÝϨ¨>Ľ›żœáDíQQEYăÓĚó¸‹Iü׺‘ôOT>ƒęŹ˙ó Äáؖ¸cĆL°@ +nuŠĽÁ8fĚcy]ŚubŮďĎiĘZ˝‘ÎgŇÝD@ŠC˙ó"Ää Ć´z +pgr‰8 ú23@ĐR„:ůŤS˙÷ł9ü7ďAG§ýŽ3‚'č˙ó Äç ů6˝HÄpˆCŔX ŔĘP-ÇÝ(â4ČĂŕŒäG5›śŚ+Ě +7 +0ÓŕîĐeˆ˙ó Äć + :źřa„pˆĺˆ€5Îbe¨Üh˛ŮwŠĂ_ьr*ą^´1vűĽÚ˛ŒŰÇ˙ó Ää q¸(Jp÷f;fşs˛rOß4ÂćäŇatĂńĐĺú˛ ŻßT;„H„ćŸÝĎ˙ó"Äĺi +¸aDpžôÝľAš÷ASITMՉž€u°8÷mŽ!Y-řV›Wj*›UÔÔS˙ó Äë +Š>´8bJq/gqQ"ţü*œŕcę@< ‡Ç—š7…%ć鯧5_Í3îĽę˙ó Äç é:´I„qÔŢĆŽřÂŇçÚz cš5ĊaBŞaáĺŠ@”5ˇyu†Ě>áŽs˙ó Äć H–°°y„Lę˙[%ý1׾ęšč:…V?ąBĄĹ +hâŃëŤAŽ÷ü˙ó ÄçA& ¸{ qaÂźŻ?˙4Bbk3g á39ń@ĺÇu@S'2pśEŔ ť48˙ó"ÄŐŕâ¸`Ęp”-֓ )š‚Zv:_Đ›…“— ňT’ˆwô2ě, ŇL˜ęQƑ‘,9ą‡,c$A!Of°˙ó"ÄĺřúŔ¨JpH9Íß"ka ःI6ĚШe$şLxśK{–Žţ. +1š–ů˙ó Äé ń¸¨bq(wt/Ýž‚=萂\8Š-<çĺ"=‡@Š’÷˙ƒ§tNľk†o˙ó Äč +!˝X1DqoâĎ&Ŕa™*ěŞŰźMlŞĄC"ŕ\÷;÷ÜR+Ž`…ÜżŁPK"{(˙ó Äć °ŔzPqű"°GZp&ĎŽ ­#żmŻě‡V RBஎŻs¤V˜őG‡–ź˜˙ó"ÄÜ Š*ź¨1Šq$4$]r@?řIŠ? +1Xœ% ŔIę§qn§•EeRTÂemk‘Ş˙ó ÄÝ ÖĹpIJp41t˛„!}#?á źpŁĐ”™X~^ĚUšP5BPCL;Đ3˙ó Äßé¸J +q4ŮLđfh?ŠÔ/rn?s°ľÁlĺŕi×BŚ`]#¨‘Š>ź:~&oţˇč1aeé˙ó"ÄÔ Pţ¸°IDp˝ ä& ʁ`ň„iP¨C’*Ĺŕ›ůNcťŰéÍň… @ÓÖB:@úD˙ó ÄÖđÚ¸¨INq9k”z–áÜ- (őôBŸ–{E—ć̗‰ˆoç*§ °ČY@ł(˙ó ÄŮ9J´¸bVqMěű˘5@ęvI˝\Ţnż˙H+7Ÿěşj2ôMáPE?& Íď˙ó ÄĂ +IÄapE†x˝îzógią°ĐÎ|÷aóˆŻÍBvA6užT„€ˆC‚Eě˙ó"ÄŔ  +ŃpJ +p ¤ÍŽ$r(G…1&:†˙Ô)÷‘!-GŢ däA Ă‹Ĺx :J˙ó ÄĂŃá˜(ŽpK.# ńąmţÍmRďč3Xžü)Ŕ$˛ #UɉE:ţ ę ˙ó ÄĆŃ +ÄJJq1œ2,Îbd}a[ýúŻţ˘˝Ÿşˇř!†ý…Ž[˙ó Äč +a˝xy„páTžć†v<`U Z߁ FůŐ>mÖ Î÷ˆ˙×˙ě°‚˙ó ÄĺšŐHÄqÁUÉľ–Ő‘ŕ÷Ň(żXjč kQF5_š|Lî­Éf/U@&ń + ˙ó Äé‘6”ĆqňHő/Şőr´ą‰şĺ˝™Ĺ‚ +\˘P./5'ţťĂ.Čá0B@ĄĘ÷#˙ó"ÄÁ +ćɐIÄpľ¸Ćńiá1Żö5E +zƒÁ¨&Ů*¨ýŹ “;‹öM™¸K~Ö^˙ó ÄÁ ĸYÄqŮV… 4›+ËŞű=Ž!3•W-ZŚ÷Ć+Ä"śľŁŕ*.oňśĎß˙ó ÄÄé +ÁpJp"呛DŁčőŇĐb記‘ip”Hš´pĐÓ-1$T†qżŁ­°ô˙ó ÄÇčś˝PJJL7tô7é‰ę°€ŹfÔF]´™@űóOÇ3 ´<˘ŻşżĐĐ֝q˙ó ÄĘY2Ź{ĐrX ÁUO2W;w—ÂfťĘ.IĚq1ĘÝäm^wŐß˙%L.n_€Š˙ó"Äł +ČbJp3ˆĂéĺ˜ço +;n.ű¸ĺ°eűEpŔ”Až§J6žŤ($đER<)“˙ó ÄłéĐ(I„pÝ^ä + Ż€8ăŤ9fëŃ,éÁxÄAłÂ‡Ďz€0Tw )Ý˙ó ÄśA +ĚZpx˛çěK„ga#ŰŤ=ěłú˙űšŰJ@Hœ8š’z#$üŸ˙ó Äź(˛ČaŠL˘$&Š†ĂĂŃôĂžƒŤ?múţ-D;ë2Č|ÁR@c‹Îé!_Śo˙ó"ÄÂŮĚ°aJqA^ëťÇńűÁ6sOKőű1 Ô") ˘CAř“ł‰ŔXo¸ŇßŰn¨˙ó ÄĆÁ +ČyDq˙Hvč<É/=˙_‡‰7˙€UtÁVŽ^˜}ěćœßœFX^íá?Űř˙ó ÄĘКÄ`„Ljš“şŠţ×".ű€%ŞĎâ`Tát'•qeŒŽ‚ü@ňXKW˙ó ÄÍ‘Ěđx„pźć$á;âč†@–АĺqžŠş˝˛7Ql=‡ľ4•nűF-˙ó"ÄŃ 8ţĚđIDpJs?ëŻĐL.cÖ  q2š pŚ/`Vß4ĄňŸł˝{ńĎ" +}Â˙ó ÄԁŔzDqZő˙č"tŸëâŽ)I +î&œźÍZQeÁÚďâœR'Ą_†3Ę˙ó ÄŮ šÍI„Mh@ß0NÉă7Y'Źgů}/$ÄČ{öˇç"ÜvQąĐçš>Š˙ó ÄŰ ŕţČČa†p|ĽmżlřŽëĚ2ŠYe†ę˝’D_KlĘÚŤîűčĄĘ¸ŻYV Ť¨˙ó"ÄÚ 8ŞČČJDL:š E0j5‚BˆDH vŰŞ…ň_iLĹ˙g-[ó†ô€çpŔ˙ó ÄÝ !ŔbĘpâĚŁŒľŕ™ŘÄ#]¨ ÷juRu.Ő 3ęžbĺ˙(ŔăD”…+˙ó ÄßŔúźJJpˇ ŒkÜü&°B^_–ţË\Ťœs#PĚהł¨`ş0Đ°ş„ĺƒ˙ó ÄăřŽ´JL ZČKC;n€i eĺmQWÔ˙čqłŘĎę@łU0’z˙ó"Äć6˜{ŘqIę†5Ç'{–'Œ +t.\ˇ=$ąuqAˆ]¸FŠx\łno˙ó Äĉ2Ä„pQŚLMčö˘áš¨" 0Ě AmatL‹hCJfÔ@hű˙ó ÄČŮ.ѐ1Dq‚Ŕ…ÖQŝTŮĄý?őíD‰řřƒY0Ý˝‰ř(Ŕćˆ@h˙ó ÄË ʸI”p° 8]M€Ígúve°? §ö˙ř5ؑPŒĚ-ä6ęB˘˙ó"ÄÍ ţŐH„qJ–ĆeQjÂ/çŠČ"(;§üSË@ŔP œ@Ŕ’}*Ź [wÓ˙ó ÄЁ.¨{ĐqÖX9ďçáOÝ\Ú-˙ý ŮźR a†ŕóCߏ~ZW‰!×˙ó Äš +É.ɘJqáöŒS¨fÚ +çD7˙ŕÝˀ”,ĹÔ5ŮCÔáŒâďĆä%—ˆŻď˙ó Ĩé*ݐDqˇŠzĄ_§Ýœčw÷ #€äœ5ž´Ň9'Úę}7˜!řÓÓ˙wA/˙ó"ÄŤ Q +٘IJpîVŞ fŃŠÂçޑb qľ@$čc\Šˆ˝I ÔÎ[éƒČ?đ_Š{Ť˙ó Ä­é*ՈJ +qé ĎŐ(˝€Ä ˛v LqfŽSu„ţŹšĚS\‚żˆîŕmŮTÜA˙ó Ä° âXIDpÍ" ›*›rÌȕÎ#Ůł!Ž'Ř] +bäSW`~đƒK:őŘ ˙ó IJÉ2ĐČBqüÇ 6qGÖqDF’ëŐMďo÷ž¨ +ő¨CęSˇ˙ĐJj˙ó"Äľ Ę̨1„p€}°ŕÜdiK)q]ÎŮÝĽ&Ëă´H7XĺěůţPýQ*ą¸˙ó ĸÉ.â8H„qŁaí]”3aqŽátQ9h•î‡1: gßÓňQDEń1€!!˙ó Äť ĘČ°Jp0‡+?+aU1ÍŕŠic‹ď—Ň8Üú˙şvóâđi†4 \˙ó Äž .ÉPHÄqänn[QAË7„&ŁÜD/WóyĘÍĘVëúç vՊ@€R´¨G2Éâ˙ó"ÄŔ ĹHI„pĘ^ŽW¨€ĺÓůž ŰT"V˙Ž˘˘0ŤŞĐ*ź)J$‚ČŠE˙ó ÄĂů +Ě°1Jp÷€‡Őş4„„N Öż--ÉĽćl;řs˜âv=Ţȑ~žęá…Ç ˙ó ÄĆáÄIĘp21ËAă¸Ę=̃cq—ĺŸY› 3ç§ř4č„Ô _űŒţ+PŔ”˙ó ÄÉ Ép1Äq@„)IšăjglŕáŒTĆ(j9F‰Š˙"m˘hĹđIűÔß×=aŠ“ ˙ó"ÄË Y.ĹpIÄq8„ϸ–›†j†ؓˇËöř+ńŔ/( Z€ĐqI˝FU˙ó ÄÍ .ÉXIDp…nrZ›$Ż,~Ÿ~§7—Ě„a„÷uędŸ +4š‹SyŚö˙ó ÄĐ&¨cq›rIvŸ°( Ç|¨şťž•řž‘o°č”×ÚĐ TĎ)iŔŕz`>!P˙ó Äż! +ÄJpR#—$‹“âúÝ2[KWgš +žšŻĺ3§>‡Łm~´T€LđD˙ó"ÄĹ 9JÉp1Dq5ö°ę‚łĚ)Ę~WŞ„<äŃB‹Š ý?]JýRŕ$œĺôŮç˙ó ÄČŘâј0Šp8^X5X÷šxK‚ +ŕÔ| ý‘˙čAońbtęLĂ鋦ˆńŚŽt˙ó ÄËŔćźYÎpŠŚß^Ÿň@hr•ř}m_Ó_đŻun¨°ü€ůŃćA7•ƒńEŽ5˙ó ÄÓ Đâ´bVq-ťđXŢ]>ĘŚţ§ "~čø† ’PĹśGE† …g(7šŻ ˙ó"ÄĘ +8âŔK +pčgŃ÷°ž­ÖtuÔřş€!L5´Ć Í$/îčúóäUč˙ó ÄÉ ÔČIDpƒ;€KDĐŔ‹ő3áńŔâ8€ÓdAJ¸zƒ +ŘF7ĐɃ,Öą•‡ź¸˙ó Äˁ +Ô¨J +pő聖˙É˙Ն â‰q—Ť€Ŕ‰˛Ť˛2*şA +‰3˝Âőš^~‚˙ó ÄĐą +ŮPI„pƒ¸ü;ô€ +& ř"áüŠ|8šœôwŻĹżŇĺ ‰fý˙ó ÄÔéĚJDq‰ő~†$“#ĂJ•(ŒiHV‰‰y…¤@>0”:o Ł7Š%O +ŕ¸˙ó"Ä× âÔ°I„p^QŠoŇ`ĎxƒŽl@LŁZ#b?–\Opj.áô9‡Âh÷čŽ˙ó ÄÚؚŐpI†L˝XÂ܁_˝ ßГš,4ÉAvťă\´ěFzYÔţ˙HúŽZŞ˙ó ÄÝéBĚHÄqüyŤ¤Ý~g§ď,À€`.ŕâ¸&ˆíćXýú +_ă{ŢK˙ó ÄŕĐÚĚIDpżeíŰnß­8B –ƒ;ÉÇŹ”ő.¸ćŹG”Eŕßź­}1˙ó"Äă 1>Ě8H„q4{÷ßż÷0ľ@—°RÁg‹IMŇ|î{g¨ż5 4oN%úˇ˜;˙ó Äć °–ÄbPL#D—V@ŕ ČLŠü:Đ@)HŐ*q[[Áyě—ňDՅŒ +ƒ˙ó Äć 9>ŔzDqړĎ7ńPî˜LŐ(šŔěđĚL‚r=ËăCÜ”ű4˙(Ý{‘ÔĐCi˙ó ÄÜ BîX0Äq˘Ó`Ŕ†…–L”¸Ü,ťe‚Bţ^ÖnrÔ­ô‹Za GěžNŸ8˙ó"ÄŢ )Bِ0ÄqUŽi“Ůđ,đĺ¨lŸ ĄÎäôŸŇ–ƒˇÉŃ%Ez5ÓÓO¤ §Ë˙ó Äá >ŃpAÄqż$h@`€€ä Z"k8k!IY!ÜËG:ÖŮůĐRÝ.F𒹛đ˙ó Äă ÚźbÄpƒýá0Đč8Ş62+š(R/j`ŔŘj5 +ڝđýÔXƒy˛xGý˙ó Äć ńј0„q7ď~€ƁË1ŚAń\% …á8›YÚ§|CŽ4(•Öĺ|É]+˙ó"Äĺ ÄHĆp_˝ţFf9VĐÚ7{Ƈhy.›=ÄCFkB怓@łĹáű Ávů’˙ó Äč +YɘI„pŽŰuEZŒg% +ťĹŸmę5öďě=Ýoť+ŇÓAóLů™çb +HcX˙ó ÄĺńՐ0Äp @ö~<­†=mM$…!Săww0 *Çm4œ‘™FՙÔë)X˙ó Äč +)˝xIŠpA„" ĚiYÂfsKˇ›ĘŢ-h3°{ĚqRĽo$3ůŽaœŒdw6‚ˇ°Š +ĆŽśý@ƒ˘„Đ;‘th˙ó ÄŃ +ĄFÉxyŒq:Ś– č¤ČÇű9ž +Ç~Çň ł ô˛~ő ‚Ąéš–0 Œ<ăG‚1a˙ó ÄÁčćĐy„pŚ^Ú(,JIřÇżŸŘöý37W׌Lˇň¤ç}@4äeBr<ž˙ó"ÄÄ @ćŮpJp3o)Ź"ŸőuóR/?_öÓęČĽ_ő!ô€âĚ-PREƒ…lźĘ˙ó ÄÇ`ŢĐbJpa;† +ÜŇ(hŸE7ýÖyckj¨ÁC<Đţ–5⏽!ž}n˙ó ÄĚ ŽĚILl!AłÔíqëĹÉŰR +ˇadţ€ Ě—0Đ´S‡‚‚c›'Äš˙ó ÄÎ +xšÝ¸IŒL%śx^ý'śęüëů'§€ďŽ“ÉŕF0ǒ.B@ů†Gő„/˙ó"ÄË YŘČI„p’çç o •ž +?jĄÂĎŤ–ôD3°ÁpŁȤĐ8ˆęŠ˙ó ÄÍÉŘČJJp—­ryqŔ,ň\đű?ôG˙EK`0H8ŽGBŹ10QŒL˙ó ÄĐ°ćĚJÔp,Éj¨śM+m˜‹ő`RÍÜ toÔL9 jhŐVĹ2a+Ó˙˙ó ÄÔ`ŽĚa’L ˙!ȉ°L]¨¸áN]ËÖ}'Y*„4â1CŚQä.áBăĂÍDČY˙ó"ÄŮ Q +Őp0„píAßl\úž•ę“ “Šń€ĺ,'6acő4XȓÝN~ĎÔ _Z†˙ó ÄŰ ÉźzJpŻR˙z#XžŤ¸ +rĺ XJR3žˆ}:[)mSDľÓƕ.šý˙ó ÄÎĄĚJq9´0ßáŕč (6ČšÔƒ†ňAĂýžÇŽ”ŠŸęŸëëő˙ó ÄŇřÎŘđ1ÄpŕŒ+Ź8Ăŕ "INŠä9Ö`(áůt51°čąž"Ű}Ÿţœ˙ó"ÄŐ 8ŚĐđIŠL ËYXŔ€ b=4]VŹ|‹ä [ôżăyiçB…ˇX7˙ů>ŠÔ˙ó ÄŘŮ +ĐČIJpčĆíD:‹öN’A!Î>™†ç;yiëĂ9>›/ݨbŻ“3 ˙ó ÄŰ +ČJDqOǀA:fŁ)yÁd†•0Ř}"_Ěyűř=ŕś:Ă`ČŽóIů˙ó ÄÝ(ćĚJDq“â“ĺBâYć<ŇgŠĘM[˙*č&$),2Ęg”Ň7ş°“}ą”˙ó"Äă 9 +ŮXJ +p˙‡ˇÎ>ÝÜ#Ř Oâcâä\Đú"Ş)Œ5™‚_ë1ŕb5÷˙ó Äć Ą +՘1Jp‘ţŔűvq-DEEx†ĺ⠊Ó.8´ů˜ľŹMŐ&ß7t3ŠlS5ə?˙ó Äć ‰ +ȸI„pşťL ťÔzˆ€•]B>Üg ʏÓÝř—âršAę‹'â%׍˙ó Äć ŔI„p‘ń Ź—Š`ä°4T K,@wFJ˝ă\ăď$&Ţ˝ýĂsŒÍ]!Ś˙ó"Äč +šF´({Îq|4&RoSPvqq_&pę=rCŚĆô ýÁ˛Â2÷Ž].žýlú˙ó ÄŮ ćČJDp*ĄŃŽďć@ÍčiîvŤęUž$7+ŔI[d›_ĹJUę|˙ó ÄŰŕâČJĘp\óÚŻ¤S8Ő-f& ÄiĄv–-4ËnǂŚpŠKŃCž´ĺ:ő(ă˙ó ÄŢČćĚJÄpĆuéËŃd•…tÓa­ ´Žáeš3ĹKiŰa§ŃÜC}X;:„f'˙ó"ÄáŘâÄbJp +7$9 Č^Š ‘Ń5¸¸ĎÍĽî狎ťQČc;,ĄÄˇěp˙ó ÄĺxćČ1„pΊˆ´€Ŕ=b˘éRĐAĐޒđ˛ť*žUfh*ÔÖ1cŸYäŸ˙ó Äę q +ŔzqP€œnˆcPÚ"RźâŁ[‘’|r7=˜m…mioľ´fÖŚÝ˙ó ÄăđŚĐČ1ĐLz™Do0ń5@`vŘÁ­§Ä„ÁQƒ€e8  KąŚ`Ž”su~˙ó Äć AČ(IÄpZ r€™Ž:ˆżŸÂCP5*w…&]˜ä8ŕ&)ÖĚ,sž{Pú˙ó"Äč řŢŔzÄp‰oXżł˙'ż˘@ô"Ü„Dđ Tť +…pˆQů”žĎúÚ! +˙ó Äč +HâŔ(KÄpÔ<>W3šĹç6“¨óÁ7’CƒŠYŁěÍN1zxhqţaÝ˙ó Äĺh~ȨIŽHö`ˇÝӜâŮÂ`UsżBőŹ,A >‡(ě +â#dä.Ÿ#ç˙ó ÄęQN¸¨cĐsŁYœfQ~\%ÜvŰĺŸiCƒś9yá{ěöú$Ґ‘‚'F™ŰĐL Ü˙ó"Ä× HŠÚ82 +LŚPHƒüxíEh bÓ4˜ŕŕnŚq7Œ.{ÂĂrp€{żA˝/Ę,j˙ó ÄŮůÚ1ÄpŒ*zŔdŕ +‘¤ŃXÇ`ř™%˜~É 7ăő+>7- MČ˙ó ÄÜřŽŇ81†LŰŰů‡ ŔałtÚŠ˜€s¸S(yj9Ž>Ŕ#qăéČż´rk™ĺ˙ó Äß ţŔJJqaeKęŔœk–iJ29^îšMÁ˙ź˙ ŸŹü;ĺX€˙ó"Äâ +A +ÍXIDqWĽađœFŕÚh é¸z9K9˘VäźŔ9ón˙3ˇ­ÁźąďŠ˙ó ÄáčŞČJĘLŽ“ mĂ[땷/tK‰틛Pśq$sˇí6<‰rˇdîÖ/ِ"˙ó Ää ČIJpn;ß^&3 GjŰ-#ŽŢí˛dLÔKÜČôtóph'uĂŻń­•î˙ó Äć ŠJŃX1JqômE +€+ŔĘFíd,˘o ÝÎçóLŢZ˙omú™ět5BôďBŹ˙ó"Äć + +ÍxIDp§h“‹ŔÁ ş/\(lxĄŻ¤Čgzô6Mĺ’P~\3%AG „˙ó ÄĺŕâȨJp$•¨`”ż\u0*„ąťH}đŸĘćŘ…ćĄÁFäX. ,­˘w +s˙ó Äč Ŕ¨Jqĺ˛#ŔŔAV:k<3ĽBŔ +=ó…˝ j=ÎQ˜›3ľKţŘgëwC˙ó Äâ ČbDqbGqŸŕŔ¨›[€Ą×Ţěv™E¸ĹI݅ľľŞŠ‘:›T~#˙ó"Ää Čzq/AÎ'e€ŇĐJ`8źľ ŹfââŞ(Ůy0ĎşĄ˙Ü^˝Ľ˙ó Äč +YâXA„p†šP Ľ87Ÿ,łkĄă] +ŘśqVP2l"-śÝ?Š×Eô+b˙ó ÄĺđŠŐ˜I„Lę%xđ :CľćbđMm’ EďŒTŞĐö•@°ýđ„jeÁ,r˙ó Äč ЊȰbPLŹ&—R +gš›Ý˜ĘćאŢŢĚţß?‘ŻcżŠM†őęžvi'˙ó"Äç +ÎŐp2„q‹ö,A¨ ÔO^Ň +K=’g㽇ę'žh$ÖĽoC6Rť6ë˙ó Äć ĐÖćXIDqƒ~ş€Â§żđ°†Ä!Mdl×![cîԆ‡=Ô\!ä&‰Żrp •˙ó Äĺ ! +ݸIDpŹŇËĐ ?đé)˛‹ p}Ň|ĹoőęzƒíoĹI"QbŽĆĽ%˙ó Äç +! +Ú8IDq ň`„€ť@ѧ_Ű ]Ü+ŔÓŤ:f‚fjźíú8#V^đŚ˙ó"Äĺ 8ÎÄ(yÄp ‘`ů •–0n!­ b_\ܗ|ÉňéűěĆé˜upNĘž÷ôpGI~˙ó Äč +ąČĐzJp¨T€P @9‰÷"$X6ńEĺú—ĆsĎ +š: ńĘdäÇ˙ó Ää ŃpZq …F.<őCWP8žłÁŹ Ÿ +bń:źß2óڟ˙XcŒŐViG°/˙ó Äć ČÎŔbÄp`w+­ěŔ3°Œ! ŒX_áܔ}Ă[6Ďśţ0đąG–w˙ó"Äĺ ŚŮ1ŠL€hĐ ‚ať™Á}zq{Ąüý‹đth)ŚŘ˙‡F×##´ĚýA6ŰÔJ˙ó Äč +aÚX`„p•Î\ó„+Kj] ˜vöœ3Ş–żŰŞ2ď<żăŚ2ţřĎ/ÇGę˙ó Äĺ°ÎÄbDpv€Ŕ$*´f§!‘x¨”YjKť´ĺŽß:ě"ZíżeWň˙ó Äé +pšŃ¸JLť#ˆ:çAŽP˜ É8RňňcXQńŃ0ŔÄł˛Ç˛˘g(˙ó"Äć + á¸0†qčë׊ŹBŔŕĎŁ>FƒaNb=bňĄőzüžĎÂä§űՕ˙ó Äĺ8†Ń:JH‡Ü›;°ęŃŚ^@IVyCˇLçpYxŘ­ąÁ€űĘÖ?<•śK˙ó Äë FČŘZDqĐĄ+˜&HbĐOKťˇŮÝTF‚Łk˜ˆ>´7˙͜Ú$ůţ˙ó ÄĺĐŞČĐZNM˘:“ŒýBŔÎÄĚix ‘ô8“¸ńOćěá°×n"S,ŕ`˙ó"Äč áFɘIŠqA@¨ĐHťt6*&“ďFj "ž#–UIэ9ŒĄO諒Î˙ó ÄŕřVݘŠ$EW„ĹĺZ3Đ\•Ăƒ[HćŔę‹"˛TÝŰ,€g”÷d›ßşx›€Ăá˙ó Äă ţѐ@†q:Ž\UgÖr§ę:öi€\ŸČm`ŐQżQ@đÔŁ™łwœk1žJÖ *˙ó Äĺx†źI–H š­‚śŠÚ€ŕ~Wz$"qď˜-*?SţŻčł1Œżn˙ó"Äę ŞÁđ2NM:q‘Glő€l˙;Îb6J“u!Œk!m‰ăL\Í!٤ÁčłrÁ4˙ó Äĺčrâ81DH$c`~τ°Ă—”fíËdÄ+ŮÍîdäu$Ł3é-ZŤ¤b¤Ť ş˙ó Äč Ń +˝XK +q˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙€€pÔ2Ȣ×WÄꃟ˙ó ÄŰŔ†Ä[ H„íFWmU÷°ŹK#1ŻęhŚyţ=.1˙˝˝mF˝čź×s‘@€Hč˙ó"Äß ŽČČbŇL +€5‘ +ŕčk„99Çă_ĂÖĐbwŞĄżţëv›?ůœ‘9’‡˙ó ÄâČŞŃqI(ĺf 2qŠű4ĘÍÝن•- +}xÓwß"ÍÚűhŔ“?Ŕâ´$r(SG˙ó ÄĺL‚ź hJ[-$C“ßrž‰Eĺ„f“Č`¨éĽC2`$-;X¨‘AF‡˙ó ÄŞ JČąĚ@ł2 +Tą¸$†lXóŘF0LvŤRlYR$yÜ2°⍉ŻŻ¨˙ó Ä ĐrĐČIŒIţdHŻ„ýז×ţ•ŠK E˝Ě5×/­„f Ťą 2Üź1rX ˙ó"ÄŁŔŚĚcĘLœëáą3´Ţ€ ł:@éčÎéZČů­ćÍ Q'ůŮţąĺśçm¨ˆ˙ó Ĩ vݐIŒHűžŠěŞ5 ýqZ×Äßn+šßč´ťúTŐŇO7™qÁĂT˙ó ÄŞđŠČ(aŽLV&?Sě +ŇńĂ5Š÷"Ź#(¤őf1îX›=ĂFďoĐMO˙ó Ä­!ŹĂÖqżk÷bŕkŚ„áÜńúYWŠ‹F~Ż.Ż žëÜÉĐƒóš˙ó"ď AĚyŠqcԀŔ#đ˛2@0F:(šÎ叄7S2.o +ćC›ç9 ˎ^A™Ž˙ó ĒřvÔPzFHő€•÷1?SŞHÂ——ľx…Őe“dŚ4řjĽ‹Ó/|Ÿě{˙ó ĕŘŞČzLL txdî-޸îŕĂ" ałŃĎÇ݉CclöXP°ŃbćJ™Ë&ź˙ó Ę ŢĐĐbDpdIösĐÔĚŘapăŇԌm•>mÎ!u€8ęśB2ĄD†iČ˙ó"Ě ˆ’ѐI†LŸF{[łTĹü´…ęM'd֔‹­D&¨qB˚ăőžŽĺBő˙ó ěąNź{qE3š“Ö î–ł(śĐćńź°Rú"$"sŇg`•Ł´.wP<Šj˙ó ă XŽĐJDL7%”tŠpű›Ä@”ŁăgÁóĂŔ¨8Ä\ćs†Čšţá;°ŽĽ^'˙ó Ą8šŘČIL^P8˘CČ˙ĐPfWkb‡–ŤpŤź€śuz!_k7›˙ó"Ċ¸šĐbPL§ç;ŮN™trF°r'<*é +k™AibˆHu¸Z{ű,c!űa!˙ó ď ŚĐZNLj´5'÷k‰Ü =‡°A‰`!ŇEb!⎠4ň˙ˇ@šm_›™Tą˙ó đřšĚa’LŻč˙U7ĄW€§r đńt€ńřŃDƒ™3†ÁŃëó?ř]Â*qş2˙ó Ĕ 8ţ̸a†pËMŠ 2Ă+°â§ŇÂΟܴq^žpţO2aŃU”:<ŮŢ˙ó"ĎŕžÔIŒLö-ŃŔ>”Ź;d™ŐCŒaÓ°óťęłE!ţ8g(ĺľVv˘iÔ %˙ó Ē .ÜĐ1Nq@9`ä đ) ĽMTĐkŒ*麄dvQBpƒpMĐŮy čČ˙ó ĔřšĺIŠL ť{š÷WB=¸ó/t—EdŢůLâsĄ†ĚÝiú}v§ľ’˙ó ė žá1†LŔ°ˆŕ3¨aƒ§„ +éí +ůÎ˙„:8áÎ+CŢÉü¸SÁĄŕ€˙ó"ęx–Ô(JLL`}AŐČäpúR q†ť>Ż<\çLęsĎ˙Ëú)Ţ}Š$řńĹ˙ó ğ &ÔŘ1Äq‚s2qě)FŒyáß~6÷#ţ1úż?Käú?”Ź/eůŸk€ă¸gÝL[ýÄZΰń œ$œ‹œ˙ó ÄźřšŮI„LE,ě_ž|])ôśIßxzäu)ŐŤQa?돘<€1ÓĹ)Ѹ˙ó"ÄżčţĐ°a„p ‡šJĄhéI˙}”|­§ľ9˙ㆷ܀ŸůŔmˋ—şŠ¤¸˘˙ó ÄĂŘŇĐJDpScč™Ý˛î;O4Ô {TslżÄϗň˙ó"ÄŰđ–ČzJMżŘăË? ˆ´E#gOH‰ľ}=&g¸Łź)Vґ’_˙Tţčţ˙ó Äß`šČK +Lí)CŠIpS‚žk +4‰çs‘ÂůáďúSq^?˙ƒnÇţ˙ó ÄäčâČbJpű‰ĐTŃXu‡źcžÄŃŇ/*ňƒeŮĚŕ•Ú§vţĘ[ĺżś˙ó Äç + *ȸJÎq„ÝP%ƒŕx‚c“ +‘™ě¸€zP6ĄÔëűňMü2F•;ś›]™łäÎ˙ó Äĺ Ě°I„pCpŁs@Únůe ĐÂmľ2 qLŚ!á_›ýŰ*őN•cŘt˙˙ó"Äç É*ÉHJ +qwS™…Ŕ€”ůaƒ„xW$‹é O¤ys%cď•ůÍ÷Ś´ĺՓÝ̚˙ó Äç +!2ŔJÄpş9˙Ą*Šj@ô˘¨ăӔŹNŽÎ`D¨˝bZŻNŹ.×6ŽysÜ˙ó Äĺů*Ńp1„půďOü ‘@üÄX€€ˆy6óÄđ#ŸPě`Çě3Ňş콾_˙ó Äč Š*Ŕ¨JNpšDşˇ\äDG€ýn &IŠL>„V âźE¨Ż‰ˇ€íŁ~öľ—Őş˙ó"Äč +ţĹPJpôœmA˘›ýB™(„P‡KĂü%ĚëďŽüf>ŹŁvąćÝ&6wĺ˙ó Äç ĐţÔřHŠq*Sýó>°ńŽĄƒaI™Ő˛ĺŐ Ňú–ˆéHžžCyśÉĺ{ “˙ó Äć +ĐúȸaŽqđ‚äeâPš˜œY°‚pŐY[rH/:ϛ$$ĄÚĄú3úŞd˙ó Äá !:á˜1Dq_Ÿćbď@’@?@~bg&-1üěš|&ËżEjł”ďɌ`˙ó"Äă @ţÄIŽpK°ĐéG Âä•đrŹ1Œ8ą0,B7+h1ť•‘şöB9Y7d'˙ó Äć Á*Őx1Jqšw‘ň`äƒčĹ´ƒ/ +*Žć"w7Gî˘tŒźÁ]•?Ą”ër3đ˙ó Äć ŕúŔZTq$˘Ň´qáÉYŔńᚃ‹Äţ¨"‹ôĚŮlIĽÄšAĘJFźě˙ó Äĺ@žČBPLSż ¤ŔęPéĹĚE¨‚?iS†+{ŻÚ˙oŃfĄ÷] iAÓ7e˙ó"Äë HţĸJTq2‡ěÓÖҀŘ%¨PĘÎ,¤ş™UQ › F +ćŞ[ňˆuŤ˙ó Äĺŕúݸ0Špm`¨@8ŕ1 +Ŕ@|i’7¨nF?š7ˆ|Úť­\ÓČ×Ăč˙ó Äč +ţŃX1DqŹQźä ę@ 0đ X1¤ÍÝłbúĄ57ĆźĆ|ÍáŽáoؑ˙ó Äć Ů*ÉP2Äq´}ˇ ĂŸ´‘úpŸ‚sLĽœE+MůTíą¸}Œk›Q7eˇ ˙ó"Äĺ ŚŔZTLŁ§ÓÚd˛œô ÇI2Vt×ěÂ08ůĆu Žm§”Ł]IŐr—E˙ó Äč +XŢÍxBÄqÁ&ť‡ÚüšYF@ňˆ.ś?Îç*[:Z‹ŐCýĄł˘îÚ˘Rn˙ó Äĺ˜šÄ)ŠLYř×0đ(vMŕŽ‡Y RÄ]ݜűęuÁśŻÎ~ç:Ďo ˇ˙ó Äé +™.Ň81ÄqîŽCx4Đ`ĆŔö1k ‚ u +ÄJ27Şá6đ˜ÍSšÚvuş˙ó"Äĺ 0šÍ2JLş˜ęů„ˆ”@ـ};Ć Œ:ŒŠJ×ů>ëmł˛_ĐHčËT˙ó Äč +1*Ŕ¨JDq?XŔ@ +¸Š#c +4kĺ1F‚ĂvdŤQ˙iďú„ +ÇnĺŮťťűŠ˙ó Äć + úŔ¨2Dp]űšŃŔˆě*Ĺ+”w +/ˆ[ÎčefHOLBy7˘N*ú˙ó ÄäđšÄJRLĂ3Ůܨˇ:㈃M"pä,ú"VOď´ß‰AźœCąÝ +>ďŁwŠĆE˙ó"Äç ů*Č°ITp@î2ŮŞDó/¨€$ICŕÉTOĂK…Ź‡ĄĹp-Ś Ű?/€ózB<˙ó Äç +*Íx1NqńUí@š˛ 5@ĘŕF'TÁÜĐf€Ô ź;G™yšR’O;YaâSc˙ó ÄĺčúŢX0ŠpÁCˇ(@Ś˜.–bHpĺR‚X1kÂhďzWU =Üż˙SŽ–R˙ó Äč +Qɘb +qŹ\ŽÓq°(Dlč +¨á:ƒ“ ×/ž"—Ô;öđƒ'Ř]Đ˙ó"Äĺ a*ÄđH†qÂči2Ĺ$­ )`P¤h<-vđQs„V€ÂřśŞ›ü,ŹůÔ˙ó Äß hŞČČJJLQ  U ŤĂy€ä đëˆW˝yaB‹îTÎú>Ź~˙éeý +˙ó Äŕ˜šÉPJ +LŁjšýoŹ;(đń3Źťö+öŒ…&5Ž°Ąđű€ç§âŻŻĺ˙ó ÄäđBј1†$¨çČşˇ6ŞšˆŇHŤ¤EM!Ťúhsršo˙ó Äßéĺ¸1ÄpůAĂŕ€H("oHŰH'6•l@whĚüť[ÚŹ‡yKÉ` +Mpf*˙ó"Äâ 9ÜĐI„p:ŰŘ$$"óBh›4ׄĺœ&”žďÎą–3ô +Mě6ß^ăz‚˙ó ÄĺÉ +áHÄpĹűĽ@ÔŤĎRŠŸh…vÇB‘&Yú` š0jˇ‚0•RňŁ58˙ó Äč +AJĺ˜HÄq€h€@ˆĽś˛xĺ˛dUÜĚťíŤúeŮţ|ěGJÚőAnĐ˙ó Äć ą +ŘŘa„q#ŸűŞŠG€ël˜ 4PXź^ĄĚ˘tíŻÂîľ"d`vn˛üpĎ˙ó"Äć i +ĐKÄqü@„:ésƒĘ#R}ˆqČăE–Ő“ÄĎ܄ƒ&Ęĺ= +WTvčŸč˙ó Äč +řĆÔđJDpźíˇƒpňˇ>3ťiŒ>5Wb–°pćPf|,UŰuĚW7Î˙ó ÄăćŘJDpE@€DP`vEĹËGrSÍ?ţ Ľ69ąÚo{ă•ă{ŠÜźfo˙˙ó Äç 0ŚĐbDLE/ú› ŔA–;š3Śjë.i!Ÿ­űĽ÷Ÿ{ÜK79ÁżiÉőĎ˙ó"Äé +É +ŃXJDqúƒ€DNސÔ"đçp6•ôĚ•0hčľ)ŇKgń—°÷üĎţßQĄd˙ó Äĺ¸ŢĐJqzçA e hó[‹qŠ1§ "–łi!Íݨ+)8'm^§z¤Ç×ě˙ó Äé +ĄJ٘Jq@OĹ@€˜D( +E ’#y¸¨z¤pž~WąŻáŔPrhAácľ!â‰˙ó Ä吞ÔzJLă\ťrŻQÓô$…–Ŋ쓲\ˆąĆ;2z˙ŃŐşĽ'Ô˙ó"Äé +aŮXy„qE<´Fs@ˆŒŇ*\Đ|k5˜ĺó‹včřçHŢę7]wÁĚ˙ó Äç +!ݘY„p҅;”€} +T€dÇÄ Ł$ƒPtĘŁŠŹţ{ż팕šMœŻ˙ó Äĺ‘éHÄpţU3‰> ”đ•8:.°NÜ}âOMžsNćžüT˜RƒK4O+ę˙ó Äé +ĐJpλԀVš Ü5sĺjÉ=|wżŹB6ô4*lUö<ýJ18D9͸˙ó"Äç +đâШJJríďjÝ ę˘›í(°€p”$â†Ű”^„Í’”F0dü\7ťżí÷`˙ó Äăčâę1Dpl•ĺŻrľóĚ,ŻŻě¤Š}ěbSq ÁĚ1ŠŘӒ’,o˙ó Äć ظJpj5˛ńZ ’ÂlťžÚűcąz8{ŮůťÝodż;W'˙ó Äç +!ŃHIŠpżÁb§z“„ŕŒLŽŇZAc˘+!=çŰ +Ĺ† ś=‡inÎĽ;˙ó"ÄĺřŽĐbLLźLď*…@áeCÔá2¤ę°ťH,Î0œA›`&&Ž27ä˙OÔŽí+˙ó Äé a"ȸ{q*#(÷`’œ@˝ŽŸZÔN-’ô„Ń…‰ö%Đąv#”+ *[šC*ŤC*3˙ó ÄŢÉ +ÜČa„pł”žŰaČ ý•$—_8œb†´˝QŞŘUÎ“C. ńşh\SO˙ó Äá ňĐy„p›_ýÖ⟡€Dă@t& 1Â9[4běŇŞsՍxçJ–íű˙ó"Äă 9Ü°aŠpüľwmEŇLHďT,3á’mŔ]2GBxĘü÷ţE˛jCśňąÓ ˙ó Äć 8ŽĐa–LČŠ×č'„”ĐĐńY>WiŇtŇd›cřßÍuäecQóň?Ł˙ó Äč +1:ŮxH„qťŠ;Ź‘ĆÖ1Č ˜ERK|4Á˛b‰¤œţ{5Ÿú}A‘>˙ó Äć +ńĚbJpQpŞŇ„.`9e!d÷4  ­8ĹĎsĂ]0?éŤC*˙Ľ˙ó Äá ĐîĐbFpzřăĚR@T‘^ý¤€÷pĚtąƒ~bž,ÄÁ‰őż:ńŰe˙ó"Äŕ (îŘČIÄp!VဆAĽč¨ + +C¨”t¤ŮH!‹íšDƒţBż#ПŽł˙ó ÄăŔîÔI„pŢËă!ČÁ˘*6)ę^YʤF™Zá÷öO˝<ě§NĺWý‘[Zż˙ó Äç +!ŘĐa„pŕ]×,ŠDíŽ-A…HŽ•óGR˜˘$~WŸ>ŔčţŻúˇŤČ˙a˙ó Äĺáé˜0ÄqNU߀ŔĺBş+ +ItŒJ ŽaĆ Ř4- čţľŘö„ö˙ó"Äč + âé¸H„q0^éxđ  „ „."ÔkáˇÍŁpĄá@6á3˜KüK4+Ťţ+Ź]\–˙ó Äĺŕ˘áp1„Ll‚4÷V +śţ@Œ“Źˆâ +`ŃT,üÍ#‹­řŒľÔuuůGĘ<˙ó Äč +1 +áx0Äq–Š/ŹŕÔ° €>=‹ƒ!?Ś¸ýq“wÓÖÚ˙ŠKG(¨˙Ť÷3iô˙ó Äć ąĺ˜I„pÝ´Şę +ŔŮBaçƒ1Ľež-"‚gŻ–° +śýŹƒşD.u˙ó"Äć + +ŮxJq1ßXäxnÉá1bhˇ7fżŮ´ĘĎŕJĽĐŕŸđĺn”÷¤(˙ó Äĺ ! +ĺ˜H„pۈŃyˆ ě#NnqBX šÝ'†bMQóo"}(l’í˙o…˙ó Äç +šFŢ8HÄq[úXĎR@”0äAT &‘ŁäM&ť˘JV ÎĎ`ύřŢh&_ž{˙ó Äă°ÎÔJpţ Łz€š+XžŽ‰J•lŕ1`šâÄŹuSNŐX>$Ú@C?|˙˙ó"Äç +QJâ81„qßő {H€H”„V5´„™L¨Łí&ă$¨V]DWmѢ>“ˇÔ˙ó Ä娎ĐALC×Ň䕅ŕ8ĹĄśT֊ĺL +œl1€!ôŞŞ9[ô*žŽˇ˙ó Äé + +ŮxI„qW şŻĐ”L!¤¸Ňć×ƕř舲ăĂhŠ{٧Ox˙łFťCŇZ/˙ó Äć á +Đ°JDq¨$Mşé%é€=e!´NŒY<°^˘y˜ŕBŠQ…Ÿ`¸[Súß˙ó"Äĺ QݐI„pŁżéÉđń.qŔäť9CI64ÚŇfÄŢęvţ˛00Lś¸ž˙ó Äç + ШbpčŒz(¨€c!b€yAÂ&ÓĂR[#3w7°E÷p&wĂąE7°˙6˙ó Äĺů +ÝpIÄp†úˇĆ€Hp9äƒ\AeJ­>5ńˇÜćţ{%Ąö_%Q­Đ˙ó Äč +9í¸HŠp;eŔ"ĘŇD0&Lu3đř–(•†šŠ8ł]ď3 §ů[ŹßÁ˙ó"Äć ŔŚĐ¨KLo˘t´BÓi$!c|}8âmzhĂJůŹÓ÷öŠboÓë˙Š˙ó Äç +ŘŚĚJJO6źżŘqÄ~Áœ2ŘOŸ\›˘Çť‹B5:žęß|ó ?ůîŰ˙ó Äâ°ŠÔ2PLľˆ­ÉőŃ5×"€˜ę !´'ŧa`.š™gAő2/a‡˙ó Äć É +ŘĐI„p{ŞܝňŠJŁ’ôAî]y]@ą~}'¸ý“O†>4›äaƒ\Ą˙ó"ÄĺŃ +Üđ1„qĺŽý¤•ń –K‚ĺČÉWBK, 6őB5Qt#K%?ćŚŇŇłóĹę˙ó Äé +IJĐJqůҐş`9=ź Œ0PĐ@w ^Œ×‹ŤˇVČÔČíĂtĽRâ˙ó Äć áÔřIŠqŕÓâ8đ—!HXáLŻAŁ$C<†qBzu\ţők'­U-ƒÖ˙ó Äĺ +ůŮpJFp—tŒ#zP 8é¤2Ą‡–°BŽt‹˜VHŃččŽ1XÉJ˙ó"Äŕ 8ŚŘČIŠMWŽ!$Ăa’áríx*Ü(aĆÁ¤HM› q0ěÇ>BîMîGlŔŠ™Ú;ckA˙ó ÄÚ ňĺ˜H„pOo†GĽËˆ Ěj5aú ´ĚČg"•ó_1çvSŠÓ%aoŐę܊˙ó ÄܸŚČc L +Ň˝ŸěÔ|ˆB6ŒÍ.dň„”j—(Îşţk:ęí¨ý%˙ó"ÄŕčŚČbFL[ÓűúÉ=c7*-J—juĚĘüœHC‰EXŮůmęîß›¸2r,˙ó Ää ĆÄa„pń›­wP5ႋĽlë#VąyP]3j`ü÷qC•Ł@ˆý( Č­N˙ó Äć ̸HÄp:ťV `¨ň +˜5F¸ŚŹńŢxä\ţßÁhüŽ.ŰSŠý +ńÄ˙ó Äç @îĚđH„pwÍÁ \—Ł^ĐîÉ_óĹb&BˆÓëKîÝLżŹkĺOUé<9´ţť˙ó"Äé +ÉÄĐa„qtľóŢâ–@á&‡Â$#;VHz7˘SˇčiÔ֋{q ŒĘŽfmʧ˙ó Äĺ ĘÄČIŠp¤ w‚‹'\Ńf´gbÇršĂĎ tŠoŻĆ‚0ćUcF6öП}˙ó Äç čƸzDp…N]”ˇ`9á vžĐÇÄ´łz÷ú ůMĘCÎ5GĂ˙ó Äć  ňČ0`Ęp%_3Şt8h9=U+RíA˛0™$šÍŔ×íLôčíěÂcá‚iŇ˙ó"Äć YČXHĘqrĄü°ü0zF$PX˜ŕ#ćŁ(ú°¸•Pƒ‘ä3`ץ†'ł0ő˝ć˙ó Äč +aBĚř`ĆqÓBKé HB;@“&@†W Đl2;•TČŚžŢ,;QePP˙ó ÄĺpŚÄ0b +Lz1ěůpĹLŐĐ7•MŹëěBłŁébŠ'sź)Oť1RŸtŤ*Td˙ó Äę +šÄřyJpÍ}`ź1Ňđ‚𔟎18Tř°l D‘ŻNĐŠ`f~ ­KŁ¨†˙ó"Äć řĘѸH†pY%ůy@˛óźěčzÎVˆ‘jčĆsZŔĽöÓ,"œKë~i5ü?F˙ó Äć ¨Ś´zVL[ԁ Ş¸‚ť VÄSçVVÇ"ooXÓëí˜ňvä? +'‚îy5Z˙ó Äć ŔĘźđx„p]Űç˝8á $hHLF2şĚ§$('uôsgŚTşöŰń8‡IÁ=˙ó Äć  žĆXa„L,~Lź6Źié´)@îĐ']Ą˘b řď–}ÍtفSě‚ @˛˙ó"Äć X˘ŹzŇLŚďkŮpŔĆ +ĺ‘\‡AG'/dŠŰ!enŻśpď€N~čwĽńă˙ó Äč + Ć°đ`Äp ­ +:Ný„jˆ-î—ÜtQgŽuĆŔńxÝžsÓÔżÎ5_30˙ó ÄćřޤzLLzď>'ĺЖ†$ŰÄ/čú†ct'ȓLj$'ŠKŘŁĎđeĽęD˙ó Äé +Xޤ°bRL›œŻÉ@Ża8Jçń” Ą¤ăŘŤŇRăXŞŽďšşœM`Ť˙ó"Äć 0ި(JLN˗€;Ô .#§8 ˌ°xň' Äě/ÚEƤÍÔůůŘß°’V<\8˙ó Äé + Ú¤yŠpRíń@ ŽŕHóŘZşĹzŽ>‚ €Ý2ëÁýgčńւrW{˙ó ÄĺŘĆ yŽpŔŸ´], `žą(lQEŚ(… °i– :¨ô8˙ó Äč +y„pž¤âŕ +Aƒy”1J˝OÁšÝ†_sŘn zm­ÔŰ÷ˆ1Ň]˙ó"Äç ČŚœzPNŚ–ĄM­ĽœŇyZĎP´×dpęáÄI˙ó Äë4‚|˜hg ++ Tœ<_8O;Íl(((YÔ0ڞĄ íŁű_ń†Œ[tŽćŠn˙ó"ÄĄąNd ÚČ—-öƒ„ra>a^‰§Đ`ŔÄt÷Ě•îĄö%ԃ)BŚYŠE9!˛ú˙ó ÄJ !*°bPqĆúΚ#°éâ´!_R¤œ¤ĆçŠbh¨œ#}XTĐi˙ó Ä@ +0ţÄČKFp@b뿉ܠ 8ĘČaĘpzTe†cń6>E4šĘ€¨:L ‡I˛Á–r҉ňě{öň>r;\˙ó"Ä@ €ĘĐČb +pľO)R’żÂ°€%D Q=Đ3źŢÔěxTşžűxŤB +źI˙ó ÄB !.ÜđIÄpđŔ y.™ĐíL¨š•Ë'Ë!]kĽŹŞŕ™_ +4çÍ}†MďGĹëÔ˙ó ÄDřšŘĐ2ÎLôřŒˆ1Ë‡ć|ôCÇ/ +‘Ą6Ľe•˙šϽSŐüďQL1ńĺ˙ó ÄG°ÎÔzÄp€ FHrÍł‹e݄Š‚Ί>Zúu‰3š4Ýžś(v儀˙ó ÄK ÜřIÄq ’áƒ„Ä +Ś‘/ĄąV"§}ŕ6Œ÷ř/s=UŒoę3|8“Ł ˙ó"ÄN YݘIÄpŁOŃ +;ŽR\Fŕ7VőٟűşŮ˙#ÔUýž#$ýĐăbŔŁ„]`˙ó ÄPřţ՘IÄpx!Ö/*ČŰŔéϖ +ŤÍVţ´/~Ó˛…ôdGŚú0€Ŕ9€ŕdSP˙ó ÄS ţȨb +p]PĎĄ¸źEŔm?ôăfP!-Zš@I’@ %5ĽÝŤĺv˙ó ÄUĐĘÄJÎpĆ8ČHńéöî5ÍoŹ*šŰNţŸ1ԃŤ‘ś°@'Ź8}7M’ˆÉz@˙ó"ÄX XĆŢX1FpTjäjŢpăisů +ĐĎFŁżFôŚs 4śĐ9ĄÎߢ‹ŘĐŘĐ˙ó ÄZ ! +ĐřaĘpűř›_ +ŤŽŻ`IÎŻĚ,qűŕpP Ďp<áf śŕÂpä€o˙ó Ä\ŘúĚđIĘp€/úśuK)ä˙ä˜}ZÉԀ8x44Ą;‚)Ňʢ)˛z@Ë@˙ó Ä_ úݸ1DpŸ<œ“މŠ>]űžHpĚŚĐ9D›¸Łʼn + +q|„2ü$Ť]Ő˙ó"Äa I.Ő¸ADpQö3(­ő™co`8yç\é‘ŔwqÁƐ'ĂtK"éé,t;ę œ˙ó Äcđfź(K("\Ľ@ °ĺEŃ;D<{lnQhăyžŠľÂżĄ8œ1‰˙ó Äj Ő¸0Šp'ő(0 +đÁ¨šľRcEŢzM(p=î Ň˙ˆ?EhĂć6h[׊€˙ó Äm šŃ¸HŽLŤ@:ĂŁO.yYŸĆÖH“|ŠżÝ˝Z Č ˙ó Y‹üp :˙ó"ÄođĘÁyÄpśH’ŻcĺÄŹůÁ†ŐĎč'ü§Ł˙îwˆíÝŠý0ő!%pđ–ˆ˙ó ÄsˆşĹaJL€Ž‰ ĚhÂ3šŚ˙á2˙(ąXg-CŠV⸛č¨PÓA‹wF~˜=˙ó ÄwĄ*ɸIDqgiˆĆ~ě¨kÚkň.Y8k-śgŠ<2)ŞľJ +˝Í톌t˙ó Ä{  +˝˜aÄqa-éĎŠŔ"Q§ř“V+r4b§ęǀ‡Ŕ”œč˜ †$č–m‘CÄ@ł˙ó"Ä~ ½bÄL­|ˆIËá%ć|îĚżÉ>Eşir‹ĺôśĺynaŠ””&ó#e˙f˙ó ĂˆšŹzPL2P°ׯœAtóľŐ$ˆZ^MBłëg0š˘^ˇO>@B0ˆĐ3…âŞ˙ó Ć š´đzĘL!Gťdéž1DĘŁRÔtšš•ýT_Vr1Т›ÝZľ •˝Ń­Ľ)0‚`8y!őŰP˙ó ÄV ÎŇXAĘpԀs˘˘‡l˙áúW¸%ű‚}ŮT‡@Í=“iBd ýi°(”˙ó ÄX ĘĆXJ„p@ôAZÍÚIKKĽ˘–żë,Ëľ‘H~ZĎĄ3Ž.NşĆľhě˙ó"Ä[ Pú˝¸IDpę´¤_ńoôŐľJ˘•Ţľţ%km—"@„Ŕ.™€â˓9şEy˛ě˙ó Ä]‘2ˆĆ^qÉŮGş~TI'֒Š[ú cëQ0 ăFͤ`Ą*n‘č˙ó Ä9 úĘX2„p #[_b 2˛gş8ţT€‘9AŔĘ"é.]ĐËĆŞËk@ˆ˙ó Ä; ÎľIFpFXîäŢ"6s¨%ë€ °Ăr€öł\Đę炬‰ZÇ.§”!d˙ó"Ä= 8ž¨dL_ć1śű9_ţt Îą` űCd¤O P‚ŇDk9í6Ę1ߪ˙ó Ä@ úšz„p˙Áťź5đ&âЧ#ş:lŒ`>őŚüíüťŞöÜÁ„˙ó ÄBĘ°ČyÄpľOŽ°ç~ „gˇŮÔĺ ‚O‡×vŠĄ$ś#˙˜XűPěDŮ@˙ó ÄFń.”(~–qĂ&„ŞÚĹHňGwe=Ξ"[ţsűi0AvFýE3ş„4 áôĆ˙ó"Ä- XúĘXB„p_úŸ`LňĐZjKŃˆ3˙`Jýľ0!ƒ˙?ÄA`@(ƒŒŠŐ7ń—˙ó Ä/ ö˝KDp@G˜ ËAş‹Â6yßűĺóŽ˙č%˙ŹY@ 4˘Ŕ2’ň!svó˙ó Ä1 .ľPKJq‚á™Fú–¤?ô˙Ճ“ţěç5˙Hƒ uQí>'j–öH…˙ó Ä3Š"Á¸[DpdŇ˝ +ęjGˇpƒżëgź÷Ź á2]óP9@JovÜ8ёgo˙ó"Ä7 8ƨP|Jp*>Jr7taeyýjÎţü€‹łĂ@&O(ĎFJâ€-ÍŇDƒ^Ľ¤˙ó Ä: ö͸2„poůDšZ#/ ˙!çt…üX qM€âƒ—Ÿ +gâŔšäGšÎv˘+ô,˙ó Ä<đĘ°(d†p“+ą üJ~ §ŠŕG\rÎ>^q˜ň˘Ę +UNđooZϝ + +Nœœ˙ó Ä?ŕęŇX2„p9šĺT1›Šż¨Xy>„``–=@ŕS+~Xn˘Šôiú{lÉJ˙ó"ÄB +€Ş¨{ÚL0ßP|ŁĘ”Řý@‰ :€ŕJ[ě[%=Ž†:bŸă§šCť˙ó Ä@ŘĆŇX0„poĄĚëL0ž€€ xBCá…Gbw°Ź@qľ@˙1łB­˙ů˙ó ÄC ! +ÎX1Äp^ÝG:Ďe‚  bŃ5„0ŤęЁ6.lď2Ŕ‚oĐzŮÝŹ˙§đz˙ó ÄE žYÎp›‡>ą(BŁ˜;EDŠ Ŕ؝\Hvn2Űę'.w 3}Ă˙ üŽ˙ó"ÄG ) +ľpb„p€7ť~fk@ŃjVž§ŸçFćxţ +żKŞÔ˘úŔ˙ó ÄJĄ +ľhJ„r đĘđܸΜF&Łž‡€Ă? %‘ă?ŕŰóťúÇâ0‚E[ă˙ó ÄN ąpKDpŻn™ ć?†iî."§(żÔ„lşÁˇĺÖ ?†€ + +€’˙ó ÄPá +ľIÄp’{ŤĹÁźÚ%†ĺĘ› >ţTůš_îżŇCĄx + + KŔ°Ü˙ó ÄS  +ŹĐz„p›n)"Ť‚ťęÍř#YŠÄWüżÁtę#ŃŸ‚`>‚z‡ż¤ďu[˙ó"ÄU IžXYÄpÉvŒ`-ş-ÔMFżă\şGüöYńĂTmOŒ´ÜÓĽŘÍU˘ë˙ó ÄWáJĆXh„q}W.&7˙TĽńíż(ĺ:u!Ҁ %FĆqq&"’K€ˆy˙ó ÄZ¨Ę¤(ĂDp^Ł7ü3˜Źčýß÷öČ+ÖÁ0P“ű°Œ-ĘKPđç]ő˙ó Ä^ů +¤zÎp~‡˘Ô;~úތ°Äé€48ÉÄ­Ť}SŹů´ž2nڔ8_˙ó"Äa 9ŹđkJpôŢB­A˙ý_ô–ěJ +ęţ—ĺóŰÓ(aĘlÜďćŠbßkÜ˙ó Äd  +ą˜z„pŽfýGӂ‰@Ŕ@ŕ'HËăđ5Ł‘eú­řň\Ĺe_ě˙Ĺ~˙ó Äg  +ą˜kDpƒ}gäŔI‹€ťjƒ;ƗbŐ—3NŕĚ}uio歟‘˙Oţîҋ˙ó Äj  +ŇxIÄp~$äŔŔ`S<›fŤ"‰8ůĐ fł_ –řNoá˙‚/)ÉđŔ˙ó"ÄlŃ*ľ¸iÄp€ ˘îÔ˙¨ róŤKxDYKŠÜ0ˇ +ŒÎWâ„,űĚQ;ÉńĚ6B˙ó Äp  +Šx{DpŔłGÇ~á([ÁQžŤęҍ;ěsâwáL"ô¨§€A‰¤˙ó Är¨ęĄXb„p>ł€ĂU•GaqJŒńŽ§PI˙ĄÂLóň›_‰˙‰łu€%üâő÷ů˙ó Äv芜Č~LŔSfŚł)ĽÜ–ó˘ |5w›Űů&ŚţĎĎ@ž@ęCŠA‹Ěż;ý˙ó"Äy HęĘX0Špţ\ć€ŐVëź}4/ł=[:$DœŃ|;•jş‰´ZœÇ<ę0 Ł•J˙ó Ä{ IĽPjĘp>P5+ÔN1ĐT1ţŁFčŔ( 4‚ +ŽĄÓ @ĽÓs%ô1˙ó Ä| a”Ćrƒ›žŕRŐúż•żQwäŔ„&$œ T;mhŔő5ŇL¤Ĺ2˙˙ó Äq Á&”~TpŻýF˙ýEyGę€Â.Eĺ|jeőÖRGšĐ/9¨řĐßč˙ó"Äe Q*­H< +p$˙ }¸‘š@€DƒŔěę­Fb… +Ůłs÷-ר˙&˙Ąň>˙ó ÄgÁžP<„p%v(f wꃰœ4şő’bš~ygDţţń8O×ĹZ|›ţ§żň]˙ó ÄkŃľPSJp €bŠ?Â퟊ŻVt  + +ŻÓ˘C"úřGýżÇâ€Ŕ +˙ó ÄnšľPTŽr‚3ŤČ 23ľsR°[ÚG†+KĽ¨EŮőž‚ćţ=ĺzŔ€ƒTś˙ó"Är &­H\p@J3t/› áWKň@eőç[N˘ÍżV_Ëţ,;‰ŔĽ†“€Eż@+ţ˙ó ăqĽ€kNpGü}ż°ĂýG–€€$päÁ Ssbh*ˇŽą´˙¨ĎńńŻü˙ó ĈŮ*œ {TqÖÔƒPăýžCóĐűÓ$Œ4™#̜¨`§•˙Cő˙ó"ċ &ŠđjŽpů_HŔBG\FĆžÄ,SćˇQt€‚ńˇ˘ÉŒĎó+ú‚ćˇŰü‹´˙ó ρ&ŠXjŽp€=E>úřcFÝőи`çHASţP5oНżA‰oÔňÎˀ ˙ó ēÁ +Šřl +pdž'łˆ`ňîm7RŇ']ÂĎ^Łúô ˙_÷ĺzŔŔ!<ş˙ó ė阠{NpÚńě@Dœnö,p ˘÷ré¨RmÔń?ŕü˜€(ěŽúR4BÁ›U(˙ó"Ě I&™H|ptg4zˆ:Ťú DlďĐ!ĚŁ]>{­ü>ŔşK?¸JăĘT˙ó ĜÉ™Hz”rŕd=ÔQŠU3řZa4řOŚ;Éć@€g +bшăó-€âh3˙ó ğ‘đcJp-ĐOŰ'~Śř<^íćo>3ČäŔŔ°‘ŽO¨“Ź"ZZf žýdÇ˙ó ÄŁ)™€b„p§E/żÂmˇÁýs<Č-ň@3gŽáw8•.Ŕ¸ËÚbĐ+dU¨ye˙ó"ÄŠř˛˜ČcĘL*˘[ĐĎáqůš(4Âă)Djt$*ę!Vvł/żß6˙ó Ä° ˛8Z„p˙“äü€đĹđ9žĘCš'ÜR‹ĺbvţ†ůA4f"˙÷ú}˙ó IJ .ŠXkDpßÔp  Ť˝e€‰§Zš˜…Zd€\Ÿ¨żRu…řl¸.{'ˇ#˙ó"Ä´ @â |Ęp–€ż"™8ČÄ ‚v–ÄAyşĘŞ4Ź’ř +ńŻëöűňˇ‰6$^˙ó ġń>ľ˜SDq€éâQ,xŹ˛*YHłńz=˜[¸UřÂŤ3ŮяЀF_Ç˙ó ÄşÉB¤{DqPPX#Úĺ‚cĺ +ĎăŘů6ŽĚü”â[[ę¤90Ćj‹˙ó Ä˝ĄB˝¸[DqˆąpT‚M…!ڣυř˙Íű×úőŔ(SY íA˙ó"ÄÁ @Ę­˜{DpđZ{J/ä–Ő`3ůöËőú=uú|c€€+…qŻĎFX šn]M˙ó ÄÄÁ*¨kJpšˆŠ?:…z‡´ üiŸňŠŢ@€š@ÝľúJ-ŸŚî)ŔEĹÂ˙ó ÄČř˛ TÔLwÇ2z’üá挭ëńb—b`Ŕ`Ö?Žf‚V NÔGă8c˙ó ÄË ţ ¸lpœßŕ? Ž +žýJŇ<ę6H€<)_χ9WQ¨Q‚Še!Dn˜ôę1˙ó ÄÎɲ8z„pŹÓŕ_GöřM:ťhj@€”ĄÄýš +Hęƒd@nƒŕ÷Ô1üí˙ó"ÄŃ BĄXLŠq“7ĚŢ +Ń#ô€P‰ŔoUGÂyĄl ˜G6ůmŠč`̧E_Ż˙ó ÄÔ ޘĐ|ŽpďčţßA@tŮáÜ÷ ˛a$é$,Ƣ}K,<ŢŁ?BüŘGöř˙ó ÄÖ úĄxzŠpgӇ@€Jŕ)Źo‘łړ#`Ҋ0žmĄMfţ LĎëó-Ú˙ó ÄŘń*XL„pƒę¨QźË [ďŕ(Ř)ľ?U›5 ÷Yţ‘jŁđO‰˛;"|O˙ó"ÄŰĐޘ¸|Dpâo.1÷R°€+^ĹÁĐ99Đ)5gHoÖa[fďÔ_ůu2đňč˙ó Ä߈úpyÄrýbő2œo\Í„˛O˘‚ŇdÍ /˘ôë&uAş)]B]>Ś˙ó Äă B˘X[Dq˜Gú1 @€­S|Ę&Żc“Ÿ- +´ői”ˆóĆmX˙ň?†ŢÁ_Ęü˙ó Äĺ úˆ°lÄpćer@†( ĆúŮčQ˘Ł *ZÜá•Ô;ÝHäOöƒřMY˙ó"Äç +AF•¸z„qSŞ^   +*m-‡ “X+ˇ›!ě@őo˜ü#çpÍSÝżŇ˙ó Äć áŒX|Ęp8Ś`R €P@RżŔşgđ9šłHŸr2‰ťdW¤’š2ˇ§*UË˙ó Äĺ .˜SDpľĺoúT + ‡šE"Ő Ą ]iŠNŐ¨u­ôY +Ú˛z~š•˙ó Äç ِř{DqsőŠ›|p€d)_•D]ףNŔë:'Œ­Ö×Ď_‘5Ž¸36eŽ˙ó"Äć Řú„°ÄÄp&vʁĐ0†ĐEą•ó••´¸ÜῘYź˝¸sčĹýßýĹ22Ç˙ó Äć pƌđ{DpseeâĹĐ ~ő”ă{>Ś-ܐŕŰŃËyo€>PŞčŠÇ_ü+ź˙ó Äç +öžřSDpĎő0Ŕ€%sm`čeŞĹW"Ń̂3/XÚڣiŽ \ ŹňĚ˙ó Äć ¸öŚXJ„qk¨ů˘Ŕ…Ţďě +N‹­ôwÔáń\ě¨ů÷ôęL´üM_ľ°m7˙ó"Äć ŕöŒ8kDqŐJŕ€ ŔÔŃţ 3ľ gw!๢gŁí +Ůő/ş‡vŁ/ăx°`˙ó Äć `ʌđ{DpXéůA€ĐËíë¤EDU +m‘°ŁâHVŔÚďgÁ7đĚďďZ8˙ó Äç Ů.¸YÄp]‘ţE +Jf'Vf(Şa^˝ŔšĂY\ Ř—Ž3=^§ŻÍ8„r˙ó Äć ™*Ą¸aÄqA'éđý¤J¨€ÔŁœ`viúJŠšœďmHWŃń. vœGţÂ˙ó"Äć +*ˆč{DqœRߌ€‰`‡†]ţ* q +)ˆ+„ +ƒOĆT3ĺ5‡šQŮżôAH_˙ó ÄĺŮ.•paÄqxeľůN€,úŹFʂdŃŒe5>8Ô9ý +sńDo G˙ÜĎRŃp˙ó Äč +(ʍzÄpbNˆ˛Ĺdč7+†FŹŢx+rń]¨Żó f>a˙( uýš˙ó Äć ÁŒ°yDqŒŒżë €F-•Œ…ćĹB +2‰Ş(DžĎ˙ŃöĎÁ'Á?éö˙ó"Äć + &PyÄq!Ŕ>św„ŇĐZkqÚ8Ń LeóŸÇć‹.‘„ŤŽ0°´wŰĽ˙ó Äĺ úŚXH„qCž4ś˛`Ŕ‘A‡Ě ž@@Á%˘œcQ߃qę“ äĆ7Ú,ěč˙ó Äç ů"™°H„qüPŔ‚Ďĺß\ŸOBPž\Â.šőv]蚯׵5Cs6Ş˙*Gʢ˙ó Äć A"”ČYÄrHEÚĚ’AK + +7Ľ”%Œ—ŮwD +Š$mĎR‰Ť`‰ő˙˙ó"Äč +‰"’bŽpř!MŠ¨ €E°ý'ÂuHŹĚh%˜ý_ŐÔNVÔ˙B˝˙čę@/"˙ó Äĺń"‘HZqW˜ǀ€DŽ1üš|3œj1*k8é¸çŐFĂ~S}Ěew˙Ńl˙ó Äč +Y"ˆ bŠpW0gšWóׄ}ľą!üŻ/ő˙ó Äĺ ž0z„pŘYÝô” +é(،şzr´dٔ&Gąšg덃éŚz[—WŞ0V3{˙ó Äç + :ŚXIDq+#‡ ŽĐЍ5?8ąľ˛ĄgqöŽŻ•ćł9™›Ť'Ş˙ó Äĺń”ČyÄp3ĂţśR `đ^ vŚĽĂĂ#P3öłĐŐ;ţrAşŁtT]˙ó"Äč +I>–Jq˙ş4 €ĐĐí\Íö˜ +ƒťĹëS76řöňÚhú™ö˛ Ä3z}˙ó Äć Ů:•ˆZqcÚ˙ű +€vžpŹ†çšürW÷+R_|“pTŁ0é}Bad™˙ó Äĺ 6˘01Dq¤­ó}ćËú¤†ő‚NrU8TioQ8¸Ü}ÚćE;ý›ÔHĎ?˙ó Äč +9>Ş8IDqÔçrŒ(äÂ`Q|ꘃ1C´QíNolăÓ8oҎę˙oR\˙ó"Äć +!>šIÄqéňŠîŁ€H •ý÷‰ŽŇŚŇá;%xQ˙żbčó~ę‰ű}E˝˙ó Äĺ >Ľ8„q12@Ź@D…óp° +(‚‰“ÁA†ϡń“ŁÔżž…$˙o†2˙Ňç˙ó Äç + :ž8BĘqŠ€ő@;?rq—$ô_ô  0äá7#2>KęNşT†'˙œJ˙˙ó Äĺ ž”;ÄLČÇ(1ŠŘű0SÉŠr@yU°¨˙őö@őężčxrz}(˙ó"Äë )>‘đ{JqĚWýĺPˆ‘´şDR%h6đ.<ƒFA0ťÎěűţőŞ•šv4˙ó Äć É:˘8Z„q1żĚ äŔ¤ +œF†e*‚†DqMt‘ąOŤJC˙Ů ˙ó ÄĺŃĄJÄpî€ć†‚iłß¤Ž;’@{ďsÔc˘!ŰFú4X€Mí˙!˙ó Äč ‰>™ř2„q?˝@őđčŸ9‚ƒź†#aCFĘţţaێůXÔ?˙ŁÄW˙ó Äč +A>ŚXaDq"ěŢą Áłď:0K.0‹čţÚF6#ôFQgöý]˙ó"Äć á:đzqFřŇ}<č@‡ôöŽ„N˘ćƒ\_Ěf›ó[OÖŹĄ!˙Đs˙ó Äć Ń:™čaÄp~ZLĘ$ŔĐ p“*ПŤĘ˙ó Äç ů*ž0aJqŢp\-ŔÔH Dl*8H-đqJő×áÓéÓęć?f˙žţą~˙ó Äć ŮJ˘XIĘqۀ€.UňĆÄY8#Oa1˜Cß+~ď˜“˙‰ˆsż)Ƹ˙˙ó Äĺ ! +Ą:Äpô]t€áĄ +M5dBătŃĂĄĹG +RĄŮGsęÁe¸Ąß͚¨! +ú˙ó"Äç +JŚđzq—NÍż`Ŕ7Äcˆ€@Ŕ­B#+i^ę>j5#79ˇ™uoÝ +gř˙ó Äç Ů™YÄqR‘ ¤"¤ůH?OČŘĎS–ßţő;°Ťű˝œˇęŚ˙ó Äć á*ŞXIDqşžš†1°ŕ + ˛Ě]ޖf‰pú18Ťšš÷ňżœîŻ;đľ~ÝĘúě˙ó Äĺ™.Ą)Dq"AŔÔĐ"?fĘđřؙœd¤Î4RCúlęŘäô•¤n§Ç˙ó"Äé + ‘HJĘqĆáœPŔ,›%ä¸|qeÉÎĂjŢęäżöxKˇŤldw ž +uŚ(ˆ˙ó Äč +Y&•hIDqO)Ę6Ş@ë!†f#ÁÓDż†“9śŤ~˙ůR\8§ŮtŒFĺ˙ó ÄĺÉ&”Ŕ2qô ,”§fЎhŮ6†–UÓRęůG|i×ŕzSĘ +8đŽř0cj˙ó Äč +a*•pJJqđ芰QÁgY˛&ŕ 6iÜŰť˛ł5R{Á”6•ŞŹ/ş@˙ó"ÄĺŮ*Ą1ÄqLbH6€C7†Aâ…Y8Ë Łśđ|^Üe˙ó^Œx-˙Îýđý’RÎ˙ó Äé +hć•PIĘq† aŕ šĄ рcL"žkţ6˛{ü_†­Ę󚊣&ł?ˇyƒ˙ó Äć Ж”ČKLŤÂd! ĄYŢOšÚҚĹĹś4kéýž2ÉŘĆB;g§Ô=÷˙ó ÄĺČƔJDpg3â•ŔQ%OBqŔÖľĺŠAƒ‡*Ô1Ôö“Ű@ët/˝őŠŚ‰˙ó"Äč + †”ČcĚHůÝčTbČ<҃f˘%•Œ/›ÔFüE¨í{NĄ *ÎŃśš˙ó Äç  š˜0JDL„¨°FŰsNeÎ V–BƒˇŠ ňďgŚ”Â"ş6]R_úQ_)˙ó Äç 0b˜(BP+ŐBŠ˜H„qR¤Y}Ę)ŚČç ¤!3ĂŇbr) [ĐZŞ­-S‚éÉف'˙ó Äč +96­¸IDqÓľ:ˇlS?6'@jGƃž|ŘęWRH%3ŹŰ^űȆ/ëG# ˙ó"Äć Čâ”bp/Úόe*q¸ Ŕ˙ҁ(žfAŘŃhĐťP9­ťőjMúS¨N˙ó Äć ŘŢ đbÄpŁn(BĂ­#ŁˆÇ‘8™Đ9ÜçćŁÁwÎĆ%K 'üűę+Ó˙ó Äĺ¨â˜zÄp×Q…ČjI^Ú´ťˆşUĺbˆŇm2ę KŤŇ¸ŘŐ@Ň$°Běú˙ó Äé +€â˜(|DpĆbˇţřLP”oóvšśƒ÷š ËÍ­ÖQżyŽŹÄ: ţü‡~˙ó"Äć ń P{DqótœCělŕČ8eËĺĘQrXź–5:50ľÔĽĽ8^Ôč~Á÷ę?˜D˙ó Äć ٨PKJp9÷ (Pœw9Áh•ĂÓj ×é-dj•žĆoČý\Kzh˙ó Äĺé­˜KDq”(ĐS0RôűŠ¨ÖËŚŤĚ^‹Kł{űn—pÎďŤ÷cŮďćÚ˙ó Äč +A xZÄp†ů ź\!2NJP1XŇRGPÉ[éhFhűwZ69Ů'sÍű~3w˙ó"Äć ů” |„s_¸ˇ?€$R„…Ł—’ !ýźÁ*ťř‰lcÔý‹xś=—Ôg˙ó Äć ٤xz„q=—” rĚTŠgLEmůÓPLl´ç]^­ś|×Ä&‹řos}_ňČ˙ó Äĺ ć˜{Jp5é‰BŘs4Ž{•é匌¸Ę + Ot”ľžŠ×JśMßń6 :_˙ó Äç ů¤x{DpĽáހ Ë a2%kŇ rĤgD „™ű҃0ôăđM…iü>ř,˙ó"Äć đć”({Äpňr` V0%KsP2Qœœ•Ő,ĹĽ3ÍłrƒmQţźŚče˙ó Äć Ůą¸YÄqtQźoBđŰXy§„mäú•Âč éť=ŤZĺ-&ęçl;~ źž +F˙ó Äĺ žŠ°bÄLĺIŔ2Úč_+ş7,¸!D`ę‹u¨ÇźÚ ×çn„fWčÇśŸt˙ó Äč +I*œXzÄq׌†:°!ˆŕń`çĘ ç! +ƒĄüfĄźů‹1- ŤŽŠ\Łęm ^˙ó Äĺ Ć p{Dp(ŁK +€5Pœ`ąóű¸~ŕč)–}tŞ4ş:ć +—CżáŽĹ{˙ó"Äç +0ŞœXzDL XŕĘ5Gż +Â# Y~"îÝÚě€˜x ^ń×˝ú*^‚ż3;ŕň˙ó Äć É +­¸YÄq"—Łßů€öÚ ‚.xé‰â`Á4>˙/ńOOV~ŻŤţĽżIč ˙ó Äĺ ö˜°{Dp;V o Č5;m>  {ÂFVxxž´™Źujz§Ű3Ň˙‚űWi Š˙ó Äç +!&zÄpkđđYżúPf <„KÇ)OŚÇÎތŁ6O§\6ę˙oůŰđÍéÚ˙ó"Äĺ Hސ0zÄLŮ™0N@ Rä!ąȕ^š.I'˘\]ęăą_›;B/ó˛ţű˙ó Äç ČƐ({Dr¸ďşs€ -čH8ćΐżEBum[ęnjţW Ć†xcÔPÇV˙ó Äć ČâœPz„q.z¨AF‘bę]°=qöÓ5sŸ6˙@"ͤŃP%%˙ó Äĺ ú­˜JpÔc? <2+kԓ.ŕUiŹ@Ú´ÖęčŤňíFdâ˙§ą‡˙ó"Äč +xƘP{Dqaí`P  +Á*e5  fŒ­â~tóůĆŔ•üŠ?ţ˘Ć”l°˙ó Äć š&œŘzÄq$ęĂŕ čXŔr84m1Ë:Ób•RfĄ6Ű­-mĚV¤M/ő˙ó Äć É*œř{DqËlUŐŸŰ‚s‘•´0 í_ëľüiýJÖ)|{ŹéÍë0˙ó Äĺxš­˜JĘL!~l6p@Ť@8-ĚîI˛€95zMëKÜçú‘ó2W–Œß˘˙ó"Äę +y* řb„p**ľ0§Ř`IÚƒ%ÍPĺIŕ[?.”Ó\י7ŘŮ°O•ż3˘ýo˙ó Äč ™˜zĘpƒ~%kĹ@d“(Ĺu݀ř,rBX,— §?VF¸fĹ +üGůj ˙ó Äč Ů +ĄIÄpp(ž%n°Đ Œ4zŽSÔĺBŔáó!ʤ*lľ˙&Şí…o¤o”"˙ó Äç + + pKJp(ü"@"(p02S$­˘’`ĽŔu6!ÔhKç +‡ëŔv/Đwűjz˙ó"Äć xŚœPKÎLźě$ϐ/źëŹÉ—náçšáŤ<`űżŹT ň?ô +ŠŢÚ'˙ó Äč +)&Ľ¸JÄqÉő€Pźł‹eÄS°Ű ’ŠLŕY¨ŮG[I˙!ľ }I~čţŤÎy˙ó Äć ÁĽ˜KDpëń×#‰@âƒŤŕ8x÷ÝÁŁvÎ… kň(ýúý˙čxĐľ˙ó Äć ŕţ XYÄp¨çäd@™ÎąmĚÜ(ÜLŁuČZŤFů|Ěˆőä_Îö˙†˙ó"ÄĺřĘĽKDpXX!¨€Ŕ UţÓ7“h—\k(đßQÎ4oX?Ťá'üƒ˙ů˙ó Äé +.ĄYĘpőK|I€€çƒ?śŔ0ŒYŔ~ƗźŢyžąëjř:[ˆąéî˙ó Äć  Pz„p؃eŔ —ŁűÂŹkPÂ%0j\üŸ™ęŕšťáÔL`oŇŰ˙ó Äč +Q&˜8{Nph+ń0‡  ËvýŢ]pVIEľňéXšŽĂË?Pş~îŃŁŮV˙ó"Äĺ *ľ¸HŠpÖöô€ŔJ9ď¨đđيP\@˜3GŰŁdU§Î9ôý0jíŰmV8k˙ó Äč +(ú˘zÄp˙śČřő¤WkĄŢ1˝A’e€t +XľÎ4d­JásĂMœß\€0ş˙ó Äć ŃJĽ˜yĘqőćH„{{AłÇfX› áj,Ý5şeŢStړúíéő%Ĺ˙ó Äĺ ­°2Špłż„,9 ‚ş\dŞ‚fS°pgxsřědÂǓ˙P–˙ó"Äç +I"Ą˜yĘpuŇĹa𬀠Axcô|냔˜ćĄiuţĘŠCc\ÝżĐď‡ÜX˙ó ÄĺČžœČ{ +LâĂŔ€ÔÝÝÝ ŔŒ\HDh„[ ĐM˝ąĹžÓ2—Î˙@ĺżů˙ó Äč +I +Ľ˜J +qP›ßž Œ 0SůŁé4 z Cűžiö˜3ŕzr¨mżű\Ă˙ó Äĺ ˜{Lýs(kđ‚Î_ŠœąHT$Ŕź:Vecęł|aĚŰ˙ĂVˇßé˙ó"Äç ů +Ľ˜JÄqťýˀ Â@ť1­@$aińăđm[›ĆkŸ^ $)}­çǁÚ#äÜ˙ó Äç ŕÂĄpK +LâA‚`ˆ+ +,kÚ^Ń%qhƒB-‡Í—÷źă–}ßřĐ +‹Ńż˙ó Äć Xžś8IŠLş ꎬ€  +A'{:J)ŽČŔŁ—mçöţ”AąýĄŒŻí˙ó Äç đúĽxbÎpúb'ăĆ@€’űźd~0qpň"_}YŰ7ëAu˙ĄÁ~˙ó"Äć ń6Ž8JqŽ‡Q`‘€ ¨Ŕžş€ď,evŕYnůq†ŒżĽ˝ űâŞ˙ţË˙ó Äć I:ĄPJq/é¨ŕŁČđŇ=ď3L¨Läƒ(:žüFPNÎżéDoňWôýn˙ó Äç ŕP3L˘ôş€Ć^šMnä É|WóüˇĘœŐ}^śŤ˙ő˙ó Äć Á:ĽđJJqŹGPD̂@’M~C¸žMP`ëœY˘'öÖäĺd ĐÖW˙˙ó"Äć + +Ş8IŠpüĹô îd  ’[řKŘ," a…DH}U˙Ěń¸ˆřĆ`ďţ_˙ó ÄĺŮ:ŽIDqôq˙A´¤0 §ćľƒëžƒM”LGp÷ň;ű9° 6RŐ˙ţŠO˙ó Äč +Q:Ş8zpČ4ŔŔ–˙ k–•őĐúW)XűęŻ~ŞŐ¤ŕ|oćH×ë˙H˙ó Äĺ ! +ŠY„p/Q2ŽXČ J +‰€˛ĐôÔ2iR!v°_=ńŤĐ)ń?PF ˙˙ ˙ó"Äç ń +ŞX2pÜ3Â? Ě 0pÜôƒ@´ĘH6R s~uÄŠß6{ŐU˙ţ?˙ó Äç ů*˛XIJqô|RÄ Ĺ(J”߁"‘é +,x›×:6œ[[÷ˆT˙dv +˙ó Äć É.Ş0zqJţŞ ˙Ę‹Gx਒LTŹpĄ/ŚUü𣅎Йţˆ‚ńú˙ó Äĺé*˛8ZpîýÄ †)Ŕqo9fŒ†F!Źß˛vFĄżŹÖŠë(ŞóŠÂ˙ó Äč +)PzĘpÖcńŔo—ŕ@ đڼڃ“łŕ°ó Řđ“soÍě5tp˘/FŤc|˙ó"Äć á.śXIDq4°ZŠ ¨10˜’đőŁ< +Ž&Ŕá#Í~š°Wß +FŁčďmQ˙ó Äć ŠJŽ82q¸•aß°Ŕ°–ŽÝÖŁƒ-ؐ#{/˙ďçpż]ü­^…ľé˙ó Äć ŮJŠ˜1Dq8ś-ůpˆ€1(!ƒôu†)ęś1ĹÄÎ;+˙S +pƒˇš˘˜ď_”ů˙ó ÄĺŘΘZĘpÄ4ńĐ3vą¤P=€řPŮA@áSú¸ŁE +9ęßćâŰFbHWĐ˙˙ó"Äč + +śX1„qQ.ŽŁ¤(ŕ@ÁÖŔ:ĂćQ’éů°šŠ@}ĆvşFđj”×cˇUv˙ó Äć ˜ĘŠ1ĘpđÝőńýIŐŔˆŘ ˆ>Łč ˝*ĘCÇ>xDľqďO ;¸HˇDÚ˙ó Äć Ą6Ž81Dqܡ]<  hsÔMEMŇçáá­Jí–ňßá}ÂlţŚb°Ö˘˙ó Äć  ÎĄJNpĄŸĚێŚ€9ĐřIIŘ:A11䎚4–'ŘÓŹŸwÎÚşżĄ]Ţ +Ď˙ó"Äć ů.Ž8IJq"˙Ü°›Ŕz[Íd¨ +80Ú "¸,g__:p‡´KĆŻaţ‰Łj˙ó Äć ŔţžXH„pyTĎˀz*o$Ň$/hđjŻ•çjá°8ˆő0=Ý~ÇŕUĎheąŚ(%ý¨˙ó Äç é.ŹXJqŻ ƒRŔP?Ÿ¤ŃłŚąâÁqČŰEJ–űĺĽGôۗô˙ó"Äć °– (KN‰ő˘€OŠPaCTŃKdnAc Ož÷ŤKçĄĆÔ˝ę꒮ÁË˙ó Äç Ŕ⹘1Ępěy=8 7p> Ÿçtę((ńŔjIŇÇř=by+_\÷xâ_ţŠ˙ó Äç + 0JĘpAśĆcЀÔ°SĆ…f‚@H0Dí2ót˙ýAąeDľh˙°É˙ó ÄĺđĘš¸1Dp§‹LȀˆ™@ęˆOʙ6šŇ†œ4^8†ňÎčĽ +ŠŸ€ôĆ_:e˙ó"Äč +‘6š¸aDqłˆ* ľÚ.XŰz‚rO/ű'ˆŰťpŘq~P9P˛˙ó Äĺ ú˝¸IDp +0Jŕ9†¤ăŻfÄrLąÔ'1/ńiÁśBkŃ9NÉ4Łzę˙ó Äç đş¨XbNLћwp? NUB ßD_şQ(íayɑ; ÓÇý[‘ĘŽŽT˙ó Äć ŕâ°ŘJqTv‰FDĄňI_iú™¨zäŢm@ȸűfŚ3PŻInŐĽ‘ú˙ó"Äĺ HâŠpI„pě‚fűu€? )Œ”nńuőâC…˘ňŇÓh^ +ď„fQ÷ń[­”~˜+˙ó Äç + ŽŠxJDLŒžíÔ`!SÔtI#ë=3 +E†NpD$C1łkĽ‚jŠOfś›ľř˙ó Äĺřšľ˜I„L=Ľ@Cě daŠŇ>ÁCŰrƒÔ-%¤—GFwVœżÁżŁíR˙ó Äč +0ţŹŘJqP ߋET Ăë.ToMeƒc˜2ë*w‹|rz—‚n˜1Ó:˙ó"Äć đʤPbp8FđŢ8I6ŒB+Đ冹ńYކ’žřłˇ˙9űŽsćĐžrwu˙ó Äć ˆÚ˜zDpcÁSÉ`!Dl +eE +LáĚUäÄ"đÉѢcŽĂnČ* jŰţěˆó:˙ó Äć ČƨPbÄp Ó¨ý 4†ş`:A˜+}ď]Áa!šthţĽň>çh‹QŘ澅™k˙ó Äĺ +ľIDpXżü°˜ !Ř ŔJ˘ďÔDú‰œg:•ËnOPŰłA“ó˙úŕ˙ó"Äç + +­b„qĂŕ_`ŔHœ-€ˇx’ůƑ0D2ÝDüň:ÍjŁ~5}ŢôŘ˙ó Äć pćŹxZprt¸ƒŔ@Ą4ŘpvŰ¤Őe, +Ÿ]ýJW˝ß÷ÁrœŒ˝ęýԜř˙ó Äç Á°xZĆp6ŕ@ŕH86ń›¨Ţpţl °†ß$ßć]?Ţ&ٟňˇöeRh˙ó Äç Ů +­YÄp +ë‚AL2€`ŽNÁ`â1ćŠöZŇp¨ńŠgyîmŒs?óa_Wü1˙ó"Äć 龸IĆpżđڂ`áŘVŤŃĘÇYTEś@€D`\CNóťc},Žň“ôíÍ˙ó Äć YąZÄqWs pŒŕaPÄÜšITcœPT +”;%~“ÇŒÝqřÂţˇLh˙ó Äç +&¨XaÄpoô}u48Ś­éesœ&n22#$$ŸÇlň_éüBKĹ˙?őn˙ó Äĺá +šJÄp\D7¤€TSŒUۡ$Úa_žQ€˛Â5*ţc™ŞtŰPLuĄż˙ó"Äč +Ą¤XbĘp•­˙č?Pŕ´ + +P÷㲠ó6 !ÇĎBÚÜÁ ?ŻYĚłZŚ˝h,Ž˙ó Äĺ  +ŹřJÄp€„J•ĚłŸ%Ťĺă}z +Ź˝Ăž}HőÉŕÚž@Vř‚˙ó Äç á +˜0zpgݎpÖü@€qběľq Ž˘‰ŠÖ-—su /_ŞČ>‹í7űźĚ˙ó Äć ‰¤XZ +qęP!żŔ*pŇŔ84đ‡Ő!śsäÝ4~°ĎƒúŠ +éˇM˙ó"Äć q˜zĘpýÂ@ ç@8<ÝŔë“}7e3Ť%šÓşÁ[FżčSÄG]ĺ?Ť4ůˆp˙ó Äč +a +˜XzJpÍş0\‹€!:ŕr=Iw|tš,ƒŽiÍÁ°őEm[÷{y[8Ř˙ó Ä倖¤8bÄLwGđ ęŕ0ÁíGP•R„! +ËßĚĹŤčŘ>!ö­ű÷}Úů˙ó Äę Y.”8{ĘqDĂાemA +D„ŞŃ&?V°J”ęNťśŁéĽ˙ką ˆ!˙ó Äă .ąJ„q>áŔ9€#RŚ™Ś— ż Ŕ@Éę­Î˝!˜ԉڬŮ_Î_ÎÚ˙ó"Äĺ .ą¸J„q>Ťú @+ĚD.RY• ŢG‡(ÁĆăJ¨| ԗܶ[˙ó Äč +I2Š¸KNq˙§3ü›F +J¨˙~‹Ş`Č\SH>ľÓÔDöŐşŰ#fţĽýSŸ§˙ó Äĺ *ĽxKDqř`@$¤qZpDŔLŕé&kç=€h ýœÚ +Ž6%?anŸ3U˙ó Äç Ů2­¸B„p‡ŃýЂŔ1–iˇ??zĂČÁřÎ7ěŁč$V#×󟯚 ĎýĄ˙ó"Äć ‘2ŹxCJqÂHek>°° +ƒƒÍ™Y^vŃĐŠAKDv@ű|MôëýLwö|Ž3œż˙ó Äç ą.œ0cJqŠŔ€yŔ­V|Œt +ěZőÔR]şD#ôŕ˘ĂŚr ˙ďˇ˙ó Äç + 2 h[NqćR‡t€$—›Š÷rŁ •‚ŽělIҙpxM¸D†úžůŠoýjFţ˙ó ÄĺŠ.œd +qiŝP 4¸˙?(‹ŢÂ{tŇ;uÓÝjŞç +ďü^DÚjçý3˙ó"Äé +‘.˜čbÄq˙Ćčj@!AçĐXXŒ– @(j + YÇÍqgĐ +żˆüƒĎőţ/˙ó Äć ŕöĄpKDp†TRÂR#ůaŇđGE+őb"ë%žľ piŻFoœwôč|Â˙ó ÄĺŃ.ĽPIDq'ţL$'„ÓéNŢ+q$$ÔL9Š%ý Č&őQoŃ=K˙Ô`Ÿ˙ó Äč + .­Ř1Ęp¨ÍŸ€Ĺ°Č +˛–°ÖUC4˘ř$3ƒWZ•„”Ůç˙8úýť˙ó"Äć Š.˜cTr˝Ě(ţŇŕ$â<čěˆœXN|“­hÖŘćWý@q=]iţ´?˙šę˙ó Äç +.˜(cÔqŽd€ź- +|jű‚*`+WĘ)ăa˘ŞťPcüĂˡĄ‡¨óűöy˙ó Äć  +œĐZ„p@{™Ôŕ@$đUţPKÍ*,ŠďăĎć0)ý~fŸ˙Ć˙ó Äç ąĄPcTq/0‘Áßř€,łÄż(}%†–KŽ÷đƒaČ)ëYiÇ“íű˙ó"Äç Á*œzšr‹9ŮHÁŔ đŁ +úŁhźžH6PŔűNÇ×řš€oƝ‰-I˙ѕ$˙ó Äč +.ĽbÔqÚZ€Ŕ *†'אäŒ.RLÔYüwŕhH6™ň‹ŸX&˙ä5e˙ó Äć Q + bÚrůA¨‘úńŔŔkq +9b +6­ŠŰŒpFĐF§3š&J7˙ó Äç ů¤đzŽp˙Auőý0I€Ą‰RWŠĄj)XxčÓ?ůŁKůôŠŘ­7ýČ˙ó"Äć + &ś8IĘqˇüÜŔá +Ś…=ŏR$„9éu|ÇŤáĐyŚŸ8,ŤHž°#ĂZ˙ó Äĺé +¤ČZÄpÖĄ ŕ +śoÓu„ؐRač‚ŃÎ.–~ޚiŕłÔDďŃvŐV˙ó Äč +­Z +páé "€ŇP4č Ÿ´čŚX˘1|~Şuúü3 C#˙ŮâwőúÄ˙ó Äć Š.­˜AÄqD˙ŕ ˆ€‚é#úťÔ2‚BAÇam;PYÍŽ´ ţÇůú{~˙ó"Äć +ţŠ˜3JpFJď• đ„Ë@ÂĎţw@syˆ5!Ć2}1PnůčęöôúE˙ó Äĺ 6ĆXIDq2ďĐC€ ]öEB|( +F‘ĹbŇ=>mŔFëev˝•˙ŻÂ˝˙ó Äç hŽą˜)šLRją‚:čYţŚé‰JisO')_ˇ†ÍŻĺS‘ŮýŰÔPđs˙ó Äč +I>­˜3Dq”J’0¨0¨?1R%Ŕé…2„3ľÄďéޘ˜nGîěĺ1˙ő˙ó"Äĺ 9>ş82„q „â|<ŃX@˘ÓĽ%–BÂXIDq€˜¤€`€ďĹ%""ŕÁńˆĂoňŹĺ˛wÓ!€ 9­ZOß÷˙ó Äĺ úąj„pˆOlŞĐA@l¤ü埲ƒn˛¨ahß7_#ý „eëÔ*ąŸ˙ó˙ó"Äč đúş8IÄpň#AAĄř +pĆżŕ; Ť‰ĺORŰ?Ž$4ŕŻp0“č՝—˙ó Äč +A˛8BÄpp^@Č8`ľ \„‰NNzĹ,ßë_í{.L‹xVűëRţ +˙ó Äć á:şXINqľ[‚`Č…@hŁukíűpŠ@¤Šýąýfdúţ‹B˙ó Ä娎ÂX0ŽL{Vˆ| ŔʄHƒŔŠYčŤjKXć.„sÓHX3Żěę]Ď3˙ń˙ó"Äé +€šŚJVLâú¤ÉB…ŔbŽüž)ek +°şś†Ń[B‰;ć9Lßý”O˙ó Äç +! +śXJpbÍ Č,˙ö"YŰId0¸|LřĘýoĘ4":$„…ţfrT9˝{˙ó Äĺ𩵐1ĘL ˜úĎ갔@0čŰŅ†s†) p”T˘Űűu†ŕżŇ^~—ů^˙ó Äč áJŽ2Dq0?BÉAy˙ä ĽŒ +>W0Ř_Ő˛łďD’3‰ œɻ‹`žš˙ó"Äç +J˛XIDqÝžd”"D +d€ˆ+YBT†8X‚ŰDŇAŹĐd‹ęîkŠż˙‘˙ó Äć qşXIÎpšţ“e™ÎÔÜ=’EçH•—S`˙ˆÜ˙žp$캁`@QęłŃnŠ˙ó Äç é +şX1Dp÷ĄÜ$„1 ƒ¤ěi˜$`KĆĹa—ú…ěÂĘŐzš{őgœ˙ó Äć Á¤Č2pËRͨ–BD +d€‚|ƒ,QČ @ą„Qş˙V/oŤMItű˙ó"Äć +! +Ž81JpţŻŘţř°ĺJT5h2€Ô9ĆZř§˙őX s… ŻŸ˛;]Äş7˙ó Äĺ°˘ 2ÚL +béÚJŰďŔě" +'Š€Śç2„[Łˇďmz ‰!ŞŐR“Ő4˙ó Äé +.şř1Tq&­ …´Ŕ +đŚĚxňŞ˘ă!”‰dűâ3üŁ•iŞł…+r˛˙ó Äć xć ašpnË(ĹFˆ‹mâďM(˛ětqäź~śV’7šŽ˝Mů˙ó Äç ń.ś¸H”p§>šHtMŒZ Ď™40á!J}—ŰQĘ€# +9óSňǢ˙ó"Äć +žÂř0„MT“Q40š 2¨2…Á†”$碇›CcŢJM_úôŰÖđX:äO9Źáąj˙ó Äć @湐0ĘpŽ÷D@°X)°P\÷ĆP$nx8Cký!Ezz=œ6ÂşľX˝Ď˙ó Äč +9*ŇX0ŠqśŤä›`N=*;tĐ,aLM€çkü )Ś•orm„!}>ŠR˙ó Äć 0šąI”L{Ľę€ˆ0&[‹ĹíM舆I‰yPžpüđö +śżő/Rň˙ó"Äč +y2­˜INqĄ¤]‡ű‚" ÝŕI€Ă]ƒX˛ĹA”VŤÍlС§*BYç<'[Ź ˙ó Äć Ů.ľ˜0Šq <žPĐ°$Řś ’ŽK’Tîcg{HjůPGGţ?oőŁ™˙ó Äĺ ŚŹđA–Lšć$ŔŒ€7h°˜5ĚWŇA"?>•4ŒŻĘ’1‘ďő2+X˙ó Äç +ž8HĘq˙1*­Jˆ7  ˛@—va~]Ună +–ŐޏBĄyOU`˙ó"Äĺ âŹ(JpdII8EŹ˜ł@ăĺ]e "1œń¤źË}h>p+Sup‘önŸÎ"w˙ó Äé +2ś8Z +qŔZB€Ą–;ƒ°,D%žtFß܂ş•@Pj¤­ćä6–g˙ó Äć ¨žÂŘ1NLśhĐÁٔTęDó#qT͕0ЍŤÓ“(›l8ţőɤŸYP˙ó Äć Š2ąXZŽqî ˘â좉ľĂ‚6EfËjšYĘWřK—łŰ$ +Sś +ż– Kžé˙ó"Äć ůş81Äqý‡;ą@ ž”‘M˛đb˙ó Äć Ń.šIDpފ ŕA˜ĆdŽ,_kRŞ28]ÍŸěgL'œĎéžň*!ň˜Ü˙ó Äĺ ʤ{p=¸@“*T tŕƒTŰőV(Hę=$\°4b§‡áŐ*w˙Á˙ó"Äç +ú¨pZpŒăżÄ!4:jđä šéËčŔ*\pˇmٌ~ü÷oŔI}ŘÎĘĄZ˙ó Äć É.ą¸aDq ĘĆ Y-€éS ęnŇĎvŽ> +š#˙Ô;ľ[ň/×ŘÇz˙ó ÄĺˆĘ zDpŠ×”=ČčV0ӑ8á5jr¤íźČ_t s_lvĘ˙3˝z[W˙ó Äé +(ú˜{ÄpĐvZŰŘ >ĐăE$Ëă'Fâ˛Rţę IBýt?o¨ĐuD˙ó"Äç +@ú¤¸zDpĺášGžP œ0ܚĆ75ËX}S˜˙ó Äč +AcDqGŘ8$4|S1Ż`łŤIčJ*fŻč˙ö#ÜĚţ +˝„›ü€ľőW¸Ł˙ó"Äć +&œpb„pdŃńŚĂ +ŻcÍ +2ě›V‹ 6EŁRkoFůŽ˘ž¸kĐ;iůĂ˝ţL˙ó Äĺ Ę­˜J„q[OYÄl€piQçšÉ("\ÇōÄ>dóŁ-ĽÍi%ğOáäřÍ˙ó Äç ů.¤řIĘqBüŽŕŒ’˖“X.5‡Âę&;ńJäV7ŇӘVfüŻťp@˙ó Äć Ů.˜PzÄpŮ|če•Đ°ˆ2œÔŢڄ†AÂnf{|ŔwŽsKĐź‚?EęŻÁ˙ó Äĺé*­¸1ÄqŁşŹŠ€ Ŕ“BŠ‘XĘw¨ˆŽCŠĎCłţčŕ-•ŰęßNŞÍ)˙ó"Äč +*˜zJqů*8ł`ü€€HĹd•@tvŕĄAI,Đʌ>ç:Sœ˙¨řœíőI˙ó Äč +1*œPzÄq€”€ +K€Đ!¨… QşČ€Ě mś.ĘoU&­ű ň”Ęő+ԇ˙ó Äć y&œ°KDqŁč5 ”@49€Ŕ$$rƸj  iR#QO7•ÇôuęEíů{=^Ţ˙ó Äç +ţĄpbp˘ťíţ°€˝€Đö]w´¸¸<¸ç?™’őŚ}7ĺ÷t+ĺ˙ó"Äĺ 0úĽ3Dpj—a3Á@ˆ€)@˘.âĄĂ–0 €- +ć} olţ­őÔß^˙ó Äč +Y*˛XIÄquÁ8ÔE@bŹ°.v +4ŒDĽW篋ý)Hš!?WGížý˙ó ÄĺHʤČIÄrpÖ=™ŽŢ^ŹĺŸő€„!ČyÓő*Ýç:¨Mó ďÜĺ\}Am˙ó Äę +*śX1Dpפ€¨ŮŇW2~ˆƒ‘ôÖŁçä+Ś‰žwŘřgúŒ-5˙ó"Äç +A˛X1Äq•â áöB ÖsäncԒU=ŇŞˇ +ďľňăoLn۸‹'S3úo¨˙ó Äć ŮşXIÄp)…hÄ'@™hÜyĐŃŔʨ9 +lĎß/ä +ĽŢ˘LÚŽs†˙ó Äĺé*˛X1ÄqíÂëŔŠ,Ô˝Mz7ρհ˝[­b_˘+öirŽÄ˙ó Äč +a&Š¸2Ęp‘żx2>ô€|ű,˝ůLTœ>B@WËŠřąvz Đ#ŻRÎT#i¤˙ó"Äĺ°Ž˜{ĘLgJź°Đq  Ą/ÄtTKf(ą¨ń™šŐŞŠë^‰˙ŚçŃľ˙ó Äę +ŘʞKpŠq*ĂŔ?yŒďԎ€Ą\(Ž7 žuňŽßđńŠ3lęËŰVC?ԍš˙ó Äĺ ćœ{ÄpAž2€€ŚœĘ @Ž ń@ć>ežčÖĚvČŽýčęőĐ˙ó Äč +0úŞ0IDqÔ>ŸQ€ƒcÉćFĆ3Ž¤‰8ĚÚń÷ťHâŮŇwůœ¤Ž˙ó"Äć + &ÂXH„pwÂŕŔđfšŃS@Ű(PĐŔ RÜń¤TEğă~Ŕţ˘Üö˙ó Äĺč~ Čc +HČy Ą`̂Tśi€ŃHzžă07(—ßŮX‚‰dü3}ˆŢ#˙ó Äč +Xň­¸IĆp˙Ö=ě•Ô~V›NQüÔÜS-8ˇ“/Üî}*s˝0Ľü‹ł‚8R˙ó Äĺ ňœ({Äpw⚠`¨";ąAěąÜŃ $>ë­˙ˇś?ëŻč{­őš˙ó"Äç +Q&˜č3DqŒŸ‚:°TJđ¨ŃČ@ؙ 4q´G)tŹ˙Ľ›ŢíQjÂŘ˙ó Äĺ ˛˜¨KÄLÍ*(Ŕ¨sůď:`Ĺ \DŠŚăöÔç?ň—ív)ąTßţŹ˙ó Äç +*ĽbpŻĺŕŹŔTđ—eŃÜ7X(P÷Őć1Ď}Őź´;ţtŁ˙ó Äĺ *ÂX1Dp!ŢŻřĎ^ ŕ +ÂkOŞ{ٖücí OçŰC5ł>˙Er?Ô:L4Ë˙ó"Äç + úŠ˜2Äqľ4iĐ!@5r=IjŠĽĹŕHoM×Ęryź^íú_ôň¸C˙Ý˙ó Äć á˛X2ÄqáTp^u€ÄR•€?‰"ź*ŚČ0 Ŕ•3ę†/Đ*-ľżűĐľÚ ˙ó ÄĺřîĽpYÄp4“ć€Ě0$ČđmňöǖP8 â†fôa?œÇ_?Ź×3*)Iú´˙ó Äč řîĽXJ„q¨ŇÖ +ś„ kˆjř:äˆňŇ]"íiž‰Ÿ¨oĚ­ýUń˙˙ó"Äç +a˜ČKÄpá×懀‰€?řŹbx@^üP!|üŞävt—÷˙Ž‚śE˙s ˙ó ÄĺŕâĽPKDp{ćŁ ¸:]€Ýu~Â8lT×ߍ)˙BšČ¨I˙ĄY‡3łm˙ó Äč +a*ĄxJÄqœ"&đ¸đʍ ą“…VŔA9'Ľ 5¤r}÷Á™z”űn_fţ˙ó Äĺ ćśX@„p>QՀžPʌOCÜ`B"Ş-ĘľO*ăę꜀żňjů…˙ó"Äç ůF˛81DqŁ˝ÎŘg=ę,đf}`Úăł ¨ + œg‘Äţvuŕ‡#u÷o˛Ý˙ó Äç + *Ąř3DqâÁ)0ˆA3Át +0śZ`–|pĘž Ă#3đ•çŹÚƒŐśÝ€˙ó Äĺ &ś8ADqőĄČ B.F?8>ř1˜ŠƒśČѢË/|űč$ďŕÝÔE˙ó Äç +!:şXIÄpÇvĂ5€ ‘fţ@.TхŇę5YÔNWä—ČćT˘č˙ó"Äĺ KJp ›Ÿ€ŕd  +íĎžw'Ža…ĹÔÄżŰú‚ţŽÖžgZćěćý˙ó Äč +a&p9Äq¨‰ÄŔć`fƒg¨(ZV"ĺdóZ§”ót[ĺ4Šú(˙ó Äĺ ţĽ1Dpßüřj@Őá8E˘`uĄj¨#Uf*ŽľáN…@Ň§Ű‰o‹ƒ6ä`˙ó Äç +ú˘0IDpƒ0˛Ż@ü Zj݀ľ +<üĽÁh–Đă$F +éîlł3˘ą1˙ó"Äĺ HĘŽPIDp3¸¨Š +ąˆ˜„DŇĺ̟(„ŕ\~}ńŚ˘‰§VŘÁŮ˝DUţ—ţ˙ó Äç úŚ0aÄpBGŕ°ă÷NÎ$ş§uÍeä˘ ™ąłä˘“łrúĐ$ćďoAŒvÉ˙ó Äç ń"Ş8zqŁúĂ,ɀv+°ą–…¨%h—’{1żIć$ŞŸž"ŕ‘) +Ă<˙ó Äć ‘6Ž8iÄqä´şŔo ƒ§(ČüZ> Ť4á„ĘžüşĚdGËQ´-=ȕôO˙ó"Äć ¨’˜{ÎLĐĚě)bŔ`›đâľ-&Ą‰ěÓ™”gŁ^"uKpř8X(řˆ˙ó Äç +ŇÂX0†pĆĆ+ €l÷ÔWł˘Ucî˧DwUŹÖÄMáŁ]žŹ‡˜QŒ4üDo˙ó Äć Ą.­˜IÄq5E€Íʜzr(ĐÓ8@“™ÇbxÄr jö§łý* +Ş)Ő ˙˙ó Äć ČΜbÄpýĐ]őŚ ‰Çhţĺ m$}€žK;ŔÚšgę˙őiŠ›˙ó Äĺ šąJDLĐBÂmďč€$ĂÇŰ8 †Ô*ĹŔ;6tÇÍßÚ5ę;Đ'ń¨ßVˆ˙ó"Äç +*ş8IÄpOćřq:€ŮăWŔŔâŰŔ+z›Ť§’Q(œl˘‚$reœ˙ó Äć pfą2F(ݜA;™‹’ťLEh žĘ‹‹âłţŚŽÇ`›˘j2‰¨Ł¸Äň˙ó Äç ś¤Č{ĘL}hЀ¨ŰxA˛ˇŰĽ‘ňĂcE57R ~Yú—ý\ŞA~âßü(˙ó Äç +â˛IDq_•ęŔ6ŕĄYJěť1ľFŢ3°^5JmÓ[učç/’âRby/˙ó"Äć éş8H„qč‹şŔŽZ§r*äÔgřŕ:ˆŐśŽŠ–ËŹĹő¸_i7ţFś›÷w˙ó Äć ŇĽpzJpoäľ($‚|ŤäŚ4K´zĽnďŃţ-ŠcVůžř1ô=-ôBr˙ó Äć Ȳ­˜zJL檡Ăp Ą1"oŒĎ°ż€QŠëKř[œĄ°OÂ˛ńăÔ¸ ˙ó Äĺřި¨zJLÇcD6(`K8(ˆ’ ŁŤ@„#–ÄÖŁ4ď-MŮH”Őz˙ó"Äč Ŕćž8YDqoţHŃŹěü[úFh=°ÜB˘_âĺwO– ZŰ÷×]Y´ü1ďąž˙ó Äé +!­cDp=ĘMi€=ş 9‚aܒÎ@‰GTâROŽ%ľFn 2#î܇"ź˙ó Äç řúľ˜cFq΁üH+ L,›šK ËiÍGѧ@ÜŁÎńśšOÍld>˙ó Äć ˜Î (cÄpŠŢaýĘ ă38M`1BŠČžŽ}ůĎú †ĽßÎ|˙!6#Č˙ó"Äć °’ °KÄL}@ Á,ž2 phš0Š:˘€ŔoÄöŒŒ ľ5ršRŁ3n+×Đ0ľ˙ó Äç řö¨đIDq—Ż¨ŕ€:P4Či€\ĐÍ8Hƒ…ÖiŢ çŠBo }™ŐŒ5ţł˙ó Äć €úœcÄpÚĽ‚śŤC‡, RQnŔH$>&_$Ŕb•˙ô`č5ä?×˙ó Äç é&ą˜0„qŘLżčÂ΀`  Yä~œ ëŽŇ}IçyČ* KűľpU,P>ú˙ó"Äć +ţ ĐJÄp* 1śa ” +,đë †I‡K8J?–ł4_úVŻ™Yů˙ %S˙ó ÄĺXš K L˜4Ş꾊V‚yAXB˜” &¤ÂIĚč$üé?÷`ŁŢY—˙˙ó Äę +Y.œ0bq‚­:Ü°ä íźŕ4}ŠĹŒŠĹŠeÖć$ŸŞ“ˇs#ŮA“§čë2˙ó Äç ˜Ć đIJpüȈ Ŕ€L„f$Ą;$gr*šH+zšgĽ…ă3´GV6FÔy´­+˙ó"Äç +9.˜ bJs– }¤€ľB`=Ł ›/ˆzî@âŚjőßě[ś¤‘ů?úÔv{˙ó Äć ઌ8JÄLşHŔÁŽ,đ鏤E:™¤zlt‘˝ŢJŢ%úąĄZ ¸(&ncŹ˙ó Äĺřţ­2pALxÂ`€8•,˜Ęg1AtÉÍEç^ťgEÇ:äÚţX]=úNo›Ńň˙ó Äč + ö˜cFpöɐ€ R*áÇ ’Î.@ŽXJ=DÓ{ Wş…ôî“ň˛ ŠŤř?˙ó"Äć Ń:ľ˜H„qچÁ3`GáŇ#ÂŹ÷) 9¤ƒŁ¤]AëF`jŞZ_/âú)q´TA˙ó Äć ŽœĐKLűŽŔç˜őPňĘHVh*.ŠË¨›†TžoőpŔÍJLZyD˙ó Äć š6ą¸IDqGT€4•ZL €=#ÓIJÂSi*ŠJ*Öt›m ôüjgú˛Le¸˙ó Äć ˜šĽJDL9´›#ŔŇAVŠ4Viš˛%“š2Ö$Qˇ^᧴ű`ü.ÚěAŻ˙ó"Äć É: ¸JÄqE 8D.ˀŘNpşTľÜB‰!2^šJšţ}ç^Š¸X—îŰmbž˙ó Äć Ů& řZqôeÚXCAʌ2$F2ۃx3ˆ Óc ăNŠˆGČŠ+öPłČ#ÁLš˙ó Äĺ . 0KDqfgBż€}”9⚨Ř; ˜‚7 ď—ëŢUôs[C›˝Ţă˙ó Äç  ˛˜KN|ú{œ[@ü2Ăte +,^¸@?OKü{T–÷‹Ö™-}Bś˙ó"Äç +QĽ˜Jq^^°,ÖueDŞSY¨Ľ;gŃĚI2›‚ŞÇ9Ťź|ÖúýŠ?á„]'ö˙ó ÄĺXśa†L/0žâ ÁáË$–8°–fCA–Â8VBžxşłŐAÓ]˛9đśó¸˙ó Äę +ą*˛XB„q…&€đšÖ  "÷šL˙C-Ň˝—Ç9E9ŞQvS|Şě"˙ó Äć Xś˜0JÄLú•­ 66ڞ7Rx´ŒxOڛ~-kßU´}JÜP{ţ¨˙ó"Äç +XîœPbqó“@N@9ܒDghŃöPAœw”ŒŒWţŢĄßݐ ~Č˙ó Äĺŕ– đI„LWoQšV ĐvŔäóÎ~mšCˆQ{=v Ń´ă7/Á¤â=J˙ó Äč +`î({ÎpؐŃ`HĐ Š{@×Č3  Efqu2iqw9ľúŠ{řSš˙ó ÄĺĐś˜0[LÖ7 ĘÂŔŘźź‹í&ŕveT†^/šżŕâÓĆśŸEŁdűĐí˛˘˙ó"Äč +q*Ľ˜JÄq}GşŚç!ű˛ÍaEŽpHńĺV0+¸†h2ڎU´złzt"ú>?˙ó Äć š˜HcÄp•EśĘéI\\ 6öţJQX‚Š¨ŠÂţ #đFPg§üŢ˙ó Äć á&Š¸IDp +Îb€đâ°ž”ÉHéœ0¨ňÍÇćć%Ĺ=EáŒ(ÍúâˇŃ^'˙ó Äĺ !ĆX0„pěëˆ;:•Ć;Q<0ŁwŐˇ=iŒ[0ږŠ[ ꍿ˙ó"Äç +Š¸Z„pЍč +Đő‹Ż\–j[ŚŠ@T~ci HŻňĽ›íz(ƒz—ú ˙ó Äć ÁFą˜2q€ü‘`ĐĂQ=C)\Z5™nErćô;Œż{NWŽůF;Qýťú¨g˙ó Äć ‘œČ„päy`ř€IÉ}ÉdUĹçfQĐ0˝ARŢ%›ČqýX˜?EgýJ˙ó Äć ŃÂxYDpŤX,‰€ + `ž ㄇ' ĚOżX=ĂčD˙mťüęnoô˙ó ÄĺŔƘ{Np„ýŐKÁśŔţ'Ä ¤Ôťvh‰}–/‘U2o%Vž`'ţ……ĂŠó˙ó"Äé +á*˘Pb„q7ň8†¤´ŕśś`r$hŔÇÁ‰kÚźwJˇ÷™ońOx7Bd]ž˙ó Äĺ ! +˜zĘp +8HÁŇ €lĘ5űŽ đŒ‰ĄA!č!Žţ˝Őš*éŁ~˘0yN˙ó Äç é +ĄPZÄpTg€€ľÔlˇj‘‰l…–ěmłĘň{÷ěsZ÷ČőŰ_Žčĺ˙ó Äć y + ĐYÄp1œKńƒÜ ”Hć1ąDho˙ó Äĺ ʤČJp˛”m`č‚}˝˘sˆl݊tĎ,̎´ fKYő>ž‡ţˆŻQ]ă˙ó"Äč +q.œ°bĘq꿸€đ€Tú†RP +Cř:•cTîŽVŮćŇĘwâ:kwÁ‹~á˙ó Äć ¸öĽpJÄq̖pţ€đ˜ĽI?DĎ8¤FÁEŠ\Řü­Gűí1°ď}čę +ěĎŞ‹˙ó Äć  ćÂX0„p1Îuň€€€Ký-)0fŹŽóÍjœĄ˙śžÖú§źÎŞôoU˙ó Äć á*˛81Äp]˙0wäYt˜y"=@ F<ĺЗ"Ět>nžg]Žađ˙407Ĺ˙ó"Äĺ .­p1Dpúużä ŤWËËU8 ڃŸhEŹ›ŰÄÖG3áŰôͨţˇ¨†˙ó Äč +!.ĽPKJqđ•;ĺ. +ÇŃĄtŤa~ä¸Ę>ňm)mQ}FCQ8Ÿęp˙ó Äć  ţHZÄpDâŰPŒ”Ž‘=8 +}ćF +x9Ü߄ŮGkŒcśƒÝäE^˙˙ó Äć Ů +ĽxJ„p2°ŢdB°@ÎŐ¤FŢa˛ƒ ƒ˘Z”k +żaKQyIúśţÂ{˙ó"Äĺ A.ĄčJÄq•Œţƒ ‰&ZǢ7Í%€œ ĚqĹÇS7ĺ,íWĺý^ßĐâ4nVł˙ó Äč +@ţ™HJDpx# +@Děű#úXDľ˘…B|@š„ôćŹ@&N_ŐMéîăÜĂ˙ó Äć š"ĄXJqŽ…ÎîŔ’°ÎĄšĐ: ŸLX" g!k÷˙p¸ĺťěü čŐe˙ó Äć ą +™@[DpLŠ•”Ź4v÷ačá4P!ď%ŸwÖ¨>SńB§˙ó"Äć ¸ŽŞP2JL0SÔŹŐÂƆö@AZ¸})Ířäq˙ *Ę3:ăđ˙ó Äç ŠJĽxIÄq!ô€đ!°Ř cL +ŢÁ§‰Ź™úľpUŠ + ÔÚr ń +VlB˙ó Äç FŞIÄq˛“IGŹ€—Ŕ¤€^y,ŁCŚG‰ňű,ퟢ°ňą›ńŸL˙ó Äč +a&˜Jp +úŠ€‚™ë5g$€d8–fŤĽ +AąççúşŁÓëČ=a­]X˙ó"Äĺ @Ιhb +pŕŐZŸ°íQ%@˛Ô +y,p3Ç‚‡…ˇÔ. ‰ĂJźçƒnżŕŢE˙ó Äč +@ʞbp&ż ž Ú˝0zĚbÎ ÁË3Z^Ü;FNÝY čč™]Âŕ›§˙ó Äć ™J˘X1ÄqŁŔŹ )˝4ôŒ IŹ +9Ý´§ý-ŸŤŠ?/~¨.Di˙ó Äć ¸Ş™h2DLhŃš`ˇu5YOp‚~­3“0Œ?Ő°îfěÝN7čçő˙ó"Äć É.Ś01Dp˙Œ„ˆ!ćßô‚čHsŒ +*%ÎmĚ\óůSdűý\˙ó Äć Ą*” jDqu˙Q`€ƒƒŹ^Ž=ĚV˘ńrĘ(§žlÉÓvęٲú˛7Á‰Ű˙ó Äć á"ŽXHÄpŃ|¸ +ˆĐ86\č•XEDK6KCýŠUՄ]!EĽßżÄM˙ó Äĺ .ž80„qOühHskč 5€łŁÚ?’;äJ¨ˆ Ô,/ܙü~ć +XŃz< âŰÓ˙ó"Äç +a*˘JJqž5çĺƒ#‰ŞŽQúŘč&Ż^ăďňôx ydm ™G˙ó Äĺů&×0„q:”ƒ`\˘ô˛!J–5Šă!ƒĚĚWŃ5Šó/1?ŻÂŽqĄ˙ó Äč +!&˘0cJqK+­ŒŔ°‘Fš‘,D§NPkYQęEňŽ]Ü~ú,7™ ÝP‚˙ó Äć I*ĄP3Dq9S͖™`*,{GҖŁ]Ť +‚FjGc—0ť:;ŤyŤçzţćŽÓ˙ó"Äç +A.ľ¸1Ęp ů°Uď…ŔĄ<é8¸ň5c‰ź% +÷/ŠŢĺR?}NLÁw폆˙ó Äć ŘúąxJp5żH€OœB2MBůaŁ](Ha䝬zW5č:‘ó âŤ}Kš˙ó Äĺ î¨ĐJpw~%€ %:Žohú×´EŠÁB›[Vľ]fŞH +–aÓ"7T­śďŹť˝0ΛĐ{yN‹đƒ˙ó Äé +2¨řJqקđě€K š˜ľDSF ŃŁ˝h_ŸĺyíŻ~÷o4Ď.cł˙ó"Äć +îœ(zĘpŐĆm¤a/ )-Řř +ĄŃO5Ăc€DGËČ4ĐŇëDç:ĺđŰk ˙˙ó Äĺ *ŹPJ„q§î3€ —„Â&}I†/EV˛Áu†˝l´büz\ňŸC’^Fo# 1˙ó Äç +ŹřJp_GocŒŕ ŕ”Ş7RLEŔAů +uY mň9 +VBz§Ú+ő˙ó ÄĺčĘąIÄp^ôł X +ĐTź(@X?ᢊ˘˙műáľ*ËßŮłřŢšFü˙ó"Äč ů* ({Äq˘¸'äC€s„YĺĐÉŘ`G‡k‹§—;°lč?ń˙“˘Žć˙ó Äč +a.¨XbÄq|?5@Á?„†•ĚŃěR7Âń&-÷ç­ĂšŽEĚ×kP͍˙ó Äĺ .¤Hb„q;bœQ‰” …Ŕ8ĆŞŔ}DjAƒ-Α˙m^Î^}ň5Ęć˙ó Äç +.ą°bqWDM Ź\k hMOŤŘě&łĹ 4}ߚȁ‘ôéÜ([ĹŘłě’˙ó"Äĺ Y*°xIJqŚ…= Ŕœ¤7ƒŻ@c‚ę ˆš[–Řůä +zfBŞ’wôF\Œ˙ó Äç +*¤řBDqš…j lhfJđpş­PýsűŮmŽ\‡đOILR?V^ŞŚ˘j˙ó Äć Pr XIĆI¸Á}Ě! +šWťAŻš4RĂÎ)1í6Ăpgh"|9ŏŸ9]Š8`˙ó Äç +JpUčĎ @4ť)fó‘pĺ\˜P˘6\Roň†őž7ŽĘÝ'?žÚ˙ó"Äć 𲼸JĆLbtŃŕôú cfÓŞkťŇqáŕ(: ƒZâ‰\żaGW?ŢĘ#Čނg˙ó Äć Ş PbÄLĘr@˛FĄ'Dô-RŹŘ+Rż=nĆ6ľVĽč˙›-Lg)D˙ó Äč ň xIÄpň–CąvŔ¨ +čsŽš˛ibÂŔă8UVż|ÜäóöĚVˇ Ę<˙ó Äč ů˜PbĘqńű\  +AK5Ł˛Pqb¤d0 €ž;1Fśr¤ß#Č˙˜\‰Áľ˙ó"Äç +(†PzFH\Ž`јœĐ ˘@éQÁîx>,[ú}şćß2ćűü7ůŻč-}÷˙ó Äć ÉŒ(zDpm×ŀƒŠ•(ăM/cüľu“LI]2ęôls¸ěë/Q[çwô)T˙ó ÄĺŘâŒzÄpĂúǀ-rŕ=Ů DŒ)UJ"⤚ć#ÝÎCwS4ß;őw#ú˙ó Äč +Pţ™˜JqGźCÄÁ°B%: ˜ë'Ć0Qf €pɀƺ.fŢ'ů_őOć¸˙ó"Äĺ ΔPbÄpýř““€x”l´ÁO7e š Š÷E¨ęKÍüĂRΤ_Gvt˙ó Äč +0ć0JÄpö/Ţ>Ąŕ!€p"ĂÚŞC6Śó€l„xçŽî˘6^ü +NOł¤*§€Î˙ó Äć PΐPKĘpˆ¸2äN>Ű­ĺ6Ś|D@†AĚHĄăŒĆľšćK|› ý…-îO˙Ş˙ó Äç ˆę¨KDr‹ăDHl X{ź˝ă×%‡đü:"Ôo=ɡ˜˙$ ѧпĆý˙ó"Äç +aŠ¸IÄpëŘăöhĐ0*tőś*Ąłrד€Pšäď­ŽRłx@xţcř ő˙ó Äĺ JĄ˜YÄq_“€0ö9 Ů˛uQÓńȔ$ äݘźç Ż$ú†l™Ĺ—âżC˙ó Äç +*˜XKDpýw#ĂŕD]’,ćxŠ„ĄĽG˘ ÔtłžE;ť~1ż[}˙ó ÄĺřŠœXZĐL˝X`¸>M +ÁdůËB`Ƃ9@ Ăx0÷śM˙ĘČŘ"żŃ“fł˛˙ó"Äč +q +”| +pěu˙¤!řLz?)A-ˆ0SŒ!Ş7j‡<Íš8˙ą|3z)˙ó Äć É”PJÄpî`É  +° ú˙ó"Äĺ 1 + x[Jppç^\Gšß4ââT'âšÂ}˝#tľęţţťşƒ˙“uęć +äjˇĽ˙ó Äč +1*”zÄq[ó?ńg€ Bźy\˜Gaąuzƒs,Ť9îä—ü +›#ęüŘ?œŸ ˙ó Äć ‰Ľ˜IDpbťQ0p']/é|ś-*<rjFF3Âţ́ăü‰ĂŸR~Đ9üa˙ó Äć Ń.Ą¸IÄqCިŘŔŔü= +äs¨IŔĺ [D~Wĺ˜;˙_öé‰üż˙ó"Äĺ !&śX1Äq9ż k€Â>Ő;łüAJp˘@ľ8fĽ×*mCř‰…D‚/FƒË=˙ó Äč é&cÄqypЀ8Á딴Á(Źč°f„˘MVş?ňőkP_Žż8&_˙ó Äç +. {Ęq"“ńQ@Ŕ€% €ˆ˙9AɎ˘†0ä:ťP‰âƒăß×ꂸĺ˙ó Äć aPbÄpżW¸ýŕ00–/ +  HŠ„˜u›˙`y˙€†{@Żá¨ü˙ó"Äç ¨Ţ”bÄpŤK€ `˛ąîvć4ÍËâáÜđőfoťkůÎßáö“ŽZ[ŽoŘe˙ó Äč +& đJ +pţůH€  Î˙ćçIĹÂ8f@üŁń2ŽíVBý/č&­ý˙ó Äç ¨ĆœđzÄpJü‹‚ŕĽÝ@ÄDâáă ˘Đ[ł5<ţrÔ:Żú?pDžű˙ó Äç Ů&Ą˜Z +qčh,šxex‘Í Š„t>•÷šŸÍzŹŘ˙Łú Ĺ˙ó Äć É.śX1Dq~Űh˜  ™§M1(0¤>ČÄšSjŐż“g~Jé +ʟ™ýE˙ó"Äĺ 漐)„pQç<2R Ŕ0™ë¤‰Q`˜şî<š>œGźäˇéě +Ş#Úńž˙ó Äé +0❐ZÎp’‚d;€@Ęî1ܨÔ6I1l*  âŃgŒňß~Íů> D‡ž˙ó Äç +>ŚIÄq— +†Ŕě€ +ŔŒĹ +Ěóiš‘,H‚śa¨ĆnąąÓ˙ń˘?˙ó Äĺ îžX8„pä&‚€ć‚#ŹddÁš:YŔá™>Ĺçü&łřБNýźŔ8oóY˙ó"Äč +Ą:Ś8Bqťăô+!`Œ€CŔŮ|Ë$&řń¤Pb°ěEęĂӼՄš˝_řůý˙ó Äĺřć ČbÄpˆ ݅¨„‚@‡ üíŞ€ Ř1U@7S +íT9ƒ5ş'őűŰ˙ó Äč +9.ŽŘIDqŘNă#ÄŮI|ş]Šz¤ď˛Œ'y\ŹÉô×MŐşŤ˙ó Äć ĐćŠxiDp Đăł` Ŕ"0*Š|#Î8Ň*„`ťţj1T/ţŽiÚéý~ Úő˙ó"Äĺ ćşXH„pA;nˆ€8ě#Šś +°kŽp°Z+ou[ń–‰ëç×ę#Îxb˙ó Äč +ň¤Y„qÝ7⋗ Ć˝@ó9œăÎ ţŔnjA%•zÖ)ÚÍĘk} “Ě˙ó Äć Š>şX1Dqíúp¤@‘`tŘ¤E.â¸|#Ń´#ä{‰tۧú9:‚,˙ó Äć *śXX„q„K‚1ŞŔŒ„ +@ń$ȊwˆĂŠX Ĺ¸âşś‹ďH'ÓK“ül–š˙ó"Äç +.­xaDqtCmo€ýşj Ł$Ş˛`yŘä˜llׁoĹ]TJf;RÜ ˙ó Äć ą¸J +qF¤ň@“…Ĺ3'^C0ŞĄ"ŕţo‡ +ĎâM­üŻř¨-w˙ó Äç + ⊘bqô~<°Ä :ăNh:ě,mLË‹ÇE}Š#ĘđŸů_úsyÎ˙ó Äĺ !.ĆXH„qÖę&au€Œ“Jâ7rJ†ćqÇĹŇ#ˇú™śĎüpçúţáťů˙ó"Äç +*˛8IDpo˛¨yňŔd 8ä9ţ¸8=Ü.Ş!9ŘrĄ_‰ŽnfáoS4˙ó Äć Ů*žXH„qŸ¨Ú€ ži: +\ü¸ e(ErŠÂƒœłłŞ˙ŁSPză˙ó Äĺ â¤z +pTÄJÁŕ€LđZbĚx4 1ĄÚ”łÖ?É˙˘źKћD×ŕÇ˙ó Äç é*¤¨bqý'Í!ĚÝä™ćGI\ľ Źƒ@ čö‘ÉŠß䰚>żŃýGߍ˙ó"Äć Ń.ą˜aĘq¸ş+ +śHƒ L—Qčâo +€ĄÇ Ř:S>Ca#ô1ňjüůőŐżlo˙ó Äć Ů*ľ˜IŠpTú<‚lř`iŔ37™jŤě$‚ŕfĐ슞ŤáLĎŤ?lşń˙ó Äĺ *ľ˜IDpşJÔ ?]Oź”I¤Ö+ČŮLqűÖxŤý†z§ 덿&¤Á +˙ó Äç + ć­XaĘpş +_Ѐ `Ô늒l}‚ňÂI׺ +V+~tE„¤÷Řw… +˙ó"Äĺ IŹČZDpˆhw¸,€&R}ÂUeŐ.i‘áYŁűżŤŠ~–zƒ˝Ÿžr×rĄ˙ó Äç ń´Pzp~Н&ܐAĺ +aâ*ô‰L’Ç@č˙őžÜ_Îä™ůývoQéÔ×˙ó Äć ą¸XaDqt°Ŕ(ńčî]B´ŰAĄ0UâIeé°ŘŢ"ąÜ1čZiBý˙ó Äć Ŕć°ČzpXŸd)›Œ€rc[X"‰ íć ‚ˇűQÁ9˙„3ŸŁţ­ę!_ü˙ó"Äć šŹ(zDp¨P=¨Ÿý@ƒ yŒéR!Č"Œœ˝L<ęďwŐ ż¨wž§Ű˙ó Äç ř⭐iĘp +e@€””ţCë Źdŕ}Ň{pŢŤWô“ęQÁ7~rďZ(˘ˇ˙ó Äć áŹđbDpîßDـgÂő<[Ŕ+~h™E÷ÝKŮ6dĺ3ťoĺzzeţF˙ó Äĺ¨ć°0Y„púA:X€ @ąŒpó­'>4°đ¤wď†mĐ/Ű%툿˝Đ˙ó"Äé +šBą˜YÄqůœżQ:Ŕ€ Ą.Á@2ܒɌąÂc7”s°pMĚnüĽ¸1uDő˙ó Äć ŕć´XY„q?XgçE¸5`í'’ň”‰`O|)Ď%ńú2œ +2?;Uť*~f˙ó Äĺ â°XYDp?č;HGˆfYĄě.ń’‚ŁY:ž’Ői´6żNRx€ŽŻËŤzŰ˙ó Äç +!.­pbDpę8Kô0fÄ*ĹOčˆZlÜĎ Y[í!/^ž`—äÝüLIż˙ó"Äĺ 1*¨zDr#7ĐÂĂŔ€Îż˝ƒ ŕ÷#8[ +4Lj%Dęg>ŻÍ§ XÖ˘P˙ó Äč +Q&ĽpJJpáćŠ˙Ń €`ÂËÇČÁGŻS‰Ö_Źr~żä6 T˙ó Äĺčş­)ŽL›Á’GąčĺľÎäĂ÷ZŔ^ŹŘŔb÷Ôž&e˙‹ŰPšz‹D˙ó Äč +)*¤JÄpńŽÔăćŔ0Că`Q9…ť0vĂ Ÿ‡X +ęKJwʟ#XY,>˙ó"Äć é.¤[ +sTřdŘŔ˜‹–Ýv ĐcA1J)Res‡u|/ď3ó˙ §‹ôżľ˙ó Äć ‘.¤bĘqŠó€čŔ.ɴƝšěłŽ  Eóě˝ôĹšź´gúAŮ^˙ó Äć ŮŹ8IJqżVƒPĽ")@F ´*Ë(°1ݛí~]Uý;}Lě˙ó Äĺ !*ş81DpüƒP ŚÜ€°*'Äu Á¸ÂOR§@ %⺘Őo•~™F˙˙ó"Äç +Q. zDpON*P„ +†Qyň§Ę â( Łƒ—śá˝VÍꂛä¨ҁIţ˙ó ÄĺčfÂ8)D(ŢbA‚ + €óż”}Žj WaĹa'Đh†"źÝ'oš@MýËű˙ó Äč +I>ą˜ZqĄ˙ĆŔÄĽ@?(ţś¤: +q¸ęJĺúi|Ţń.ŽšÇoě7Ö˙ó Äĺ !:ĘXH„q§ôFC PŚÎKfË09K„ĐłöĄĺ?Poů˙=ĽëŇĄ~ť~Ž˙ó Äç +:žX)Dq¤ůxˆ`!<Â0}‰ćçÇxDLŇĹgČÁ M¤źd°ßţŒĺY˙ó"Äĺ !6š¸8„qĚßM̀€ +7ň-œčCžč;uóMŢ˜noş‹zu˙ó Äč +9:ą˜J„qr›ĎÁ›° ŕţ†\JÜžŠC™Î.„ô-ӑ駒Ľ˙büď/˙ó Äć ™>śXIDqŠę VÎ!TŠ3 –0%0÷‘ůŕ7žë~]Îáŕ܊ÎD ?ćqú˙ó Äć á>š¸IÄqÇk<.€;$†<™‰ó ĹbÎÄšQVČšnĘ ľJOwCŁ˙ó"Äĺ Y:°PJÄq*g ĹŔFĘŔÇń0rŔú ¨RVńłťć箇vo'y8ˇG˙ó Äç ů:°ŘZ„qóĘŔސ=Ă9ŔâE›‘”çđů.öáŽŃ'äq!wjž˙ó Äć á:ÂXH„qWaąä˛`€ @Ľä@âŽ0ʅR=DÎ-Ôkľő +MRĄČĄžwőc˙ó Äĺń6˝yÄq ëĀň˝â0Ĺ BâÂ|ŞytI „4•ĚŠR6ґ ŰÁ‹u~˙ó"Äč řî¨ jĘrĽgü"€­Ą _ÂĎ€A)ńRÇz7żÜ×#*°t,„`˙ó Äč + +ś0IÄpŕ ő?Š@¤€@ä^09'•Ńś"h—ę.Íó5é¸s™˙ęůƒ˙ó Äć I.ÎX8„pV& Fx¸ücČ~,]œLpJő *ž#ľJ—öŮŽpq˙ó Äç + +Á¸HŠpúŽAŕŔ¨"ě ó˘‹aćňďäýÉżđcMŽBŔłF˙ó"Äĺ Y +š˜YDpŇŕĐKHĄq@˜(UEBEBľ˜5Żř¸Yď|ôŕtŢÜÍR˙ó Äç ‰*ŹZÄqńt€ +>×ޒSľ¨…Ed2łKůůn%—“49×|´QţżÎ-˙ó Äç + +ŇX)Jq~aŔ  hĆô T gœŞ˜>Ů íŽBĄLŹ§ Ç Â}˙ó Äĺ ĆXYÄpׇE׏ŹžĂĎĺLŐ”P‘b +đďmrąŰłśq~ŹŒŠ”H ˙ó"Äč +*Â1Ęqú‚;żX* ~ @Ŕ Ŕüďç˄ŃôËÇX\‚Ćĺ-řç ˈí˙ÝŤ ˙ó Äç + ¸(ZDp ăę +?\͇Ć1Fk#ę‘7Řd1{Ňm.Ľąš—VǍ+Řđ .őß˙ó Äĺń*ŔđHŠpVÔąŔÔ`äë˘ÄŃ:Dâń Ł×—˜jŻMhf˙E˙ó"Äč +™FĆ8IĘq=WŃńq `>Ëi2ĘH\…ţs.B)]8Çú„ÇkDŒ0Ě˙ó Äĺđę°YÄp Č ĹÁlÄb‡Ă*"‘bĐ&!/ó°ŽůÚ°ńjŠťČę ‹¨í§˙ó Äč +a´ĐyŠp Íđ†Ňŕň9˜Ź#ƒŻ"ľź”|ą÷¨I_Öň>ŽOƒ/Ťt˙ó Äĺ ÁIÄpj0ÂzĎćŔŔ€PB čéĐD¤NE!€_‚vüÉ1^ÉvĄ +gőý˙ó"Äč +A +°({Npf:°Ŕ qӁΞ%%ŇŁQj펟ƟjŮúŠËĹ˙ä˙ó Äç + +Á˜JquQ˘Á@€,@P:Ł +ŒPľ €‡•PžÔĐ1ńśŤ2‹zţ›Ž˙ó ÄĺČĘ´YĘpddG˜Ť YŽ`1ä2Çđąş°lÉĆŹ§ç +ZžÂ¨ŔžQ2ţ˙ó Äč +9*° Y„p‚kw.ŕHP‰@Œr‚}M&*7vĐ:“˙@ßĐôýŸš˙ó"Äć +´°ZDpĘ ŽG醌ľ*ňsZČlęhX!Pá˛Wľs€K›I•4ㄠÔRG˙ó Äĺ !Á˜8„pô<}–@€ý0ëȡ '4Ö9S˘ĺ +Ő<Ę  <ÎŢe7(ť˙ó Äç +! +ž8IĘpŸńPůůPx ľP”I<şŠÁ6Ž qÍoVŔ VzŕšźžĐ$˙ó ÄĺŃ +Á˜9Ępzj~°‚2#šŃäV2|Ţ$đČ|ô币ăëöÜ>^Ԟ5!o7ż˙ó"Äč +™.Ź[Jqńui8°ł‘ŘhKâÉţ“R˝Ç5˛ÔS~ሌżz¨˙ó Äĺ  +šP:„p:™ƒéS%ʍȸ†y(ž\w~xŠ’2™´Â4ů ‘ú˙ó Äč +A*ŹzŽqu07Ş,€űTű‚á*Tc&>x˜~c–VŸĹźçoŤ)˙ȍÖë˙ó Äć á +°°yĘpžœ  ůÇűŠ„82eQ× Ńć08ôă}H˘ßáĘ ^ŹĐĹń}˙ó"Äĺ !Ę8P„pŌ€äBţ`¨\9‘pÇΤŞ§ĹK:ĺ­žY¨9Az +¸_ŃكĽú˙ó Äč čâ°(jqQĘýą˘ňÝ?ŁŠD—oé ĆxPâTęůěŁEţ Ÿá„˙ó Äç y´¸JÄp˜'G +pʊs‡œ„ +Ó 0uôł|Ů˝ëő›ý]L¨#çoú¨ËúĂ˙ó Äč +a´¨J„pţO Ŕˇ;ňDkfťP„Ă7/ĺzV;ÔGvNíž´˙ó"Äĺ !:¸yÄqWü<(=ŔňŒÉ€öQř’ç`óđiŤ{śÝ"›éRýhçZ*e˙ó Äč Hî¸J„pś%#`ˆ ˜ÖTŠ .Ó˘ş†FńŁšĺ;-ďĘ7ĹłýfőÍ˙ó Äé +:°ZĘqĐë@ ŕ€ŔŒć ź“!%›„×eF…~šű%çhäC řœf˙7ďŻđ˙ó Äć á>źřyDqj€ĐXDh™Li# – AxŞüƒlbű _‚˘ý(…MÓ˙ó Äĺń¸jDq™ć2đôIą–_âf*÷˛ă3ĹĘ`!ŠKőOę`'řvVˇGŽ˙ó"Äč +‘B˝XyĘqOŚçŕĎZ€€ŒÉ‡+)`€˜ ‚ćR“]E&¤/đŽwúe'ҨÜ˙ó Äĺ 6ŢXH„q˙ŘqÂ%cApžʜM˜ržŁůWCč Ĺž9ž•ÎTfŤÇ˙ó Äç +:Ć8YDq͊v €„|52oĂ1ݑ3J–9=ě”ßúÔ ‡nŠv÷‚Dr˙ó Äĺ‰6ÄČY„qżi[0ő€üąí–ŘXĹX&ÉóäG÷Ô ĚŢPě.T˙ó"Äé +q>Ć8IDqÍgn˜ÄÉJśÇFL!Փq3¤nŻrýWÁžž`úŐř•ˆZ§Ź˙ó Äç +*ÂyÄqt€`€‘6ÔÄçFő‘8>¨Ŕŕ”˜PćE'ćęÇ?ÔŮ5tw‘yÂ˙ó Äĺů&ŔČiÄqńyA‘Ň‘€@8@÷+iqBaBO'AQƒąžOđś#_ąˆ‹b˙ó Äč +͘QNqÍF`Ŕ e^—ŢĄŃŃ +ŁŇq­¨}1*Ž’Ëň\q5˜4ŸóŔÓÔßb˙ó"Äć + &˝Hy„p}l˛ +€ƒó¤ŰT  +čŹÜnŢ5”‡GďyŚ˜˙üĺ˘ÓÉńŰÔ˙ó Äĺ şĹJDLÖuăgŔuˎě-@¨̎âja3>˙•Űë=WfúnÝNÍ~§˙ó Äč hâ¸bDp@ô' Gą˛2ó0AŔ<ŕ Á`ŽńĄĹ`üűÁŸ:7Ô+×÷űŞ)˙ó Äé +‰*ÁXIÄq’"†w:PôÖó4Č`pÓJ#ĹÔ.÷‰y”nŕËS [WWű`ʧ­˙ó"ÄĺđćÚXIJpÉ€ĐH 'Sł—&ĆbN/7ŠGŤtƒÍŽ42NçüF}¤Ń˙ó Äé +â˝@zÎp˝ęŠa°„Ú¸r5¸Xëń-–ě"îxôŚťĺŢÉîř†ÍćÉřY˙ó Äç Á&¸ JĘqíl€„ţ§y̑"4ÖĘ-T¤Đżƒă,ĚŘ&Hfßhixłv,ëĘ˙ó Äç +.˝HJDq‚JFąp€0ęîk+˙ł R€Œ˜ĆQÜöoZuťx\űúSń˙ó"Äć +!.Ö80„qZô“ Ŕ(3ţ`ŕ@ZÍ$_€'ÁŢc•ő +Qƒş~Ż8Ľ˙ó Äĺ‰ +ÄZpŒIůě™ĂĄí,÷fYŽ&ŰżË9őÔwŹÇgĹąĽŃŃż˙ó Äé + âÄŘb +p +‡RůĹuF“'×3¸ĂçA$rŞĚšťŞ9ÜäRŇ58!~ű˙ó Äĺą +Äb +q‹m6€1ŕÄłC0ŚRĂ"ź âŽŇ6›ďüHë ů›Se˛Ä˙ó"Äé +`ĆŔČzFpÜ| – +€€% ŹiR`dRłř#Ÿpšđü{ éŘÇ OEI˙ó Äç .͘aÄpq䑀†×oçĘÁR:3vK8-Ś‡âI"íŸöQpƒ˝Ąƒ? ˙ó Äč + –Î8J +L˙™ńzőÁXΚô]PŠ Hlaۋ ­üű—řw°ľŘćťË ˙ó Äć y +ŔzJp1MWŕ FEI6 +PE*1픇ž§Unţë'P+Ůľao˙ó"Äç ů +ŔzĘpëžě „!Äi şĆO셃z&–u(éuŮĘďüV­îO÷˙ó Äç +ĘŇ8jpâęHŕ$t\_ya¤#ÚŔ 4`|h!ą„œ‰ö+ÎwoĘíÔ?™˙ó Äĺ ’ÍQŠL]MĄ„€€ü #úä‹Íˇ‰ŠÇôj8mÉßĺ÷ˆN˝¸űŢ„˙ó Äč +PĆÁčy„qúNŔ†żŚĄg¸VŸLÂ`Ôł—fż}{:-ďm‚šeń˙ó"Äĺ 9ÍpIDq_$ÔPh€ +‰?iČLţGd"/ř›Ÿ9ЧŐřŐő;oœ˙ó Äč +`ćÁřJJqĎŽŹ „ .Ď;ô; ˆ Y˛O:4ŻŮĎQ´ń/!˝§˙ó ÄĺéĘ0IÄpťh$€ ˛ćs†ŹTƒ`‹îS5AoýąÎ˜Ź´×Ĺ' ÉÔ-ŐL˙˙ó Äč +*ÁŕIJqSwÔ `č +~恒>E)!€‰ž$Ö¨é˙f„MŻiWĚK˙ó"Äć ČĆŔ°2Îpz)ÝN+ŠÉ2F¨] z-0+ă)ş3őJú;~#ž„Áţ˛Đ ˙ó Äć pŢÂJJp(p> Đ€1§w_Ăp0F$0jýšWÎĺap_”cŃ>dobV˙ó Äç á*˝@J +sN88á˘+kńPŔ¤C1`|} ᆫ>qě…ţ ň—şť‘˝˙ó Äć 8ćÁŕ2pofÚí +`Äbb—…ü(¸[QŠNŠˆp|÷1Żů7ĆgO-BCżŮ˙ó"Äč +i&š@KÎs7ŸŔ +Ś@Íţ˜Ţ`ĺŮJ~Ž žČßĺOÚ˙ó"Äć +:ĆIÄq-_đƒ¸ŕ‚Ĺ2P]ćíîˇ1‡ƒeëhœçNţçî~Qh^ZŁé˙ó Äĺů6ÍpHĘq˜ q°čFN$R~tLÉa +[Ęçí[†ýh ÉľŒsk)˙ó Äč Š6ĘIJqˑč€fU›‘B‡€>˜"XŅ޾ƒîÚGp4—ÇŞgĄŠĄ˙ó Äč ů:ÉřINqˇŞ?ň¨„€RÝf[BÁ–  +=‘ŘÁUĚń{˙!Y›˜sŸé„…ž˙ó"Äç +):Ćy„qNPhœŘčŇ#lŁ„SHŘ%ôHÍv>ÂŰ"?çsŒžGö1›MŹ˙ó Äć i>Î8X„qŹmŇt€ˆŘ$×8ĆqÁ÷%2g[w8o‹}“í$Ł+ű qáě˙ó Äç + îŇ8y„pű€ä@"XOá2€„Ä+ jiDÔĺűĹ°kŸP%ź0ý˙ó ÄĺŔĆÖ8iJp–ŔŔš`Ă`VAůRúú8zÄĹ19˙iţŮ0u˙ó Äé +y:ĘIÎqů˛ĹvÄBRÄÝÜĄdk°L<€ŔŽÜ-ü_>„Söçj|ÄyI˙ó"Äć ¨ňÎIJpHŘŻçX¨Đ¨ENÂÜ* +Úę…¤Rł0ůý÷öţŞäÂĺúŤLŮ˙ó Äç +>ŇH„qÎ}~ý…œsLł@"CXăĹ7ŰŇĺtoSoőFmŽ/őÖ˙ó Äĺ şÚ82DLĐě Z†úË Dîâ ŘŹWŠž‚×NI…{ň2'ąA…Xă˙ó Äç ŕňŇ81Äp•UnĺĐâ ý›đq@áX*aWŇô?|6ć>ď¤-9ĘŰ ‰2˜N˙ó"Äć xîŃřIDq§[" čŒ• h‚ĹńX\-—^›ţĚ"fvŤpů_Űf˙ó"Äč +™.ÍřIJq÷B­űŔţ>ƂĽIŠAbM‹őoO_ľďţĐŤę†bnĎ +#R$9ú˙ó ÄĺŕňČ2Dp‚݁Ŕţ§*d"ňJPmVąŢăkĚîrŔîă3‹]:!ŠŘ­m\˙ó Äč +`âÚ81Ęq[qW‡€,őÖޛIŹ}T…Ź^’ôOj¸Ćˆ&Ë`ŒĘŻô]QĽ˙ó Ä吺ÍčJJLž€Ŕ“„‰ G€áš! _ňúŢ­>#ŒůVǞAKżÓ˙ó"Äé +Š*ŔIŠqńńăčţ]`ř?ʢŁUGâ[t8‹ŽF•ý,Ž ^‰íTǚúoŰań˙ó Äć Ń2ȸI„qŻĆŕŘk[ó)†kMaîjymô…„îúůęaÓ­ót`Ç*{˙ó Äĺ ŢČJJp׋P–F€rćUĚ^bđ%˜ĆT[ŕü/:‚$f}_“;ôtP˙ó Äç + +ČJpŃĆeŻbÂŕô +E0ﰃš˜ÁÚ!D*yWb‡ý‚ţe竛Ő+üg˙ó"ÄĺáÔČJpeßX,„ tŐo,áLßĂŽÔRÓ˘ˆ†š…ŘÜG(wő'ńí˙ó Äé +Ą.ÉhYÎqFâĘŹ` č `5ÔPĚěÍZ€vՕź`€ČŢ4}óm24?üË˙ó ÄĺÉ +ČZpˆKŹh¨đäz\é( #P„V˘Ši(@,ďČ/ˇđŹŹ˙yżŽ˙ó Äč áJÖaÄqbƒšČƒ8VĄw‚¤˘ŒP^PB´óą¤Ź,Ő˛ůô?‘íů†˙ó"Äç +YÚŘHĘp­ĹC€ í ĄĘŐ@z#@œĐĹĺOjŸç“éâ:Łh„ŮÄ˙ó Äĺů +Ր0Äp„r…€@sC#S@:PX㖾O&öú¸žžŔŒ˘cŃMSĹNÔs‡N˙ó Äč +)*ÎIJpšqplŔ L +ľYÇLFΔ”mGTĂé'p“\I‰gâÔĺ[u˙ó Äć ŕŠÚ8BĘLTb"ô0úŔ 4ŽGtGřҸSŚŰěЁ›ń-~¤ţŒÉrN˙ó"Äĺ I +ÖH„p§;@ƒ($ŠÚçdN~†•âƒ@Yó>?ĐMĄ ů˙Aôoarނ˙ó Äç ‘ΨIJpź\zě€P`f ż) +2 +X61IĐćkyŒîČ>ŹEË˙ó Äç šŃđAĘq˜:ĀΈ1„qí ŘT0É +@tĘ?(Šk•  Çqnđlڇĺęq_ąB3'ť)k÷3˙ó Äć á:Ú8AJq7ܐ¤€j“(8śĂcá–ńÁŠĘ‡>ńťţ‰ÝPŔŮۂwśž˙ó Äĺ >ŐPIJqƒÜ Ô$`BFœ0Ő éL*ÄO&cäßŽŁÄĹßĚw:tˆ˙ó"Äç +16ŇYŽq[üŸăÇ°öƒlبů;°¨űAťî"Š8hRęŤţb—éSýÜÁŃ?˙ó Äć ą:ŃřyJq‘ę ŕđdőš„ó`p_ŔĚÂśľ;+ţŸC†…gý蒲‡s˙ó Äć ¸îÍřaNp˛é1źą@ĺYĺKŽ‡ô{ąÚŇ ‘ĹĂˡĽĺޚ˙ó Äć Ą>ŃřH„q € œż–L€6UˇÂPŠ/Ă$ÓWĘ,†(ˆCÔHzz‰ŠX˙ó"Äć pĆÎZpĎŰGUő]p˜…ƒ´•ŠÂ`kKÚÎmŽ3ÎôSĐruçrYA&TŃśv˙ó Äč +)>ÍX +qE!ôĆčŸČq#˘v6—{X-]›”ŹďçIŘüL˙ó Äć ™:â8H„qv#>nĺěŁ`vQ§Ü‹ŘO?ŢýăŽŘŕ@\EfŐÚwî\îţ˙ó Äć ŕĆÍpYPp=ćŔř$@”:´ţŻR´ŔćnQ Hä˛Ç×EŐÝ /”ňüdŃ˙ó Äĺ¨ňĐČ0ĘpTšmƒ-řŔ P˜÷Ź(5W\ŁČĘ=önňA +QEđFwü|†˙ó"Äé +ŕâŇ2 +qő}|5uŕLĐI,őďł&×?+aŹĂNţŤ‹SˇœeşŽM›ă˙ó Äĺ žŐP3 +Lwęý‚]˜Őý–Gă41ŔJáeŤ˝ŽÝLÇWŕęOŞş˙ó Äç +ćݘ1Jq +—ŸŻ‚đĐa¨ťsB• Ł|CŘ`ňŠŐ3\oŐb!b÷ňş—áo˙ó ÄĺĐęĚBp0ž^T¨R+D?Ő`8BâńH5v|7Ż„ĎB} Š ˙ó"Äč +y*Őxbqf-ř Ű`Žˆĺ§@éSşdj‚bßŮ +|}F2Ă]Ôh‚Œ+qË˙ó Äć ‘.Ú89Dqkžr€őp X´H“oI…U/îë¨{Ű"‚Š~ĺ€GS’v˙ó Äć ‰.Ţ8YDq˛0Đ­L \e$Ž„ř€â@ĺ,M_đ7›jő ,ţĚŤWĐąc˙ó Äć Á*ŐđyDq˙ýYJBîÓÍb)=`H NânŞyŽät +Ob™~ę0–˙ó"Äć đâÖIJp÷k2ä€0C!5ÔYEƒšŚJ”ť6|†ßĚź`¸Xłudż­„˙ó Äć Ů.Ú0ŠqŽű!@U@Č"D°îčgć'u„sĽ?;VëwŚĺô9H+ě‰űbŢżt˙ó Äĺ žęXI„Lţ€ˆYń–¨„•ő‡îřŒOJżšďΕƒ +oVAoü0˙ó Äç ¨ŚÄ3ĐLŸőOĺ`éJéaMôť‡˘§!O˝Ă.ť/?­wózžv3?ó˙ó"Äç +Q:Ţ82q—ý~”`ƒ”R„Đ-‡őŘĎ^Í6éG~ÔĽŞ\p9ŸB­ ńcŢÍ˙ó Äĺ¸îÔ0ĘpGPŕ@ Y`Đ÷ݜÉXy„qö/j¨3ȅƒł‡Ö0В×i$íM9o#+r+ŧ&+ÔËŚ”HĂę˙ó Äć Ń:Öa„qÓl\J€ŠTƒŐMŞ Ą2šU sžĚyo>Ľ˜ľS=wúœ˙ó Ä帺Őp+LE|=Ľ`¨ŕ y¨î™Ů`ƒœ,L0Aşr[%ŤTę1íR3˙ó Äé +™>ÍřaNqţŕ߀ƒ“´Gld~‰f]E™^­UýeGîĘdô˘˙ó Äç +Ш`Šqä,z̢ +Ф“8켠0ĄTAGĚwˆ˙{OLüŻő2DŸ˙ó ÄĺÁŢ80Ęq_ވzŔ삠Řƒź ˝Ľ d3šÜYPQč7ŐŰP×ę:ˇl6†˙ó Äé +ŐxbNpŠfĎ-@Ź€BčäžT8Ę(śŮ•RuĆŕŰn¨ňż˙ó"Äć ŠŃXbq iávĚą!3k Ë/$V;§˘yŕH˛ÎÍVPďžŰ‚ËβoĹ#˙ó Äç +!Ţ81Dp؋úu 錼;8ą)v¸5-öš +ü^wĄýžŽ ľ?!đÜ.˙ó Äĺ "ŮřIDpm`€`g15šfŢî>⦍a=Ç.˜~ë+]ŮsĹX`*ŤúßPˇŰ˙ó Äç +"Ú80Ęp^mMşöՐČÝ)€XÁ ü¸Če +ĹË$B¤š+żF&D{2˙ó"Äĺ XÂÚ82LŸ™›CŰ=ĚŚřb\ÄžDů4ú …¤6€ŹA¸Ż–—q(.7üËϔ˙ó Äç ńÚ80„q§Œ@¸”Ÿ•jÝ ‘2Ä,ďČo%(ŒsEHq‚âcľP[čÚĄĆ&÷c˙ó Äć ŮŢ8I„p˛ľ˙ëÔE$‚Ԑô–ÚŃĄ%ČRŸK%€˜ß;Ý=ˆ•vBŞŁ˙ó Äĺ˜Žć81„L?oŮ ĆpL ŕ,ýÄ`‚…§ÓčRŠ—€âÚëÍ@›“WŠ–ďÓčÚ˙ó"Äé Š:Ĺřyq-UĽˆ č0` ój…hö‘r8;Šř‹d˙2[a áÎü˙F˛?˜˙ó ÄŢ +1ŐpAFqÎ@ĚfŔ &÷MT0ňƒëĹrËCţ#÷ßűöđěߣ!Żœ/Ş;Ř˙ó ÄÜ 8žĐBLLEŕ€ƒ zTď炅î J#ˆś”<ĘżžPeďŒs~_E=˙ó ÄŢů"ÜČ1DpY5 pĽŔŔ€Á2¸8cŠ¨T +܇&ˇâˆžM”¨nŻęî˙ó"Äá Y +ب2qżĽE.€ŕ#B•rZ“#2Lŕ: .ÚŠ‡ďŕ!OęĆYŃyՑ˙ó ÄăÁ*ŕČ1Jq[ŤTjÎŔQ0ˆřĺ0‡ + ˘ +ęWS„h[gMÇ}ŐÎŹĽó}˙ó Äç +6ć81DqÁ°ßpŃ Ŕďď–m ĹĽKBcď›Đ_°+N^ßYT˙ó Äĺ *áP1„q_Ż`ä‚R2úpű$cútɚÄÝý ŹUżókť1ĚžZT`ß˙ó"Äç +Y2ÝřIÄq÷eżŢÄB~Ž/ćÚl~h4ŠD*˙ŐcWÖöţËďv;[ŻŘŒ5˙ó Äĺ .áđ1Dq3Đpd)@šłXLk+VĚ,˙Ë*’ý8ZŽŰ͍‡é˙Í"˙ó Äç ů*â0IJqÜAúŕ +h,Ui› Ś*+rŁzş(ů­Ńřýr,ľŘß"Žĺ˙ó Äć á*â81Äqą”ŕ@EfçVDXrуPbH§¤<Ü׿ž [úG/œÁ6ž˙ó Äĺńá1DqĚC(Č ™…‰ Œ‘#BÖřÎ>÷úďAá¨ú–ZHţ˙ó"Äč +1*Ú8JDqd˘żĐă¤@{ĺ́ɎĽeš>QƒV-œÝ˘ß{âićőQ4Q˙ó Äç qÝřJĘpb7Ŕ@ŕRâTą1c`Ş6Ü-•O(Aę¤Ë/Ś÷ĆţĄCőišFîU˙ó Äč Ŕ–ڐJJM˛ËqÄA@mÔtT,%.†geÄ}#Ö j嘊ĐBDrvśŇ˙ó Äč pöŮřZ„qßN&ܨ,ŕ€‚ Uś×ô•x7ť@Ÿ–qa{äa" +ăźĆž#Óś~č˙ó"Äé +).ŮřJÄqŢcŞ°Üä0Ȩ}WĽđšœ5Qu49…q|ôá1ÝŒ—ˆ2X˙ó Äč +1.Ţ8J +qĹxŕéĚÎB„” (ůXd>Ś +űŤ4AONvY›RĘď/‡?˙ó Äć ‘ŮřIĘqT‹ů0Ŕ Š_$Š$ń†Ľ,bΙëł/ä;oůě8‘ݨi•ś˙ó Äć ¨âŃŕJNp˙jŤö܂€‘ 4,¸Ż\‘˘"ŁŠPęŠAß°WŮ߆˝ë›ŕż-˙ó"Äć ů +ŃřbĘpAżí@€ŔHkhuiXšC…qŔ,âńě“‚:‘Ş˝ŔüŠó7ŤT˙ó Äć Á*ŐřbqL›q%€Č č)Ą>@\~Đę2š\ZĽöŕ3§M;xߪç î˙ó Äć p˛Ú8IĘLĆ8”¸¤ €d +0ô*ËUCĄxiŘy­đƒKëwŢŢîzőńWő3˙ó Äç  ŢÉčbpĄÇkžŔ€#Hąƒ6ˆR.tl˛SCůBÁśNĄ‘"ő:f—Ô˙ó"Äç +Q.ÉčzDq§Ô‘Š!őo,€ŔŠ Î +ÇĽŔáđň#ŠˇYÓb’ž˛Ą\[¸Óp˙ó Äĺ !ڐIDqélŘÁÉ÷Çż|ąń_“Ěżdş˘/ţŸŠçMŤ€ţ~`C8š˙ó Äç Ń&ÎaJq:hŞ@H¨Üľ§ŐÁŔËůž˝b qőWÖüЀ,ţ_Ń@ ÂCwWŞ˙ó Äć i*Ö8IJp˝&f…IĎóÂĂ.ÝuT?œ# Ę˝[ľ§.ݠ̀ëĄF|¨FIž9ƒ˙ó"Äç +! +ÍřbĘpăfzĆ-ţ?ç˙ÔHó‘E +YZɀ ’ +#şCQŹî°/)Áę&J˙ó Äć +Y.Ň8IÔqcY[ű†ž§K+~Śoýő`’šâ“as虐€BĄ ^#!Ń˙ó ÄăÉFľXcÖqmyZ˙B+ąą ş<žĹŽÍ#Ę$w'\FŠÎu)M^”Ţý)ËąĘ˙ó ÄΨćČ1Îp€Řléę(†§ŕm‹ězžbżgpô7m$ţu5Cż>U?(ó.řÔ˙ó"ÄŇ 8ćĚČ1Îp`řpb™ ŽT +ŽI€ňhŢPßS+]Ięßă_ć`m‡1 bŕ˙ó ÄŐ ââXHŠp˘k#NS˜T;ăQů˙ř|KšŞ__óő˘`ˆá蝜éŽ˙ó Ä× !.ÍpaJq&<ňĺ)żRĂg™­ř}ˆq'Eń<áaňv4" Ś(l˘Šî˙ó ÄŮ 2´cpŚiE—őź˙:ŢW"ĘÍČÍ˙ţ€ĺÜ5âŚbȄ`Ę!§.‰qÜ˙ó"ÄĂš +ÔČITq|r… +žj0¸˜ÔiƒŸSň…“óH€œ +“lŃ'¸0*ŤĆf˙ó ÄČa2ݐ0ŠqšŢŢá#üp=ô~żę&YúŢ_ $ž’ˆIQ-ÉŠ“Ěä#_•Ú˙ó ÄÍ  +â82po_öűˆ„ž( +'o›ÍAw€˜Q…‚˘Cyž¨ž\ŘŃł2˙ó ÄĐ šŐxIŽLťţŹ]~ĚpUF˙šFVmPÔIČ3b†ůTÁú +eó=_Ą˙ó"ÄŇĄ*ČI„q†ś‹ęßć+r˛ ÇœŃŃcdĄ°| |3­éH˙ó Äćń6¤cqiţQ,…hŒOC@ĄwwyŢ WćÚéRN›A­3Oő°ň“•\Ě˙ó"ÄÍ Aѐ0„p‡(ÄŰgUz‚*ƙB cS•J*‡ďľ-4ČúřŠ˝ZŘ$Ń*ýDÎđp˙ó ÄĐŮ +ź¨ADp%ӝŠ@jššb#Ţ y†ŃČ˙ěřń6ë€ ô8Oj š˙ó ÄÓŔŞźČY„LaĄÂhT +Ąy“9CÓ>qČÉ-˙ůĘđú8ĐóĄ.*˙ó Ä×ŕćźY„p$!Ažľ”žŤÂ˘Ëč7ţžAUćZ5(˘ƒÇ•e˘Ö`˙ó"ÄÚ +Ą´ bPsÂW§'÷ńĆ]‘˙MĚ[”U`—[#m€ü4 +.N×%Ć bßš—˙ó ÄË + ÎÄJLp÷űjBtDůŘZť+üđ~ŔÔiŔ­ë>] 'C§OÖůüÔ~Żő78˙ó ÄÉAÔ2p8ŁÔÄtQbŸ˙Ť‹'ľAK’ŔĆ:ƒ€ÚXuÄ\•}ű¤˙ó ÄĎńĐČINqđşő=mďővRšÄ!€… p瀒 +SląÇp +,żŮ˜żr•đ˙ó"ÄŇ .á˜0„pŁír4EN§›CyŠ€ĚX |ZQiuƨ*Üsú꒰}đ| 2űĚ˙ó ÄŐ ć8X„p„AĽszŔ L,¸Y‰ Č1ĆâĆR…ÎFPPĚťî+Öu<˙ó Ä× .úX0„q*Ůńfđˇ#"Ł1Z#w5aCžę+†ŰşĹézƕš3wí Q›t˜!˙ó ÄŮ !ČZJpĄ6űŁ*@ˆÖľţ˝ĺąŮĽąőB•Ő‘iÁ…š3ç‘}Ťá é:˙ó"ÄŰ I6ŐxB +q’…ŐƒZ— + ‹mĆ;ßÝ˝Çjŕ9ľ2ëŢţßůŚŚ*žT6  †˙ó ÄÝ žŃIŠLĽ!@BŔA‘;ÂOÓÍ$ý8ŁuyŽ•aƒšž5j|ŕŘ7 +ˆ˙ó ÄßКŔ[Lď Ä6/äüTđčf¤~÷łíwŃč,ôđ€™,¤…UlŠŠi´W[˙ó Äâ‰:Ź¸{ŇqČ9˙.órŐń0]óć˙} +Ľ§ú˙~ŔłĐx˝O<|íŽlÓŃ!˙ó"ÄĆ @ŢÄa„pN._ľ˙–Ş˛Šs—7(ĺüűkŚi40˙eŢnĹôŽőşgh~äăç%ľő˙ó ÄÉá"ĚČIŠpŞňýśëŁjśĺ'Ŕ4úČCX ŤôSm‡ ‰|š™[ˇ­EAíY˙ó ÄĚHšŐp0„LěŠH ňq¨+^PTƒ‚LÉo‰ľő˝œ§%z*üÂśëřk˙ó ÄŃÉĨ2 +pđňcCné¤=4ŤíÜé\3fŠő°ƒűź§[řÖ~…‹źăľŔ˙ó"ÄÔ š¸2ĚM€čÁđP +żHĎ" Ŕ +K(˛ëÄşVőO6ľŁß7út˙ó ÄŐ9:¨{Řqš“ŤƒŃěĘWgHFŕHˆ€Á™‚MÝW‰řžLrƒB˙ó ÄťHţČ1Jp1–ÔĘ˙€ +L@ÉZ@gaú7Hí Bě’ ™V#t­š;˙ó ÄŔń6͐IDq>]a˜qŰ~Q„Ú§Ĺ.Y0p";„ 8B4Ĺ"ŢÚ§‹˙ó"ÄĂ źYŠpýfKŁeżďę/˜çef^`œYbpö€ŐmÁdn§GůďŮŤđÝ˙ó ÄĆ `ú˝xJq™ęßßŐŰý›÷ŕą@ + äiĐĹ8ś*ś WCj(_hƒöç6Ť˙ó Äť + :ŔJFsSvPI†/ć€Tp2ä +@Aܕ‡8 ŘxYź]=œ$—BhŒzčÝ˙ó Ä­`ŢÔ1Šp>˘ƒĆâ`=ŠË(2ąi(ĺ0P@U8K$>+r6Ďü(܁7˙ó"IJ Y*ŘŘ2q_AUҘ`é’IaÇ*‡ŇÇňé­ť]TîöˆKÍUQO'âŐ}dŔ˙ó Ä´Y2ÔaŠqˆ,öôÄXŤeOŹ)Đŕ’(N˙.ƒĺ}mîw_é"ڙ |üR\˙ó ÄšĐćá˜IDp'ç-"‘*pnľŮ™CsŘв)‰ +ŢńvŠűĘťI<ő"ŕčć˙ó Äź ćݘIDp´ş~ŇE‚! ƒZ Oăźá–vћgfş¨†Ő-Ą@K?B6"uÇ˙ó"Äž 9.Đ(IJpNk8‰ŔśĐżţüJś%QşĂěĹ*™‰€ő"  ŽpZ$…ÂĹÄÝ ˙ó ÄÁЊՐJNLŇ×$%w#ƘÍD_=œNEŃUŤQz@œZ´všw~ç!BŹĺIˆď•˙ó ÄÄ€ćĚČHÄpřƒącĐ#:WŽš)[“J}\ô&Œ&6(€ YôQł37ĎĂ˙ó ÄÉ žĚČJFL¸ůŘ2ĎŮąčÚżTJÜů@dô!X +žëÍ1”UŠĂ¨ŘÄ˙ó"ÄË XâÄČJpDĽÁ/ËF ëSr3Őľz€˛d¸`‰!ódÄŁĆe(6žŔZş˙ó ÄÍPšÄ(J LĽÄ'>ÎŢŽĆjněŽčĆ˝Špda0?¨rQ$•$-Š)°˙ó ÄŇĐŇŔJpuržDŇÔľ(Ýů˘îg˛œ łTńaŕ{:Ő +Ś…ŕőę~˙u˙ó ÄŐÉ.Ŕbq˙ĺ0üäB;ľ+‡é@šôť€A:Ó)IŰD&ć2˜‡K34ź˙ó"ÄŘ ú¸J +pěŹĹő(ţ…Ř; +Ň +ŚŔœ Ž|Ô…ő8L;YđoüS˙ó ÄŰ ÎŔČJp°ÁĺĚő29ţŚúę;ť>Ĺô€đ›´3äw\ľT’YŤY˙ó ÄÝ +á.˝hbqŇT_ńnçŚX4ąI ŃÔ&"Kî žOŻ!Lb ˜1Ęć]Á-‰˙ó ÄŘ +1"ČŘH„qö0J"­ŚPdÓ1ľ,ějIq ˙Ü­Y„şĚK”DŮ´<ˇB˙ó ÄÖ ÖŔY†pĹ ¸U#Đř0ŠÚi"„׊”ČFňŤžÔřˆŔLPě@—.ń˙ó"ÄŘ ŽŔ0bDL@d8VĽivK°řšw›ŮT<Ő ˜Ř> ŒbŇ㏹%Vß,ƒšœ˙ó ÄŰ řfĹxbD)¸|x`žĂë¨zžd;"ŞqĄ"Ď$>Ő癐źQš@H'đö˙ó ÄÚ ¸Ň͐a†q21D›Ĺ +:§őjÔ;ó}SÚ^Ĺ6łá2(vĄ(¨ˆzs˙ó ÄŇŠFŔ8yq‘ U€˜Z}eĚŞŁN ŔMڝYË BĘb’š… ނŸŸ¸˙ó"Äž¨ŽĐzLLl‰Ežƒş!iTcŤ—~vł˙ŤˆÜ䔏ƒžBţ*˛FDJâĹz˙ó ÄørĐbFHăžaCÜ(źŕfŔĹŇÓOŞ"-Đ$h'Ž/Ň7,äšㄡeh˙ó ÄÇ ŚÔ¨BPLž÷Ž+ĺ +[`úúm¤Œk Ăň)ÄDˆâ٘Đv +Ľž9Ęwü˙ó ÄÉčŽáIDLš˙ZôŻ°2î璂‹îg@€uą +čNBbćزA’E zËÜ Ç%ü˙ó"ÄĚřŽŮXHĘLs#‡„´í­–wĘ0”’Áá + Ň ĺqW§[’ĐtëÂ˙ó ÄĐ°âČbÄpÚ ŁčÁ E™š~Źőđm_E´ü”N<|ú8$I˛1űÂ!őt˙ó ÄÔřŠČ¨JĆLiq"÷ŠŽ˘ł5Ôóţ`œ ‚„cҨ\¸iłˇmoܲáďSc˛žT˙ó Ä× âĐđbpl‹0r0É5sHň đˆ¤0×HDœl‹^Ě1C–OĽ| +bG ˙ó"ÄÚ Y +ÍPJq Zp ˘űOUă +ül(%„0ŃPÉâ;R4îíQ5šEż%)Ľ˙ó ÄÜ ŠČĐIŒLűŠ=‰? + ńġGbŁ1BćŒiƒ>Ś;ÖŰ˙‡8/psUE]r˙ó ÄŢ šÁ˜Zq˝¨×&ŠĎŁ-ŮŘřCB,şa¤J+ š06†’hjݲ‚UZ•˙ó ÄÖ0˛ÄIŠLz?Ž\ĚŤžJ˙ÁWěă€=€2W +ľ{MŒ+CwŠ#7VT3h˙ó"ÄÜ ŞŔcLÖr÷—g4d€˛^˘`Ů=€˘#Jčđ…TˇYh‹•Jíş‘œ”˙ó Äß Š¸ILo];|U2  Ŕ.Oˆěwg˘p(÷!’˜Řö6Ř!Ęx˙ó ÄáȊŔČJLÂŇV8lBxt°Áś-_‚żŢcS*0 ǢQ˜ŕ<ƒ4bd㔝˙ó Ää iŔPbJqÚëîˇęzZ#Î˙†ĆďűŹžŠ/yv›–żžđŹĐĹh˙ó"ÄÝ Đ†źzPI|MŠfW!™–Ě&˝c;yźˇ6aH kó~Ó 2­t0ç§$‡˙ó ÄÝ śÄ(I„L1X8 ŔńxŃn'œ%ŠÔđŠöcoş8ĎťŠ5şłĽÍäaô˙ó Äß ÂŔ(JLťÔž@Œmä9Sž ÇšÄKĎ ¤î“đOëҨľ#uż +Úűƒă,ԓ˙ó Äá řâ´8a†q“‡ćZ3\˛qöU?°ő^“ŠJ_u'En X,ţÍĹŔ™˙ó"ÄŘ +ŠFźbLq°Ł'HĺV‹¤ť1ž.Óœ•Ă܈= ajE„´€h?*ŠŽ˙ó ÄÉ ‘NŃXbJq×6qq¸^VáO¸^_cA0ő/͢&kCN*. +$¸Ŕŕö¨´Z<^˙ó Ä˝x†ÔZLHkHcY*vĚňhç'˛W”Ë‘>ĄĎ  üĄ™‚Bâ4 ˙ó ÄÂřŽÔ¨ZLL‡ĽVŢt{kďĚńÍjsł|;şÇTűuę&O…–+<6ąK­6×ÄĚ˙ó"ÄĹ PŞĐ¨bVL|+şP+io“řˆł +źËlu”š´€|?:;ˉĺńAŹAV$Up\˙ó ÄÇȚԨjĐLŐ ‹Zޚ0'şrĘĂ@ó@ƒD`˜ąTŃP¨•Žŕn˛ÍÝWę˙ó ÄʨŠÔJFLÉ ÎG˜ďŸË>geHȄi`{2>Ú–Dčˇo˜“XĄĘ(ö ŤŚA|˙ó ÄÎŘţِIDpQ7ÝşX„LWXĂC´P;äŁ0s^™˛{Ąsô2}l–"4Q˙ó"ÄŃ ĘѐI„pQ˨ĽĺaŕŔ<[JF7ľ>ÃĽŇÎůĺă6O=’ŮNKŔ°Í˙ó ÄŐȚČ(cĆLĚ €C‡âĄ`0úŁ‚Ę`¨źŢ”Ą—ěĹý˙&]jăqGŐˇd2Űʘ˙ó ÄŘŘĆĐđIDpô%Kƀ,ö ˘míj€ĂĹÚ""NűćUęFĘë§D>ߗA˙ó ÄŰŔĘźZNp˛wŽŤPŒł–‹Ş¤ßD1ă‹(‹DŹűZ.îÚ¨{Ňq›QxNŠÖk­ˇ˜€ž†ápsâgŃ6(ý•†hĹq „-pć&´ŔĂ˙ó Ä˝°ŢĚČI„pącڑŸO¤Ëz ž˜pŻé†‡ +ë?Á˙ň ›Š(ƒNÍ”ƒćŐ˙ó ÄÁ šŮ1ÄLXƒŠU0ąaośŁíýNh.¨ĄFŠó ˛“Ž×2aűäuöŒ`˙ó"ÄĂȚČbNLöI&X’E(Q +5Ĺm¨Éś úÓü!E{BńÁś9q „Ąâ‚˙ó ÄÇą:Đ(JsĄ(?ú,q;öSŽ}Aô)řÇdúŹÄ-Ř"iŔݝ™!bâ˙ó ÄË –ČČbNLŘŰJ8÷sĄ üC1këPˇ=?ÂťÓĐŻýd @|ă™+Űob˙ó ÄÍ ř‚Ր2JIDf0}Öő €˝ČŽ_Ě$CÎúˇÎP˜ér\†0ĂyîŕYœ]˙ó"ÄĚ 8ÂŔ cÎMĐ=Š(DmвŒřŽŕĚi‚ďö̤#Mŕb,ľWýćÂđ#Łt(˙ó ÄÇŘžŮp0ÄL–—řś;žŮŢÎ˙ /ǀ‡xh‚ÂÚş%ľÄÍ€ WzHÎ˙ó ÄĘ Őx1JqWőtP e˝[ô1™AŽĚŁœ@feɧGŕ6_:TüÁX%üÁ™˙ó ÄĚá"ŃPJ +q3?Ţcł€Í˙˙EVA˘€‰%@Í +Ŕ明 WŠĐ$ť*eú 3Ěr™§˙ó"ÄĎá"ĚŔIJqŸÔůňď¨2˘a ˜Hĺ”Í:-äĄ:ŹŃX&JŘůó4*ť(˙ó ÄÓđşŮp1JM˙ŁTżť€P‚#•RŚt['čsłGţŽŽďźœˇbQSJ2Ckî˙ó"Äć +!ɀIÎqŢź=ţ~ ŠĂń`ˇn˘O‡‘ +čŽK݃ż3ا)ßűΉ˙ó Äĺ ÍhIDq}JN)Ôçň Ł„ZŸ šňPA@/IˇĚ@¨ň{ áˆa~‡íůL˙ó Äč Q"ÄzqűŔŐ(”€âCęé Q›˘4÷´VĹP(á}Żwˆú’ŒpÇ}?rÓĄ˙ó Äé +iÄ bJqÎĘPdŔÁ ˆf•çb2™œ6Š¸×wlD{­• _–Z„nôep˙ó"Äć šŃh1ŠqŒŔ]ě?“­0Á5 śi_„n€„~hLúEĹ;z~ˆ9Ä­—§ëg ˙ó Äç +Ő`2Dq7 í=Š€řç28/˜„ficóšƒńţýd +b[ŃXi_Ľ/÷ˇ˙ó Äć É.ň80„pMčđ@J:áŁÉŞnAQÓňE—€89x˙ćIŔA3;*Ćňęý›ý˙ó ÄĺŮŘ2pOç•|Ŕ@,،Lϖ ፎֽ˝gpá9*śҐp€^ţEMÇž˙ó"Äč +Q2Ýx2păN,45ş@… `&Dř +{eŻČXx0qĹuŃgű¨QY?ÎČĎĎ˙ó Äć Á +ŮXIDpo0¨P€ĺ¨Ą†%›ƒ3LŠĹďA8sÍŠœžv˜ˆwô}ş‹˙ó Äć Éę8IDpúĂd¨€ +§Ž?Xz…‘…Bž +ýjĎżŒĆ7ŢG)Äű~Öž˙ó ÄĺńŘČ1„q‡4@°6¨|îˆÔść.:żkÉZřpˆÎIß3ŮËYźÉČaJ]˝˙ó"Äč +Ő@JqZëă큈Âp}ÚN*ˆSŁ|^nžiC~Ěin-ýv´Q˙ó Äç +îŃ@ZÎp—|Pő€Á ’Ä!ů•Ă '‘ĺÇWţ†o đm wöôZ*ň˙ó Äĺ şÔ¨2 +MŁ0ĐqĽH„ŹÍ&8ĐévÇÉĺT0ę­Ľ”™€Éo=,k!ޜéć˙ó Äç ńݐ1Ęp]IüQy€ŽŕxLsaaŁJ‘Ű\ÂăÄß~V ?”´WQžg˙ó"Äć )Ő@a„sďĽGđ´‚Ŕ‰‡8!B Š@JúěŐ˙Vˇ—rŁ–3×ć˛˙ó Äé +IŮPJNqU9VFt9ĘČEA8jœŁrHŘšWY“ě—˙Ů(§ąZţf…˙ó Äć žâ0ÎMgÂw8r4łš—_vÁâÝŘ)X” ZUĎóR˘† ƒîÄ*¸’ ˇ˙ó Äć )*Ýp1Äq—ˇĆ˙e° ${A!âŽőř¨žj +Ĺ­ >%†jűÚ° ޅŃóşü˙ó"Äč +QŐHIÔqŻ•Â@ü—‚3úĺĚ}W:?é <ĄŰ÷ĺꏌb{č{ňŚŇŰůˇ˙ó Äć ŮÖ1JpÜ\^Ý@‰ĘĐXô>œ1†“pa™„ěSRĄĆ˙ق&ÖË(ă”ú"˙ó Äĺ îPŽqŽÔJ|<ŕ’ţ<”íI¤k3vjŹ÷ř2]VéüvĽVf2îđ˜žŰ˙ó Äč +(öŃHJ–q˛rîX(Ă&†F }Nr÷]3÷‰XjWl˙Wĺ’ůŹUIŒ +ú˙ó"Äć +!Ě b +qýĽĐżn°0‚˛#Ž-#lDĽs*¸C>Ř#ˇý`q˙ńUɂ *ľ ˙ó ÄĺŮŐHIÎpYË!ĐCŞMRP&tҚJŠ ˘iҘ$k”•ńŒ_V‘pvî>˙ó Äč +ĚJTp›ęŁť €€8ö°Á°üČkó`ĆAÔâ 8$iVMH[´amŤÓo˙ó Äć ŮęX1Ęqn­Q`Ž0݀rŒˆ“–LÀĘ‚ĘvđiŤ˙ űí+ę Ç6ŽŠ˙ó"Äĺ (ĘĐbJp'łĽÇ"ÔY7/`–ej^s|pąŠăLńŽÎĄ€šÝsŘŠŮ‰ţ˙ó Äč +`ĘĐČBNqŤţP¸ŕNHp"¨â'ŮC5 ĹůÁ‚ľœZůtŠĹ˝g5t1_˙ó Äĺ Îé°0ĆpՑEP¤Z‹$rĹŘw“ĄOct(!ƆŽôʝíŐĹľ:9=t˙ó Äç + Ě KJp˜rŔÁ4 +’“͢ćd|’NH>Ť{°ń(Öú  >T@ÖzĂ˙ó Äĺ !*ÔČIJqfzß%u€Żŕ +ĆaÂ,x2F8ĂlúĐlwţWK•;Ô;?j˙ó"Äç +9&ářHŠq(Šĺ +€ˆ ˛yö˜Ć@i# +΂ó …'%¨×nQ4ŃTš +Ü˙ó Äć i.ĚY”q„zŞ´, YU€Â>€Ÿ**4sň;ĘĄĄ)ßö~ł”ő`X˛‚˙ó Äç é.Őđ0„p.ę?t„€ĺ’€LŻ'ţ?QŞTg_žˇť D5öˇH‰jˆ˙ó Äć PćŮp1Jp^näĤ€Ź°Ş?$ńvëüp†z'¸ ßád9‡gE<§\˙ó"Äç +HžÚIŠLB)D ˆ¤Ŕ3xœ ÖD+P8ş” ů1!Î/ýBD"T˘çZœÜ˙ó Äĺ ĺ˜0„p•rNVŽ ş\Đ{WU`đmÉ?ęPärŔô +6Cؘyw,Ď3™Š€ŁĐŻť[9č˙ó ÄćŃ.´{Ňp!•şżő0CGf€"iƒŹY1Č9U:Tp1Đ˙ԟ‰ĺ˙ó ÄŃéĐJ +q*Ÿ_Ľz›†PôL˛šŇ5ts uČCšäăšf|Gƒ’›>ßÔ°d˙ó ÄÔ°śŮPIJLNBď&  + Ücř—ů‘™Îl1śîՉËâ‘kˆŘ"cœW&˙ó"ÄŘ QÝpJp+[LëÁĹÁ`)ĺšmV ä>; Üg +żŸŤöaůAfôł˜ÂÔ˙ó ÄÚ śŐPYŠL +ú|Úýëˇf€<€ś—Jěx] +mgś!Žr˜‡ßџŞ4˙ó ÄÜ !ĚIDp€•Âh>”bF´‘ ąSŠąŐŹkóY¨ +¸dˇSÉŃź˘ýČ˙ó ÄŢaШHÄqě•v(f`zÂK¤ó0 +Vs—¸oGmŽ˛ŒIꚞÜöžĺ4ÇmD˛ż[K˙ó"Äă¸úĚHÎpa׊.Ě˝Áż „öF™<,ۉŮđËN ěĚÎťaŮé X˜“šZ˙ó Äč +ŽÖ01ŽLÄgüĎX0Ą{"ŽŸBřÉf¨ęş°ĺCś™˘\‹÷ž˙ó Äç + ÍPBĘqŽG'ˇó€ü0 .@Q +¤ń“|•„ƒ8qPM:űRŞ241‡ĽŠ3é˙ó Äĺ‰ÄIĘqňƒŃZ“€z8•/eĺ&8­ŠœŒ "/:;sd;ĺœđ7˙ó"Äé +JŃXH„q ,›ęj“@÷‹ÚâĎ:bśw Ľş ‰ťŤžzŁ2Á*ČŰiŇ˙ó Äç AJŃPbDq¤Ŕ)°é°şŚNEBY;™\Â*ÔâUł38á,>péůgćşô˙ó ÄáhŽĐJ +L˙¤ś“@Ą`ř(_Yš˘ +dŸ14ëšâą‹C÷żž‡Źk\€MűťtV˙ó Äć ŘŢШJDqx žŐk#ÓĄ¸ XVˇ .G;N—ŮóˇMΈ•t9ţţ…˙ó"Äč €ţŔb +ps‚oKB pl2ÂÍx†Ă‰˝…EqŸč¤DwzÓ™V0˙ó Äâ ĘШIŠpp|ÇÄ@-”0đü$B"&´ÝhŔʧ\‘űhĺfBIA}Ď˙Čk˙ó ÄĺéՐIJpˇXă`IŅ@钡 É Ű}ĄÎĘÍő[o,ˆ¤ š˙ő(g"˙ó Äč +!JјyDq'ôu@HŔőŸˆŚřv˘ą’,ŠÂŤ3~ćú‰–ôZÚ^˙ƕF˙ó"Äć šJ՘IDq˝d”@Ăűb#ąÍŞ4đY˛ĹNÖL­pˆMqŞóť8^T˙ó Äç ůѐI„pb +ŹÄUwšŒ1÷/‡ô6ŹW ›—ŮÁíQ@u +”îW0őÚ˙ó Äć ŕĆÍpHÄpWBœ~ţ˜Ěˇ}í?÷Ű×ĚĚÎ˙ÓCűD™€ +:ć<ôDŚGŠGI˙ó Äĺ ِH„p’ÓIgÓóEźĆ0őwÜŞÁF&•‚<ÔjŮ€G;”h÷S'čąč˙ó Äç +:ÍxH„q j~lč!m\†jfÔŰM—ÔĂe-"v”A$ô"ÂŻNœĐQĐ˙ó"ÄĺřţźaŠpŚfaâÔ!ŒÜE÷!hĐ2çů›…íÉ>ś˘´Ét.ÖĆĎó]=y˙ó Äé ą +šxz +qŚě8UőšZĆʍÍa}-ƒÓ´JuÓb9ę‹|ý•KŠ"’¤˙ó"ÄÜ @úŐPJqt\&Qľ˙ó"ÄßČĘÄI†pô¨"("]@™ډz´G”"ˆŒTrŕýl˙Ý55ĽffcĄ‹FG˙ó Äă 2ј`ĘqDFĆ6š@ŢM2— +D Lś +ga:oW$W +ˆŃ.s`˛}ťńŕ ˙ó ÄŮčŚĚJL$ % 4/ŁĹf§ĺŞŠž8ó?0j­ÝŠŰ +š÷Îö•„Éďʍ˙ó ÄÜЎĐ(IŽLŒĘ‡ 0Ąđ¨[~×až +ĎĺS5˛Ň¨ýŚďS“şŮ˜şíĎ˙ó"ÄߐŞĚJJLĚO ×s…Ŕ´ÁFÉPŠŔĂĺŻ[oƒ-(y…(˘8L &ˇsT˙ó Ää0†ČZLHf˝ ߒAv0W⚉ľˇAŃ8#Ůi$ÜűÇGŮ*€Ó^pá˜i˙ó Äę +Ń&âX`Äpă;w÷< đ65őő­ź˜Ż†Iۑ ĎMŔ1Ŕü& -6]¨2˙ó ÄĺŘjČy(aqL”c{ęú†ôŃlf+ĐŐt×ëţ¤°ČüĂ0IÇľą‡3$&ö“˙ó"Äě 1ÄŘaŠpl~pfWXÁw0QďuŐľ-‡€šbĘÄö%˘áçŒ2 +&ëůęúľ˙ó Äç +"ÄbÄqŻ<—:*[ő°0njĘhŕZI(IŢŘĚň,ËÖ[ťăß`HEwą˙ó Äĺ ŽÍp1ŠL…Š îšË3e`đ†AűĄßfĆćOcypo|ŮĐ4đ†1ŃÓVżă_œ˙ó ÄçY>ŹĂ qç_/Ţn§6<ř|2ŇH˜ţ‘$X@çzŢf?劙ŢϛĽš˙ó"ÄĐčţČI„pď(#ŒŹd0›…§.Š:ZŽŇFŮÎńú܌aˇ AˆQ口şĆb?É˙ó ÄÔPŽĚHĚL`h„ŕä‰7K`AWŇϑS¸mýQƒŚüí_ú ˙•n˙ó ÄŮŔţČ`Äp¸žńB@ցDkÉ: +(uÁŃHŮ¸gĘÚ¨eB%Ÿœ•YĂ˙ó ÄÝXŠČZLL’2 g ŇRBc{—˙ó Äč đĘźy„q”E8öç|)ŽYśPܛ„)‘]Ç÷—Ź đüH[ž‰É8W˙ó ÄçɨĂŇqR´ÁÚwłP=ŸÇ1ěD]äć„<ŕ‚c‚ŹŠ2I(#éϙ˙ó ÄĘ +˛Ě(aL‚G¨ĆŸZ†ÜF 2ëﮔľívL˘püđôh§¤Ę¨ +RŁŚřbÍG˙ó ÄČ ĚyŽp°ě˙˜˛yyœúsŞ ÓżŞ 5ƒ€C a4j8™:ü?Ý°˙ó"ÄĘ @ŢÝpX„pĹ?z9ý|&rʎc˙>ˆ°6'ś-NáŮFŕÂíŕójÍ-(äÓ(˙ó ÄÍ°ŚĐ°y„L“ˆv˙šZ,†ďOaaŕ Sđ?0IŇ1’5˜3‡Ž]“B“ĺĂ˙ó ÄŃЊݐ0„Lýˇ2”Łˇ?ŮM€<0Ąçńo;ĐjS-\Ą:F{„ŐĘěňw¤öÖ˙ó ÄÔ 0–ĐČYŠLÖób¸TÖkeŁEfrşęžę{zÍ?6Ne‘†€ĹpĘ˙ó"ÄÖ IĐ°1ŠqŔ § /H QŕhŽ,q Ň7|˝ţ˘|¸Şv–F“2SŃh, +ů˙ó ÄŘéÔĐA„p? ühÝą[ąĚąZÝ.ăďŮŚ|MâنJFůČ}?$řp9‹r%ţ˙ó ÄŰ ŞŔbLL„$üŒŕšÝ?‡#M…Žz„¨7‹™uvMJę÷Îo˙ +ë4€™.˙ó ÄÝ şĐđI†MŽîŔü\ŃóFĆV‹tǚw$>GŞyƒ‡Vá5ćjR ĺZƒŔ‡ŸŃ˙ó"Äß :´{qőWëqd›D÷Ó¨ńY‹>đČ„Ş¨2Ţc%ľíyY`q zđünlŠ˙ó ÄĘ ™٘2qH“Żi0嶒ŚmČcHš˛úNú­űéăČlüB+ĹG–H˘˙ó Ä ćĚKĆp +”ľÎŽí˛ +řĂ`;ĹrĆhsË7~`œ)5Aě6\Ê qňĎ%hJ˙ó ÄÄ ĚČJp(‰Č{÷SvÎD$ţŁs5SŽ‡€„lđ\C„ÁEĚJeˆ­ě7źČ=˙ó"ÄĆ âÄbDpw=pĽ6ǚi źXar čňeăźĂ'™&‰,]”uă˙ó ÄÉŕŞČ¨zĆL:łPŻO˛éok—ßPŔ(°ă ÔE#V„ŇŞáăaÜč› š˙ó ÄĚů*ݐH„qśottˇÉÝj´Ř ˜ÉȀ†B˜ˆLlŰ[ɀ IÝÎ'3­˙˙ó ÄĎ0†ĚČIŠHŠ ¨G}rĺ×™‡Ľ˘Z$1äÂ@(ú˜ü˜ +ś&8}Ů˝V’›˝˙ó"ÄŐ ÎŔbplkćh›Błđ›Ţ}N¨ZśF^ž€ÔBăZ(oI‹4ńŕrŽdęUÜ˙ó ÄŘčŠÄČI†L#;˙č@6_S§ŃŁWÎ×ÍiíÍ B¤ŐJf&A­G_NŹąŁžÎP˙ó ÄŰčöźJpx3Ťó^ ‰>JĘ@î—Ç’ő&ŔT,U +×x“éżL/<78#L˙ó ÄŢ +‰"ɐI„qKĐ.űi›–•~§Xč¤ńpz—oKŎBíÔxšU”ô ĹřŘl<Ő˙ó"ÄÚ @ŞÉXIM +.ý@…(–RŁö#çąŰž?!űƓxCL“r"ZCąë +>˙ó ÄŐŕŚČJJL"‰F2Z×%Ň&šéD€.HI`*I öŠĽ˜˜ť–ž÷,O˙ó Ä帊ŔJPLżŔœĽça‘ďş8ˇ;xŞiĂ.´í@- +ČŁŠ ;ďš,ą&˙ó"Äí hŽ¸ĐzNMyVpB˛ müÇfOž´@|é‡âGŮ Z(Œ…"Uo.ώá˙ó Äç řĘɐ1Dq‚ĽM łžšŐ/yŚwë@<çćbbçL˝ +]—Yôśň͎ůgĂŮ˙ó Äć @ŽŔJLL°A,ĆPśÂÚŃĆŇ!Ž…ł""„ą ‚;‡|ĘgË;|ĚÝ+’@˙ó Äč`ţ°{ q_ÖxY].Ë0Eé§<Œ’ʢę Ç;ł<ź[;Vě0nhşç˙ó"ÄŐ X˛ŐI„Lľ˘Z] °…r´Ő\3=2KZtež¸ŁŒľE,Ě0°$ĺŹ9@Vá˙ó Ä×Čâ՘H„pŚĎËCK40<ŸĐʁe`Ć,ĚÔş….ĺoŔĐ°ŕN‘ŕ+ „5˙ó ÄÚ †ÄČJHZůFP5ź€đüëŠ>=‘(üI\ŁPë¤o #É'crƒ qy˙ó ÄÝȊÄČJ LՆvéŤëeŠ €m.¸×Pš q’đŒľx¤4gŐ0\ř +ąˆ ”˙ó"Äŕů.¨{Ěq +śřEéPŔń0q—şiwßĹgó'ˆÝăB’zWcżŽĘ_ű˙ó ÄČxĆÄJp{¤Ž…Â"t ”a´€hÜŃč]¸(ޘ‚ďçŘ"¤Ob=Fňß0œ˙ó ÄÍ úÄČ2păŠ>{uX„ ˛ +źű‚śłGlgßPQ˝ˆœöN~Ń÷7˙ó ÄĎ`‚Ŕ1†HC°ŐÁ™@=Z˜Ę;Ćä EQŞ;Şü˝] ~디'˙01Ľ–˙ó"ÄÔ`ÎźIÄpꪐTIĐÂ÷@|ާS"Fť†UVÔWÁĄ ”Ý÷#\"⠞P<˙ó ÄÚ > ĂŇpޏȧRőŃr>şş‘Š—´ÁYßťÂYž éTb)[ß÷˙C +}Ŕ\˙ó ĸčžĚČALđđ“Uş)żW!ĹĚLo׆=—Ą˙*?Ť˜ŹqżůU]uj rP×˙ó ÄťŕžŮ˜1ŽLžLÄěÉyerŞ‚çÔđ +-|@1;ąődS‘ěßÖÉÓżAĺ€$(˜„˙ó"Äž 0ţݘH„qú˘CDÚA—ŃșŁ3TĐ@ń!űƒtŤô4ËíůZžš@“  :Â3˙ó ÄÁřţŢ8H„pŇBeBŘĘ. +ĘW#ô‹ńa×<•˝˘b§)çęᤀęáĹfe8˙ó ÄÄÉ.ÄZDq9Ý +;şŻc…ŐÉó°I‚oé¨ć0žŸ˙ý)Đ,ĺĚgŹz ˙ó ÄÇ ů6ՐJp$>tujB%ÝB&śĽĂm'BVÝ\`Sň¸ŔşSR€˙ó ÄĆ .ČYÎqr@غ荘ŠE¸R§°řČ"ŹˇśúZŢ­łLńxŃ˙˝&éö{đ˙ó"ÄČ I.ČČb„qy˝ó–<˝Đ$ŇĆą E"„Í^ĚŚˆ-Óó宄„)a8˘ń!eůý˙ó ÄĘ –ČđIL׌Źí™vćôę&1ŢÚÍKfÉüé˙Ĺ65ód'˘:eĚŃP’Ý+Źƒ˙ó ÄĚá.źJĘq3.T€ŚCICâć!ťŻNŔi9’kň˘˜†Ęڋó9„RŰ °ö˙ó ÄĎ !.ŔČIDqÚŔřSí]ŮîWk{lű{s= Ëo­š‹›Ťˇe)Uý_ö/őÂ-˙ó"ÄсN°bLq~đӌęÚđű ţlpćDw°z0gö!‡'ł÷őhŕĹjäŔ´é"’˙ó Äż +ĚČ1†qŔŮń‘ŇzTăƒÎŃWŤ—xœúňdž ‚̏ŕÓë+ǀä"’FC÷Ä˙ó Äź¨ĘĚ1Žpőza$7"†âŤZ9ľţć†Höđ_ŰrŃŻŹÖƒŁ +ŤšÉ…a€ż˙ó ÄŔ  +ĚZpqóýČkufąRí´Ń~ožk4Ö˙+$Í ˜pŐA‚ť *×­˙ó"ĉĐzÄp`ę6=ţž 3Ťw ߍ˙ň-ŽYč@Ĺ0 Ů"ńrl¨j•(‚ă˙ó ÄÇ áH„p‹ŠĚpéˆtċşořř­âŕ𠔜 ¨Ab]} +vľâkN÷˙ó ÄÉ ĘĐČIDp}ĚśŞ(uő­L›:€ššĺćɔ?E%ęFţíO(ŒÔš˙ó ÄË°˛ČbPL~źpL9EMÓ ąÝÚÁˆäťRéHűaĄťÁt~âłť˛˙ó"ÄĎ úČbÎp7¨?ÝîúŔœň +%ޤßDAp% Ž˘‰ęq˘ţ…Ÿ+kČ˙ó ÄŇ Ě°J +pMNI…X BŒĂÇ2 R pÇĐy’ł=هoc‹ü1˙†Í˙ó ÄŐ ˛Ń˜JL¸€Ž–lŒő,{ ú:Ŕşaâ÷Œ^^š ˇÜŽ‘mý_FĐ˙ó Ä× ĆČJNpsěn#$ ‚Ť¤icF&ÂË%~‚ż(ŢęP“&č57ô‚nZNʁ˙ó"ÄŮŕ˛Ě¨YŽL ˙#ę5¸†“Ćvh˜<"—ŠeőA,}^Č"-Z"Wôň#˙ó ÄÝP˛ĚČaNL)Č?ˇ‚ŔšŤ…çQ,‘ƒb ݁tń7ü˘ŒoȂ€ߥßŰrS%˙ó ÄâéĐČIDpȀ€ ú|ş%čR^Đ_Á{áóĐ5öăc€ŁöV˙ë ˙ó ÄĺčĆÚX8„pŰęů Ŕ GHýK¤zvý+hdkq!E!Ša ÎߊŔ˙ó"Äč +9ÄĐz +pɘ°ř2”@öiv‘mmž*Q*˜>ż sűÜŔ żŻF#Ş‹ý†˙ó Äç x˛ČĐyŽLn\$Ź€}'"'٢Ą)$>(%š÷Ę7Ę`7”I?_Lâ˙ó Äč +YŔŕaĘpI‰ˆ I0•+kđUp€  Ÿgůƒ)ßě˙˙T(˙˙ó Äĺ ÄbpţX\qŕ1‚<,ĐĐXÜ%@ Ef%ióşĆŕjú 7ě˙N§˙ó"Äç +JɐIŽqôJůF¸^D ś=âŐ28g†)Œ˛ÁuűJ7îŻáŻó>Š‘[Ş˙ó Äć ¸˛Î81ŠL#;ŐYŔ”7ýK¨Ć˘:őąŔ.ˇ KD +7đĐRíĐídÂô˝˙ó Äć QÍxH„q +iŕPŇ ”œ‡¤.U`JEäT,‹'Ăâ̋ 'Ÿ˙ż‡ć˙ó Äç + ÍřIJp8ČWĄ$ŔŐ,괓*ąGӈŔYŤROžĽć<>ĎÝü#aŒÍăű˙ó"Äĺ YÖIDqAM`ƒW4§sŽĽhŕžŰMŰíŻĘŻşłŠÇ]Űč…˙ŃZî7˙ó Äç ŠJ͘1Ęq˜đŕ#ś€âBŕp"†i$Ä0ž\Ŕăí¤cäm oCˆ˙ĄĽA˙ó Äç +JÍXyDqý"zĐ"(9tq&'j>"­F;36¸_'Ö1(ü!Ć÷Ÿú.â˙ó Äĺ ĆŔb +pÎTş˛°Ĺ,™kYF¸T×yq5ľŻYI:#ůä/$ö%ţѕŢ˙ó"Äç +YJ͘IDq&uGř,ô!‰ŕi]‡˜çSHÚ_ +D;ěÍŃGO÷B +˙ó ÄĺŘĆĚČJÄpߌ68€ ]łś‰Ą˛Ô`,‰Ô`ԉĂ|Ü*gěš6?}Ú˝H–˙ó Äč á*ÉPJDp˙8ńôÉĺK Ńy‰Ş Da\K(ṃܿQ$ßĚmyfYÂz˙ó Äç ‘.Őx1DpÎ'öűX@‚(-O +Žś¸ŽĽ؆űÔ×Gí…n!ˆp˙ó"Äç + +ĚđI„pîđţ%f €ěöšTŔă7ÁXU +mgof.łç‡,—QÎČQހÉő<˙ó Äć ¸ĘČĐ2ĆpEŹ˜€ bNŁU‹h°üPbo>{˝Zƒë˙EŽ ŇĹ/šD7üă˙ó Äć °ţŐx0„p Šü €`ŐMŚd„šŔƒ”â%@3ďă(yLBԍÔáđaĎď˙ó Äć 1*Ŕ¸JÎpęÖ4š°Î€ÁěŔĂoš;:ŃŃLŹoá`eźHĎlT  f@ +˙ó"Äŕ )ÄZÄpzÉ Iâp–ďŒfÂi + ˜ŃӋ.T Kg{śÝ &(ľýĆű˙ó Ä㠖ČČbÖLh4o=ĆŔˆźđÔëŔžŞ,Ą1‰a ;ÄUúѝÄÁ/qT…Ÿë89˙ó ÄĺčĘČČIŠpš@D€0ĄŢT߈¸n2,ąd’–ę9AöTŰB]Üţ"´P‘˙ó Äč čţÎ0JÄp ÷˘ Âű +†ƒRWWN‘‰%t˘Sů˝ĘĎKƒčvĽ‘˙ó"Äç +ĄÄ°ZĘp&úÍęř (;4‹—zşŽŞ\Ą¤í¨&ź{͛{$főA˙ő˙ó Ää –Ń1ŠL˝Ş¤Rˊâ3ˆĘ˙ćr˙ó"Äĺ €šĹPJNLŕńç&ÉÖhŐ-ʄZËÔC”$rńšá\Š@l<5ĺܒZŸ[h9˙ó Äç řö͐JpYˇČ€1D{@b`ó‹&D ¨ëŠÍ8IôKđSxƒQĐä˙Ę˙ó Äć ˆţŇ8H„p*řľad ŕřD}IˆĎžáňŽő{lŐ­˙KťT0ŐąZÎśô˙ó Äć 8śÚ80„LĐß/ƒ(œz @Ć†ÓBAęn胤ťßőM¤BËÎç×ęK°ű˙ó"Äč +A&Ň1„quĄÔB¤Ŕ€ ś0Yü öł;(ł‡K™/Á€;ń˝pˈ‘î ö•˙ó Äç +0öѐ2p{°Ľeąt‡Şz—¨oƒQ˝ڍ ޢŒÖmö”÷ÇźÁÝfśÜc%˙ó Äĺ –ČZJLŽË9¨€ě4=1ƒ`-Ę*†ÖńÉtŒşUp#|úžJ˜żŐľC—Ő˙ó Äç ŕţ՘YĘpş1]ľc@ ë +ŇĆ G(‰‚‚Í'‘kçü6W=mq˙ó"Äć Š*ѐI„qWűŤj €@qâ7Ű(Téú Ź ;¨"¨äoü;/Ôłˇb>őfc˙ó Äç Ń*Ép2ÎqĐCŰ1íËńňFdʕUl˘@P‚Aw 4Xšďb<îŔŐ­VşB=˛˙ó Äć +ů*͘Jq +‚~˛@<‹PCéeą8ç¨`m {zä˙b§•—UÂUąÔ.¨Œ)˙ó Äá@šĚcLLcU&̸Áeł(÷IZp¨KLŇKxĐl¸Ąý0C sUŐÇůöƒ˙ó"Äç +*Č°JÄqţëU˘č`mäŠ*PŃ +0Š*óëcŐEŁŃ˜ƒžGđƒ˝Ę(lŽ˙ó Äç Ń.ѐIÄqÍćôę¤ĚTA =҉ęăËR$bĚíü›âű3I‰qj˙ó Äć ™ĐřH„qö#˝<9|Œ‘&BÎ=…@‰2%áĆ´ýů3ąVö+n÷}n˙ó Äć ˜âŔzpˆŹĹ–m`Pl‡˘l@ݸݢÜđŸŠTľÎWÇ ëÔÁž¨˙ó"Äć !Ŕ(zĘqő˛ŽŤG‚a"(ÇńĎRЖ8Ň#ĘCXąČ$ ÝQŠó…ftó˙ó ÄÝřúÜřH„p'GžÖţYŻ)ç÷0o×Ö+ ^“Čç‘r :Ç`đXž9[AŻ˙ó Äŕ°ú٘YJpť?փBë"ˇŤú{ĨrcX +’„˛‡ĂʀҨĺňÓCÜ2Na;˜Ű˙ó Ää ˛ćXI„LĽĄ;zYu‘ő&ÖáŕçD˜.K ,ÍXţLt +@`îZ:ž.YÔ˙ó"Äć +ţČđZpăóŸŞ+t8ŞąŸ@BŒđá^˙córb0Nxť×˙“¨ďő~Ş:˙ó Äĺ ‘.ĚĐJrě“×űź'@ J¨ăJÍú6<ÔÔÔhˆÔc:wř)“ń,†Š<˙ó ÄÝ y2̸2Fqń +`f¤€ÉÝ„@Ă΢ńô`ß- ĘË$pÄ ż¤˙ó ÄÖ¨ţĐI„pö ŔíKi68şČŐpš8|ÁŇě‚n†˘7ŚWeH-ň}E[˙ó ÄÚ úШaÄp˜Ÿřˆľ€CÂX3Oe.¤`[ -Ć `J?ýb-Ĺéűő *˙ó"ÄÜ ĚJ +pE7ş°& +Üśß‚ öPÚyD\Ş1ěGłË˛*q@'íęr>ŽjÖ˙ó Äŕ Bć8H„qoą~dŹ8ôɄŹé) +Ůę^C%7DiŸłFZ‘™Žź€¸{˙ó Äă úâ8H„pKŢ X >îÚG‚ĎŢBléÖT¸“ž×nşĐ橀i?Š/śi˙ó ÄĺŔŽŐp0ÄLëöě‹Ö]@HHuăüzX˜sÇbT:]ď dôE|fh"#y›ˇ^†˙ó"Äé +É&ÍxyĘp˙żđLTäžlŇ A÷̸ĄJl3Cůţčéăgąpđʡöw(˙ó ÄĺáŐPaĘp)8ŢWCs0Ţ_Ráy•œôŢC>ść4m×>óm`”î—:vDĎĽ˙ó Äč ń.ĚPxŽpśƒŕƒ @2B門h )˜l07ĘŇě˘XœŽ$ŕb„ÎŘŸ˙ó Äç °˛ČYŽLžĘŕ1€Âő| ąŐ|m[XŠÄŢPgB]f˛đş‰ě˙Ťđ˙ó"Äç +QJŔzÎq0ď€CĐŁ0œ-ątęa Xtşf'îĘ7{+ülßźÝ÷çE?œM˙ó ÄĺůJćX0„qI0Ôđš‚ř0€ÂJŽíƒĹa˝Ó‹ţ;l§ŰQ GőLbÚÜP˙ó Äč +@úÄČZNpŰy°@ŠłQ™Ă—”QďŠTS,çM~”ß3<âĎđMĚßag˙˙ó Äć ŞŃYŽL`â +ü‚@šŕpë‹Ćˆ(ôúĂďg^´zę9_›VK~ßŢq˙ó"Äč +˜˛Ń¸@„Lƒ†źž Š8‚p9€áńëĄu´ň +nĆŃHąËKZŔź+üOę[Y˙ó ÄĺĐúĹhyÄp4\žŽ-@ƒ°öŮĂi^ČrJ1C*}•‹Đîsö˙n O˙ó Äč +)J͐a„qYú€ŕlPEŹ)€âH$˜ ˙N(@˝Âđ6ƒr™ áĹ5őŁ}˙ö†˙ó Äć úÄČBĘpóżíčӀP`Pş„M¤=OY6˛çYýPřXß3ó>˙ó"Äč +‘FɘJÄq‘Ä$Ŕ€ âVžo2ŹF5Q3xČôá€L$/3°<Ç[ţŞ~˙ó Äĺ ú͐JpyĺŸe€DˆƒŻęt¸őŐ +eBŔUqsԟŃÄp¨Wóżţ˘œKż˙ó Äç +JÚXHÄq‡ŔŠ *Ĺ´š‚Ş6ÁKl6ľ6 Ýdöí$ŻS!ö˙j…3U˙ó Äĺ ţ͘IJpţTřA¤Š4Ńf +HcŤd LŠ3$ C7Š†Őă0żżíŐ˙ó"Äç +IFŢX0„q +ŞŠů˙PéÄă{–nřx˝]łW¤™–ö˙ß=-z +ýCűŮ-˙ó Äĺ  +Ň8IDpĂú@„ *$”&˜{0Ž +ľWš=y}B •} +¤Í +6K„ˆŇÓÉ˙ó Äç +Ŕ°JpéĹƤ€BÁ(‚Z~baÜ ů¤-7@ÇŞ9‡@Ed@NŸ!˙ŇPn˙ó ÄĺúÍPIÄpľKŻq˜“ ˘=f"KPk ĐÓPƒ÷ůÄËĐäôm.‡Ć˙ó"Äé +FɘI„qŁúHĄ‹œEą—K˘Č*pë`çŒó 0 [ë)>-ŠÄĹ=˙Đ˙ó Äç +!JŇX0Šqúj2€ ňMGmKz#­Íđߚöy}aY\@Y‹ţ3óaÜäča˙đÇ˙ó ÄĺŃÄJDpň#™0ĐkŠ°=3O–¤{Čl]‘|eö,#ülۗ˘ŁAé0ď˙ó Äč ČĘÄŘ:ÄpýA”ú~äŔôyIi€qxŘ#&ŠHôŢMÇhrQľŐV âŘĆwÝFŁ˙ó"Äç +1FÖ8IÄqĄ›˙P˜CŁÉjcĄáüTŕńЧŰ8qxĐľő˙$¸•Š2ÖĐ +żú‹˙ó Äć ŔţŃX2ŠpŚŸN.ŔHÍ6uÔüf!BVr¸ŠUĚŞˇ:óŒo ő˙Ę4w˙ó Äć 8úŔb +püyßÚDçDÚ¨äÚĄ@(ńžéŻ;}mHJ_ŕt¨úäA%ňnîI˙ó Äč + úÄ°{Äp_#Ѐ“ĺ "~Ů*9Ź(Bʉ¨yu'[ţ§ŕvŐg9žźI˙ó"Äć +Y.ݸI„qú‰ŠđxŠPré€çc­˛Ćî˜sľtňëf˝ŞP;'Cę!˙ó Ää !*ÄKJqżĘ]édĹԊbÔČ<>,ęGôîBwqw{đÁK˜tK˙ĐÂë˙ó Äć š&ČĐJ +pm +Öň•“‘ˆW|6k‡ •B  h™¤¨Alv÷\ƒŹâö1‚A=˙ó Äć É*ȸIĘq‡™Œf €Tç)qu–ĐćĚ>O>ť›'âu—ýůŒďŤŁˆnÉő˙ó"ÄĺřŽŔKĐLĘu€€bpç6Í(ÂBɟ*2‡Ĉ +đBöœZ3™ÝŃC”ż_ę˙ó Äé +q&ÉxJĘp(žáŔ„R{UĹÂÉu7ŽŔę́2ôď +ÚUÓč…u¨Ł­˙ó Äć Ń*ęX0„pĂ1˙ÔŁÜţ”_ÜéJŕ +J\­#…EÍho˙ŽˆšŠ˙ó ÄĺůÄJÄpŃ9†sŻř(Śöôé~ŕ9SŒ&;^X“¤•ŢĐĹÜqňQ§ +žx˙ó"Äč + ʸbĆp ›Ţe ČŐş9ű‹ œGM ŮĎnj ü“\ʉë|şü˙¤ƒ˛˙ó Äç HúÄČJÄpéäfü€Ô¤ 0Džâ{†M„&ŤƒsîE2yÜk+E˙ó Äč + ţ͐H„pđ؄ 5š@ç “’jǃá.<“‚ËF”ŃcŹŐ2žžÂËč}ł˙ó Äć ąJÁpbDqÍč˙B`é`ĂÖlş1ľ §1 zۄłÔÍPť‘ç.ÁowoŻ‰˙ó"ÄŢ ţÄJp€ŐďҨˆń0i€óŘm3ĺXHî;˜[!}MŞ:9Ĺ"ö_ýʤţ˙ó ÄáŽÄKLm|¨ €€€T@:X–óĺĹďQŒ!h$ü˛ +ŽvęWüAXÁ )˙ó Äç + J՘Jq3ţĚ +€‚ ”.ić̓UŔdŠ +”ˆľôqO}ÖňŸ‚vç٢ Ň˙ó Äĺ¨ĘÄZJpa0ŔqHe4^C,Î)TŒí­_ŢďýňHĄŐŕĚżŁžžć I˙ó Äé +ĄJÚXIDq•ˇżH&Œ°őp=W"Ž:ĘtXJŤ~…DżŻć÷óşNţ˙ó"Äĺ 8öÄJJpĺo˛„ŕ’„)¸(ÂyF†€€ČY6mťVěŃŃŃ8‘šäƸД2˙ó Äč +JÚ8IDq—KÄđá@;đáćËšgŮéžzwSŢőEú(cąőŒÍ:Ą#Ö˙ó Äć ČĘٸHÄp˙”$e56 +ŕ,éčž"A^ýyëĎO[YPŽqéKhú˙ó Äĺ ŞČČa„LŢ@€÷v°çŞ;1~•žAĂ$žqß Ů{Xt˙Ţćb$Ť׏˙ó"Äé +ÁJјJÄqĽú9léěŚő­0Pxçć#J‰U~]T”™ ÜŞ/îŐáž˙ó Äć ™NŢ8H„qÔä˜ľ'áq>c:e¨I†ĺi:#é‚ŃęLŃJEŕŮwÔER´˙ó Äć ŕŠŃ˜)„LGđĂ,JXžNMĺ‚K˜Ź0ôózű›Ÿ˙ďM™Jć~Ç/ë˘C˙ó Äĺ ŚŃJDL ˛¸w Œ—1°ÍHhQÇšD͊&”~Î>î= +¸E+L-żu˙ó"Äč + ţ¸cp°}ć´ŻB Á™@qC…¤hƏC˜m8éď‹âʘ—˛7ëŕĚ˙ó ÄĺટJLL)ŘŻ@ŕ0Š(că z=dŹ‚ŠÄ›Agú”ś#LĎ~˘›­ŮŤ.‰˙ó Äč +úÄČJpů„oŘĐÁ`fĘĽ9ĸ›h`Ą„Ćëçeo×oŇ[o [ôü˙ó Äć hĆŔcFpAÓ>wPŔ^‡-4‡RHľ}DŔޤÓÇŹŰpUm…őQ¤ła1hŽ˙ó"Äç +A͘I„pˇă¤÷Č˝O#?Ňú”ô\ę&0aůŘÓ6Š0”˃ˇÝ4žĄrôS? z˙ó Äć ŔĘɐ2p‡Đ}-}@ö0˝W4¨îL]Âđű¨ą‘㇥ݭÁ>RúĄŰÂ:ŻA˙ó Äć höÁp2ÄpsľŹŔVşEhfřjŽÉƒA57Ôżoë3†éł­Žoťoó˙ó Äç +*Î81Dq'X‰'ůˆÁ͇Ź/jĐt EBHÄó§ ¨˙~×Őęő×äou˙ó"Äć čöÁIŠpZ˙Ŕ—6Ťś2ÂÉç'’&‚ +Łz +ęŸٌˆšGó3ër˙ó Äć 8ţľHbTpĺNvŔő# +Ž.ŠŁ!^Œ%C$˙]k/ážŮÜĐnśŮW”˝˙ó ÄŕXĆźZNpȀBBÂéMqM"rÔjKTřĂ!BÉ|ÔI)*Œ +UĘž˙ó ÄĺđŢѐYÄpaOýDí „ ÁąÄÓîąí  ŠcsƒU~€'\Ć_Š>D!bE˙ó"Äč +‰*ŘaŠqęWšĂ +Ň&^˜Š´ňbsŽKçÜP~ŢÓ:řŤÝ/Rü˙ó ÄĺŮ*ȨJJq?ú(Ď € €7 +x´8‰2ŕxŒ˘ć×1Í'–§MŮĘŃóÎ˙ó Äč +Q*îř0„qü‹˙ĺ€@ &„%ę´mCΚŠ сJYŒŸ{Çôľî²â‹ęÚ˙ó ÄĺhĆĚJJpĚŻ]ô¨y@´(ƒ(Ŕ¸ áz +Gąâ&™>ÜőÁĽ˜ úv˙ó"Äę +á*ŇKJqËÄď‰čÚÉpDj;ÚŚö6Xąžť‹LéÁ°F˛˜M˜ƒáßýG˙ó Äć Ŕţ͈b +poşć‰€‚ °ó!Ěđ7Ňę4QÓˇŠj*xfÎĎŠ˜Ď˙˛˙n˙ó Äć ą.՘Jq. !0'ŃşCëźěMjœőůmBľţ]4řLw+ętýÎög˙ó Äć ‘*ČŘYÎq{ťăU@ëXüAcTQ:Ődkd’ÍѢ›Zó˙ě3ç˙Ŕ˙ó"Äć +!*ČŘbp€—H&.’ÂY.>QH™’uˇ3ł}߀N‰Q“łHoöĂŻj˙ó Äĺ jćX„(6ˇbŸäD`zґěą+JƊ15ć˝ Ůoş˜ +˙Şbz2ó˝˙ó Äč +Q.ČHz +qĹgˆźX(삆 +ČÚkICÜ­Ú#.Ś=ß>[rľ:˝QUĚ+ëô˙ó ÄĺŮ.ِIÄqoĄx’ę–kD$ŠŸŒĘD<}Y“Ÿř +7TzwHLĎąŻGËżˇšx˙ó"Äč +‘2ČŘbĘqćĽĺNŇëQ +śH`Ňcë›ĆIŔę4{!+ žŸŕ<°W2ÓčžF‘gK˙ó Äĺ(úČIDqČŽ+Šŕ`›.Óq]=ă‘'uߟŽ‡a]f(ď_ʜbš…gz˙ó Äë +š*ĸJĘq‡ßMő8‚‹ƒë˜$lj 6˜]eCäK‰]€ąŠ59^ě"˙ó Äç đúȨJĘpw­xjDäP śIÓŐŹ&ŢŮEá Ľ –Ąd HÉGSńmýC˙ó"Äć É.ÄČZĘqZýŐńmĐ÷V;‹Čb8‰Ůłí“ę–BÂđÔh› +çZI˜Ӎ:j{mÓ˙ó Äć xúŔJÎpˆôTň„GŰZ˙‹ś @p⇥éW`)“…¤-×ޙVŠźŤđ˙ó Äç Ů2՘IDqěąĆĽő†-ÔÔ :€ń%*úž#ŔƒfŽY•ť›,űšl/zÖF}ˇ˙ó Äć A +Ŕ°c +qJ‚Ş4ůfĐ I9`Т‘Âƒş&(4­fČôF–řţ;ˇvB‡/ţ­˙ó"ÄÜ ) +Ţ8IÄqŻőM`„âl@>Na16˛XgĄťˇ[ůŰ;Ü;uf#ç˙ŕ:˙ó Ä× Ęá˜1DpˇáŘÚžpƒ¨°ëkŞHháܸ< –IG„Ť6ňBCŢQ‚˙ó ÄÚĄĐKJpPzů°’™\\ .¨8/‰’Ą!ř°ˇôEߍ|j‰žŽ”\(K~˙ó ÄŢřfĐČ2R(X15—€Ĺ đg(s2|qŚ†QĹć0ÝHü´áŸwvŞ)˙đŽ˙ó"Äá ĆĐ(JÄpč÷k˘€.¨HQl| +œ Đx趚ćó>}L•Đ`łˇoqÜ˙ó ÄäŮ.Đ(J +pŹŢ !bŔ¨‚€ˇ˘RBÁÂ#Ohŕş0ŕ÷Đ+áŸCŽ]ß˙Q“˙ó Äç +!*ĚřJÄqó(ŕ€.ČÇF‡KĄ÷áŔބŐaî†'™5îĐy›yÇ˙Ö*ĘT˙ó Äĺ fѐI†(ůĚĂÚ 5ˆ€!:ç …k‚§Î(Q†\™mŸěz‹čˆ GţŻ˙ó Äç +ÎźbJpł} .ä ‘`Ă]‰ Š‚S€3ń˛´Ó5Ědݎr˙Ň6’˙ó"Äĺ Pö͐1DqŽóľ° $$xjœByLhaT&¸‹2ř ’oזÄV6ě ‡ý˙ó Äç +*ɘIĘpnXü°|Pľ°8xÁl8šƒĆd dJ=Ëń6űŁÎ }HG 4Ţ˙ó ÄĺŮ.ÖXH„q7A2¨á°6OđŃë Wúż`…EÔJ}Ńđ]Q¨TŻUćź˙ó Äč +A2ÄřJŠqĎúáMV#ź˝Y zůx=¨˜|ęfL~¸őM4>:Žâ+äOO˙ó"Äć Ą.źđJ„qQŽŚpTEC[lfł\öxěť ZăQY%ÜŔ|˙§ó  +^éŽp_ý‡N˙ó Äç  ţÄřH„qńPŒ r¨â\ą0Ś›[lĺ[Ýś}¤7“Ďë%Ô}öZ5˙Á˙ó Äç đƸđbpF1™~ŔŞ 88 +ˆI‹'ћT3^ŒvƒÉ˙…V3č@d×ů˙ó Äć ŕţ͸IDpŔęđt A'@Üş07"ę,,H:‚đëďžžnĘAżË +&ŞŽÚŤ˙ó"Äĺ 1*Á`„q H‰ +"Ěem–W,%Üh@,‡p5_#L‘Ů­KP˘ŐĂ˙ó Äč đţ¨{Jpş;|Âě ‰~1‚˘aVĆŘ3”\ÍG?÷Zbƒ¸kę(ľ{|˙ó Äç úŹzĘp˘‡ĽŮ`ĘRÁ‰Áš§-&U…•Gĺ}—,w/8gg.g‡/˙ó Äé +‘.°XzDqýz}J8(´M—KM#qÁsŠusŻ{ŐŰĂv–ČR?ýČĎ˙ó"Äĺ 0ţ˝¸IÄpPEúdTřP‰LrţĽa>ęˍ*´üÎćGä˞‡QÄç˙ó Äč i.°0`„rQë¸h– ‘[ׂ‚*%™…ňŹ +(OT +Ĺ,K1Öφ‹ď>ĄďôP˙ó Äé +y.¨PyJqX+I˝s5¨ŃrHuN‹:Žfë5ęAö˘nÎł@ź\Ä~Kßq:éŔB˙ó Äć  úŹPa„pŮt{yŔH|yWÁu^†lQߔ)`¸q4ś ·€¨¤đkŐL*ˆ˙ó"Äć ń.¤Pb +qy‹8!Ě5KᲳ-‚—€Bž5łXsƒ~%ýž!¨śM˙ó ē (ĘĐČBp`átŘ-|ĐŁ>0ąŠčĝ‡?řDeü°Ąó(”p,ş€˙ó ĕ ĘĚJĘp‰QX|N+$ ‹ŤrŚÓďcDÎ҈[údKĎěŤŔd7˙ó Ę ţćXIDpŻíľŸš$9ĺ9踹0Ał(ĐůĽĘöP2pJPeJŢ)­G[“Ľ˙ó"Ě XţČ°ZDp>%Íáß˙üÉCpG̘Đ+`‚›÷vÚ!ۋ jDśI͗%AŁj˝˙ó Ĝ Î٘0„pďTUIżY@dŤ(áGRb@œýšŃŠmja’źĽÚ^ŕĘş‰Mć˙ó Ğ +(ú¸¸K qÇô.ďA€ŻüŰ´‡€Â€8°ĹĹwi3ڒ;(ę˘äGuYňs&˙ó Đ :â8H†qވä˙é¨7ćô€6ł(Ńäg‡\뺜ƒŽ„Ôěćln×ď˙˙ó"ĉ PĘĐJÄpýďŃU ”ﲡ +#ĐdĘ6Äţd•XMœ¨1:ţĎŢśú‚UOő˙ó ċPĘÔbĘp°ĎÉĘ Ćß&¤|&jäC˜}â5@ϏEb­ŞŻŤž +˙ő ÷ę˙ó ĐÉáIDpp…pü’K„™=0í`×dĐ%ücâNŐF~Œ7űEŤ„ ŀ8˙ó ēé.î81Dq,^@ęBTíĆ0úě oŤß­uľ¤/#4őş´$ l8|˙ó"Ė +ĐbDppîŢ*-R: ŇŢG˜YýfJ8ŘKč‘hĎţŁD|x8%\•‹^.–˙ó ęá&ÔPYDq^=m2ŕç[¸•űˇŞÚô¨5OüťřC€ň„é1T'#Ż2ěűl˙ó ĜŃ +ŘXIDpš‡J†’WĆŸ^ר[ť.ŤŒŁ:K?ĹŔ<đ™ +ŠŚ ŔŽö°˙ó ğđĘĚ(Jpľ…ů‚ +ěá;!_2wĂżÄo?@éRr‘QŇ1V+iŢ3–ěŘ˙ó Ģ¸úÔđIDpŔ;W]öHv„űaAœłS5€ŔĐq(¨ü#[ˆF§žŽ˙ó"ÄŚ ţĚĐzÄp˙łrŤÖĘ­˙Ą‘-óÂ@PđçŠ.|K…Žç)^Úüä{ž˙ó ÄŠ ĘźzÄpŚúŒĽ'‡ríĘu€8N— + ˝Š(ë@^Ş36O,ôçŤ$ăh–˙ó ÄŤ¨ĘѐaDpÚ͔žÚHtžĚŞY.Ź>‡sŽR•3+Ü–ţIć˛ô.ś‚˙ó ÄŻČĆźzÄpż/‹` đ’ŕBudëSž:Şą"Ż¨A˝ĺá_ ĎťH¤NžŠŃż˙ó"IJ .Ö8`„q€'° ÓP9`âĎťTňľ0LśÚ‡˙™0ƒ;љƒrřhsQ‚ŕ˙ó Äľ ţ͐H„pD’09@ćiÖŚyT)­L–ŐyöSq÷{ÝĄH0Žˇ(#ȀÉ˙ó ġHĘŔ(aÄrÉŽ{­E|Ăŕ„Ąź6‹ŠőGƒ˙ďĺęGŰř fG’żk5 (4€,˙ó Äź ţ´zÄqď9q‹Tń’šbRó‘üĄ˝ŁĂEPÍťöxC7É8 Ě €pxĺá ˙ó"ÄŔ .Ő`„qšŘń2…KÖޑGE2˘ŰރţÚQT!Ě\ˀ›4ĽbůXŚĽ0Í2˙ó ÄĂ Ć͸IDpźCyWf•úľţ\{–šs1vRPÍ˙Q?@ ´ŕČ"QĆlxČĂ>˙ó ÄĹ ĆɸIDpKŒęë†Gkv$<ĄŇ†őloţ~ +x>ĺćh´ÍÁ<´Â¸Š\<7˙ó ÄÇČÎŹzÄpÓö„tˇŇvDJ:ęýZĄŠţŚ[Ć,Ű XžšoXku&‘‰j +‘˙ó"ÄĘ @Ę´đa„pŤ-ć ˙‡YŘY5† zú@ A…ĐN)ŮW)§ŞXxĂ +4aŒ ř˙ó ÄÍ ¸XH„p ŽÚÜŽxĺK/_#y˛_˙?'̕ô†6ÁŠâ…Ś‰fPf 0˙ó ÄĎřţ¨(bÄpÂń<öP–<‚sĽŃZ`aÎňĐÁ‚E˜‹ěÉŘü‘püm`ĐNžX˙ó ÄŇůąZ„pÁŔÉU{ľ! ˛ĄgR!OŐ VŒá¨ńK¸RuXIĆ +Ůü#g˙ó"ÄŐ HΠbJp™bÜTN!SG.ôU~ޓ¨äi.xhŠź‹žŽŰxëҀvŮ˙ó Ä×Њ¤ČzPL-Ů<*C¨iĄľÓÔ< Ŕ@4F˘ŠyXÜ^)ČĂTŞ÷҅Ž•ş(Č˙ó ÄÚ š. 0y†r/ +ˇ°çpVešÁú}Wg 60$ł`Ü`Šťax‹n0ϝ¨6°šWŽ˙ó ÄŇ!>xÖ^qöä¤-ŠśqL[kĘÚK9˙0>ăűŻoîęÁĹt—v’çđ-Şeô˙ó"Ĝą>ŒĆqTţ[ŕ@Áś’Ń$°Ž0žĽ"]‹b(Wţ\ăÎČÄŕĐXBÚĹ˙ó ÄeIB°{q ˘’é‰d‰š˘äšPČ &ޤłr‰á×‡yťšŸƒ!ţhŒ˙ó ÄJ ţČ1Dp ô`Q Ëk–^ĂQ‚@âş*ĕŔ¤ü×[Íű PrDÔ'`Śm‹žC„çʆ’zeOŮ]˙ó ÄK +ąFĹXKĐql ’>őo÷ü€Ú=`D›ŠyĎŽăŠL<¨&,ŹÝZÝT .­¸˙ó Ä;Y +ŘČAÄp&öŞ}şÍ0Ÿ9¤B%pÔHĆ]HYĐJؑÔě—ä˙ó"3qó +ҕ˙ó"Ä@ńÜČaDp×wČ6…šő… Dƒ­I2ó ‰Š +Ć/Œ,é떮˘;ňýoţ˙ó ÄD áBpLÇOÂq`–Ě(ÜâÇŚ‘˘VW™’Š,r˛%‘ždĽy´Îp˙ó ÄF !.Ţ0HÄpŕá‡I3‹‹Đ!ů•ŇďPl˝\(ŰÂłtoašC›ôę€˙ó ÄHhţب0ÄpőMmŔó<ătą•”TƒşBq?ĐUAŮoűŞëş˛Rî ™Tb R ˙ó"ÄM I2ŘĐX„p`áTĘbĄĂ×BŁČ ʃÔŔĘţ ô˛)=jĆfX–‚0 ć˙ó ÄO úX0„p‘S Żş=sgěÍÖŒ1¤‡8_ÖŠsůP€ÖT¨śhɤ˙ó ÄQń +ѐYDpÜp7Ü,Ŕ ÷äĐ8"u?ć'ŻĘ>ŁÚ4– +gŁmŒ†d÷ŹĽB˙ó ÄT ’Ր2JLÉľľAÍ­—Ž,6´ŽŰđ˙ťíŁ)J‹ +đĺŕć4Trϙ%8 ˙ó ÄX úÉPJ +p‡ä˙‹ m9Ú˙zwRTeʼnhÁ‡ĂŠÔ=z EĆ"R!LMq ˙˙ó"ÄZxŽŔbFM݇a›ęFüżţƉ&žDçœBNĐx @Ęé́ŰĐOĺNýĎaƁŇ˙ó Ä` ѐJp3ŒőĐßş8p‰6C¸iÄׂÂÄĄĘĆ\ Šú˜sfďýśŃ>˙ó ÄcąÍPJ +p‹Š÷”óRF8†Í°dŕK +đ…2-W‰ŻýÔBJ)˜ÜżŃ&ý9˙ó ÄgĄŔIŠqěépyŕD@ŔDŤ,ŚO°…’íŔö÷÷Y ízżč^ÍÂcbÁĎ˙ó"Äk 9*Ö80„qŇDÇŔç:Ą˘’čܨŘahXŮ@ž˛—NR~üߓ…?e…í0¸ í˙ó ÄnĄź(JqĚ/Hdą!(ń{–‹‡Đu‚^Ą_ˆć‚C\ś­)ýąŁň`FVƒÄ˙ó ÄrřÎŔČ1ŽpřH¨="ťąV +;Tn>źŁ’§j§ő˙ö: 5űl<â%ƒÁ™˙ó Äuů*ÍxH„qDŠľÇÖ¤éó÷0VE77GÁe"łŽúë +#†Ům˙ó"Äx Y +´°zpP—@ƒ%qŠŐ\őFŐ7\ék:"՜|?ŁH…`$TzŇŞwĹ#ŹP˙ó Äzů.Î8@„pľ v oŠÄ´_ç§ůA°c%ˆ7€‹‡0nMJS‹"Ľ u˙ó Ä}Ć´ČH„p +‚~ +rŨ„: N҇ÖœAŸĘú{čč뇣ŠC°;i„˙ó āé¸PH„pß˜/&nߥ[˛ýÖšŔ@šş–V-źč´.!U]Ú#ú”N˙ó"Ą ú¸Ř`„pořą‘’t—‡}ęŔ8§ę×Q´Öpęí •1ft”(˙&LE]˙ó 渊°ĐxÄLđIű3˙ކŘ[t›C4Q uĘËÇ^“î)P­Ŏ{÷AÁóęÄú1˙ó ċ  +¨¨yÄp˙ôQpDät€6$éUe“0Ą˝ĹIx9#ć˙ěĚ’p§ŢŹ­Ú˙ó č .˝˜H„q˙ŞľEŻč'c ˇŤ, žŻ"­ůă1>ŚÁôót4VwÔŁî$bUí"˙ó"ď A +¨yÄp_J@:„7(¨‚Iś×´Â€Ď%5%}Řš|}9YťľˇŐGú‚˙ó Ē ¤(zpԒ¸¸€Q?7) ¤Ä÷¤$š†×´ŠD S›{KĺyŔ(Gő€ĺ˙ó Ĕ ¤Čy„pJ°Î{ik‹ˆš2ŽĆwDk”{ąMňZt)ü˝÷0ŸĘ{TAp%ž˙ó ė ŚœyŽL $ ę=‘, Ä5BŠdDă7ť4ęüߙż§~ŽŹ`Iƙ*˙ó"ę 9*˛8H„p>BęÖ'ąŐ ,¨Ő1Y:”-ˇ¨_ŐżňřCţH`RÓ$>0ęČO˙ó Ĝ ŚœbJLYśÉ°j¨„hâ™=H˜wăţ­˙Á˘G <;+ dQJśbÇź˙ó Ğ Ž”{ LŔőŚ@mC‡ˇ×ŒhłîŸł~Żľ.@ +Áfëv€ŮPI`ĽĽuہ ”iœS•~ˆ˛ ‘g˙ó ÄŽČţ(aDpeWŠ˘4áUHřőÂöňĐáj_ŇŞ“’”ŕ +ç$ß]­i‹ŰYűvű˙ó"Äą !ĄIÄp_sń˝ Ň0¨<0.㝪#ů`rŠA>‚?şŻ÷=^ލӊô€˙ó Ä´ !*Š¸H„q@šPš]ěp˜űĺCjÁ=o¨ŁflżŘßůb‹;ӊ ˙ó ÄśŔސČzDLÁŔZÄş3C‡ČX"ý&jT_ČóţލŐü9ÍůUƒÚ…Ć.‰ç˙ó ÄşŔ–™xŠL꾼„‚ĄěZ čFa0 €y›˜—Z=l3}>ôÔW,Â@ń¨éŇ˙ó"Äž Y„ČÁ„p=JCě 1ςőq~-ł)&Ś¨#ŹĘoŃ(€˜€*ÔÚr +a;-˙ó ÄŔ‰‘`„pâ’Ě’’őŻăŚŤĐĎő)y đ 62›ĚٕےĂuÂc˙ó ÄÄ ţ‘xxŠp•>ÜüHšt†2°6P›”ÝŠâ@,ȁʅ˘ÇU62‹nÉnź˙ó ÄƐŚ‰PbFLý_ŐÁ‰‘Šž&Ÿđ¨$ť5`‰ŕ*U'Ň&$ś_$;ŠXuźœ˙ó"ÄĘ 9 +€ČxÄpđâű`ße= +î˙/ţ<ŔŔɘƒzR+ćRž´1D,Š(ŠÖôp˘˙ó ÄÍxŠ`ĘLľě]3[ÂôĘ^ćż˙ŔŕC܍v AE´ą6pr’ĎôH$‡$üyÔţ˙ó ÄŇ ! +„°z +qe´•†ď˙°z ˇ:Ń%Ú đĄc̓(řŸCŮ •Ć6ÁYˇaý׏˙ó ÄÔ š„đy„LpH EP'”xĄož÷’:üŁĐ—FĘjç ˙îćÖ'öfëů˙ó"ÄŘ Ž‰˜a„L+đHűaŔd +`-“˛")öŢ(×Dš4ľ1ؘLAéLç šP1ÉuE˙ó ÄŰń +¸x„p^Pö€6‹°_>ŠľČďaj°ŢŤ € ý/3 +OB,ˆ™ÎŹŁĐ˙ó ÄŢˆâx„pŇŞ2UƒP­\T&˘Ît|‹1Pë°Źjţ,iI')Ľ“tÝC˙ó Äâ ZŚX1„%6HoýŘLN +ĕŢڊPřnňŽĺűśEČ@ˇ˘ÝĹ:pÎe$˙ó"Ääp‚pzJHB–!őÄUę1Ź/řó÷GÚ m(>ľ[­Ą ď9…ĚąŸk‡~ß˙ó Äę +á +xŘÁÄpü˝ăĚđ ÂęšD×H¨öJ˝u˝‡Ůc3˛÷ţţG`ĎÁ´]ݕ˙ó Äĺ ŠlzFL̐Üʀ§Vaĺ°ćß6ĚńąimlŹ’čť+ ź-żk˘ŇT/­úx˙ó Äç x†l(yŒH9ŃU=@oL .oŻIšŤń—G˛Adˆđ.ŠĚwƒjő_ו†˙ó Äč +(ţpĐy„qb`Ö°'wmŔŃ Žű˝ÄR ‚T÷=‡űz:ŽšOM +ěÜ˙ó"Äć ˆštřy†L8ÓN\/Z@ ˙Ľ˛Ä|{!ťžëkŔĚť2‚Qć/Œ<€ĎË˙ó Äç ¸ŠdÂNMŸĐ~É6EŚœš°Ś‡)‡ bQđ™P‘ŒŃ;o~´ă]Cú|{˙ó Äç ČĆpđy„q|ţ1ňAđR;´ľʈA˝xG3ćbŸF j˜™¤Ŕ¨˙ó Äć ˜ĘhÂFp`ľ-ĐG!ňG‘•E ƒČŤ%Ę-Áűҋ§”Ö\#“8V*N ófÖ˙ó"Äć apPÁDpasM$Ý`w„2ęƒ`ź˝ąé§ŽŠęcÖtĄuŐÁ¸‰žşßIŃë˙ó Äč +Pţ†XXÄp ŕŃŹˆ R’@&~" %\Ń,śwCű!-ľä6ĺĆ&â˘UC§˙ó ÄĺřŞlPy„L,œQ@5#r(œş’ŤU@ęnšŠ>]éé9Ź:N;YŃvĽ…˙ó"Äĺ 8Š\(ÂDLĽ¤ÚMH2?đŁ^đľ€Ů“ɃU#ˇwž9DčěÍ &ąô503˙ó Äč řŠTÂFL +=łŠ”IDlĹ ¨°“,Đ€ĂăSď: +îQ&5 +Mŕéć]łčJ‘˙ó Äç řšdđy„L˝˝fß°†l„ŕ˝N…›%ă„H3aýŠŤI'Ÿ†ĐłPjö¸]ó˙ó Äć ŘŚlđy†L”ŇMˇĐCGKă@aÁ •Kž1˜`ĄOç°Ađ-Čjéň˙ó"Äĺ VmbL$LŔ (ź z™É8(Ľ8Đš%2đ˘„P`‰ôOŁ(„^˙ó Äč +(šhđy„L ô„řdOLühDƒ‡ž‹@ÝÝ€1!㌜Qź.Źcč)B˙ó Äć €žmy„L%b`śĄi ^"€=?˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó Äç ŕŚXy„M˙؄ ™RbF +%7‹Ă@{ΠcŞüŁ t VJ°;hŚČJ-ˆ˙ó"Äć +8š\Oć´Z#ƒ‹Łr8ŹŞdŔŘ&źliś{Yɀ,š˜úŤ/Ň@ ˙ó Äĺ%ĂT™˜cwLŚ7 X>4 8){ŞÜ0`A˘ÉěbƒŹ1˜PgI b€˙ó Äu$QVT ÚАä Fƒ˛ç0š€Đˆ‚hL/¸(4žt0Ü˙@ţ&Ř4„8¤ĂÔ˙ó Ä + +q*´xĘqp”ä6~ţă +W5ö{>E‹ť]öB.ęĎBÄtŕÄýM3t˛˙ó"ÄđŇÄČyDp-Ĺ\ˆĹ¤Ţ’ňźä1+Fľ†Ţ™Î Üł +:X zB Âźyu_˙ó Ä ¨ÖźbDpMűö%C[ŠmŐÓąéńˇIAŘk&8î!H-ĘD^_€ÄÎŁÉͳ˙ó Ä  +ćX8FpxŚżŽŇ™ŐżßÁ“tź‹6aÍîšeůó)j[Ąź[xŠq+˙ó Ę޸{ÄLÝ#WXá˝ŢĘĺap“=Rôƒ€ ěœ zdr<Ž^ě‡j­BšZÎ˙ó"Ä A.ŔČaDpäڋ +űŻ‘ż˛"˝{‘…č Ä>˜é +5”ŒĽHfGĚjsÁ5%W˙ó Ä Y&¸Řz +qš>ÝHôQ ňŚćĂşŚš,@ď^Ÿ@Ĺ\"—@o›Âԟ4†s˙ó ÄđŞÄ+ĘL×`Ą{éÄŀ‹hĄpÂu—GQ”(ůSn ľŚ(ÁŤÜłßlĂGKí?Í˙ó ÄÁ*Äy„pzĆŞňúeR›ö[÷ŤDč@.0*$ăÜ(‹ŚQ÷÷€˘ŢRk×˙˙ó"Ä A*Ő¸9Fpmŕ5OžrÁćđÁ“ęÜđX Ę +˘ô„ó3yqjđ–Ůś‹fŒ(˙ó ÄŘŞźČ[LýŃ;rŕÁţ“@6>@ŠÁ€o‡2(ťhJÉŠ+!¸w‡ŠEMŻ +Ł˙ó Ä ‚Ŕ(bIţ +_ý|ŃŔ +ĺ;N]†ícĘwń“ +$ čgŰgQŒđoŁŮ`˙ó Ä +ń.ÄPy„p”Ktb™ĆÚK˛ ŒXHŠÂЁh—V -˘J|‡{äĸ +Đ} ˙ó"Ä 8VÚ8JÖ$Nř5MĄZâ§T<@ąÄ,Ž˛YäĎ0gŕŔ‘›˘É˛ŐŃöÁ˙ó Ä Ň՘X„qßňÇnó€üe]Ž˜R4™Ăú-­jîŔpP­˘\KI¤.qĽ%˙ó Ä ¨~ź{ÔHex°@i€ř +°)kO˜X˝ĂĽłűŁě•ţĄGýgŹY=Ľƒ‡•‡˙ó Ä$ ŚĹJDL™'0ľôĽČŁ<ąA9ńhĘ3Ühér|8dŠ,÷8[Ԙď˙ó"Ä& HŇźbp$=H›ĺŞ,ą°Ś +$O" $°öă{š_kLŹŘ‡'mˆĂeAżm˙ó Ä( +A.¸zLqťlV7NölÝĆă?ýuÜncťTŽ‡ ˙ó Ä) ÎِIÄq…šLPŹúƒśőQz]]ój˙Äf÷eś Íâ'˘€8LĺǧIt˙ó Ä, !â81Dp‰ÖF˛ś í˜ŰżZ˙v?Áş=žž +ž $3= ç@śŃ5ŰŘĚ˙ó"Ä. ČČI„pôś•źşw(nöŢŇ~ŠŤ +!Ę %1 ĺĂM€’˜”ňdÚ´d\›ľźč˙ó Ä1ȊČJĚLĹ´“ľŕ™ŮéQ~™QXÎ+Ëťë@ hČ L¤ŤFD´qskk˙ó Ä4hŚÄbTL-J¤ ˘ÚQ¸ăäôZUî¤Ŕ’ +ÔQŞ>/CGŚžĐăĘ=_ôŁ +D˙ó Ä9¨fČČY’(~~íĹ +ŤgúŤ˙.€ë’š•ăbĆklŃç1dŽĎŚ€řË˙ó"Ä= .ŔaŠqڟTýđ2~Íř&áPé3uęęUř×R‚ˆĽŰL]ĺĘÍř3úP˙ó Ä@ !&ŔyÄpf%nIˇĽÔŔ<Ź%-l˘ČĹÚ{€¨Đú%– ßE8ŘŘ1řź_˙ó ÄBĐŚŔzLáoQú”ç ‘!궉œ ”¨¨büÂ_ţŤ}/"׋đƒU˙ó ÄEŃĚđaDpC.X%’CŒ"‹6Š…HĹq‚üKuƊŚz#*WFgfá ę‚4˙ó"ÄH 0îŔbDp€@hđ”ĽŢX›’Œf +FAwÝĘ +ń=Vół Şâ\€:AAž­cŔé˙ó ÄK ćÄ(aDp†U0Eƒ'"ŸŘ)YçŘsâäçÖy„T +ą4pď Ö—Žš˙ó ÄM ŞÍaFLŞuÇíˆ3;ög r~źţ#ӑrj\ĆŮLűcąB +@€Lü(đ€ŤŽ˙ó ÄO8ŠŔIJL=L}23ęwĚ`ôżؖhˇânA÷ L7N?H"ց∠+çB˙ó"ÄU Q +¸ZDpŒ2+˝˘ťjTĘ)Œ‘;ĽĘ•;¤ĺČLŐ(:4ąË.ŒâAڢȥn˙ó ÄWŔŚŔČJDLč–RťĚ\ôfOŠůUj€–ŐşEü]F…ĽĘ›AŇę2!čQb˙ó Ä[@ŚŔ(JL~ÜUůM2ćt”ŁÍMNÜ +î҃CčČvx+5î6ÎëĆsS•˙ó ÄaęŔČYJp>€Ĺňçrď]`ĺŮhΎĆž• +UćBÄö@ż^˘f͐S˘ńNł˙ó"ÄeˆŚŔb +L×]ŇQž' Á%0™qHÂbýĂß|ölşßő¨ü‹.sĐ0ŠH˙ó ÄjŘćźaDpčŮĽ¸ŕ(lď4ž 7PŮÝ |˙űiźGH%oÔŁ7ń:@űŽŰ˙ó Äm8ĘźJ +p”ÂhA!Ţ?b‹¸&ë’ů”K~FŹˆ/Ä1Ó ímźá˝dLúo_˙ó Äs°ćŔ¨IĘpíN$jx6Ŕ" ‡ťOŮD7¨;]ůÍř“ľ§XŽ°B +ćŮ~˙ó"Äw Q*źČZDp”ÁăqĄç@i¸žłżęÍmC5Yó÷_Š󂢠! ž2OJÓ˙ó Äy ʸzÄptD„/šP-.Tí ^Ú-ř–üŻöAť5Ŕ‚uđé–:é›\%LČ˙ó Ä{ ćŔČyJpăVĘÖÔűťËŁţĘ˙86ý˝Đ|x`–ÍČ$DĄ¸^Z—8đ°ƒ˙ó Ä} !.ČřHÄp–zkŸâŽžA"ýďŚÁĆknˇe€–[.”ĆD‰ + '¨^˙ó"ÄŘęŐaÄpjs4ş5đC2b_ ţŽ@Ć`íëkľ”ĘYvVIYHIŁj×o@sS3˙ó ăń*͐IDpĚš‡_€˙ĚOÄź{÷˛ Â‹Š@°ůű²°ágŚ& ‡’dÇ}ő +Vő +˙ó Ć ć¸aDpüĽ˙ífŻ9Ĺ +@‘<>CP'˘`uj6[1Ýeú'Žţ‰ ˙ó Ĉ°Ćźb„pGŞ5Ćĺů6ĺ€:Mn ĽöŽSâ5AΡ_壟ďí¨ČÖ0ż?˙ó"Č ęźzĘpŽîÖűéL’ + 12€SŠĐ5ŧq˙ăXȍ)Ÿćuú;ˇá˙ó ď *ÍxH„q_EňŕLŕů>:bÁőŤ(’ŒÎĘF§­ŠŞ“.ă6ÚôDú#hÜ˙ó đ î¸bp˘@Lă-fĎ*É×Ć>#źg0‹ťĎ ˜Â|ë*äáPţ* +˙ó ēŔĘź(yŠp‘62•Ň"HŽx:UŠZQV˘!žd'vőu§GgsęS?  '@y˙ó"ė Y +źČbp!ÎČ`ÚXqŞ?n¤k6φYŃ5%Ĺ2Űů=Ş,oŢŕ 'ą˙ó ę źČIÄpő99•˜I„_YÁ;Ńt˛%Xš2\‰ÜłrjĘČ6ˆAsŹÜ˙ó ě HŚźČIŠLőu&ÓC_çÄŔíKô–Lš„)ę­ůśƒÚC€íł7w>Ę3[É˙ó Ĝé´aÄpČqY+nřÔ +y˙Ď kčWÉÂżiơ¨#úíjˆGÜŠaO`Żáˆ8D˙ó"ğ ÁIÄqŹeâtŻPvźٲ +=X˙v1ľ€úđvڜÜY)Iˆ˘A4LN˙ó ĢČŽ¸čHŽLAĽDŁ’˘ˆ@ň‰ËˇQšČ˘6Œ2UŞ\ńbľíł,[Ś *˙ó ÄĽŘę´ZJpŁ÷°^?mxâ7&0g)Ôý  (>Ú%MÁ›E.ęÔ.˘ĐKŒTq˙ó ĨѸzÄpüż‘DmČßDgůĎôşŔC6đę &/g’^ °}ŁTD~.w–éŢD˙ó"ÄŤČƸyJp _ĘÍ}Ňß@_@˝$#ÇCŠ‹ )‰‡”î1S@˜šč~ÄŁč7]˙ó ÄŻ v°ŔŒHA/áۄ]ůááĐřňŚF +%ÓQÁ’Ą!ü%'ÚŞ+DÜ[~WüŐ˙ó Äą 洂Äpt¨Až¤÷ŕmg*Č8-t~śÜs%ľ1iúz׏(˙K{H˙ó Äł *ŔđyDpé(°]VéúÁĄ.÷zš]÷Šă4‡AvŹ-Ĺ߇Ÿ§P_@\a˙ó"Äľ *ŐIÄpąŕç)#Ö|`%gřürŹŚúçÜCńh5|˝y édřt~|Д+—!˙ó ĸ ęź¨IDpŞ CLdԗóÇH‰"sŸš‹]Uô˘żü5ÄčЈL”щŃ3Łş˙ó Äźpę¸Jp‰‚ăEŚ­ÂZţŠ˙}´Ě"s %ÔÍĂ@ +Đ!p޸hڌ¤=˙ó ÄÁ ÁpIDqÁž˜ŘĄ0ĺýx˜Ť˜Lž\đ›ĺ>ŁĐ#wÓčcćmä˙ó ÄĂđŠ´zLfyGyu +éČvôs({C +š Ŕ;_PE/GÄá­"“!ęíc˙ó"ÄĆ 9ÁJphN_˙LíűzC*Á &d<źB3Öś ĽŞyDy:Úçß癯(˙ó ÄÉxć¸bÄpgŐ2/ŘŤŻ+đŕň­Śł0Ó˛Ÿ4$ö‡Ŕ‹Ú™B-Hąź ˙ó ÄÎXĆŔČaDpî{ ż,;[Iěď1šIœ4u€nĄ˘$•¨žşw†eŠţ^”'˙ó ÄÓ°F͸*D$#鸒đ€AŇid“N]xBŢ +ÔóZE@CžˆFcŢ&_@&oy˙ó"Ä×Ŕć´zJp›şťt¨ ăŃ(7ĺ˘ ÉL€jˇÄؐ!ŰnŕQ–ĎDj–‡Q>Ľ˙ó ÄÜ !͸2p3}GÝ镹|ˀxáĆDŐűy8ą‘ýűó˛ÓPmőˆäŸ˙ó ÄŢ &źđZDq´Č0p™w†œĚ| Ů#ľ Ł˜ź|ˆ&ň˜‡öD=˙ –ěÇ č˙ó Äŕ8†¸zH…8ŤąÁÁÓv/ωRyŔjŹý” +˙{Ç/ďÓ+•ë"¨˙ó"Äć é*´(zpËf ść@H¨ Ť¨Ě`@âfƒ1b@ýźţF$&oË˝Jmş*ŠŽ‚[ę˙ó Äć Ą&´0yÄqŠřR8˜PgÚLŇGŽsŒXkqqvsĹĚču–|Ü~{ߋ¤şĄ ˙ó Äć É°ŠpT¨ö˘ŔŔĺŕá˙ŢqÉœB(ś.4Vćĺ(éNVӛë'JŤ˙ó Äĺ¨î¸y„pbä(ŰĐjŃ]­3ÝB +ő8L¤ĄŐ~Éř)śÂ˙ó"Äé +y2¸8yDq-óő/ÝN:&­fŘö‹Ü&šđt4@'kŸmŽÖb¨ňBČ1˙ó Äç Ń&¸0IÄp°Â*ÇŔ0j°4oŚ|<ڂÖůč˝ŐĄî1¨ĚʺϺĚZţVšđ˙ó Äć ą&źđ:pčx, @9•‘Äá™ynŽŃ*d%şr€çSqĺWZœ†çüÓś˙ó Äć Ń*¸ĐIÄqľŮëʀĹ%AÂ&ş1ÔqÖúi{’ޢPÇç ń-ůK˜ą÷˙ó"Äĺ Q +˝IDpžĚ;HŁEő\´ŠĐ Žlp­ 9H5fH&ŐΆŚ˘şöfů"ˆkÎ˙ó Äç ů +šIDq꜁ƒšňáaƒÄ0d&“ Ďąi tÇp7şŽUšř15Çĺ:˙ó Äć ˆŞ¨(zTLugE=H‰8 ĄlţxRâ*tę—Ţm*3vřŽrÜeAľ;žěńšq˙ó Äć q +Á¸IDq2⠝ę€h*Vá80CD'Ľřô‚BŔţYYj?zńÖIö˙Čß!˙ó"Äç +Iľ¸aÄpž‡D¨€ 2ŘćfҸŚ^Ĺ;–_\ž¨0 éŽËćŕƒkóÇ˙ó Äĺ誤zNL*04ťăěáăçšr¨l|ľŁA‰äTt‡Y5ŐĘBŹŠĆŽß@Qo˙ó Äč +a&š˜aDpŸŽľEŔ3GcaÍťŻ%0ł‚ +…&Đwż)L˛ŮWŠŘ;Ó ˙ó ÄĺˆŞ bĆLÝë :ZF&čO”‡ÔÇď•FLťƒzŽ˙%×dC ߕ-Ů˙ó"Äé +¸Î˜{Äp„üŽ5Îp2%lœřkĽśčs]‡:Núh‡i cv/†Š’č€˙ó Äć i +¤đaÄp>dT!TĖąI”ÖLŔ˘´Ę°JUŹ Ú´…9U^ëúě8Ë˙ó Äç pŞ đ{Lý˜šBs˝•Ů‰ä"{J +|QsDĆĽUłö䌲fî2˙ó Äč +PʐzĘqҜFJ jK|Îe ¸EŐPä1âźq‰ĐUJ8Řg˂„CŻKŰk%AÄĆ؜¤UĘÖt/¨mfňÎďńYˆŽ˙ó Ĩč˛ČČJTLÓç2ŻSŻŞ Ŕń1IUZN.Ş:ă÷Ł>%Óă&ó^ęűBsëÖŹ(ŢĘ˙ó"ÄŤ ՐY„p;ۃ3ë@ćv›-Ţ ä2S”âQ`ÚjMŹ1ëĺĎön´Nő 1X•ő‡ő˙ó ÄŻŃŔzpˆ +\Ň'Aˆ#!s‡¸şn‡9ĚV[PRžŁęM(qŸ÷íaŽjů˙ó IJbŔJV(ˆů[8şę^9Ş{\ŘŚťŞ8Í껏–ŐléÓďHœČ˙ó Äš >Č01Äq=—^öL+äĽ č/Jˇ˙›ţ +Až Óč˘řcPˆ–Ř˙ó"ÄťéźbÄp&cdn(ŮÎ2qŔëÉfűcf;Á§mžvúŢאŸ$p€‚04 őœN˙ó ÄżĐÚźz +p’{Ŕ'0ŞL1?+}KŁÝÄçoĄF٨`Ž™Ś8Ši€ň0Qč,É˙ó Ä ÄČYDp-„†*†řżÔߐˇJ*‹oBż˙˜1@„€Ŕĺş$XČzĄ&˙ó ÄĹčţźzÄpPŇc:…Ă)ńßÔ˝°ÍůMô˙_yiëՇTč]ňŻÔňLJPX˙ó"ÄČ 1͸Jp†‚Đf”ú#R•> +ľ'ÔKđ&Ł@´çJ`üĆŞB^в8€‰›˙ó ÄË !:Ň8IÄq¤E}ô˜ÓęDň(~.ýźx€Á%-B•/W˜~‰ŔđŐę7×ó˙ó ÄÍů>Ň81Dq–ˇ›FíŽ,>śp؀EÎHŔ`˘ěŢA¸áç@˝üo[˙ó ÄĐ :âX0„qą(mo(ĹĘđ–ŕöpchspŚ +ďQ.?łL×U†ŽaĎʐ˝â˙ó"ÄŇ A6ĹxIÄqďSßT‹ň™r €Čjpö᚝°x]= tXYđŠ )Üč^ôşńí˙ó ÄŐŘúźyÄp|Č”˜‚ …Ňę¤Q€ +łŰŹÄŔžPó|a_Zj˛~Ť§˙FÇý˙ó ÄŘčşÄČIÎLčÇęPÂłëď#/X˘ŰěCs†ÁۓĹ$ý”§/÷ÁlJœ*˙ó ÄŰčÚŔ0cDpějg‰č€’úŇ‡H—˘jˆM''ť‡|Íż7}ťńŇa´ŕŸ‹˙ó"ÄŢ (‚ÄřJĘHž°3’°€ňĆ|˛‹q&u]ßâɏk¨[ŕŃëóÜŮĂÓBňP"+ŚĄŠß˙ó Äá ˘ź{ÎLÔA`€Xé,ktyšTÝ$ÖTt$ĘX™j´Ž6Z‘´l'~%˝Ł=˙ó Äăč˘ÍIĆLvSťtq€ˆ7ŔQ.ĆĂŔRËű\< ŃŹ(߀íđDű¸ű. íBď˙ó Äć °ŢŔPaÄqJ”ü4‰&á˛EI¸7tłk8tÁꨲÂBúŇWř$o”y‘ îœz˙ó"Äć Š:ĹxyÄq6Ü!€ŔĆ(<Rń ÄUęa0ˇMčGɂ3Uô}h NŠ§F˙ó Äç čÚÁxa„p÷’íđʀ +ç$4e]&+ŠČ•K*˛öšôöŐ˛túż Ń˙ó Äć !¸zJq^ԀAĆ \YI•š )>L +ÖyŁŇ6׏‹Nş=ĄeŘ›Đgř˙ó Äč +Q:˝XaÄq?w8ƒ6„X pń€?kˆ0›íH;ӍîĘ뿍 Şh5|qŞŒŽ-má˙ó"Äĺ 8ÚÄĐaDp˙Îó$!D€qŁ h<:Bn˘q_NaqI&H[ýU“Đ_ëŰQ^łĆ˙ó Äč Ń:Ŕ¸aDqČŚ(°íÉŁ1Ę ™gRG{ŘĐź:˜˜5˘ZçŠôĚĂźĐrˆžx˙ó Äç + 6ɘIDqÁ +ˆŔđÓG´×€ČAJ$ú}ô„0Úń­RČŽĽŢ5ؓ‹!˙ó ÄĺÉŔČIĘp{¸Çušˆ[B&Ě:y6ŻšaAČ|Ęż<đašz1VƢŽÉĐíŽ˙ó"Äč +96źKDq YO âŠU08~ÎÇf)šVł(#<$ąƒ´ę=mŤľy +‡Ę0ęÜ˙ó Äç + :ÄXJÄq‚>ç °¤(ٍ-ˆO}PՊúKXPžŘ‰×†˛ő;íR‡Óć3z˙ó Äĺ úÖ8IDpşüâŔĚ *}-`ZzgŠ„‡Y›;}@ä˝i›R +ůŰĺ˙ó Äç +Ţ´zpauƒ€@ˆ ÚGv;Œ…‰r†ű€‚­Âřڕ&úęŁf4Łw˙ó ÄĺȂ¸yŠHëř"?'fˆ,ş|ЎŃvó§Řäɟ ˝ÂëřýŐúz:7řoŰô˙ó"Äě Q6´8zDqí[ƒ8…Ŕ磔Xĺől‘ĺsf[Ɓ÷Pžńcľ^ŚŽ”şńcÜ]˙ó Äć á6ɸIDqܖHBŔ=Čl$0ö^rh™Bq'Á‘”;îăZŐ9ÝŻ†}ş´˙܄˙ó Äĺ 6¸aĘqFl đ tÄHTWDŠKčƸŔíĆŽźRĐ&oŞëœ`ĺ˙ó Äç ™Á˜IDpź{-:ü@’’‹r má¤ň¨"FĄ††Ľ;7Ô=/Rś7šƒ˙ó"Äç +9˝Zqzłöh‡VťŒń˛ó0Čđć"Nƒ>lL ŽŚ[ˆ3ţ*ždw˙ó Äć Ń:ŘZÄq=„F‹>Ŕpˆ‹ đÖeĹlTmîŻA{łšĆ逺[VŰŤęř˘eíű[˙ó ÄĺđŢ´zpĐ€ˇ­íť~=\^…3RwÍM–"‡LSiuî:YŸXćňáŤĘ˙ó Äč +1:ɘJqč>쀑¨_­g—d’4Ůł„ĂŇIŒ ď1EßÔA~ ý‡GL)˙ó"Äć + ŢźXyJpßĐ$žŕĺAŒ$§é#c4_ŽĚ4O@Y +ťřŸń­uRŚ~šlŔí˙ó ÄĺPÚ¸aDp\4ƒ!×+mZn¨ů‹qúŠ}˛y! ą÷űîĎLÄüŻFßR7Á˙ó Äę +Ń>˝xxĘq:tG˜€öZ7 žŰŒZE(Ó^d +˝ŠđţŤĂľw˘ýNeďÔ˙ó ÄĺÉ:Őx„qw˜$°7Nz +Ż,Ć]˛ě;.:q÷ńćH%­PˇÝP)> +˙ó"Äč +şŹÁŽLŐL%Ŕ*18ńž +=:r`WßÝ@Ŕîź3kS5‘ԁL”(•1Ľ˙ó Äč +QÁ˜yDq8x0(@ČMŚjşDŔ(ÁŢĺ*`Çr¤P7dięnýn‡ÎKÉAĆöE˙ó Äĺ¸ŢŔđaDpo‚Gc@I%':1­ĐR\k\x žŇjČ×ńÇů÷ť5‘¤1Ą˙ó Äé +‰>źřzDq~)~ă‘ëΚ§ev1ÝÖştWş&Ët +h×R ˇ +O] ˝¨;ś˙ó"ÄĺŔú´JÎp˙¨‡Z@ˆ`Ň2R + ąç”" +Ţ&AóĎhĂż îUVçśfž˙ó Äę +Q>Źa„sś÷_ÜVŔ€ ĹĹĽ:ƒT@÷Ěžůpœ@ňŚrňqi…îŸĘ"˙ó Äç ¸ž¸ĐI„L‘d€ĂJΞ;Œčm°wĆX ŁcÇOĆ/¤~߲övß(qş"”o˙ó Äç ŃÁ˜IÄpRŠł\ĄšČ ŚÄî­ FĽ6˘Y@/ĄČĄVúEŮg=Šű2[˙ó"Äć Á6¸aÄqS~S7…€°Ě:;Şśŕ3’ȸ€ŔMË9_ó~ +­i–~ÂuÔ_ě˙ó Äç ‘´{JpíŐ˘€‚˜;\đ6ň8$cżřšœ‡MőĽ׸zVü˜1VFČŐđĹý˙ó Äç +6ÁZqž„}rŽŔőŤ Ö +„ťna0°L!=ŐŃĤ÷żÁ‘éť›F¨#ŮÍ˙ó ÄĺČ^´°K(iÔŔ  &k‘F ,–:ŕD{KŕŕŃ˝FŻžŁ;Łî]ňľ?˙ó"Äč +6źřIÎqîo*; ŔĚŹ$rčjƒę^2ĂÄźT”o˙•Ćš2Ůwő?č˙ó Äć É:Á˜IÄqÍV–Łh€^é5Ěq=>ýĺ„Ěü\™FVi΅ZkÓž”TĎ˙ó Äĺ :͘IDqj%xóę„0AɀíZ¤đ,TZ´âF6 BÝ'ţOÓŮ@oť~˙ó Äç +:źđzDqÁ˜†F¨%ŠT™pNąz ­~ËŚF‚şđłÇMOęö‰ĄY;mŘí˙ó"Äĺ Ú¸yÄpŻŘě&Ŕ@$†\ÁcÓp33€3 o€ŠËóŸľŮYbś)œWŸ˙ó Äé +Y6¸Řb„q{ȁ@@ ŔçY#3x>ňɘBqŐŚň‹8€ ‹Ĺo+ĂŘŮTL‚çf *smœßPßĆ-T• ]v;˙ó Äć ŔŢ´¸zÄpüęćę1@°ęźB.…á­ +i¤si3x€ÎýĆ~ڏů„jŮ^˙ó"Äć +>ÎXyDqĐU‹ŃžÂĄŕŘQ`i*ęŁ%łŽƒóB˘˙÷¤čő vśŁŻÔ[˙ó Äć xţ¸ČbpKâOű`À!ş8°{poŁ§ Ôŕ-e/‰ŒüßŕŤv¨'ߓôW˙ó Äç ş˝˜IŽLނgLU\•ďGŠÚď}âDç!¤†ƒAÝӖŸŤśś˝ř]ź˙ó Äç é:šxIÄqO2ůpˆşË8mÄ'Á-&…M‚,ň5QÇ=wŘt•nƒKČS˙ó"Äć Ń:šXYĘq/*şÔLŰ }´0Ř K´M碨­\ÁŰüŘB|~›Ÿęľ×—ó˙ó Äć Á´°JDp3x<@E#ŞŮŔâĂ Á4GÇ gôˇiJ˙íŢ/Ô~ë%:œÔę˙ó Äć Řţ°¨bĘpŐŰÝł†eÜrQÁˆáî0‘ď8§ćţ߆kŁUFmęmă˙ó Äĺń:ŘIÄq%ďÄ +'›Ó5‡ŚXă.fMŰbŐSâPŤqú'^ß3‹×….˙ó"Äč +(ú¨{pQSşş€˛Ú[źĐĂfŤ8Đóቾśgę˘GĐӋ2EĄ˙ó Äç +!"¸řIÄqCh`_–&ƒ´ŰY5”ď1QźŻT‰-x2íUúöü-ÔHě­˙ó Äĺ 2°XxÄqÜă°ĐČ%sđ<Œ?8œóvÁtb?ĐAÎÉ ćů‰ôcz”-˙ó Äç + :ĆX1„q .X!™°' +NG '[Ř “. +´]ˆ ŻÉ7ĺ- P@Ć~˙ó"Äĺ ÁIDq$×2žő‹ †ČÒŹúLŘ6ą'źxB0‘ŽúšŰčOŠßWPÁ˙ó Äé +Pţ°řzqÇ>ňrƤ sڅ\V͢ćűÖä<çř‘—˙&Ż˛ î´jŽ€-|†˙ó Äć ŔÚą˜IDp ×€ ŤŔp*­v/NĘ#<‹ +ěWvzjýń&ŸSŔdDč˙˙ó Äć ¨ŢœzÄp2{9„H6Ś+ ŽÂo÷5„ w›9Ŕ”<îYľČFç &˙ó Äć €úš¸H„pՒÔÝ|ČU aNš\iI‡š“b¤šF]Œ>*a—_/á+đÇh˙ó"Äç +A:Ş8aÄq™3ڔ€E”€Tk@ƒa +Ň|Jâny€2Άť…$˙"o ˙qfý ő˙ó Äć Ř֜řz„pVú*}ĆpŔo|ŹhӖ:vę[ŇÂá–0&U CóWü+.ôQ:Ď˙ó Äĺ Ú¤XzpčnT@ۀrÍBÄâ͎ʭĆÖ$mŤ‰ęSžKŚUŮԙ,{˙ó Äç ů> XaJq€Ç´ž°:@:Jť&Úm(Bď3l:ŕÇT*Bűń_Á§÷ü~'˙ó"Äć 螐({ÔL*—É’Ä şÓ3‰ÂlşÔ8ÖTlĽŽ…>Óć7Ţţśo¨†˛d&Ü˙ó Äć ˆÚœXzÄpĎř§z"ŕ@Ŕ ̞ʸb˝USŠv +ňö*Ťňżą8מ%žQz˙ó Äć á>˜Xb„qĆ]@ŔNN —3"ǁ6ĘÁ8Ŕ‚ăŇ5;­ŕšÓáyކoŠŐ˙ó ÄĺđޘPz„pk"( }HÎÎœş@d‹_‚/î +[6‰ôź]Ůęe?œż˙ó"Äč +žX{ÎLçgĺŹUNÖţ +˘tää’=‹,jtc€˘MWi‡%­Űdˆx˙ó Äĺ úŒ¸yDpŁ Żáp+2ÄD…¤\ČBÓŃłĽ#%í&ĹÚß7ďőz#ńÚú…}˙ó Äč +Xú‘˜zDpmŰ"*¨*§h +fFQąÇ:ípő­5§ďŁˇř4šęŹúšTOb˙ó Äĺ ú•˜aÄp†Ýn(ö  (CÖÝĂ(pďňGĂů!Oô2˙UTö_ÓŚ¤đă˙ó"Äç +I>‘˜aDqlýZ˙đu\&Ť ę“Vżje ĂŐţ€m3h‹ąŒ_Ç)˙ó Äĺů:™˜H„qę­ °%ƉɎU,32qâşĹů8$ ä‹gËwĐĐžă;ž˙ó Äč +`ÂxÂJLłF÷űKx~‡˘@k’6TtVöu,žáˇóœLż÷;§î"ŰĚ5úę˙ó Äĺřބ(zÄp>ę‚= P X‚5>8Ť=”5MƒMŕzžź­™7ˇ´ż°ő?f˙ó"Äč +Ą"ŒđzÄp@bŤcB"€ÁąđÁŠ@ilr(N˘[(ŰY#čĆBŠ?\ďKÄ˙ó Äĺ p^ŽXA„)™¨`Ŕqš.Űoˆ8.˙) ÄӂD¨ť›Bš7GęioŠ +6˙ó Äć ¨ţšP`†pÜf‚` +x˜=*p.ä0O%81ś„ÍîŚk&ÄôÚ§Ę˙ó Äć ŘÖ|z„qúL1Ůy@€Ŕ”}ƒ*,ŔËb˝8§r?‰”KR‘=4J/BŁTC­+˙ó"Äĺ Xţ|zp‚ůkAŔ>pĄ˘Q‚†Â­–#<@8Đ'p;ŒĚÖ÷!LýŠƒzQj˙ó Äç +FaDqŻć ěBŔe%`$E™ĘÎ‰ZRúázSf#fěŞßä"6J’í#˙ó Äĺé"•HŠpÔî`Ĺ1@pů†0Ɨ2[Ţ7PčγnjĄ1čÚqčĺý˙ó Äč +ú…ˆx„pËX`Őyqżţ(@€ €Z„€4*Šxňˆax#›ů+Ďç('˙8˙ó"Äć +!&ŽXH„pé‰"=ő„P ŞŠ@Űc9] EŠVWü? ďůż;¨#ÖĘârł˙ó Äĺ ú‘°H„p4 üDilBšű€€Ů*3ŐœPąs#ůjoĆťu&ÜŽîĆ!Ýďƒj˙ó Äč ů*‰IDq7Îqü``i;ęFŢČ١'Ţ8<8.M@<ŐĐůßŮäő<!G˙ó Äç ŠJ•¸HĘq`GvÚAş€ (’×Ln#ÍąeP/Ç(˘÷ůÄ˙oŃ][Úţ˙ó"Äß 0ΈčaDq8<ȊÂÁSać•.š/ ` +Ł˛ +<ŮcĘě‹D#–ĽNO3~˙ó Äâ !&…b„q(żhGU@(ZšxDǍb…ş(>ŮZćěŠoU]żĺÄżŘ˙ó ÄäřŚ–XJLŸ€ĐdDšëňQ´˛ŽŠ\fŽL~ŽDGA¨ MŤÓś+Ů +SŻß~ ˙ó Äç é*ŚXH„pÍîźTž@ Ś@,ŕHŤy8ˇFăÇšůš@îo:Šť?a?挧˙ó"Äć +*ˆyDp”á"B€‡)si–zŹĄ#×5żŻ™€ÖÔ–Š7ÝßáMŽŁ;'˙ó Äĺ ŒđIÄpQ;CŔ@88<ňŇŹ`ňmt `)ĚÔbďçđę!ŠC5m…bçH5ž˙ó Äç +.ˆPIDpPŤŮ PVƒf Ô9ˇ°Ŕ]ÎôˇĆš} wÚFWRŻ÷˙ó Äĺá&đIDqCŠ×Ě@Š`!`Ąz$8ŤĽ¨GŃź!§Ü˙ó Äę +(öŽ {Npgđwč” Ă8Úĺ…cČ`üŠĄ§’*Ćz‘bţŞ×˙„ÔS˙ó"Äč +q"žX1Ęp#Î>\t0 8Úp€.H.“[¨€M1V žť +^t"}TŞßđî˙ó Äć ‰ +žPaDp+”'‰Hńœ ő+Ť{I^ fqZ‡tK†œŸ‹űá)˙D­V˙ó Äć ¨ú˘PH„pVü#đĄs× }ňÍ4ŁW~;ďĐöΑŠćü*ÓTSýRÉ{˙ó Äć á.•đIĘp0ě"9Ôđ1°˘¨ {]äĺâAv-¨ƒ•ÓU4˝řÔ‹o^UpĚü˙ó"Äĺ A&ŞX@„pÇEü)€¸ ŠŃŇŇA„ő +ń盘í>ő0?Ëeő÷ ˙ó Äč + +šXb„pKř6˛”ƒ 0,źÄ^qb¸¨Öƒp‡.ř˝ţ˘žEÍűź+Î4˙ó Äç +úšPIDpI8^<Ń“§Ň"ÓÉŞ‹aMÔ>ٲYČ Äľ8§ýńĺę˙ó Äĺ JĽH„q1‹DŞ‚đpó1?H„âÎ(rŠŘąöO ĽßáżK(Ÿýj7˙ó"Äç +9•hJÄpęÁŒÁĞ€°Ź†É/@qᡥ6ÍůU"˙˝Hbżü^a-ě˙ó Äć QJYÄq)—PLt)š L:° Eb§¨ťŻŘá°˙Â?C>}*œÚ +˙ó Äç +&šPIÄpÔáŔĐ6ˇ?ˆcÝâ"Ěc B$HÚČ,ĺ H“çoB?Ü +ę˙ó Äć ą +ž8YDqÜtáťńppB~řść‡ë|$3/?R)݌:Üۡί˙ú—˙ó"Äć + Jš0YJqŞXŔTę˙ŠÂJ:šäh9ôYđI]ďm'o‡?Ua1ldđół˙ó ÄĺĄ +™IÄpŽ#ě×č˜$ °„"Í4 XXŢźJ‰ó€`ę$¤GývÝýWľ˙ó Äé +yJš0YÄq +Őaăĺ€Ř @“G~hą#j Ńy.;BÍĐJ?‚˙§O“źÂ{˙ó Äć a +‘čIĘpSĘ€@•9‰ ^RmÂÁK~iŹŰ3ĐxĆn †ýčl;}úä˙ó"Äç +`ţ‰@{JpłöĐÂPřT~w5”,×ـ$ř҄ń/*!˙˝šţ˝˙ó Äĺ !*žPJ„pAVbŔؐ€8'€ĺ_so]AÓP۟ę÷Dďč?Łń +i˙ó Äç đú”ČZĘqnΤ¸/mÓş +ëŇá 5‡¸ĎĘ=ő/V đ÷éGĘý>˙ó Äć Ń*ˇ1Jpxš …żĺô !+b…Ŕň2hÜĐ +’ňNU¤Ő;żĂţŠ‰Ŕ×˙ó"Äĺ JŞX1Äq˙n]ˇň@¸Ŕ(œ ĂhcŢŢOĎÔž-éş|‰ű˘s“˙… Ż˙ó Äč +1J˘X[Dq¨žpŕ0pĚüŔ*0ZYÇĹCË6čr…N÷d‘~śŮ™~Úƌ˙ó Äć ŮŚXJ„p4AqR”0V˘şPP“ü +f˛‡7Ô_Š˘Ôwę†ú?Č!?˙ó ÄĺńśX2„p˘Thąěř€JĚŘťcE™|Hđ>N FŚ{xĎěĘéAž˙ó"Äč +žPaÎqŁĘ,,pö€x`ßŔvşwż‚çáj_łůúTÜĎ˙ ';1˙ó Äć á˘XJ„qţ E´ Ęf/Y`c×€Œ‚<œŐţ +‡]UżGˇevĄ;Đi˙ó Äĺ !ž8J„qœILč¨Ähľź^ŘkpËŻpÁÂWn1‰´ąßĺoŐÝ0۞ŕ˙ó Äç Ů™{JpI6ďát '@V`Tš +€!–ćᝡE%¨ţźĂzuj‘˙ó"Äć éžPKDp\,ůą`@1×CPˈ”“ŸÇxvnŽrđĘßgŽwEéř˙ó Äć á˛x0Špí{t”}ŀĽi¸œRŞ<ŮP=˜§N_4—™_CŠ×čÄxp?ţ˙ó Äĺ J˛ř0„qˆŮÄ]Ô´ €}řĐP\ý7ŕPyůřę›î-ô˙1ž2T :˙ó Äč +&Şx1JpDΰ°ÖĐcH鐌ĘWœ–aŮę2RŹęcťjÇoô.PÍ˙˙ó"Äç áš8yÄqÁ—,Ÿü*p +0€Ż‡œ ƒX—f‚d7j;˛H甾ĄG˙đçű~j˙ó Äç Ů"˘XIDqƒb8p¸\W€}}ŞńČŹłüŔ5Ńř˙-´Ńc§Ť˙Ť+ Č˝žĄ˙ó Äć q•¸yÄqY‡0ÇZ@a6pŠŮŔ2AĆä×wü^Ňu~h/awîň‡Ž+˙ó Äç + .ŞXaĘp<„pKŔy“|řú2Z[Ŕ$găíÎj4DUťŁ~$¨ŐáíŢ˙ó ÄĺáŚXIJpŽŁ@ƒş)€4>ębpPŮęéŚúz:őW"zqC{ő˙ó"Äč +y™¸kDq DÎlÝě° 7€s]ĚąAĐj€ű_Q—2§ëŹŢűçĘ2ň€Q:˙ó Äć q&šXZ„pTÂp])Ŕľż× +Â;ŻđەşX +#Đç{§ýŠz3­IC¸˙ó Äç +!"˘XaÄqđŕ>—ŁŕĆ%t ÍTtĽ0…Î".Ρ\ç lŠ#~Íq”ö˙ó ÄĺČj6(† ďÉ@´|8€‹áńpTލ I}‚\[u1! Őł¸6ô˙ó"Äč +€âšXYĘqŕß +@XĹöšŽQT)č@öáWŠšsšJś2äŃű˜˙ó Äć ÉžXkDqú*őÔÄ9K@é`ąoĹë -Ö ´ć÷U?渙jX:ďŐ +˙ó ÄĺĐú˘Xj„p ÁÍśđ1€ˇe7• ]8şT—ż,”sDŞřżb”7ęD6WVÄ˙ó Äč +A*˘XJŠqÔĎL˜‹rŔ´­6Ë@!öPľ¨ü Öš„ER}łöű¤ÝZŚzŚŒ3>í˙ó"Äć +ţ¸j„q<Š+ýŔPVK|ШťŠ„ń€58ÝĽöŠő4żëb~`Ŕ*îFĚĽ3§˙ó Äĺ ‚ŚXJŠHáAŽ ” Ů…^şŔăL§ +pŸßŕ•Ś—ŢŠ{¤GU˙ďčŕ˙ó Äç É&–XaÄp_ŘԌ‡÷6V +G•„ Ź +NŻŐü¨Bô?Ł›ëB+Ôö˙ó Äć š"˘X@ŠqŤ”l‘Ň@¨'ţź´Š#żßĐŚgXŇę{"Ďq–02Gh×˙ó"Äć +&aĘpę´5˛ÓRL§–؃öü^§ŹßUY´CœXčÍťÇëjŕ˙ó Äĺ úŽX)Dq"ŒČ‚# Đ +şóčËä\A:ŒÜĹöCÉŹĽůBŁz;'ýቓ˙ó Äç ĄˆČJ„r n€ľ°Ąh1¸VÉG6˙-ŸTŸ{‹yęťçF˙Aű˙ó Äç ą&ŽHJq|1>Ĺ`ntDÔ$Ş5pŘ.뗖}#šĺ„ +͘›ŽŠŠ;ĚÚż_˙ó"Äç +ţŠ0bÄp_pun@pą°§ćřň›Ę 3őť˝m2r$ŒŠNţ‚Š¤˙ó Ä怂€KÖH€d)đˇđˇTr!Y0Ş.`“Ó˙čÝĚoQ÷3m rĄ­ G ß×ý˙ó Äë ţ–PJ +póŇÓ8ynÇoë"u”Á|ŮÄ„ŐÁL͞ÎB?žN„X>ĽhŢ˙ó Äĺ ґpKDqŔ!*#vÔ +ĺœ”QBVčtČĹ^‡ťĺDžĽ[đOĐŇú2˙ó"Äç +9&–P3Äq=‰E`x0!Őem5YÁOPăŔ°lqÚˇmgtRWÝú­ř''˙ó Äć Ń&Š(bÄq`ZZ’^ ačtÉĆ™Œ"§9X˙ś­“GIű‹łŐÚż€öJ˙ó Äĺ ֕IÄpu`° XC~×í´dÝŠý´Éţë%5˝ť˙G×XFŁî?ń~ę ô˙ó Äč +8ҙI„qŻ’Ô5€´Âe(D1Ž `Ř B‚."EóoƒęX‡úG~ŇĐ)˙ó"Äć řţŽř0„q|°~*̀÷Î?Rؐ˘@át7ŕ\“„˘ŻĆ¨ďC!ŸÝŽ˙ż˙ó Äć ˜ţ˘81Dp˙ÄzAG]F) ^v…ƒ'ďřł(Ô˙ú‚|ˇ˛)\~X#kß˙ó Äć ˆúŽřIÄpV˙@‚Zp™"W-6FÓ1Äţ$h1Srńž€Ś~SoĚ÷ǨˇÉ,Ü˙ó Äć ţˆiŠp6”€ +řhčE x寀yCX‘¨_ܢfřAvđ‚Ÿ˙>/ËĆ˙ó"Äč +`ţ–y„qv h Ś  EDŹˇ;Ńg„_2<_ë/x<§Ř}ÓŐŮŮŮ0˙ó Äć É +Ś80„qBŻygŘ—` +Ąg`”‡Ďsć…9ŘępÚy•úú)˙ƒŮn-˙ó ÄĺÉ6zqĐP ą5ł´) -‘˝Iîš>Ć Ÿ<@LZŸˆ|ߛęÁ—g˙ó Äč +a:ŞřJqşg7\`řśčĂ}Ź>~•D .D:S ůtšÉšLÁ‡×QO˙ó"Äĺ Hâ˛ř0„ps)ůłJ°4â ŤŰŇAw$ňH"/âďżčŽý]ösł˙?äuˇE˙ó Äç 0âŚ81Dq˝N `˝Žőů(ŕâý@śĚt?­Ę>IżĎ1—°q‘L.včá!˙ó Äé +AŚŘH„qj!őťŠJ tş"H áKä¨É2ď˜zBńâ(/ňˇx×ϕu).˙ó Äç +ą6˘8IDqú*tPRłŒ! IDŠČĺÝCŸ÷Tąý,˙ŠŞü[­ý܂ww˙ó"Äăá6đyDqŃëűh€`t0y+kG9‚[˜fá—Aý ÇGSnňŸ šŃéC~?˙ó Äç + ţ˘8`„qmRÚP˜ˆ…Y€šţţœGpqPW„j"śŰÎ/ďşĺýA;;ń™—˙ó Äĺ !:ž8HĘqՉR˝@ŘQ™Í€rŚŮŇŒ%˖č}ˇîěž Vłyâ;Á•8˙ó Äç +ބ +pŠ ş@ T†m´hŹ +Š›Wčą°eÇÍ6ëčĘ~o`‡­˙ó"Äĺ žČjĘLjuŃđWĹřĎř.cuŢČ,aPd(Ľ¨Ř{š™7îďňÁ‘ÉnęÓý×˙ó Äč +!6šbJq¨ý`T4YĘ' +a÷œ…ľB΄+ćgmƒ… ÜŞŢ‚ô˙ó Äć Š6–yÄq` Ęň`đ“Ó:‘b ~Č1Ž_ű ´ő¸ă>e ‚ë/Aţ"˙ó Äć Ů2ŞX`„q˙ĐcŐPa"ßő č3iZk‚ĄŃ#“U(/ĺL]u‡Ť5ăÄgżZ˙ó"Äĺ PžśX1„Lž UP˜ 2G¨ŻÖ`Jy뢘[Ců‡R>ž2Ÿ:űpŁ:ż4éąe˙ó Äç +•pbÄp8%ľu€i *A4SúÂÇĆą2nxssĽG×Ä>ňmţ…u÷A×˙ó ÄĺŘţ{DpÄĺŔY°óŃxš0ó*=öAąŻÎČ/fz7ú: ş˙ó Äč +ţ˘PaĘp ç„h yľägbWt),Sň÷ ˛…ý˝pö´˙ó Äć Š&™ˆZĘp•WŠú`Đ0!óŇd rf„¤h,GŠoǛĘ6ŸomBü˙ó"Äć čţ™YÎptPĐĐfŽĹ!4äÁV¤ou’éžĎWäöK†™ÎÚ~:xv˙ó Äć ÉŞ8IDp3ăTł­Ŕ˜DŃn1Řôyh¨Ă@: Űđ~€ÄŁ˙¨9÷ęGţ˙ó Äĺ âśřIDp”I +Œă'ˇ•Ŕ\vB˜#zYë˙ËŢŘPTŹ ÷ă ˙ó Äç +ćśřIDpKü{ŞŐôJ +.{ Ĺú„đŞÉałôoČZiĺ?ú*Čű\L˙ó"Äć +!.ŚINq’Łâ0x`}ÝÂŚárÜR2`28@ăe +Ż”ř!-;ő7SźËębŰ˙ó Äĺů*Ş8IÄpÖg(ŕ”­uřs™.­‘@žP| Œ(3*OŹě ~­Ş“3z˙ó Äč +a™đKDqƒëƒFŔ” ČÂ|°č<—œ`@tĘ"ˆ´:ĎĎO!ű„V¸öá˙ó Äĺ şř0„q?ę,“? ¸8ĽaTp+X¨§h@A*bOČÝԚ}&Ý˙ó"Äç +š&đJJq K’ĎUpÝ2 +Vž …Ő\-ŕ4,=Úôńí篿UÔ_Ě˙ó ÄäáŽŘ9Ępßç0ú@Y0ŞĹH Pí!xˆlÂH\|Ţ7Ú:]ýú“ ý%j˙ó Äç ™.˘IÄqú.@(0)kMFô(˘¤ăÁLl‰T˝}‰šĚQVgëęz™4˙ó Äç Đţ•ŕaÄp &ô˛Ťƒ`ˇŒWšœÉ#IEÓ1szőż*Iř;PW_PÜ[?őG˙ó"Äć é&˘0IÄq(†˜‰)MtCÁ˜›IÂCćo”˜žřžeęv@™š˝?kľhAŰt˙ó Äć +a.˘IDq΃ET¨…Xţœg*śd"dʖŇÓ[űs´tujD‘˛ łú˙ó Äă .˛8ADp R}Ok`c°ůÎŽń€cx׊Ł|âEŞß–$ýôň +óRo˙ó Äĺ !.Ś˜IDqŒ˙MRpŇ@Ř ĘEĂó¤/KÁĆ>罡ä“Ńޞ0žŠ„@B˙ó"Äç +a.šz„qUK(ć—QňĚ}^ŠyšŃ 0Üj@Ěi˙˙ó7œĘÔRôVD/Ł˙ó Äĺ2”kDq7úR˘”ź •ŰŠi…ůT¨,Ĺő +4 +ú‹Ë?ŽÂbœhôŤ{š˙ó Äę +.•čJĘqžÚžÁlđQAŠ[*c ­Ś +‹?3ÁŔłĐ!őŕÂśŠ7ŕŘ˙ó Äç +Š>™čJÄqňřľ€t^<g(ąEŽ\őšŸ]ČŤĎË?Á1ŸĐmS”~Ł4ß˙ó"Äă Y:Ž81ĘqţʝC¨”@Ikܓ†đâüNБŚ9´zř‹ŃŽËŐŰ­oćw˙ó Äĺ :ĄčIĘq˙Y0ŕ؀Aâ,ŽÉő¸geY:ĎĄÎřÂĽ=ŞV_„Ÿë˙ó Äč +A6ŞĐI„qü˘¤y Xť,bTEĹlx:y†4Ą”ńĽů¢߸>q­O€˙ó Äć š:˘IĘq;}ŞÚ\œy50D¤'.Ą#K“ŁS ŒoŞ4¨4í]ź˙ó"Äć Q6@IÄsYŹÝ ÓÉ ł °ąčŮ]ćm@uů›~ +úkřs/Ó˙ó Äč +)>řzDqvÁÂ(8%—׌XIĘq[é[cb„^:T°(Ą{Ř~Â~ŰńMęäŤp§â"ŕ,D˙ó Äĺ >˘YĘq݊ŹN”)TžZ dJ”H5*Qs7xoüíďYłĺnŒě‚˙ó"Äč +q6žČIÄq|Â˙ľÄđ "pTŸƒ@ +x×ÂŞ,ŃĄ ů•ŠăGúł˛? ý +kú ˝˙ó Äć ˆúř1Äp +DÔ)výÖH+D śľuœ¸Ţú]/n÷ŸőOçRhýúh˙pgýV˙ó Äć i>˘8IDqÜhŹp”2Ľ%Çç˘Kr*7$]ŚŰ ĄŰĐŐ}FľçlZÝ@˙ó Äç +6šˆaĘq˙Ś"@ †-ßá-áY Éę|Œp_§Ž–~äĆ6Ľůî˙`S2u˙ó"Äĺ 8ş˘8Y„Ld+ip´ `›uąézĺ^tNŞČľMőŸđ?żČűy|$ţÔý˙ó Äč +I:•řjDq#h œâÁ4­5–@" 'F [.6,&yţUýĚŽţˆúŰÁ3˙ó ÄĺřţĽADpţäő +Ŕfm ŹERĘ 9’0w/,ÁĂŐŐß`á;*™>âŰô˙ó Äč á:™čzJqŠüH˜B‰ĺ(ˆOĐŮ|9É°BcáOĂâĆň‹<â€.Póş˙ó"Äç á:™đIĘqÁž€ŰD€t + ß´Ň ÂFDƒ "č?˜c +I›Žú“oÉü…ü#˙ó Äç Ą>‘@bŠs爸$éÂϨŁ'@­ŕ=ˆR2' +gž+fzű—ű ÜvÖęœsüz˙ó Äç Š:•řZDqqch°4 œűČ/ Ţ!°ŹÖ.ŽĽWăáő÷1Ü/Kyňý˙ó Äç +>š01Äq˙ŕŸ‹e€€<<äâŕ\Š(ŠJtĆŁgCiîć|zqFt™ŐŸřńP˙ó"Äĺé:ŒRÄqßrß*iŔ<šVD€žŕü)âÚ8ČÔň:ůšůŸÍŰŘŔüe˙ó Äé +žŽzJLz3¸Ą‚#Đ8ŽXŽ*ZLż7.YźWú÷óŚEű{‘˛ýC€ó˙ó ÄĺÁ:•đYDq;*´ÁŔ( ż ݆Đšbd‘’ßüßĘاa?o˙Ą›Źc˙ó Äé +€ţš0aĘpÂlj€|Ä(w˘Œřţ7š>BűjQŰj‰(O›z+Ťţ&˙ó"Äć +:‘KDq"ÜťŽpÂHě1`Áäœ?Ś˛eÎ90ňžŻ&~u‰˙Пč˘_˙ó Äĺů”Č9ÎpŠFఐ Ć ×ţH€˜˛†Řwax?!ÎňLžŒĆNÚŢŽŠ|˙ó Äč +QJŚŘ1Dq…âďĘ !`eżzcs†` 69žsŤ­}[ĽX!lďŇôQŔ”Ô˙ó Äĺů•P2Îp!ýHœĚ@âÓzďa–^ƒŤăô}-aâiB-ą P˙ó Äč ɢ81Špf}" €…X3‘Ď.ňďP‡gĐo?čűtt<ÇŠmž0*˙ó"Äç +Aš81ĘpË~ś”pI*ń÷Ů—ăĂŮ:}nľš ˛Z÷~yB˝SçÓá„â˙ó Äć Š"–03Jp3ěJ@Œ BłŇöČ0ӄýrDÝČÓälŐ8ąBz;Œ˙ó Äć +š81Äp5*ŸîĄÇVW+. Ľ›­KgŃ0tR9QĚGŽüIő‰‹Ý˙ó Äĺ I•1ÎpSţE@ěäœE>łŒƒ°÷<÷l ôÍLă đÁÔ¨Ő˙Ä:ˇ˙˙ó"Äć é–01Ęp€‡{O҄řĆů1HřÂ+YR8>ŘąZÁôjOŤWţâüżţ˙ó Äć A„BÎp`6°ď— +j‘B ÂM‡óЄźV7­5\ľ˛ż˙hgë݇8<˙ó Äč Đö‰čcDp +€tčŔ@`,ÍËĄ7çFREçRh&Ýuԃ6r~ů–Ž”C˙ó Äç +ů*Ž0IŠp*]śŔz3|Íŕ1x°hˆÂ÷ +۹ˎúŠ/övýŹ +˙ó"Äâ ).˘ĐaJqţFŰ?)îwó2„ A Ł`Ăëřpú4™pH+4pąŻŻ&ßűg˙ó ÄÝ JĄpHŠq6@ŁűŽ>ďĎiŚ™ó(ޅžĐ_ĐPq,Xv"€­˝ôqšW˙ó ÄŕŔćŚ0IĘp×đB}’›zˇĺú0ń"ëă\:aňV$¸Uí„ý¸,˙"ž1T˙ó Ä䈚Ś02ÄLüx?×Ń.&/Müt0š„ ͤŠ/Ľi×ćQŢô1ţĄƒu(˙ó"Äč +‘.šDqˇúŘ˙Ő>€3¨ă'ĚP†…Ť!łUű“B“ęĽéĐŕOŤ˙ó Äĺ !J˛Řa„q)‹âʃƒĄűŰ°ˆ#+ŢŁg;‰đ|ţ"%ŚĐ­őFőküŔ˙ó ÄŰ ńJœČZqÄ:{$´ 0˘Ë)ŕ4@sw ÎâP% +Ô(¤36éőoÝ_ř˙ó ÄÚŠJœQDqwdAčź €€Á4{IYRĄÇ +!BĂĆI6.ĄfŇrĂśSţŠčË˙ó"ÄŢ A +ĄhZĘpü5ԔÔŒ@iđĎ E‹Éôŕ–nMn&őţ |Ť@É÷'ĺżç7č˙ó ÄáŮJ˜:qôć@¸€ >šEÄŁt +gŘßEŠťřÇyř˙´ŒÜëű„ˇľ]˙ó ÄäůJĽp9Ęq_ w‘­lŕĆď‡@ł7C)Ą7ż•w—ˆ]HĄA˙Śo(˙ó Äç ůŚĐaDpć°× +€‰@B]ćtvĄho_Řhí}Gľč:‡9 ˙Š?Żú¨˙ó"Äć +.˘AJp§Z‘`d‰R€"‹˘ÉXô„œîgLŹ&:˙ó Äĺ !JžBqŢx:ě Œ]Gş Ój`5t #JxČá—SŸ….ĽœÂ=+çx˙ó Äç J™č2qUÜIó`%Ÿœ HFĐ[ +m3ăúHüLoö‡8ýŰR ›‰|^ ˙ó"Äč + +ŞP1Ęp˛, đ¨ř +łĆW1'ÉA°Źă×%Ç'—m +=Búőgąűťý` Š™˙ó Äç ń +˛řYÄpőYřŔČPdSGXúNâ‹čcp,pQţ§˜ÖKČ?WÔ +'öW˙ó Äć JŽŘ0„qˇÔwýüD0¨O1H"Ě˙łœ +ž´ů´ęwÚĽo˙œ'˙ó Äç ů +đYĘp‡ŤŒ˙ýxF}îł ž *Š˙Ü$Ł9žŸ2ąľ ś+Ţž˙ó"Äć ™ +šZpڊżč/­ Ą"ýł” ‘BÍ +ÔÓd3ghW˙ŸĄ[^ąę?ů܊ä˙ó Äç ¨âĄˆ:Dp3Ş1Дâ@Ŕ@—a1pŇn} + ~×?´"kŞłigyQ˙ó Äç á*ĽđiĘp{섧­uöp$2ŕú€ŒqđłGÎT<§Şž} +SA Gë™P˙ó Äć É*˘jDq[o&iCE—ţ_qčUž.dmEÁ +z÷×˙ó Äĺ +>Š1„qvůŐ0Kđ0ż8JqňĄ˜(ş[9iĚ~Ňϕő.…ÔÁG˙AG˙ó Äă +Ą: ČYŠqqGE@´€ ŘčĄĘ‹ƒĹG÷ÄÂŕ~Ű|WělžŽŽ v‡•ŇĘş ˙ó"Äß ţľ)Äp§ô›ĽAհ٘Ŕ{äĚĄŽcs?\ł˙štp’›~GÁá~˙ó Äâá:¤QÄqQľI€¸ÂtŘm`r +Á†ˇ“Ă°ĘXĺ]'Rš‡k˙S¨˙ó Äĺpţ¤Rp¨ě?̀h€WáŒ$ÐÚČśˇ=—!.Ú>S?űĚ'Ç˙ó Äę +É6˛ĐJÄqœ´°Ŕ˜@…I g4Ľë­7GýyěęŸę÷ÂKŃčYě/ő‘ç˙ó"Äĺ ú­: +p˙!ÄżĆŀü ŽĆ(|nx'Ć0€6d1Ęúô1=N@F˙ĎýHß˙ó Äč +aF˛8*ĘqĘ"ŁŁŔľ€‚. Ö°ŒÔ Łi!ľůŢČűżú›ü("fO˙ó Äĺ ŞşXFLĐ Ŕ؀ +­š!¤l\„Á<…u^jd)™{ĄŒĺ—žf¨¨ţß+˙ó Äç +ţŚ(2 +pÓâÎĐŁIüé ŕY)ÓAk.ßEĎ6•7ĘĘĄ7ćČ5?ÔLw˙ó"Äĺ !˛Ř„pżPl|~Xź§*ŕËÄÄ[˛ ´ +ÂąşĎt­×EŹß˙Ńş‰;‘˙ó Äč +a*˛ř2Äq +ń`˙ €Z(9WpĄ!Pĺ¤ŔS{Ń.:˙_ëM‰ó[úą§ +˙ó Äĺ ‘"ş81DqOg“Žá`źĐ`čq -TPkZ,њˇĺ]˙žNÚ:˙ó ÄĺŮJśP)ÄqEźJý@¸ g/t¸öÝŕL1ʊŽýůžPKç›ÓŃ$"ącmr˙ó Äč +I"žJĘpcóŻÔá{€i0 #źĂHü‚N +ŹéAóůő}[˙ĐŤ +•˙ó"Äĺ 0ú˜ CJpC4†@œ Čëî2œ#Žń¨đjŤ‚ĚąürßŢڃt…ţŽŽqýß˙ó Äč +I™ŕcFp÷8”Ž[}ËŚ‘{Ěŕ(\Ř8™MŻřŻć^ŠçLˇŹ„ZŤyƒ˙ó Äĺ +öŞĐ2pź‡÷E( 0 +J˜lüúĎiŔƒÎÓj:Ż|{xJ؟ć̏ ZP˙ó ÄăŘţŞ*p!oG!Œúˆ;€âę&%Čó<đřސ}üš‡ĐU]Žňę} +č˙ó"Äć + .ĄđIÔq/‚.ęĚ-˝ŸÖ@c_ŻXÁˇX<ˆśYŞŃbŇí˛ů„GÇůĽżö”v+˙ó ÄĺĐţ˛Ř1ĘpŃţ4lˇX6˙Čyj7űąŠě[¨ +ż=ővőVôB|7˙˙ó Äč Š.š bqbŔB~ +OÔ<ÔPVJ…@řä€?E* ´‘jJćӟ ó™đëđĄ›Ó˙ó Äč +a.ž(bÄqţN…ż$üQy­n#W€­lĹżO˙‡ĂiŐËÜÄúç˙ó"Äĺ I*š {Ępߌú ´ ‡zęO֋ŻŘ ™˛ƒŒÍLJë7Ścĺń_Űę§~§_Ő˙ó ÄŰ龐YDpvú +r…”n*.Uqűüŕ!*(+rüY4žŠ8Ě}?ŞˇŹŸ˙ó ÄŢ ʨČkĘpÄߋ“ S=ˆÁ%wŞ"TžIˢń˜í8CôQ_FOă˙ó Äŕń*Ňř1DpŸ÷_Ä)‡üĘľ°nŸXňZ _8‡Xú-ßŃž„3~ßB‹˙ó"Äă I +žXB„pŕČô2&ĺ˝u„˛ś :<ŠœŰHŸşażÁ07ę.ĎĐ\Q}›ůŔ–˙ó Äĺ *¨Č;Äpű~$P\0>ťł•eŸ'(°Ç8ǒ˙Dƒű¨pSű~*,ß˙ó Äç Ů*ĽŕcJpsţ&ŕě +H/í_ yMůt Çl%Ľőňć/^$OQęŒ˙ó Äć ‰*ŽŔIĘqoGĄű€ÖF–ě÷(Á´ ‡WQ4xüfż@ĂU8qž?ďň˙ó"Äć ůFŽBŠqÚ ~Ź0`;riŕ"eŚ`Ž$*ÄŢGż“‘ţ3÷D"ß?Ř3?:˙ó ÄćŠ +ĽŕCDp'†@ü…O+@+_fËq›úh#xľb™<­rjCŃ +ěĘţű|˙ó Äę +aJŚ(ZĘqák‚Ůh ŕ nś4šC Ďŕľf’ęy{ ˙†ąÔěBë„˙ó Äç ™JŞ BŠq!hüXýÚPÁ™×ĆdÓýŔ¸.Śe^F1kđčEžBrş 2]˙ó"Äç +I*ŚzÎqŠÇîu +“Ě#Ş@đąU +š@9ú…Y2+Š1ěţęPßśý¸˙ó Äĺ  +Öř0„pWţP˘¸˜ĆHŘ „%@#Qôˆ8E›xżâHwܤSx7ŔżĆ¨ćs˙ó Äč Ń&Ş8B„pÎábXš°°&` =ž˜­Dîô¨p@ Rj>§ęGĆîÜrQ˝Š˙ó Äç Ů +ÂX1DpůSô´ń đţ "iŚČ‚\,^ăić~™ůtÂ!JTóAňá`˙ó"Äć +!&˛8ZqĚIr†‚XíďI„tšś ÄüƒŒqÁrź÷Đ#@š4(™ň7Ľ˙ó Äĺ *¤¨zp9€ĹŚA€[lH6 +ĚC*'…C{vvŰ$Łă+š„TFŚž~0ł€ú€˙ó ÄßńĘX*„pYI‡Ž3B-KĚýâň,ĂŐRÍ ŠQSťDlĹj2 Aô*˙ó Äâ žşX2„L&'>D7œkcűúŽÝŠS=ľĄucyéTTç´žPDPűs Ń˙ó"Ää I.ś8YDpFÇ šŠ Zϓ,šŘ!źZ‘OŽ ÎëřWÂ@@\ůŕĆRnPX˙ó Äć ˆâľ0†pÇ m7_ş ű?ŢהB1~‚íT Áţ XvŃíhçJ˙ó Äć™FŒ {ŇsĹŰ˝™łşđO€<łĘýFĂ͸:N ł0ĺ%Ӄ—%˜Ó¤˙ó ÄĆŕţ´Q„p„‰xťj;pYbî…*ŤŔSw¨šˆz ÓŒŸ‰}PđŔ ‚d˙ó"ÄÉčú¸ČQ„pjŁí‚OłPÎt#㓩j >ÖŘĐnĆŠĘvháéüŠB?ʜ˙˙ó ÄÍ "´ČQÄpk€‚œ‰T`˛^ČK–q{Ě0"D}‘ă×eJ]AUî˙ň­ÖLg)˙ó ÄĎé&´Č9Dpl8 Iî™=„(‡|ĄCcl…Œ>‹Ť ‡]‡uŹŸ…2†@…IÇ8˙ó ÄŇ Ş¸Č1„L`ČX¸.†+¸VoĐ9ěVő9˙Ş?§(ËůEş(ť ą”aŕd68˙ó"ÄŐ !"ÁJ +qĄqR Ę×Đ/cí´öŚ\˙öˆă%łœ@Ęŕá%Ą°%ÇR˙ó ÄĚ Y"Á˜JqˆáÍ3’˝ŽŇ˘G‚=˝6Ô­ś$ş˝ˇ~Ż˘pL>Ł'ýlĽĹŸg˙ó ÄÁpţĨ1Îpń‡JJˇíŻeâř†@ăŔńŔá(°€ľP"ž‚pCě,éÉqŁ˙ó ÄƐ˛Ä1ŽNś[˜CWĘďVÚrřV­-@CFC¨Ĺ(Aúę#\bîŤzqÇŐ˙ó"ÄĘ A"Ö8(„qľYżTćő›ęeˆ€ `ó$.YŇŐŞtŸ[ž +{Ş6rŸ:˙ó ÄÍ ŽźJVL:ĆyÎ5çŤX–&BHŹXs˛ąŤ5dôĹ 2HC1Â˙ó ÄĐŃ&¨{Řq#Ť%îâ÷T§˘XĄ‘Ź” +ľŁˆŐ +2°H@<ˇˆ‰ßŽÓ˙ó Äł +"٘1Dpb÷LݜT[÷ r‘{ă向c•şŽY +@ŠrŔ…,x˛‰*Ri‰˙ó"ĹȎŃpJJL ÖM ľžžď[›îŞ9+űé_`j…`÷˙žß6¤ˆ_"R˙ó Äľč˛ÍIŠLKÎ2€pfN]ů4<â`3ĘĎü‰R-r‰Y*!P‘/C ä˘¸œŘ˙ó ĸ¸˛Ä¨IŠL_á- ôťň.łŒMÇíyꇶŁŁý†ëë +(Ű:É ř˙ó Äź™*°bVqÚ6+†˘t Ďa(|0”´ƒđT÷ ž`„¸ŕY wŽ[“=]˙ó Ĩ +š&̸Jpôy(iŢĹôW™Ëűľ7Ĺ´ó†Ô+HelوŘ\[Œ!sDźI˙ó"Ĥ (úŐX0ĘpĽAq~Ÿx6T +0d +‰œXDT4 ‰ FĘń Ů‡ +uHů˙ó ħ "ŃPHÄp:‘*Tó‘N.ŁŹdhóg˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó ÄŞđŽÄJDL˙˙˙ü”&¨KD3Jž9jĐąJ,}nÚ+Pľ 9ŻJ˜'nŠš˙ó Ä­ +(ţÖ9FôĆYÝŢŹ–0ˇ +6H‚šƒjź‚([؅ [fĄŸď$ƒĂ:GĽ˙ó"ÄŤ4‚Ŕ8c˛Ž=ţ˛˜YjőITP먝ƒť7—çś +ďŒG‡Äžjüí՛ň˙ó Är éČĚ@á_ # F.ƒDg˘]˛R‚Ó}Wň˘Ëh4ÓďŘ_ĺ{˙ŃčçŠj˙ó ÄiXŠáPA†L ’÷t—ĂĽBˆ¤ĺşažůóT1őSŽŐă lH˙†Ši‘îĺž˙ó ÄnĄ +ÔbDq€ä?6i]p[‘ŚĆní–`&yÖjhßĆGŻä¨‘ÄýK‚ć^ǧE˙ó"Är ŘĐJp¤¸–áťwËjĽK„žb´ěôÜLqA[¤bŕR´ +đă'´$E%Ż‘€˙ó ÄvŔŚŮa„LÁčłĘÝQXŇ÷§"ŤfőGÔKŤH“"KÔ_e +#B‚t1˙ó ÄzÎĐaŠp,ë~z(Ÿ-Ń諙žŽ‡ÓűT1Áź8†„”îvËý˘Ż˙ó ā ĘČbJpŽá˙ŒĄôíŐVś#=~€č]śäMś*,…+ón?˝0‡Ý˙ó"ă ِIDp— IœŢť#Říó>4ĄĽŠ„q+€°`›ĺîUüä3DX˙Ţu˙ó ć +ÄČbqĘĺxťźDë6ŘTx2śŕ2†fŇY˝ĺ„ě-ĄĎCŽU˘˙ó ĉ !Ôđ1„p˙P|™)œ4 éŻDäć{Áoˇ +ŚhQ +Şmżäb?ý ˙ó ċq +ŔIŠqŔţv'Lm›„áˆq㟠ŽxđĹIôń6s°cő€(–˙ó"иŚźJ LUˆ/šBE˘ÔfZ:0ŰĆÝ,Ô˝˜üŽĂV{TˆAŔđĺ˙ó ĕ°ĆŔIŽpfj#ȣ ŠJ‹î “ äövŐćF5…kH!œDáĂ#d €„˙ó ęř޸bpKsÂöœĎIT"”J‚Ÿx Y“Vyaz€É3JyśAËˇ1䴍”{ŘqŃł¨ă0Ý.jľUďŃż’ŘěTy Áœ§ŕĎţŽç”süžO¨Œ˙ó ÄtQ:°ř{Ňq1(ptx{HĎg]GՌŻ5ËíGyŮßňż”wO‡íÂŔÓFPF—˙ó ÄU +ńÔĐJFp.ébó·+~tÉüŰZU ţň=­$ź™>°–Ŗ7QHŚoÂ˙ó ÄP˜ćé˜1DpŔťR­>×ňÔpČ}×ÎIä{ˇCHΰ8ţKŻ ÉĐÁ˙ó"ÄT âÔZDp ętź@ËM‹ĆÔL-Čć;M)tD•áŘ—¸ŘŔĚT W‡ůIJ4V +Ź ˙ó Äz vÄPzĐHqf:’3e˜˜+ ŮŤ´:*4ˆŽ˜WÔ$ođ˜DÓŁ¸qǐ¸ Ü˙ó Ä}řŞŔ0bFLq™Y:UUYMÍ"<%֗w o ŹđŤ‹ł­(â4 +w¸˙ó"Ā 1źzDpŹ‘ú—„d/÷Íä ÍŚîDˆöe@M*Ňé_ŞHřŽůcëôŽŁ6˙ó ăř~ÄĐJFHwöQ­š‰ŇWôqť1žŕ&F´’Ŕ-aßHÔPVa3úÖě,Ü˙ó Ć°FŐa’$N]Ů+@ Î$ĄH˜@LhÉS!¨‚8ź”¤2Bd Ůuˆ†ƒV˙ó Ċ ŢŔPJpƒ/:Ý gKÓF?€™X7ă!\ö1ţŐÝž™ś ’öXśŞ?u=Ü _Ş˙ó Ĕ˜˘ŹJ +L"OШOâ_~n&âЂ;şő¨ä€°Œ“Ä—™Ň}-­=˙ó Ę ŢŹy„piź#éjČ´’3ĹĽNd/ѐţšćüJȀIËśŹśáĐT!#J*M˙ó ĚĐr´đbJH’Ä/,#>8đ‚cüťá”Đ=H“n#ÁpŚőűžS*dW˝A˙ó"ĝ +Á.˜ĂĐq*=˜ŠV쾌8í<2¸<ŕp‰#Skť͚ˇb°{;P9§Lȋ˙ó ĎéB”{Ňqłó ĹÂu0VŤCŕŕBY&˛ą•`v †˜-)Łp^§˙ó Äm é>źJqj™Ţď#QVp€#=ÚËÜ0ů€úpţ:‰)ŔĄ’ŹR+Nî˙ó Äl +XÖŔJFp‹Ô>‘ÁËv}o]Z*aŞk˘€@ ź$M×1ź4sœŠ†Ż˙ó"Äi >Ä8JqB†Ÿą-l;ôĺLĽÚ"„ŹdĎ4şŚ`œ'gL[eÄŃÂVc˙ó Ä`  +ĐJpdžć'ׅp+ˇčψn+â6Łœl 8ÓRmłřĐđ1‹(DîŔGŇ˙ó Äb؎ِI†LC¨N8—z¨bTđ +š”@Ĺř'ęŻéę˙ćbÍä'ůţ˙ó ÄeŔţÔbp­şš Ę…j*X†úč:o—ľŞ + Őíĺ˜ÂBc“–>ý_’@˙ó"Äi€ŽÔZLŸ +_ ĆŐŁď +Œ¸?t¨¨Ä2{ó}Ĺteňą˙˘´ĺ@‚p %˙ó ÄoŕţÜČI„pRënŸiÜ…”ŽbŞ´É"wűţŐxSőŸ.ßpjż…@ů -é(F˙ó Är¨ŽĐbJLsŽ—Ńʜ~ŔúBJşżZ$LEĺ0߆Œţu +Áóđü@ž›ˆ­o˙ó ÄvQ +ÔaÄpáőWBŃő.űÔŞoő3ţ§DĽ<ÁČnRnp˘ů.Є&Tu˙ó"Ä{ PúŮPb +pJyţ—v(1?ؚŁjÂÚéÖGěd=U„Bť4ĐŃÖ[ŕƒ !íS˙ó Ä}ŽĐyŠL[{ď-€…ďî—řĂvˇVĂ"€œ ’šYVʎÂŢě䟥Ćü˙ó ăđúĺH„pţą­đČo˙Ď@Ěä)$¨˘ĐZyƆ*ĘŞů­ý#>é˙ó ĆŔţĐ0YÄpöţ‡ˇô€ű.‹˘ĂäF|ÂĂŔu1˛ľÔĎŕŒć×îČß˙ó"ĊřúČz +p‹^ΐ’ ›°gÇN8qS†8C"2˝ŹĹ#?·íçdýE3ŁJ˙ó ĎůJŐxaDq‰€Bc +°>Ź q›‚ú˘X Ȁíl7ŢS:Ą? +pÎßÔ+˙ó đHţĚZp˛0ö*\¨JlŹäň:Bw‘t]؃ϧî/˙ęł`;¤˙ó ĖŕţÄyÄp °>ˆNŞgl|źÝGşK€‡šĹĂŔǏ{vţĄŕF°g€ř)˙ó"ę HúѐaDpĆ@…0ćRü+őkKroĘżĺŻĐ,<˝úŇ0 +ÂÄ0!ö2´-I˙ó ě Ő¸HÄp"Ą1%řuë~}ˇDRˇüßę ˙ť?Źš€4ÔœUŔú¤C|ěDÁ˙ó Ğ !JѐIDqČ0ߙśÍňéç `x|gŻ + ƒó0ü˝ĐMąceҊ Iŕ˙ó Ä ZٸIŠ$+ETPhšg™N˙}>ŒĎ˙ý¸ š´Y€łač*hŒŹÜĚNgĐ˙ó"ÄŚ 1âX0Šp;ěET˙Cëń„šíüC §Zm6Ht2Ge‘Ó{=­°lń}҇˙ó ÄŠ FŇ8IÄqôÜé`-6­Oŕá4ţ ¸€Ł0ú°0‡ YŁ+°.ƒCçv"*ŠÔ˙ó ÄŤ ˘ŢXIFLŻW˙gvú7ř2Ŕg Ŕ'”°Ź$ƒ`Ĺ7Šü̔‡Tˇ”Ď˙ó Ä­éJ͐IDqţŸę˘ŕ°€ł0ŠôŒ˛YŐ Šaaş€?Vę6öĄ”!ţŸősż˙ó"Ä° !FÚX8Šqô˜Á$Ŕ; ‰’Jë›Ĺ'_đfňĽ˙)Őg Šˇúżńaţ ˙ó Äł誴zTL”†đ@ĐšÄM2vkn .†Nę~Ż21BżČ˙ÔHëţ €53˙ó Äś¸ţŐ0Äp2âĎFy—Ňœ­Î9Q ‹Ăí÷ÖËűO0#5Óţ>M˙ZŔ¨ĚQ˙ó Äşé͸ADpŔüy +ť4?Lň‹:ř8őş+ýˆF˙*ˆ˙w˙ýaÚP.ĘĽ,˙ó"Ä˝ąFŐXŠq˜"ž‘ÉŒcxAíɔU>äBł—ü9˙˙Î*p=€%P6ŔYČď˙ó ÄÂéɸXĘpSśnŞĺ(ŻPwľ|DžT!eţĐżę˙đt +ÖBÜc@ž`˛?w“˙ó ÄĹ Á°YÄpŹŘ)ú‡ …—Ě˜ń[˙Ëżĺ˙˙Pa(ŔÇŕގˇ]Xn58œ˙ó ÄÇ °(zÎp•×@Đäz7{ú™ ĺ?˙÷Oœ–€Iştqž! >ޖČĐŔ)żŸŽ`aŒ_ÖÝź˙ó Ä×ઽ˜a„LŁżË ç€%0I$päxZxô‘ ´#ż‹ýíd>Ş&˙Ążáa˙ó ÄÚ龐b +pŔăƒŕ8ŇF‚1ś˛QE§1 +ń"ďEő2ż1ÄL7Ż_řÄ\˙ó ÄÝ !J×IDq"GĺĂ`Ŕ`<ˇ +1Qc™ČM(Z]<çöS¸Ŕ;ŻůÇ˙ó Äß úĘXH„p˙ƝpFb€Ž#Ź°Os•*Üŕ Fˇ9•>hđ@6oű4˙ó"Äá Púą¸IÄp ký`€ Ätńm‘N@ňĘ`éöÄŕ¸fkŽĚQľŐ"0;-˙˙˙ó Äă úžXH„pPáƒŕŔóŽ$§*35aôˆďů ‡˙{; :˙˙ó Äć ™­¸J +p˙ĆŽŔBź`€ńJdО%˛ěq(•(Ăď_3W:˜@:üŒ´˙ó Äć Ů ŔKTpaŸĺD< IŠW$#y_߉ĉ]Ž WýŰóÜĐ\żçS;˙ó"Äĺ !Fą°BŠqpďĺđĆxpúŽăÖ2Pӊ}PäśÖnŹaěr-<‚Ą˙ó Äč éŽPJŽpýAJp@ě%-3˘' ÉŠĺ?X'ćśęÓkŮnpˆE˙œŚ.˙ó Äç +ŽXIÎp*˙P2lŘpô dߥ˛DuQ +Ý@GO|ŠËWHŔ Ż˙Čő(9˙ó Äĺ F­˜ZŠq˙€Đ 5Ă\ +Šn×˝˜5:+PŔ𪧝żú‚Ac˙ţ" +˙ó"Äç +Hú˛XYĘp•_˙ór`kŔ03@DXzŠjŁœă)ÔƒďˇţqQH&[ý_ĚÜT6˙ó Äĺ !¤řyNp3ůaŕ(€# švČF&ĽFpĹ +ůˆUŢŻ˙Ś1Łýó„ nˇ˙ó Äç Ą˛XIJp˙ëcu€hxSLŠVňe~ËĽt˜/Šżţ(âóˇ˙݄ÜKFJ˙ó Äç ůŞX[Np 7˙¨Á!@˛žźp@@Čnb¤úŮÍno¨bOöĐęě†)ăv˙öń8A˙ó"Äć śXHŠp˙˙<’€iĘ`ď hKĹ5˝]|Z ł×űšŞ‡”]˙ţ‡â˙ó Äé +™J˜{NqQ˙ůdF,°pŮäKĘ$-ŰšćŻÂˆ:ß\Ďĺ`3öúm‰ĹŔ˙ó Äĺ ą¸JŽpsţƒç€ čĚ`ü@R QÉRłSÔd˙eoŃFĺ‹}˙Ż4„"˙ó Äç ÉJĄKZqK˙˙ €ś`÷14Dtî™ţˆ ß˙ç7úœßÄÁA˙ó"Äć +FcZqqĎ˙ülD +fPňĐ3…ňdXm}@U˙ĺű~ůAŕ$ß˙ó Äĺ J˛XJŽq˙¤’€ +Űpž<†ŢŮ)?sŁĚčmŔ¸{÷{¨Ž` ţť˛ěůŽ˙ó Äç +JŞXIÎq˙˙ęUŔ8`qÁŘTůrN›&Ţ°˜üÓÉXp@ßoę¸é˙ó Äć ÉJŽXJŽqqye˙ţAĘ°`œ ż8θä-ć +€Łüďë0Q4÷˙ëŞ)˙ó"Äĺ YJŽXJŽq3żXđ Ôxp˙ŮLEu,ÝşŤô„¸5Ő[UĘ +ėű˙]ÂP(Y˙ó Äç ńJŚXcNq˙Q¨Đhź@ř(ˆ ŕ|[‚˜ˆÔ!đ…ý˙WuŽŽ˙˙M˙ó Äć IJŚXJŽqI˙.< BČ&Ć&C?żV—]]E!$ężž§ę&‰x,˙ýká˙ó Äç ŃJŽXIÔqŔ<˙“ +XŔ2Ł‰Öëí%­ë*Ň;ţ| Šç˙Iâ˙ó"Äć +JŽřKNq) žü¸`z€ŽŔ„Î.>… yĆŁ´ˆŽ_˙čœÄw˙ý*bę˙ó ÄĺáŚXbšp˙˙&f`ŽG"âŐzŤ~ʀ0Ç˙öcRH<ý˙ú&„×˙˙ó Äč +!FŚXcNq˙6D*čÎŕÁ¨ş(ˆŞ“#“@Ň6˙˙T4G “ěýLf Āš˙ó Äć ąŞXJŽp+'˙ö%8*tpÚ8LŻE,wI$}a%/ˇőďM@4—őŰC“˙ó"Äć +˘8dšp `ďřp|Üp× –đ3(%48ď@$žßň†ýˇjĽ4 +˙ó Äć ąŚ8KTpß˙ÔDŔÚŘ`@ô4R˛%Ş3ĘqŸ×Ě~ƒÄ“˙ôĘłŸ˙˙ó Äć ÉJŽ82šqú¸ şCŠĘšË6íwŠ#ü +“˙źŃ@Ś3ąÂ?ѕ-ʢ˙ó ÄĺńJ˛XIÚqGwë€\t`r0áô\ÉÜRš2¤ü& +żösߨJűRďřü˙ó"Äč +qJŚ8KTqU żĹ̀+Ŕ"€=ąŻwrŁÍ>›€řžß˙ĺAPľ?4xƒ˙ó Äć °ú˘XKTp˙V´`“‡ +˙e™*™š)Đ Ĺ/ýýP˜ˇěq§4ç\P˙ó Äć ŮJŚX2ŠqDÄżČ/j€ŘA@Í!?‰‘@ʐĽ×0MůüI›˙ďĎ +˙˙ó ÄĺéJ˘XIÎqăŔ4´paăŹ.dŞ6[Y*Ť™FGúé$§Syë!ű^•8Í˙ó"Äč +šxZŠpC äĎđ|~„ŁÇéNĄŘ~ŹŔ”EzhP4éúië0€œTĎŠ˙ó Äç + –Xb”pó…ĆĄ?FŻő€ŸčĹö€´°N‰SĎ*Y.3ýž7˜wĆ)˙ó Äĺů‘˜JŽpŒCH Â(Ý …O˙üŤ´¨šľ€ ƒČ† +Cx62™gÔQšŠŰ˙ó Äč +I–XKNpâËÓdQMş÷)›ľŠé‘.Tđ#œusÄżďŽ'äŠ +şžJ‰ćčŚĚ˙ó"ÄĺŃžXJŠpšÁ€ű éd#1Űś_ę Ć/wŃY3¸O÷ 9żá›˙˙Ĺ{ť˙ó Äé +q’XKNp˛0Ž€šŻřG…ÔLK + +ښŽÖA3ţIĂŕérŘUŮáQ˙ó Äć IŒcZpAź 6DcŔy^JTëO&÷­ÎwţP˘š×J'VZb™íȄ ˙ó Äß ĆX{Îp ÝŹĄźćéV4ŃjŒ7Pcz:9QżĘ#ú:Űý +NV(€ §‚éÝ˙ó"ÄŐ2­P{s3—îkF ‹(>ŒŞHôO&očŕßîΊůAżPŕ— ¤`„˙ó ÄÄ +É6ÚXy„qkŐ'<@ž0NrPľ"bóŁĘČu‹ćź˛„Qţ}Čđ­^ń­Hv˙ó ĿȜČcJLpů¸ç¸Sŕ˜‡ucSÁ°ŔśŚöđÜuoşV†ßż4óŮ˝ń˙ó ÄÂńĚ0YÄqĐĐK¤ńéÄ1ă–@9cYę˘Ř w_ŸýÂZ“ďűŕ…7Bˇ‹ ˙ó ÄĹá6٘H„qŔ ;ÄŚjŇgAeN%RÉÍż8 ł AżĐs˙‰3B ŕ˙ó"ÄČ !ŔyÄp=:¸Š#Žőăs”+ő/úťšľá*'ćFűÔeöä8š@qˆ€˙ó ÄË +1:´zPqĄ”*Q ‘ÖrVđüóżđ˙ř6ŢÚ0Dö¤â€d@=˙ó Ä˝ń +Äbp´@aa812~őÍ$ԇ8×ÎńIóŒXńA>ŞĂ=ÝŃ]_ÚĄĎn˙ó ÄŔŠ>ĚJqÝęQbďXçéę–qd8Óž¤CŞ!v{Žza(dÎÚ9t<ôŸDš˙ó"ÄÄ Y6ĚŘIJq˙˛ˆK¤ţH†^€ŽDë 7ŤŇ}ˆëAŽ==ÚĂ˙ĺ ŮύuľÁ˙ó ÄĆ 6՘`„q1°ÖˆŔ`Hđ"`çԟŔ`ƒ H+G8˜oűOňż×ţţš˙ó ÄČ 6ÚXH„q(Đ0ĄhrťŹČ¨ŹlbP´2‰ţUoůýe˙@BŸď)œF˙ó ÄĘ Q¸KÄq°íëśąíąŚÁ XbćȆ” ŽžŢKý­ŞÝ?ýĺŒu,řO˙ó"Äż +É +ÄzÎq¨ŔKJËÄPbÔç&np÷4€ČĘŕoeœbnŘC|4 -0:űČNŁ˙ó ÄťвÔČKÎLi  ą +Š8üf¤Ÿ^›˙ó ÄÁ !>Ú8`„qú¸Ł °ňA 働RĹpO‡)MA~Nú‘*eüîßĐKňŠlxÄ˙ó"ÄĂđŢŔzpůvĺűÁ˘hčŞ:ĘĹ dLâm +ŘŐhä[üßЧ†Ŕ Đă‡˙ó ÄÇ8śÄJÄL˜;nVŮÇŤ%ˆíā}÷;뢂ë¤Ý.cˆKćý\éä˙e˜˙ó ÄÍ É>ČŘIqV_,ĘKe.YßCf´4}ې—ΰ7Ę7ˆ]•GĘEÓ#e.z”ŐÔŁ)˙ó ÄŔ áH„qe +Ÿ*˜%ž­¨ Óu÷ăvŘXëř‹”¤™ÍÚ\„yl(°˙ó"ÄĂ )ĺ0„pb`o ýˇ;rj-żGoÁ ÷ÔŘ°čDł1)°\'Ś9XÖ.˙ó ÄĆ‘ĐaÎpŻ!éŹöăFÓÇöĘňĹQ´€˜BĂÄlV!Ű<Ÿ†˜ŒÝOw˙ó ÄĘ  +ŮpaDpđľ~ľ"†Zh˙Ę˙¨Ł–D`łCY@řľ”ą•Ň +Í˙đ˙ó ÄĚxžĚ{L˝ +é+ň›ŻoÔCŇäC +DL5„é_(}mŽQŠ4$ÎÉţ §˙ó"ÄŃ *ĐyĘs DŃęěúťĐXz‰€Ô!AF "d(Yó3ĹÂ6†Žţ.Ún‚ż˙ó ÄÔ ݘIDqëŐ$( ;mƳκѨ1sLVd° +[ZňBŔ Đź/ú‰ůŽh˙ó ÄÖ žŮJNLţ “ę€Ô"0œ +Ů쨁ǕĚĆŇ´ ŰÔCJ‡đ^ű +m[™ţ˙ó ÄŘ ŐPJÄpĽ-ú@D ô}ŁÚZŚ L^‰r\îĘyń@ˇżmꎾt9ż‘~˙ó"ÄÚů.îX1Dpú;ˆ¤DŔŃ$äԘU¸’2tÔ-pÁí|߼őńk?ŻšOú˙ó ÄŢ !á˜IÄpB¨šEnšÂ!ô#ҙ‚}ÂmfV3sy[0Œí‹ËőDĘ˙/ý˙ó Äŕ &á¸)Jq‡@€G=śˇ^‹˛'ć†ĺFă0ŚžNV7?č +ˇ~\ßâ#v ř˙ó ÄâČşĐĐJĘLŃśńó0:á,.ŹĐd˛Ň§ť…@6çZ/&꺀?ó óŽçmYç˙ó"Äĺ Q +٘JÄpĚGĐŁ 0Őć'ÜZ˘c­EđĽg]—4/7}OţoĺoĘ"˙ó Äç ńĚ8zNp=ů "Ҥ0YtʃS}Gx)ťŚĎ=„řŐX:/߄™P˝ĆŻÖ˙ó ÄćÁ*Đ°Zq =ľ@]fMŮTl÷HŹŁrč,žŚŠÖ9ĐíYß÷žĎ”‚ßœ˙ó Äę Ń*ĨzÔqHýG´€T‚B–6yx<`%ŔŁŃĆzD +Łpűóřóu´˙ó"Äé +Q*ÉX{NqâIöúa@Q‹´…B‘łoĂÎ`Őľ_…ˇmwďĎčÚść˙ó Äç + *ČJ„qäcoŽÁŔmťŮĺ\ćtt  ‚ÁˇNQ˙Ĺ=č 6E-ëЎ˙ó Äĺá +Ńp[Jpße€2˜4ü67‹5/c • 5=˛€SŰ@,[=,\‘×U~˙ó Äč + +Ä JĘpm’4}|ŕčŻ<Śş<ĆvwĹfńźŔ_mŔśŰ]H}ů÷Đ˙ó"Äć ‰ÉHKJpT7ˆ@@€œ*kô Ó%.ćĘŠHş‚ĄNQjůŽďę>÷ĺ˙Ę˙ó Äç É͘INqěyš!řhŞő†™ă|ĹlĂ"€ç!̲  ŰAˇ} _+˙ó Äć ŃÉXaNqţDfŔŔ iZůĚňĂtAOƒ‡ 娚™|íŚ×ńV` ú™š]W˙ó Äĺů*ęX0ŠqÇI906Š €B€Ĺ­P}Ü Ň'sój˝1§ľRž]UŠ>ŞÝ˙ó"Äč +Ą +ɘIÎqÁFrHŔ;ŽQÔť$QWˆ]\NÎŚk“o}•˙q.ţŠZP˙ó ÄĺŃȸaTpřŢAŒŔ|ĹgrčĚ[—/TîWM(ţoPr˙ ďôo˙ó Äč +AČřzpÇ$:ˆk€”×3XţŽ*šlƒfWPÁ\ąăżÍßăgţU˝G˙ó Äć ‘ŔyÔpď˜ĐĂcizŽ)Ü.s%YeGМ×Z†§S¸}ó;ˇ[~Fk˙ó"Äć + âÁHzÎpůˆŁôX¤‚Š‰74&ĂĆ;n§š\€MdJʂ=5"ŁÝő¤˙Î?˙ó ÄĺŘÂ͐bĘLóY Đ@Č᪕Źžá…VQ¤1Ę{š÷Œů˙H +'ťěu&ő¨œ˙ó Äč +9*ɘyĘqżčˇH‚’âĺo:`/dĺÄ0"y^"üÄ EWKš.ţŁc˙ó Äć Á +ĹpzÎpߏ례Ť&áőođoZPŁ¤^ÎÍ{5ţxŰóÜ˙ĐŻő˙ó Äć ÁÍxYÎp!,츀™D8$ŞP‹"ŠdŔs>ĽŠhŢű"÷ÍM<˙ň˙ó"Äć +*ĹPaÔpŽěCPŔ>™˜nę"OÔ'Č'qšww(užŕţĄŠýův˙ó Äć aÉřIÚpčČ K(€0R°@Ľ¨ČůYĺ¨Ü7Yě÷LžúßPšxŽÉăç˙ó Äç é +ĹpbÚpĄF˛°ĐXŔŠŔ1|= +¨˜´Ěoؔ#eᒩ/˙ŰEl×ú˜›YD˙ó Äć Ńź¨aÚp›ŞÚ +řœL ĂŚMĄeč‚Yšp3_ŮH?čţňśČÍ3Ž6˙ó"Äĺ )ÉxJ”pşÁ'äŔ‡ŠjhľĚ.‹ ÂL¸.úťfšŢ}A ĽÎÁŠ{Fv'śŻýś÷b•wB"¸ÜŹ,”:ú˙ó Ä, ń20ČÄqžčţ¸ÄB͸ƒA— ˜hɓ˘›œyˇŠ™šůřT˙ó"Ä+xŞ@ÎŢMs$Ş ŸąŮ8h„cĎßżźtpz=˙ţ°–3 †Ď˙ó Ä Ř’hfL]T‰C'v%F˘)çěŐ!@ě§i%îřÜ[Ÿwňŕ€€1^†X$˙ó Ä ’lČfŽLOŽŠ&`Yýĺ_F'ąźĹcT§.öŐ!+˙[ż”đ ‚+Ŕ4u +oD˙ó Ä Ö8MpjŰ1S M†X$w“ěęýt°ßgßňţ đ8ĚhĐL¸M{uŻ˙ó"Ä X’hPN”Ljš2!<~ɡŹÚ–ѧ6rRŠ ÓW”;ý`é8ůđĎ~pšÉw Ś`˙ó ÄŔց¸\„přą4zŇ֓şhŽ˙ۂ˙‘` +6Ŕ +}‰`ŐlŠln „$˙ó Äéu5 +qˆŠHĽîÖŞoöż‰˙¨¸@`ô3^ڙ3šJMŢzI(7§uÚ˙ó Ä ’eNNL|†űů´sp•˙‹˝?•@"›&ÜGHo҄‹¨„! E'蹋Ż˙ó"Ä Ň\đMNp[kú3Óĺ_ý@ü&u‘茚n`X&ČŔC0;đ´-§Ůű)*‚˙ó Ä°Ň`P4Îpż§üŤ˙Ź&B2\>lqđíG‚žä9”ÔYĎÍnMßŃ­˙˙ó Ä!x’X(N”NЗŔ 8$ Á ˆHBPdl‘!Ů@É]ç˙ýŘß˙ó Ä& ¨Ę’XcĚpůˆg÷ĽƒŚ¸c˃ŕđŸxOΊzP“¨uĄW;M°‡ăʐĘÂĘ`˙ó"Ä + +|~Nqh”žĹœš˝ţUUŘ´jTăŽ9Í5y˘ŰW˙ʀ¤„¨Ň–‚§Z˙ó Ä +ŕΞX4ÄpĚ-¨†ˆDţ4–’W]ż*żçfô?ůčá4`&ä͛„eś„ ˙ó ÄŮ +‘¸LDq8OMǓĎ˙@r›ó7”?˙đ@č@â$™ $Đ#ž,n@ś˙ó Äč΍¸5p0/§MÖ>ŰőúFţ°đü€ŕ€6˜@¤ˆ|SşFaŽńŃ˙ó"Ä  +¸L„q$ł­_ÉÇüžŒę7˙đ čá,VăuPD˜›ŮĚĚfQƒÎfH6˙ó ÄŔΉ¸]p˙Đ!Íůżƒ‘ěŕ€‘=g^´ Ɍč@Ě)ŚśńŻ˙ó Ä ʅ¸5püFœ–¨Ďŕ pÇ%=Ů5ĂXTX’>ĎŤň(˙§ę ˙ó Ä đΉ¸4Np'÷ĐHäÁ +‹˜‰2‰;ä¤d|@2x.ňšĐt”˙Č0ŢľIL˙ó"Ä# HĘ}¸Mp?ő€š Pł1ů¸LÄq˙XՍúţˆţ˜Ŕ.Ě#‰ť7Ó,b| +hö<•żăĄ˙ó ÄA ΅¸M +pÉôüĘý@Ř@ç€RÇŚ +”č3‘P%@ZT[F›7ňŰ?Ý˝ ˙ó ÄDŘʉ¸LŠpFX ne€iD˛T „‡•$ÉwYŠŕŽ†ĂF˙.ˆ˙ó"ÄGřʍ¸5pŽFĄФĄý'Ŕ¸šĹcČ^E9V~ˇ;/1#DT…áëSÂϸ˙ó ÄK ą +š8cJqÉŕnIMţ€äŕŃŕ+Š­VTô–p3ó2ąŻSş]™ĺ˙ó ÄC ’”ČNL_Ltc˙‡˝čîp:OŃô…ŃÂâ×&t N$ ËĐZŻŇ2„Ćyß˙ó ÄE ÖĽ¸4JpíG˙˙*ŔŇ°/^ÎłÂw.5™Ü ƒž{­[ĽIiŔ~Ź^ŤÔ˙ó"ÄG YĄ¸MqŰ €ÚJÁ@F0Ulő…Xq=ĆĹ*ýœÍIŰôNŞR>Eĺ€ů˙ó ÄI ÖŽx3DpÎ4¨öˆšđ湖ľU9ół"Ç*ߝ ěšî>#[žŞŔ`j…˙ó ÄKP’|6šLŕŃ(!çŢŤŞxptě cÂOěĽŮúθŮË ťú€Ŕ0ŕ t˙ó ÄP ’•¸NLŔa§ĄČœşYO’‡ÂO!ďĘSĂĹZ& †X;ހą<ż§ífëĹ˙ó"ÄR PšxČNšL¸!qoŒí \¸8@(¤YSišÉ|z/ Ĺ˝űTľ/␀“^˙ó ÄT`ŽŒČLąMŃvJč"łX%ćwZĚFÂděĎëREÓ`˙Ďđó‰$;ołR˙ó ÄYaB€Čƚq(ˆX—dăoâŔˇíNĹďţ‚˙ç˙ńŕp !žcޘ$^ř˙ó Ä2á>­[Nq† Ȓ*ʝť'? +"käń˙ă˙X`P%Dš0ŕ•ďôqĆA˙ó"Ä5 )ŚhKJpşcËů‘˘•×n"úq˙ƞú€ý`s8(€ƒwŃ$FaŽÁ˙ó Ä8 Şx3Jpcú˛zMćąŮrR;ëwÖŮPjxŠ„´DÍüŒ +ƒáőH[ü˙ó Ä:řÖśx2ŠpŤ;wŻ8ŔIkS‚ëwÔóUŔäňĂoĚ4$'“Ž´„Ôň_:ďë˙ó Ä=řÖ˛xJ„p§ GcąŸĆ7Ô Cť™UŘHżđÂá>6Ńb:oą˙Ťá˙ó"Ä@ @ÖĄŘ4 +p5ëĚ;ę ü ľS?ämđg(ćąË¨:RÍĐĹZ?> Î +bŤş˙ó ÄCč֞XLJp¤'œ_­ŇT\2Ÿ-‹˛ôk2ŽĐ†Ô^][„=rtíKžńŔÁ˙ó ÄF +|ĆRpžO™( ĘŮ +)ş0F˛@aG\Og˛ŕLIj>gęˇČßň Ŕ˙ó Ä( ž ¨KÎL”iâ2Ťq˝U”´&ćwłne{쇖ÔŒ§ŃžTŚżTŸÔd(˙ó"Ä* 8œ¸NLX vU™ŃJ=FÁÚqh|ŤpĄ-}žheÖřqg> pg0 +P˙ó Ä-ČžĄXDÎL.Ą°|a ܕtOúüœßŤ}÷˙N7ţ Ę¨ĂJŔ@§co ˙ó Ä0š>Ąř3DqŔ×<[*)6ö~f߯ń_˙S4żŹd°Ž2 +ŁA6{–7%ąQô˙ó Ä4šĄPLDr%|îsIĄ~Őţ¤2Sţř!ĘŒ“(Ă2EĺvÝÖqn2˙ó"Ä8 ž•XNNL?Ć˙Ž1ř,ÔńüžCĎ_ň ÜKÇ~Ćΐ%‡p˜ ´W…,łlœźĚl˙ó Ä< Ś•˜N +Lݗ÷Ôrät‘ĘöîĹĽiĹ#Ë°+ڟţţl@ŽÔěđ ń˙ó Ä> +ů~pŔ4PR°yXBh…A4…[ľBaŠóyŰůŸ4ˆ*5ţŠP °˙ó Ä- )ŠLNqR +@çEqËeŐVP aŽúťú)™ţfßN‹üř!fä€đ˙ó"Ä' ˛XLDp@:'qłŤŇTü,A:smŤÔhNŰŠWoăGü5Ŕ× d†˙ó Ä* Š¸KJq26| c0ÂŕĄSTú’J?Řâ}LôąPg˙‰Ä qŔň˙ó Ä, !5qyŢż§8 q ›Ýž˝H•žŽŮRţßăú°—ᐰ€ C€ŃHœ˙ó Ä. !"ŞX4„pR˛kÇ`(BˆřÖ^ƒ<ŔővŃžţ— +˙őÝ@pŔxÚ’˙ó"Ä0 YŚX3JpߝŠ°KĽQ™˘ŤżU ßÚÚƒn§íÔâŕp€ 6ʒţŤD˙ó Ä2 ŽšXLÎLŘŔ‡ +ý_Čšj0ý˙Ŕܐ߀ý­ţÜG$€a ˛˙ó Ä4覚XDJLą]tn„xYťťš˙ăÖ‰ń0ü1 •ŐĘäj*•ArŃ>›bÖŰ˙ó Ä; ¨ŞĘXJFL×j1ńó ÚßPw•;¨çţUŢ Œ1(˜ĹRĂęRX° `MRDC˙ó"Ä;  ę¤ĂÎpݧ|—^oVč+ţŃ­ňĂL&ŕ顁ChÉźř'ĽEíâşËüF ˙ó Ä< îŽ8bŠpň¨PţÜâq/†= ‚dƒÖ>!m  ‘ zOCĎ8Äsű'˙ó Ä>Řž¨đcLţ˙ÔďžYJˇĐ€ +‡łńb-8oŔ¸AŃaA*&Ăăßô˙Şę˙ó ÄAřî­JÎpˇţ;úoš€HTqć7‡É@>Ě—VˆIsżúżF˙ó"ÄD @ZJpo‡€ŔŽ*Y¸mĘô`5$|ÚŘ*C–ü7ۨŰëwŹďX˙ó ÄGî¨xYĘpŔ€×Řٚ-œK]¸¤>)ŐTb¸!çéÄ?ú–Ÿ{š§yWřŔŔ˙ó ÄK ÂĽ˜JÎL Ř;ŁŮB&FNŘŇđŮ5ý€Đe&-4úëq/†ŔĄ€b˙ó ÄMŕ¨xKLÔ!Hě>ĚEZ˝S˘2˘’ˆÓă"pgUŸÂv˙ĹŢäzƒŕ€2 + ˙ó ÄP žœxkÎLщ÷A>H~ál!Ś\ÝĉžŻƒ>˙ů)řŐۨ:Ä-~r[ní˙ó"ÄR žœxkÎL˘~Ýq Âşsr†‚›[ҌlÔdÂßüŽ§ĄoňŔŔ^ĆuĐÇŐؔ‚˙ó ÄUřćŽ8bpłä€Š.U˜{Ž`f?>…ř]żčOMÄŔŔ)o %…Ió “6ÂÔ˙ó ÄXŘ X{ÎL!Ç`Ŕ˘Â‰˛tTY:ę­ř#˙ÔŢŻLEŔ€ +8gJn3Ŕf' +S´˙ó Ä[ ž˜X{ÎLcÎIÎ7ŽAYEĘU'5?¨ďq0Ŕ  řzʢHkbA}–J€ü˙ó"Ä] Pî˜X{Jqt5!™×|W~ˇÂ˙čżÓŕÄrŕx-Z@$ZpT3#¤Řę•gŻ˙ó Ä_ ž‘P{ĘL•Wüg˙ö˘Bßé$xňÍóyórw ˆaůˆŒ.ŻSÔŻ…ţ'˙ó Äa˜î˜zÄq÷}Tgôx[ôÄ ż ‘äf)Tpš ň„H(”“ÝéŁ%_Žü˙ó Äe€îœXZJp­úa@l ™ś}HśxBAÁ$:zwŔĐ^>‹ôť‘Ő2ŸóżŸú˙ó"Äj XęœxzĘpa?ɀŠäăřœ’¸úŇĄ(%S››âőuęÖůŰŰćţ˜_ä˙ó Äl°î˜PbŠr@‡ăŕo™Ń šŽ7ń’ě!€P"ě._ó Č_€üíăL}žD ˙ó Äp î xz +pŤŕN•‰˘F1Ú˘đLł6ŽšˆmĚUüÉó˙L|‡¤ ď˙ó Är îzĘpőÚÁÂ;kƒJŇ€~ ,kK5ĆÎŁţťř÷ú`ŰáHŔä`c%˙ó"Ät 0î˜Xzp­ű¨˛Ĺa›1Иô‚â™ Ź I*úŸuI}€l {žŞr|$ Ö˙ó Äwđî˜XbÄpŒ>i´6›‹muW‚o_Ő%đđŕ;–€-–Ȓü ˙ó Äzčî xzp‹‡Obt ĄY†-›Ó +g˙°:˝Ma=ýťŇ?úŔ ŔÍá˙ó Ä}Řv”X{ÄHF~ťE”Ž‘qŁKőźfŐRýCŸâ´üMÎ胠~ĺTî˛Ů!şÝ˙ó"Ā辔X{ÄL­…ڐMőŠ ţwbŐPÖúľœ čäňŔ(?âTŤŇXUj#˛›đŕ˙ó Ą Ę­¸zLpÔ˛“&7a÷mŢ×ÔOă{GüCӕT†ćčĘ@š*7ú` †˙ó Ä~ŔŽśXzÄL}ËjŞ$Si'úzrŔ€ G U‚x§Ű8Ľ?˨;X˙ó Ă Ž XĂÎLcčÔAĎß÷÷ˇ˙Šżր#‡u7q‰,řƒ„œŘ:‘ś€3˙ó"Ą ƘĂDrjAę&W[(!ú?P0súGLesœŕ,Ă‘-đ}ë +>ŹaÄBd˙ó ĈŘvœ8{ÎHż3ňÚÄ}˙ő€˙ú㝘ęє@aĺFeËăm*ş\¤-§ŸÓŁĽ˙ó ċůŞŘyÄp/šn˛˝˙?KĄŚgmˆďÇC羀óßř`¤#-¸ÍáXís&{­˙ó Ϩv˜ĐĂÎH+rŮ(ăwřçď-%×áŔ€ +ěůĺ[P…żx86Q˙ó"Ē HŽœPĂÎLp_ÜßSđ˙ńƒ|˜ŔĎ0ďÜŔËŠ:qÔĽŻ3˛ŒÂYŚ˙ó Ĕ +pڜPĂŘpŢ?ţýĄţŮFęwgXŔ.ĚŻ2Í îmZjx#0^,X‡Î˙ó ąŕÚÂ8yÎp,Mř7ő +ó_ΆŔŔ°Đ4ą§hÁtiŠ´ŔŐĺ<ŠbOÄ˙ó Ĉ Úľ˜bŠp /ž1˙Ż˙ôŒp¤*ŕ]?Ń<\@w>ă‚]ĘřœÓ˙ýűŕ˙ó"ċ (ÖŠX{Np‡ţż˙Ö€€ +ƒrçNW.Şôl2@ + Zů7L!ďľn?fpďúŠŤŰ˙ó Ď ҲzŠpAű„`ßYţ€€C ôŸ-‚ŇoF„HɢíT:7…?m˙âő˙ó ĐáBąXzŠqQqŻé„ +„gq" ż($I°sÇ( +ŁżáćţZ-năüh˙ó ēŃBąřaÄqeüˆŔ6ŚůWa9ŕăpG<Ÿ(VătPlŁˇáńřűęţ 9Oä˙ó"Ė +`ňľPzÄr@€ƒŘXxźz˘ěeYĚN JOüDÔę2xq^GŇŔ0˙ó Ĕ zÄpp‰~Ő{€v:ěÓşkfĄÍ?.ßŰ"Ťr +ň€ˆ$ŕ˙ó ėčîž8aĘp<…ŇŽĹţžńBŻ‡<‚ ŢŹoářťkűQż ŢŃŕ !ÚŠŮ2˙ó Ě aĘpúëńɟ &Áâ5źö!-*Ďô˝J$é,…ÝŔĂđń”Lř ˙ó"Ĝřž­PbÎNř&Gżč;îČXéY˛˘íŰŚ*šŽH.L’Ŕ)@Ó¤HIIApŒ#˙ó Ä ŕžś8bNL€˛ĽŢLLŻOPSv×+Ńjľžţ˛ĎYׇâ7őÁ˙áĚÇĹéÖÓ6˙ó ÄŁ "şŘaÄpjÚVżáÎßm€€ + + ĚT@ŇŠŞ'ƒëbVľÖ՞WďÎ7ć˙ó ÄĽŘž˛zÎLő˙œW_đ€LŠ˜‡‡ďK+>ÚéZ bąRÎ&łŠuç[Žőácťăž˙ó"Ĩ @žľK +L?˙9ňŕÁ$-aƏŁÉ”0C‰ƒHÉ'”¨]ÖVýíNJĽń˙ý˙ó ÄŤ‰BŠxfqG~PhÖ`OŞĂĘ*p{… oľpKDqŕŕŤ˙P9sZOŞâ? F:…ěězËŇúÖźpgŹŇ +Շä˙ĺÂ˙ó ĕ °P{Jp#Œ TBާ÷SČAŘ*Úg +łě‰ž*>ţœ÷Äú§WéÂÚuř˙ó"ė 1ľKJp™‹1NÔRĄ%WďcÜ­iG5ĎÁuJ đFűÓ żĘ€ŕJťP5‘Ő˙ó Ě °XcDpÚ-çDb)>ˇ­VÔŮ_ř&튽7ßę?ꤐÜF{!H—ś˙ó ĜĐÖÁ¸JŽphŘ^–=52Ö]Ž,€?ćSť=Ë ¸m’ŁŠ˙ó ğ ÖľbÄpX`ň‚‡J4í;ÖRçęÚ Ů›Ţ뾀`‰eŮň€O(ŕÖá@*Œ˙ó Ģ ˝JÄpLş“;ˆ–ŤęNÝ|TFAgĽër›ňŔ€ ĘËď´ž‡s-%˙ó"Ĥ Aľ¸KDpöFQI~ŰňÎońł.ˆý—ˇň á@ŰP$ŤÂĚž ä§aü! M˙ó ħ Śœ~ +LďŠŕŸFëű 9#(M-šlú€˘ŕeąQĄRńrâJéźâ‰QaŐP˙ó ÄŞ Ö¤pKDpZ\Ť¨ß§OŚäoă~€Ń×8ƒw- Lá@|„ŁK! +/Fĺ˙ó ÄŹ śŹxKÄLő;hڈÜü˙VĐ]`AÔŕfÎmäšéKrGm‘ÔœnpŐŇŤšěę˙ó"ÄŽ YŠ˜cDp ŁtňÔËÁúŠý€E×%f˘yôĹ1 P‚Pp{VżĘ_Ö@–˙ó Ä°Đśą¸JÄLb‘?˛*Awâ@€ 5+RńÚ7.TpeŰ6ôĚtš3š8Zş˙ó Äł ! X{DpGfć}F@Ŕ׀& +Ž˙H|řŔ`lX­7Wj<ŻçňőEŚŸ/A˙ó Äľ  +ą¸J„pn X~ 2hœA–pŚ˛ÎÄŃóĺě.+@—°ąŁ űé}@˙ó"ġ Y X{DpŔś€7켕ˇ(팗bW 9›ĄúăţŚĽT‚ôVd€ą˙ó Äš Ňš¸Jpŕ;VlWĽő’űĘC4Š!€ýP7|h‡Ä™ŇásˆüîÝ`Âv˙ó ÄťІ¤đ{ĘHžŠ/’ĆS†Â:Eˆ7>ÜŻ^A0'D™á5ŢŹ–Ý@áÝËŹ'ƒË˙ó Äžyš¸aDqkČ(,˛¨˘§ćą&DnRť5%Ç9kÜŚ"włc…ć0pŻ˙ó"ÄĂ XŠ¤pcĘLg‚nx>Ŕ ˛Đ%8ŐjĐ/ń˘:; +oőŰÉ>ź`Mďź`˙f˙ó ÄĹđÎą¸yÄpď†@€ˆË­“”żá‚нӭ@y +l•]š>đ Eęýű–bŢ ˙ó ÄČ †­¸{H€%řĄ'5\žś6…8ŐâűK ý@?ÇţWäm_ů†<•˙ó ÄĘ †¤x{ÄHjL`ů„ČƒOŠBr(vâăžŇ¨[ß03ýK˙O˙řű€ Ú>Ç˙ó"ÄĚ +8ú 0Ă +p•V!’ žÎBQ<Ŕöü‹Ë…ú>‚ýüŠ~Żő€¨ę¨GC5ř˙ó Äż ÚÁ¸aJp7xL˘ŽĄáL +ď^pŃ7´C§Poźh˙!Nî€Ăy}RŒŤ:Ľt˙ó ÄÁŕÖľXcJpƒ˘NóBŐÂEeŽŽr‹oŘGđn]űťuŽ€îSd‰4Ý!ůŹ˙ó ÄÄ Bž8IDqŔ‘čâ|o´<ÍýߎĎęÜ#˙Ôy  Ľ:ŻI”ÖOţ˜ůDF˙ó"ÄÇÉB¨{NqŐBA—xÔž:ţĄZuđ?őhÍ{=k°ÔYÂm 1ˆˆú<V;Üj_˙˙ó ÄË ÖŠPzpŠ#ć—¨ç’/Ż5ë u0ÍcŠ|˙q­\‘08ĆÇ6fŃ˙ó ÄÍŔÚŹ¸bŠpßxźŸŘfţŇý_Ż˙ äč‘W—̐bŻě‚ƒĚ ˆs…ń‰ň˙ó ÄŃ @ÖľPzp¸ˇNöŒýůôŔ 'ŔýQü"îwތ…žFľ‰_"ůFmŁŇ˙˙ó"ÄÓ Q:‰DqŠ˙iţŰ˙YŔpúýšž˘ž÷ĉdd&ˆ÷ˆ<łyZV˙ó ÄŐ ŢľđÁĘpţĐŻŠ˙Š`€Üüłôš=FîŔĄu4 +B&MŁw§Zmwýł˙ó ÄŘřś°°^LÄLş_7$D˜DV˘ ţflČvqů”HpŠš?ë*> At@@Đ ˙ó ÄŰ >Â8ÁDqş0áUCř^‚,âT'T#3•Ô…ţôá`˝˙˙NŔżţ=€€üč˙ó"ÄÝ 9:ş8yJqŤJh/ę'2UźdĆ &lFĺÇŃ>ŸšüBڗţVżQߕ€łćwđ5œ˙ó Äŕ :ľxÁÄq*Č.Š<ÂlRdŢdكý+pŻĆţşĐËŹ5Ü8*˙ó Äâ Ţ­ÉÄpTš…ck€Ë°Ď*ŮDúţě¤bkÁ˙=ň Ŕë*öőË0ěÝa˙ó ÄäéB­ĂÚq…6Î'ČšŻœß2Üóţ€ÜŽşŮňŔ€ĐçjAĐť-0˛Ěf˙ó"ÄÇ QB˝˜zŠqmG}ÎŢj`Çeožüç95}˙XŔ’7W d]1HӢę‹U˙ó ÄÉ Ö°ŕĂNpŹŔşúMŻö—ŁňýÔ—őţ ö6ƒaÖ”93¤1 ZÜ>ş0mßN˙ó ÄĚÉąhĂDpćđÁmOˇ¤HsÜPçXŒ.2ŔԄËî}ĺbĆ"Şî‹şŇś˙˙ó ÄĎŘÖžXyÄp˙O7űÂ@żčŘÂ]@\=Ż +ň˘er +E†ČÓĘ“›2”˝N˙ó"ÄŇ Öś„pžÓK˙‚űč8sđT\vDJoiů`–&†š˜‚•¨é¤Yëúlý0˙ó ÄŐ螭NLB˙ďǀ }i|ZťJ“Űr:Tt(t˝ĂEúNŚÎŹ‰úŤŃŒ¨o˙ó ÄŘ Öą¸{Dpý˙^ NŘjú˛3LAG‡mäůţťśĆ˜]q•9˙ż˙ó ÄÚ¨^¨f(Üł` ^Äję6LŽ×~6ˆ%㹊%*.†´MČß vݔßő˙ó"ÄŢ YÖXZĘpx1˙ţ%š@’ŕNG‹TžŔ9(Sšˇ‚<ÜúľífÂ8´qžMg:˙ó ÄŕéŹ({Dq˜Łý €*UĘŠŞ\z“ťľ.O–E2q#M—Ę’ ţýhZ˙WŰ˙ó ÄăÁ´P{Dq˙ăż*@—Ü=šœ NčŔńť¤l:C}x“üâť]ţ•˙ó Äç +!¤XÄÄq÷Źß ŔoKb1;^?¤ +F&ŇheÄ_r×Ö<œ@hć~’˙ó"Äĺ Ž¤Xf +LŽŸŤş!g›ŽLuÚĺz'SM Ś2G•˘gZKŰĽI˙Q˙ó Äč +a X|„pâ?E<'ŒĆlZŔőY˜ƒ07Áqd@ąwŻ“\①6n’†[ú÷˙ó Äĺ Ž¨XcÄLűhÚ`QxR?@<‰R{RZIš0č•;şˇ@ú5Şâ}ˇ˙ó Äç š ř{DpţQţőDáhX^‘îőƒÓ%œD€p ˇĚîRĎL?ŘÍŞßţ­˙ó Äç ČŽ xdŠL˙nm#ŕokŚ‚n~Áa9ěPŽąŹj +NVJ[ćJ<ľ–îşÔUżƒ˙ó"Äć +Ş X{ĆL°2'űqý ä™ĚąaCL3z„ ¨ßuŻ9ž>ra4˘ýFŃ˙ó Äĺ Ú¤X{Dpŀ @^_F§t^}ŕŒ0‰2D¨ŸvC­”Ľ–nušš&˙ü˙ó Äç á˜{Jsoô>Łz@èЎZ;ˇ‚‚„Ú +vőĐÂUtKh{Ł…˙ó Äć Čć¤x{Dp +˙ÍǛœ¤Őę!Y‚Äý2™€˜ś…ŔúˇŐĺQř[ű˙ŮĆ?Ą˙ó"Äĺ AŹxzÄqŠ:€ƒLŽĆdíD€o}H6Ź×ŮDĹÖﻚĺřˆţď˙4á0˙ó Äč +YœX{DpyÝ Tš‡R ŻěÍÇx„1"Đeł˛ž¤&— +je˙]˙ó ÄĺĐâśX1Äp˙Kę,DÔ +{T§d¤–|^ś P›<ˆ@ÍŰŞő.ҕ˝@W÷oú˙ó Äč +Q˜x{DpľG˙G|l 06g ¨¨Fłv]`Ů‚´ą’Íu˘ó$łpŻˇý%˙ó"Äĺ I¨xJŠqŤ˙şqŔŔŢj1!‡čşšŃ<î Ŕ´ěO*ś÷r¨2ši~•˙ó Äç PޤzŠp[ž Đłö|ŹČŕ-p=ć†ÓĄř~Mƒ+­MÎąąŒś¨+÷oý^Ł˙ó Äč ŕ椸ŽpżŰ öĺŔüUöӐލ€¸=$I1˘źf=oX˙¤Úžď´ 7‰˙ó Äç ůœ{JqŽýfú@˙‚i™-ÇUŹˆšüTgŁŘkƒŕ[gŹ–ľĄ×¸˙ó"Äć + 8ÂĘq#?Ěd5żBŔ‚đÝŘc#Ôë2â +‘Bđ^)†řŽĆ%¸Q˙—ţPb›Č˙ó Äĺ ¨X{Jqôy€;řCYsĄ>őë´ş: Ųƒ_âu*Ś?oGř!;ű˙ó Äç ¨ŽĽ˜{DLűň˙‡`´;¸3ŠâĂ úŔ$ś´ŤIC´8Đ/vK´})›ŸĐW`˙ó Äç +˜{Jpc~&nżő€NěR!äËwéĘÚ.áä^-[ć’Ťřú ˙ä˙ó"Äĺ +ĐҤP{Ępú|2t?: J—SÁŐD7”FHXř”¤°\ŁghĐZZ§=¨-ú´Ę˙ó ÄáŘÖ¤ÂÔq?ů~łÝ@€°ďœG‚ţŹ˘V€IH#IHĆ˙3 Q–ă?¨§Sů˙ó Ää Ú°°zŽrwJęޜ0L×:¨ę¨ÂĐH2›%ÚN<›˛|öüŔĎô/˙ó Äč +!Bś8aÄqü§•ň@˘ß›ŽˇĄ‰uĐ°ŕęF’!—•„‰Ë7ůžZţţ‚’6ô˙ó"Äć +֜ĂNpňPŻŐţ°‚˜ţ Ą=HŔôžÍږ´žÖL [%3ĽLk +—Tp:ţ˘˙ó Äĺ¸Ň¨¸zŠpŢîC¨€# mŠ‘Š kŠÚśĘ–҉0PFz—Ël흥ˇ?ńO˙ó Äé +˜ţ˜ĂNpâ_w?ÔŔ†ş’gĂÍ'ę‰M<€ŚđkĹtČkÚ"⨍Á˙ ˙ó Äĺ ˛ĽX{ +LwŹGÖîd€p+đÔ֘R´ˇŞŽŕÇ]=\Cš(Š$ÝĺŹ<]wh˙ó"Äç Řúœ{Np/íéëň€ÂçŔý˛‰Ľ%˛HfĘšhłžÄÝS œ˙ +ô``śő˙ó Äç + Ö ÂJpŻĐ€ +8Ť$†Yýđ;!ŘĺP[€ۖˇœ­kę˙-ýK˙ó Äĺ 0˛¨Č~ +Lďĺ_ĹŔŔ-xľŮľ\wëřÝqĎ<`9ŕN‹H|ĺIۄ?t?ń˙ó Äç řÖŠř|JpŽľÖţꀎĐľâ!7âk J J0W sF[ęfëNžŽ?˙ó"Äć 𜼘~JLE<úk>€ŔŔť[ tdŒÄum<Š{Ą§úŒý ŔĂÔÖq˸ĺ{ů˙ó Äć Řś¤ř~ +L˙îC‘~ćšçmEŐoŮҤœ€@€>T˜,zl§Xę.‰p ’ş˙ó ÄĺřÖśX|„pdëŃo–Čo”ß@m˙ü#€€0ZŃ`šŁ×}—"^aĘôŃÔ˙ó Äč +öŚ8{Np’őżČ ×ăëÁüő ˆ…ŔŃ@磴œŮԄxL \ŃťĎü˙ó"Äć +ÖŞ8|Šp‰OœĘýă˙ú@€‚Ŕ坢d]‚—K9˘d ȍˇŻSüžĎô˙ó Äć ŕŇ­˜KDpEś¨7ňŻú¸Á&PŒŔň3ę̖ Ŕă•3ůuËý†m˙ó Äĺ ąĄ~qA7˙đNԀ‚'("‹b™ŹpŔłxŕŢΗČN~GÚFŘ˙ó ÄŮ ­¸LDq€˙ŤqL›02N´ XJ!—ö–BÁŔóJĺˇ-ă˙ž÷˙¸n˙ó"ÄŰ ÎĽdÄpG=4Ÿč2<÷ňŕÔĐę:DˆzS/s§J>Š€3ĽsţĎůŞ›ŃĐ˙ó ÄŢŃ +ŽX3DpĎĐ}[˙ۈ!6‰l]´„çKGnÄLŐ8ő&2ýYę=–ť˘îoő˙ó Äá ĘŞXL„pź—ěš +EŮćMÔtŸőĽĹ + +˘Ě ŕ"ŠZ˝ľ@ v7ĐŤ˙ó ÄăůŠ¸cDqn§eżD­ Đ"ŹĆPŽ|™IŽAy€\!ąŞ[V˙TŢśě˙ó"Äć ůĄ¸LDq":0w푀Čâp)rźtŽr,Żw*׼HŹ >r}zŤťüř"ë€Zăˆ˙ó Äć +ŘʘfNpWčywt€¤–]’˝n">żÇQH}(ŹÖ=oů¨˜ŚŒ‚˙ó Äá ą¸LŠqż-@ŔŽ%ÚązIBˆ(jt{›€.„JO˛ßúo?t1ľqĆ˙ó ÄăŔŽ˜(NZNĄyφńĐĎŔšűc™xzXź’#ćED˜ „*4–PI5/ć˙ó"Äç +@֔xMp Sč6šČăÁ`ŋˇú4ÂçNř\Đ@łA@#IĄsxó<+˙ó Äć ŔÖŞxLĘpRœěáŰŁ~ŸĚ:'˙P PN7Ż=Ő)Ѳ&Âmę3$QŰű˙ó Äć Ȓ¸NL•wÚÚ¨˜IżĂŔ €çhM˘3łŮÂl b*GŮ˙Ô@˜˙ó Äĺ蒚XNNL<ßýF˙˙ŕ €-˘G€ĂM^W@̸B‚ㄸžyLčև˘Gš!˙ó Äč +Pڝ¸KNp˙ůÁ˙˙ÇœєQČtHs°ăŠ@JĽr@ŞěŐţxš˙ň˙ó"Äĺ ń˛XcNpĄ˙áŔĐ-ĂŕŠöŽaZVŕQţ’ˇĚyÍ÷˙űď 7§K˙ó ÄŮ芪X6 +L,˙řФ ŔôŚ„É“A-Ę3† + ĺ&3í,ˆ)Čڟč˙ó ÄÜ˜Ć˜xM +p +ßĂ`ź2ű$„Cé‘čJO_°Ěd€*Aœ´-óśęލeĚłĎzýd|˙ó Äŕ •LŠqNn%ąöŠ–ăőőÂP2‚ŔŔ„…*™ n¸dCEÓ¤ŻŮ@đ˙ó"Äâ 1ŒđMqĽ7ţś¨˙éqPN  œ8ӆ"„(ŇĽxúČ/B˛/sgţt#m ˙ó Äĺŕ΍4Îp….Oí‘h@ë)=>^ťŢę­HŮż-Ăďuďg:ÔŘÎˎ˙ó Äč +a +ˆxfŠqŽ:-űf€‰ŁĹŔÚgb饿°˛ˆhĆ‘‰‹ľjBŞá3|ďŃ˙ó Äĺ Ɲ4Äpę[öB`ś€ƒšŔƒÓ=#”)Y~Ľ€ŔM™ŰŽÚ +ŻůŹű!˙ó"Äç ˆÚf–pz–üԈNŔ0ˆÚ‰Žô^ŁJpŰSžßÝî9çŽ~]ŔŮô?˙ó ÄŕŕڔÚpQú~°˙đŢ`§Őg#hŠ@ÍH”bK¢R#ýţţŞĐ]œ‡ű˙ó Ä㠎ŞxLÎL˝g†ĺL9EÄÓjť‡˙ Ź^Ď#Ŕ€öý+ŤÔšé €1D™P˙ó Äć Ў‘°NL¤ąU-xümőGyáßüŁ}g>!ÄŕkAÁíJ´ + [5D;›ą˙ó"Äĺ XÖ˘XMpŻĆ¤>šÜ,QžźŁůoʀľP&Ęh€äJOúD‹%ň¤FůĚ˙ó Äç ¨ÖžX4NpgĽx;*zZoţ‚,˛ń’zƒh˛:ŠĚ„U••ßăG'ž!˙ó Äç ŕÚ|6ÚpÄCY[$>§ü¨ńÎ0-aâ5Q˙“m !68#5•ŁöŸˆ•˙˙ó Ä材~pľ>§ü°ƒęŔҖŇ&y§pIIƒǛć.›t­ÄĎcůąĂ˙ó"ÄÔ Ö˜dNpX fGĎý` J 0†běŇRR Đ]bÇźźŽľ=ŚáŕA“_˙ó ÄŮ ™¨3Npţ đ +đŰR" Ś&kWCUÇĽřKDq˛áÉ€­€m€Ń’5 +&FBźEČAŹ %çKo@źpůa˙ěŐe˙ó ÄŰ ž‘PNNL?Š•J–R ĐÚţiŞ/(ŕäž86ôĹMfܖ,€EáÓĆť^¤˙ó ÄÝ ĄřL„pÔHjĺú§ý˙őj€¤ ÎpQ똺,”Ň+†P¸=ľśQš˙ó"Äß ž•XLJL$˙éűt?ôo˙A˝@ԀX$) 1+fřę +q8ĚMŞz˜?~e˙ó Äâ ž‘X6LżżŁ8S˙řŐ + d<ĊĘżTtyq|{zĺšzUú˙ó Ää ‚6FH“Ó?ä@Œ€ $l>*"]Ă~Y[„z‘Őś­G‰­óŸ1śö˙ó Äć ŽXKTq˙˙〈 ::O‘JĺTŮ8F‚46vŃZ5ˇ3kA˝˙ó"ÄÜ QĄ˜LDp˙¨­rę°ʸDĚ–Ɂ¤ÂŃAZ$—ŕú˛÷{ľD˙ó ÄŢ ˜4Äp1ҤT…ę{—1€C,ž€\P +Çӎ&:ţŤĄĺ9ˇQ˙ó Äŕ ŞX3Jq~ƒžĄßű8žé0րxďx^ Wh ąäa+s¸Î˘4wÜĽ0”˙ó Äă âžXL„p:ţŠĆ„ŸĐ˙Xđ +Đ$€ibB×ďvj$)9VšďyHâ˝ßÂż˙ó"Äĺ IšXLDqřďő˜ɀd +9P=‹şĽ¨6çĄ~ťĄíNÇ[\ŁĐÚę ŹŽ‰üF˝˙ó Äç +â’XLDpRH€)@oBr—É›=E5 žHFAü”"’ +5, (óëׂ={řľ´˙ó Äĺřć–84„pŃă_邂X +ÎĐkȈŽů +@X kK ž`1ăZšřżńK˙ó Äč  îp{péŁĆżŇîÄýŕvƒ†ŘÔ^!Úă7#b…\“)@ęOO„~ţƒgt÷˙ó"Äŕ (žX2ĘL¨˙‘ô€„3ŕf‚ué;äՋ P8ú"ˆ)ŠěqÖ4ŐI$hQ˙ň[ú˙ó Äă bĘpe_ěň`O¸w…j‚ŔKŘý%Œé5Ő4ď@ÁôfVSqmŠżŠ˙ó Äĺ莩¸{L'×ňÎă@ƒžęŁ5a"7‘“Ş…űHčŇĆŔXžÎşd~!ب˙ó Äč +î˜X{Jpľź8Ŕ6Ŕz•j—éęČ>"HńIçĂ*ž>č>˛3˙ăú_|˙ó"Äç +`îzĘp>l ×ú€@ü +ŕŠk8ô{ŹĹ|I +ţZ]˜yęŐŮĆľ ÄţJ ˙ó Äĺ î¤XaĘpÔHŻ[Ó˛€€°ĺ+ČcŰöF`Á@xĐNĽ•ęú—âőLd˙ó Äç Řî˜X{NpëÝ €Ŕ›4ԑiBž^„[딂!0z”BŻ‹ű­§>oŁÄĐî˙ó Äć А{ÔLÚśę`ć~¤Uź3˘CRÜ܉lŔ5ťá2řg/×>,ť˘Ž˙ó ÄĺřŽ˜ř{ÎL#PĺŕgIt„ŠŮ[uMÂřăđ_é-w•2ˆđßÔ҈gŃ˙ó"Äč +XžœX{ĘMşŁA6ÚŻŕz̆9ó<âGJ°|čŮ*‰Ť¸ďŠáĹ˙_öŮGě‰˙ó Äć + œP{ĘLë&€—äW)#ńĎ!y,ř6XĐ؋čgŽúĆ7Ü ‹ %.˛o‹†Ĺ +ôŸ˛ >_˙ó ÄĺřŽ­˜zĘL*_ŠÝ`Ŕ$ G‰U‡aŹ¤ˇŠ:ŽPžSÂĚá˙čďö˙ó Äč +8Žœ(Ć Luv†Ŕ€Ŕc +­FJ,i,„­EW"(%TË!d˙2,2ýżuíƒč˙ó Äć ÖľzÎpßíô„p ŔUž%Šuj€Ë-J€aě/XyźŒą/éýAŸßâ!ě{˙ó Äĺ Ú­řyĘp)Ł$ż €F@Ű^ĺ8žˇ#‡œhdľF™:ł›: Ä˙¨ť Ĺä˙ó"Äç áBŠř{Dqăź,rßЀ3ŕZ €p ‹Ti—””sĐő$wĐ-˙ó Äç Ů>ŠřzŠqˇţŁľŠŔďP—*¤„AgŠ’PôjF&”gü-ţK#čŢU˙ó Äć +˜öĆXa„p¨˙ʀ€"ŕeŽš5Š˜ë"Gjăpä O’ˆĐąŸ€í÷QL^ú˙ó Äâ îÎXIDpĆŮÖőÚ,Ŕ#]° +3;$`G ŕ‰€RÔ[ŒŐ–ŻĆĺŇeSš•˙ó"Ää 8Ž¨0{ÖL ĄîOXh<&;&đşŽÉ3ŇÚc,(%ŠLԂ]Ü°‚Šńzm~8ë-˙ó Äç řîŠxzĘpătpO2ř_Ëv=„‚—|­´ŘŹ€==_˙-@Ő˛ŕ8€Ië˙ó Äć ŮľřBŠpŤ¨eÉ@€ň*ëGŤŻëĆőĐ_Əűm¨gżńp ˜ÁΠ#‹D˙ó Äĺ ­PyÎqA1ěăzw~–Î?¤űÍłŠ|ţo +?˙Aż@ ˛°9s<\§ÎyŠ˙ó"Äç +8ęŠřyĘp ĐńBzr›ßż(íś2ž ëÁú@ ZůŔ9Ń"äËžŸ:Œx˙ó Äć ¨öž8IDpŒKYĺó-n >čz{ˆ†ţ˙ ÂČŠB¤FVa…ÝÜĽBń‹˙ó ÄćŠB ~rŚ›Pœ=×zp§ýýGé/^ސ YˇĐ,Ł+ +š7#‚ŕř|2,„ôg˙ó ÄÎ !>ŸIĘqśĘÓ­~ ťTT|Šëʀ‚š'Eť–ź÷–ÖÁčĺƒâ+6˘’*˙ó"ÄĐ )š3Äp׾Ż'AťcsVË×ú€.ImĄę$AüUÚֆŠz>fŢő„W+ł!â˙ó ÄÓ ˝¸J„pľęËĐGgwÖ*úe@€BŽ7FZ*MśOÔ ąxq!ŇC5N?šž•Š4ś‰˛˙ó Äĺ şXZ„pŚŸí +ŘŐ]•ćm[ŤŒĘe bĎ$ś$˙ó Äé +! +”8ĂÄqë-•u@í +ŇążÔœ +*T™şąé$n +…ňßŐ¤Ášľ…-Y˙ó Äç Ň¤P{DrGô€lÜ×U21ˇAMĄUŹƒ1z%Ô}đw>ÝJϚB˙ó Äç É +­¸zÄqíýşŠmůp€€íŔ§YM+ߍĹ}“KA@ф„{*'gŚmgÖ#—Ś˙ó"Äć ੩{ÄL ĐŃn`D|˙şw +<Ž4Wˇ5§@¨ +ˆâ€üóbvq˙ŚYź%˙ó Äć ¨† P{ĘHŸ/A_č;˙ԂĐ}Řzvľ¨­žXŔWp)# š ż6(âAO ‡Â^VNXç3\ßÂß +˙Çőî˙ó Äç + + XzÄpŞ=ëý ‰|7¨ÓƒœQ+Y˘IčĂ9ˆR:-[Tő_űD˛˙ó"Äć +ڔXzĘp‚_}ĐôĹ•‡N“%‰AnÄÚ[‘ea[T%žő•6&9¨Ç=3ž˙ó Äĺ ÚĄJDpˇëÇç0ŒĹí>Ń(¸´Dm +Ôt6÷q5Š^šów,fŻŚčůÄ˙ó Äç +ڜx{Dp*ă‚}-¸yL=O!ˆŘ¤Š3\pôň(Ä"űNjŤp6ómWvŃť˙ó Äć ą XcDpƒů`€eŔ Ar>† 2ŠËƒżQb +%!ab…}Ťj{‰}eޢ˙ó"Äć ˜îĽ¸zÄp ŰAŻ0ßŕ~|˛`›1al&ƒQ¸nr@ ŚŻ:ӊG†ůžżo˙ó Äç +ę˜x{DpVú/ +Ý@¨ +äőm8€ysŠŽŠ"ĺ∃Ŕnßęđ|ƒđüîýŐťÖ˙ó ÄĺŔ’{ÎLýŕ['Ť˜ŒAM(CVjM ‹ÁńëoEÖ´M¸žőĚ­I˙ó Äé +˜îœxzÎpˇ|˙@ŔîlŔ‡"Â\„X)ŁňN˜- „†k^U3†ooAŻ‘˙ó"Äĺŕę¨xz„pˇƒeď“é ˙Ůyl‡sĆáţ¤đ5‡„đ2żźÁé¸V˘’_˙ó Äé +xę{Dp“ĺ@żŕ_ †ňH ›ěXÂâ @GpP +wmĺˆźí÷ˇ%ujň˙ó Äć ŮœX{Dpż ü˛@ż +ô’œ—ƒ 3XXĐ*.ř-őoťÜj üV^á!9˙ó Äĺ8’ PzDNĐşÝ €ź +]Śœ(™VRŤ`„Łv@¸*ĆöŚÝo:ĺŕ+ů˙ó"Äë +¨î˜X|p5Ç%_˘ ;ÉśB‘…îOŃ&Ÿşpä'}ćŸ~(fX÷ďŹN:C@R˙ó Äč +@z„p‰ôĎŐţ öŔ|5Đqç!’ë>Y۲¸CwżďWŤĂęÉänb˙ó Äć Ž x{DLü€Ż FÚe<ąÂZÂQZÄA¤[ Kŕ9ݔ˝úKă?Ż¨G˙ó Äč +œXyÄpě)t†€€&Ř +CR§Č0*ŞĚŮ ¸ŠdžÚÎ-kç‚zGáľb˙ó"Äć ˆŽ˜P{ÄNun䀀Űk•gŠG&Ě,5›pVuÉI˝}p­Ü/ëń萭˙ó Äç ŕŒPĂĘL—!ň@ řőqö\ńŰ7 +†piŸ•ž“żŤŢ$ӕ¸C˛ł’Ž&˙ó Äć ŕ’ˆ(ĆLËTúŔ +Ü űDŅ%łT–&Đľ +nş/yˇ÷¸äŔí˙ŽL‚˙ó Ä娎œX{ÄLËĽt˛OŹ€߁h*fV”Ž +Ĺmţ{,Ą†yk÷‡iLźôâ˙ó"Äé +ѐX{Dp=‹Wśž €><7Ÿ„ĹžąNK`" †č',ëĘčÂ_ošřÍň˙ó Äĺ Ž™{ÄL}@Ĺ7Ůź&éőm܇çiqEÍÍ’ľš +"ňu(j5B{k˙ó Äç ˜ž”řĂĘLüśţ°ü ö¤Hü +ĄD9*FUäZÔ:ĚG0>F’ëRčuŕą2ä¸G˙ó Äç ’”xĂĘLÉ=A!fżú€€Žć˜% ĎqY]É0E|ŹÚŽŒ˜Ý—YŃ‚Ó˙ó"Äç +0žŒ8ĂĘL•¸[ßŇ ´ ĺNđŕą$éc#Bś˘R€¸“Şī[ǔ%ZśŰú˙ó Äć ஐř{ÄLŃłÖŐ ZĆ7ą‚K¤Đšvh×ř­ÁŔ§ $šĺˢ{đÔ˙ó Äĺ˜ŇĐyĘrâH‘ ř]śÂŇ[’ťNÍsâ&Ĺđŀ|äů>hšľůž„˙ó Äé + ž|ĆNí4˙Hđűzs ´nČ8ávfN— Ął5cqPg­Şo˙ó"Äç +’ŒP~L +ˇ§Ş/BŔ€ŕ ]2plI7 çú—\ĐŠ„ƒŐŽôŃ?ýŻ˙ó ÄäřŽp~JL ß đ +ŃšŔ"˜—O´LÓŤ+~ŮŰgHR¸Î;#ŔfşšÎđ…˙ó Äç +ž€8~ŽL?Q0€—€5Ł‹Ň°Š_–“9Ů5sęĂŒ,ŤßŔűčľ˙ó Äć ŔŽ„XƊL|şfC?É?€€‚Ďđ5&Ť8ÎĂ÷c<”tÖF3›‹”t8"â&Š6‡čWę…d˙ó Äć ŘրP}qh×ç´Ë€€Ú¨ZAQDqxŔN“˜‡Ł\Ůih7Ň`Ż˙˝˙ó ÄĺđڍdpFżč€/ŕ3äÂńÚľ:{Ď Ąg€T p&h…U[ç`żŇ˘•DŃ˙ó Äč ŕ’€P~ŠLúŞţ:ćFÉÉzś_đ͇Ŕş‹Ÿ™dۇ!Űëcśvˆq˙ó"Äç +˜Ž¸fMüh„űëé pÉÎČMŞM1ˇ9nY%ć<2(o\ż@“7ů˙ó ÄäȆ•¸NHÜHmŸË€0kŞ|‰xٖ˛•ĺói”~Ž—•ůkˆŐü/=÷‘Së˙ó Äç +ʉep¨ ľźŃźńN|†‚ěvП !P%ŔGBä +RpœÝĐ頝pP™˙ó Äĺ ʒXLDpŰ,ŞűtĎ{żąĆsX€ Œäčś?%*TrĄRÚI¸dË8ÄÄ˙ó"Äč ń +‰eqŐž{C.×d༡đü +:e¸‰Ŕa,jzí}Ţx‚ŕA6Ý×ďłĚfě˙ó Äč +@Âp0~DLŽ¨ÓĘ” +¨l1ĆXLEveAłŔ,ԞEHŤěŞá6÷šŰ˙ó Äć ČÂ|xfJLPů`€Ž&(`¨2°"UuÓ5gФŔŕ-ł-n…—€Ç˙ó ÄĺŠ|ĐfNN˙ÚźżúŔ - +/€*6ŐZ]dTˆú΀ŮÜERdŽş’Ô¨mż˙ó"Äě +hڀP|˜r˝ÍĆ@HPŻ€60lâ*kŰąl @ B.qFA;˘ö]řţů“˙ó ÄŢđŽ„ČfM—ĄľŞ Łŕ°„!‡€ä‚ +K!ˆ ŽśEQP śQÎn˙ó Äá ’€PN”LXpڙëQ$',d¤ÉœĺPâĎpě‡ň`T—ćŚ21)y =˙ó Ääŕڐx5 +p(‰ÝN*€€Ő€ăn*ňh‘P* +0˝Ť76gdÔĎćR˙˙ó Äç +ځ¸MpŐ2Ýő` ;Ŕ +:/#ÔţW5LłmѸpąŮĐÓ~U>¤˙ó"Äĺ PօMqŠČü<`âƒrŞđ[9ŽE~Qfľ¤œ‚=Ä$ó:ąŐ}:poŘ˙ó Äç +Ú}¸Mp*(+ü¨`âŽ.ˆŃ#˛Űk8@ÁŁ>‚-H“d›oœ…ý˙ó ÄĺpNšX2p$ž˙ţ0˙Ꟍd U5 +†”ŘwÚ°mřňĆ*I/ćěý˙ó Äę +¸Ž|Č~FL[˙řnú@€+躉Âswž0qg;ö€W&Ă´´ěŻňë“÷˙ó"Äć +ʉ¸Mpł×\™@÷@`#/‡ŮCČĘƒ€ń2äŔĆu×/ˇśŘ÷óbć˙ó Äĺř†…NHŘ۲`.ĚĄ™,Z‡\W}fŐĄC‘ÎiŐs@dąŞ`ů=›ë,pJ˙ó Äč +(’lxfĆLéPu9˛Nú`@˛‚ Ů%žĹ~g(Uqԏŕß=Řöíň°ˇ$˙ó Äć ą +xxLĘqőšĽý@ ‹hŕbŠÂ'ÓĽkgÔ +Î=č—WľŹą˙ţw7 ţ˙ó"Äć +txepý8˘ý`č€ű€’`Š„źöZŒE0ā§4 +´ŞôÝş˙ó Äĺ°ĘydÄq1A ˙X hb‡4['G˘ó#äé.ĂŔňřȗ‘K_ë„íO˙ó Äé +X’lf–LŁDĂ?¨€c°™œŘ9OuěË÷07)ź c3ĹĆ;‹I5˝&Ş˝˙ó Äć +P’€(fPN{˙Ę˙PMhӀ5QřQâQB+nh(ú!ƨ˘Ń$É3!ĐÜÁßĺ˙ó"Äă ’‰¸FNLcˇ˜[ý@“POm€ˇ^jbJ +Ľ1°Â€!‰­@âěĂ[tŞóđŇ˙ó Äć ˜ĘˆxNJp[¸˙ýôŰĚUďcŹă@ä—(qœLż†óđÜŔ”“dVl˙ó Äć ¨Ú‰¸5p … ƒŐ šú)EAיhŽ˙űž°ŒőABt™ƒÓnw %˙ó Äć °Úxxep˝1‡żŻ(_(^ł9Ÿžů@˙đ4DԄ¨÷vőˆÖ#˘"&˙ó"Äć čÚpđepGŔľÎř‚efQZąjO}Ű9pރ„Ş•†łWx$qg¨^qĽŘĂ˙ó Äć ˜Ú}¸M +p?L$žXÎ4×Űűž€ĚŽ&–•^UiĺĘ䧥d%䚓cćb˙ó Ä搪’XĆL°Ę'Ęő.ŠjÔđśĐiyŢyaK˜íǓY„ŔŠ$cŠRU˛˙ó ÄŇ Ś˛XKJLĽ*{꼿żčĐ  ”Ő@äŃŘŻ +–&Ú9.S_ĂżƒaoŤ‘&˙ó"ÄÔŕn„xN(´fNÚŔŕŔş´3đ–_JPë™…ă$ZDéĎ­)űH˘ćtĆ˙ó ÄŘ n‰¸N(BęuŁŮ$yZJ§Đŕť`ʨΠJ-š0^ +É€OÉńßĆnŔ˙ó ÄÚ žxPN +N˘Yű}G_őš &Ľ2hpŹőëÔQ"V˘K™0AčZaqĆă&şƒ˙ó ÄŢřŚtPNNLäś[¨ďëŘ ˆřy˘ďždbŒU‚̡D#ÝŞŰďyŢwţ3?ĎŤţ˙ó"Äá @n¸N$(˙řOů {"Uç1)Ä7„ż%PwT8÷UŢ`Ë,ťŻ•kŕX{ü[!ä˙ó ÄäТ‰¸N +Lç˝b`˘îc‡c5•'ł¸2)ƒC +|Źg&Űá[%: L‡˙ó Äç ¸ž‰fL†ÖÔx¨L!–jöv¤Bşg)Műm)ŮNC´w2ŐźĚv*űfźő˙˙ó Äß •˜LŠLœO˙ń@#ŔhđKJ$F܆ť°źpçrnQw‚ӳċŤ˙ó"ÄáŔ‚€fTJ!Î˙Ĺ^ ÇĐ0Äś€9iŒä"›OÓ ë€Kž-Ť8óBĺˇ˙ó Äć ŕţ|8NŠp†0ńJŠ­ÂPíţT€ŽŒŠö` IyG‹~pq~ƒŤ{š—~Ô`˙ó Äĺ †|NVH™éĘśŰw'îú€@1^@ +ŹRnĹ9öîŇpŹÑŇ5_§pžP˙ó Äç čžxNJL`VFłŮôţ<ľČžY&TĐŹeDŠ"ëoZÉ7ôŰož˙ó"Äć ŕžt~”N˙ţ˘€źƒCŞŁÁĽC2šzfřü˘ R2^ŻßůŞT€”lÖˇż˙ó Äć +8Â|°NDL(GN˙Ź€ đ&ČÚâcńüĄc'˛“EĺÜ˙Ńӌ'o=.é˙ó Ää +𒙸NLëňooč`t“du&ŠŹS?&.dHŻIdoľwŽ(žq ÓÔ˙ó Äß žˆřNLšÎ=ň@€đ%C¨ŔůĄ,;,ż<ćÄy7Ś˜Ďő”LEƒńí? ˙ó"Äá rNH‰ —GéÎne/Đ›D"B Jƒš +FŮ(–`% ŕMűJQ25-˙ó Ää‘ŒPMsŹŁ 3Žn´ô†EĂĘŃo/y˝ĄŔ­1˜m‘~őşŹUŸ~Př<ž˙ó Äč +0žtPNTLp6ßv­s>–Ü + Öžë<í?ŕÄĽ• ŰE­B”ßř¸żČ€˙ó Äć ŔÂpđN”L €6‰r‚ń•w‹ďB|w–ZFt ȂOđ;Đö˙¨Č˙ó"Äć ˜ry¸NPH0 ‡€y +‡ěŔh˝%ĄBr&“Ą1đ wOŔő˙Çů€œÂ˙ó Äç +’tđNVL +¨&j&}Iáp#ŠIa´yż@ˇţĽżô/ôáƒäň‰Ö˙ó Äć0ňp֞pŇ1pvfÉp°e-<ˆ„˜¸űë˙á?ýŠüƒţ€‚„x +ŔC˙ó ÄČ +ˆî­¸zTp\o'=›…„hN ŠŒ%ËUŸôřř˙ă˙č?ÉĚ2nBxôTN˙ó"ÄÄ 0ꝸ{Jp{°“Ła›ˆýąQP×űçţoúŠQżÇý`Ě0 wŞËŽžš]8YU˙ó ÄÇ îŚXaÄp. SDŇaʢ;ĆćÜü!˙ЏţUţ˘…äŠKő.îTćP_NÄ˙ó ÄÉ îœxzŽp…AŇĆmš@Ţi‡ˇňęňHŔ Đ%áô^ţŻč +ŐYžą˙ó ÄË î xzJpW8Ѣ ;ń÷xˇÉý Áßň űQ–Š8¨AŔ˝,#%Ü˙ó ÄÍ îzpÜFţźëâ_˙Şü;ý Ą ä˘~j;‰°–ÍĄ`Ę' ˜XL˙ó"ÄĎ ň”XzĘpőęŚřoýßŕÜŔvťD‡UłEP`Ôń Ë5Gínö…ä՝h|5˙ó ÄŇ î”XÂÎp_-Ä˙üżÉ€„0Sńghi” éč Y‰Ą˝ %ӇŞg͡†ü˙˙ó ÄÔ¨ž˜x{ÎLRSü€jŞçWŤĄ#Se +qiÂ4Ɯ‡‹8ô?ů^Ŕ,wD~ ß˙ó ÄŘ؎¸cÎLôúÜc¨Ŕ[¨‡xŁ‹EnDýt:ľžÖ›I›gX_=Ŕ˙ó"ÄŰ îœxzpôőťÔH3ŕj<‡Ż:“Cűb+Â6-ćîĹVýš,Ç,~^2Ž58Kâ˙ó ÄŢđî˜xyÄqe58kâŠ?ŮĹFÄ ‡c#]+<ŻfĽěCFT‡˙ă}˙ó Äá žXĆL †ŘĐśůB7'ôĹšXšŠĆÚ͜!Źő-Faă +áĎŻ˙ó Äă¨ę”PzÄsŚŒIĄ”…Ř ýYŸĺcŔĄąU7!RśMś0ß{˛5Z5(˙ó"Äç +0ˆXĆ +L}>?ć6d€Źś-7ik|!{AŔżĆÜŮ(„|űčĆPś­řÝx“˙ó Äć xŒXĆLä4ä€szŤ”ĄÄ‰¤TRÁR +sK´öŤđ!„]JtĐ˙ó Äç + ŽˆXĆ +LOŽů +9 Ąçŕw +ř}Éóp@$č@Ą‰ä˙ó Äć +’8ĂL˘C‘ ᖣqBd_<{c/€šĐEľťR6ߧyW”p”Şřî\˙ó"Äĺ (Ž8ĆLôHŸëȀ€€çŕ×× +ú°\Ĺ8_ŤSDŔz5IőyƒřđĐż´˙ó Äč +’”XËĘL¨˝­E@ŒxŐ)$ú€ŔŸ`ę3œ +CĽbRý˜pÎu3|Ł"˙ó Äć ˜ŽĂĆLxyżR{ac˝=@Ŕ;šĘuš( vi˜¨ô+Q@ ݼϤdŘď˙ó Äć ŔŽXĂÄMŕ/ôŢŘŃŔ ?řľÉ ľ„Ó5 U„ąP¨›œ!ëőžKňŸş˙ó"Äć +žXËÄL÷ÇC´ýŔŔr‚R •|‚!Á̀4ÓUĄÍI-?đü[ß˙ó Äć ˆŽŒ0ĂÎNŽď3u`€/;”Ő l jֈ)Űj邺j4d;ľ¤ÔĘ{pű˙ó Äć PÚĽ{Np(ţ7yŔňšŁ%w–"ŸŽŨŹ„4ɂróM¨ŸA_č'˙ó Äß Ú­˜ÁĘpú +Ľ@€ ¸pľ!7#a°ř&ŻÍbH“%A×VďŽUŃüŻü}­˙ó"Äá ֘ÂĘq‚ŚđMր(Am€ü)ŹÉ%Ťę0˜OzF„<}o fŔ‹GdÁYłŢ˙ó Ää ÖŞ8{Np›3K¨~?˙ä끏ĽKEČ +i_ÄĆ!Čˆ’4ϖľ\Ľ˙ó Äć ˜ÚXÂĘpEÖRLs\ >{S;?đ#˙l[˙ů0€ +'0=ÜlŻŒvĚ;>UL˙ó Äć ŕژŘĂDpČPZŠGS6ĂÍýj)˙ mܘ€ FSŚ;…ŕŹ€:O4—Œ˙ó"Äĺ ֙XĂDp>m˜2ć“˙„ޅô +ň ‚ †ĺrŠˆ”~›ąaŸdá6iX˙ó Äč +8ú˘zÄp’ŹŤ|(˙҃>ĺä@Ŕ€7YÎĺÄaźďk(%ŒJŽh™˙ó Ä恌XĂqŠƒ+;ńmý´˙Á?Ô 0°|ę:9^vhAiTÁr~I”Ěn˙ó ÄÓ î¨PzĘp߀żţ5˙Á?Č )•-óePĽfXp(:P}ÜÉ@ĎÁž˙ó"ÄŐ (yĘp{˙‚ € !MŔ–KŘľ˘ş‘}ĚŔx&űŽ‚yËń ýéży˜Ÿűb˙ó ÄŘ Z +pݔďĐ6™&vúœ>.ŕňî¤,!T› Ś‰ŇH˜˛I!8Ű˙ó ÄÚ î¤řzŽpŻŁŠ +ŰĘ-ńtN$~­ü0ŔDÝĄ#Šŕ|€cŤ‰Ŕ'GŃQ˙ó ÄÜřę­¸yÄpé%@űżÓ‚nř?żßńöô€† + +Ź˘=$T.Ü:ˆ+E3E:d×˙ó"Äß îŞ8z„pœŁĄOčßżß˙¨űzP$kÓP:fä7M—‰ĘBjĎYçjĐĚe­)˙ó ÄâpîŽyÄpÍőę}Ë9ňŔ.p Kc´vFyT€ žbaő´ň+^çŢ˙˙ó Äç 9B˛Xc +qĎč^Č?îůPBƒźňE@…F(ü—ÁŕEښU´ë$Ľž[|÷ô˙ó ÄÝ +™Bš˜KJqFŚý@a™t`($´_Ć5/€œ-™jÎ-%ž–_Réü(˙Sz˙ó"ÄŮ ¨PKDpęßA˙Xƒˆ +ˇ=j[“sŁ:3 e„ř'Š&}H×*ŹÄś˝úňűx!˙ó ÄÜŃľKDpňZŮőÁ–~Ü H\čqœ/Î⠆d-–Ľh^…éÁ9i‹ŕ˙ó Äß žš¸JÄL‡ű~7ĺŔŢŕn ׁÔçqę…h#ÓÔöeŢLŃM4Űá_ßŃţß˙ó Äá ÖącDpA} ažxFłaúo†˘Ţ˛D#ćxŸ[)ؤÚĘÝřaťď˙ó ÄăŘÖ°xKDpč˙o¨ű9`ŔkŘJxÜO!xTőcqQ:yI­ +OsÚĐíăsb=?˙ó"Äć Š¨xdDpmľúŔ +ܐR´JA`Ž.Ť°–Č ŇEji-O)ˇ-tŕŮ&…^˙ó Äç Č֘8|Äp˙âPDӀn +…î‡2čFé ÓaÁ°ŮŸDĺčTş¨âňźŤ§˙ó Äć Ń­KDpӑúý`*` +ľ^XT`”Gćzˆ°Ž\%–ônŹÄţŁüw›dé˙ó Äĺ ¤XcJp˙ńŔÄŔHÄ´ŘGI`4W(Xśďĺ~Ž˘mu•ťś +űm˙ó"Äç +aœX|„péűmƒ9ô€Ř”Ý‹ZŚ ]+´Ŕ `/DaŠÂ랥Ç䳨U/Q˙:˙ó Äĺ Šdpô˙řŔŘ Wӌ­–Bŕ>e™*ȒPE‚ţžŮËŮťU‡0¤’Ęr‰Ž˛œňÝĺoĎÔ7Ú˙ó Äć É +Ąx{DqŁĎ䥀ƒ`2žŹÍßúÖ—Í ÎH@+[ŽbN’ÍĎdöjŢa^ž'ę˙ó"Äĺ @ÖĽx{DpŠ9WÖčhŔŔ~Ĺ0Hj†–—ȧ+îG´M]Q˙ OÜ}“ň˙ó Äč +`֘¸ĂÄp:WfÚŔŔ`Ľš¤B†Ů.ô˘ż- ź#5żţ‘ň>ÖuÖü7J˙ó ÄĺřÖ PĂÄqŢl¸€s5+Šń5§e!Ż¨ÄTs†Ÿ*KŰ7y¨“P <ţjv}E˙ó Äč +`ڜ¸ĘĘp:çpÜIP€JŤŐEǒą}´ęŸ-É8ŕW1Lţţm°¤ď[ś˙ó"Äĺ PÚą¸Â„pGĐWFÍ2€ŔżŔžOĄˆł{,Ŕĉ†@`ű°ä[[0Rj*„$Ń˙ó Äç đÖ¤¸ËDpG׆ę€rjú×f!˜Őšô*Â@ÂŒşeÎu2 ›#`ßP˙ó Äć jĄf(ßÁ9ť$@Ŕöp›ísm•ŞËş¤€˘›:M­™”űöţ˙ó Äć h˛Š˜~ +LNÔn8€öŕ +;# N€ŕ`™<$<É)Ż–x>‡šœÎĐE˙ó"Äç +(˛œŘ~ŠLŠÇôDŔŔnf×R +IŃ"Ž:X­ŹźiC–â‘˙°řW}ëôńô)˙ó Äć زŚX~ +LÁśŃ}ŔÝ߀:F(W"f mćĘWÚXÚţFĘď])ŞuŠĚÉ˙ó Äĺ €†Š~HI€ŔŔ/ĹśTŚĐ\Ű^Ś§ŔŇ$Řă"ŠĘm˜ízňt˙ó Äć ĐҲXĂDp÷ŚŻQ€7—zHdŃCm†8)ĐĐE„ZŸ›  Ýä ă(\9 ˙ó"Äĺ ) +­˜{DqK˙č;h`V˜ƒ=xz¨#âˆ"úţ˘ÎYŸuó +ŕ´űÍăĚl¨ŞBâ˙ó Äč زŠLDLcƒűԐä֛¸s™”JZźÚŠa„U†Î–ƒ ~–üŞ_‹í†Í€ç6˙ó Äç +˛Ą˜~DL‘P.QÇ{}4€Ŕ&ŔŕfQh2iĽž Ĺ8c +ŐďOÔ´g`˙ó Äĺˆ˛ľ¸KÄL›>•ůóž&“Á €:"ŕ´XŒ + ĐJȀ*ٟž(ԋ+˙ó"Äé +Ń +™˜ÄÄq­ä=@Jŕí0ƒGœŠ´.Ž…˜Žč”TdIŇßZ‹ßŸPƒ¨Ó˙ó ÄĺŔj˜(~V*ö˙ˇM PĂšů`QÖç"čđ0iĺŞ6űđîU°°*u“AŽ#˙ó Äé +`ś xfL–tzdđ-Ę䥊ÚţĆć„b• Á—`ş"ąŘrDšírmÝ7˙ó Äć HŠŒĆŒLý_< €Ř†Ć3P‡˘!q;ˆ0€ŕ4X9ŽxQwM|⊠˙cԗý˙ó"Äß rĽ˜~H]¨˙ęÍAŔ,g¸ô5,œ˘ !Ue¸°ß­VťůëSPI˙gl7­˙ó Äă˜jľKĘ(ÉôI€U°X§nlŐá—ŘjWʍy +`:XŹ*Ůţś8Ż3ĺŚ_ř˙ó Äç ą x|q7'Ä2@Q¸ř&&šćńÎăv(~ˆpJřë˜VęŕáX…K“ës˙ó Äç +˘œx~ +LrvH­ĄU=ૠą,I†Á­vŁĎVőKÇŤl^řŸ˙ó Äĺ Ş xfLcžJAřYE* WŻŁ‰Ë§bâa#bŽ‰S)ýnhŠí ŰŃ˙ö˙ó Äç ŘҔxÄDpÇÄp +@6OSœÉ^ń˘ŽĆ°ű,€˛L +Äâˇő›—ć ŕÓZż˙ó Äć Hr˜x{ÄHëŁc˛™< +ŘŮY+§X{’¤ş‡đefDnýąŃҁş.š˙ó"Äç +‚0~ +J7é•ü A*a9Br:+żŠ},Hx› u÷ßß +Şœ[Ÿńß­VĹ˙ó Äç +ސX~ Lđ#Řs’°Ň +™™dRŹţ.ĺ,Ę;ćašY—LEŐźŰx˙ó Äć hŽ”ĂÎN͑YřˇĎPOáA€€&ř ľ°GUocˆJÎy,rxSÍ_—ä˙ó"Äç +Ž™XĂĘLq‚ťřPë˙?Ô{•X–Řâĺ…Ë +Š‹ßÄ+`_ĹŕrݢIręíĂ‹˙ó Äç +”{Dpţ ΐ áŕ1)aIđ +ií@ 8V<` +/Ż4uŒ;ËňT ř'ţ˙ó Äĺ ŽœX{ÄLŽŤÉ€(ŒĹ‘ÉDČ?ˇ‚5‘ĆBvÎęäÂÓ3Š~Ÿ\3˙ó Äč ŔÚ¤xzŇpřÁýNěë ՎżŤQ„Ŕ´BŞÇ‰ÁböâdÍ^Ą™%:/Âý˙ó"ÄÜČÚŠ˜zŠq(ú䀁^üdąrđĎě`ňDa0Ë1 GÔ?2)­¸ĎŽţ?˙ó ÄŕřÖązŠpřÖžˇr €ŘŹ5ónh÷’ż|tÄt’ŔŒɆŒc=Ë:zővé˙ó Äă Ň „p(O$„ŸÁ€ŔÉŔćÎ –¨~őŘX]ÁâˆË]Wůf‹÷Źn˙ó Äć ˆú 0z„r~ą_ńý`ŔIĐ“Ĺâרř1vÇĆ#ń‚"Ň °źkóŹężŰô?˙ó"Äć +֝X{Jpř˙OH€[XĂŹő~řgžj#6÷6ąńƒŸ/ƒďzáRć#Ă'×˙ó Äć Ú˜¸ĂDpäşßÓր#˜—ÍićřŃE>L+–?’L@‚‘Écd}ëہ}Ú3~˙ó Äć Řúœ¸ĂJp +şŸĚőŔ˛€2žžÇ!øěci`@˘–ó‡Ňü#öt_ă˙ó Äĺ +öŹřzÄqGy(€I^ŕ˝nU‡tTjťb,™|e+ .ŠMyaŃ‚Ÿĺ˙˙ó"Äă XÖľ˜cĘpŠoĺŠú ×=%hžéŒÍď0%Ŕ(ćfÍMéjŠĂţE7=¨˙ó ÄĺčÚ­˜dĘp7Ŕ lŒ´~ +ĄxwJԕ´Z\:ĐĂ̊Xj~–ĆYÔßď˙ó Äč +8˛˜¸~LŠ‡Š™ĚIȍíźĺv˙˙ň™J”9éز ä€Ë'Ńç*+ZÓZ˙ó Äć ČÖĽ}pÓD• ¤976ť¤żČďî¤Á.˙× +¨œL‘6odx˙ó"Äĺ 8ÚĽ¸cJpápWŔ +C"nHŞ­‘íýôÔťţ(NˆDÇ#ĹPšą ž@/˙ó Äč +Pú™˜}pź¨ń­Zß惂ůé˙ôÔŔă€9 +BQˇAŒ’:_ Ł˙ó ÄĺŔÚą¸KDpkęZ/ó)ţfRSQ˙Ȁ Šš¤÷ŠĽQä°) /r,˙ó ÄéY•˜~LpĽŠu?ɲłűPž +˙Ȁ¤Šhľ8ˇ )ę2@7`‚CÂ˙ó"ÄŇ I +Š¸Lq>§ůhtú>ú' „,Úb‘#HŒŮp˛O‰°°/"_>´ő)˙ó ÄÔŕĘ xLÄp?&€%Îwú(ŔˆčŚ3Rs kDŁ9:%ŔiÔÜGÄňI=_“%˙ó Ä×ů +œxLÄq‚d7˙Ër,šćW +ńY™]Ŕľ€i ŽCJĆŽ‹íóć€+ß˙˙ó ÄÚ ÎĽ¸KDp–cţ°ďC㬆Z>áůąiËäč  jDs٢€i-ł'5P˙ó"ÄÜ HƞXMpśŸţBů +Ž­ŔĄ5G„áÍcş­ˆ€P“'§mqU*‡@űËŻS˙ó ÄŢđʚXLpŃúaŕ ô)¸čKEŮ×媒ó*‘~çđŢ=ýĺßćőq€‘ëőů˙ó Äá ŘĘĽLÄq|ˇćᰀȲ2)-S¨˛…7|ú†¨^€E|65JôéŔťSľőŠ˙ó Äŕ€Î dÎqvBß­ę€CďŔ€SŒpvΗ[€4*_SýJţŽŹÓĽI˙ó"Äĺ ʜPMp8˜ľ>’ŢŸ>Ňą|“š­m‰˝âŽ4Ŕ[Ĺ^žÝx™żĎĺďô•÷˙ó Äč +I˜xMq–$2Oî +px¨ +äăâ&´ĺ2Ĺ[ITpŘŔ0ŠXÓŁ`Á˙ó Äĺ Žœx6NL•Ůاý@„€Î€:ÂT! +2YŞ}2ÍŽüŇŃŁ­ŃoÉů?oÁ˙ó Äç ŕ֔x^p=?‡€ ˆ펤š„ǡď M* ”´N”R˝őŽ +ů˙ó Äć Ŕڙ¸]pęa{ţ° Lŕ4U”¤ ŮMŇł†! ŽĂ 8W+3˙¨u@_‰2ň˙ó"Äć +֞X4NpEżŹ3Hŕ€Ŕ€ŒuěÍ0˙<8›& 2EĎ ˇżë!ěüŻ˙ó Äć +h’ˆ~˜MŁ”k˙PŚ3ÂҔR3D­:¤XTP/ůŠĄ:˙ů?ÉŁ˙ó Äă ’NLpcSřxŕĂđAÁŔhóüZj)ƒ@ń ŠEBLÝ˙PÖfţE3˙ó Äĺ Ɲ¸L„pŃżÁF—żŘ“ęŤ|šiě K4°"a  +L9c¨đR&tŽěí˙ó"Äç ŕʌxe +p¤RÖŻ˘ż÷)XyÂ&fădŹ9&ŢžŻS™&ťWÔͤŁląłM˙ó Äç čΑ8LĘp +AƲj$Ň3"°CNnú-ŁŁ:ký~Ô˙¤@@ 9mB¸Ćś˙ó Äć ¨Ę¸M +pY÷‘ś°*\ŢJŤéěÉčlĚů?úŔŠČ‡‘@m—$˛Ň‚Ô˙ó Äć °Î¸}pbŕ0Ě/Ňł;¤ :×ßú:)ő( +"Z%G"v1|”™˙ó"Äć +Α¸4Äpx1€u ‰ĚŸ0ŠÚż˛ƒŚßúŢwý XÔA…„b*śÖ¤CB˙ó ÄćÚxƞpÂ/fŚĆŻ˙Ľ˙úe^'-ţ°Ż0Łţç<ŕÂÎEŰl™0(@ ˙ó ÄÍđÚşXKDpëgoъ÷HĐOőŰSÄ1PȌĺĎpÓ&T0žůƒ&F1Î˙ó ÄĐ ’”đN”Lu)ą§ŐˆŢY^ˇS•Îâ żyŸB>éŕÇí@LĄDŘŃऑ˙ó"ÄŇ HڔxLÔpA0Y.˘ŔsüNä Úëâ+ˆ}nú€€6A!‚vÎlÍá‘äBZ2&‹Y˙ó ÄÔ ҌPMp66ß +_Iű5Pőű)¨š@˙ó Äĺ †¸NH H€ţś „Hl}2 •!ÍéĆ.aŒŻ]kăJĄ# ˝ő˙ó"Äç +`€NŽL+YX÷Dôţ€Ä+đĄœˆš/—7Jń ˛BľžŢţź˜e.œżż˙ó Äĺŕ~x6H­ÉďL€@ƒ¸Ć ˘âeIwVíC†ZŤŔĺťo7ű^8 +š”˙°Ń ˙ó Äč +Xţˆ¸LĘpš:ŠˆŔD ‚ËéƙŸmF"ć̗ěpRľí†\îżóÂűŢYO˙Äß˙ó Äĺ ֍XMpOú7 YŔ˛ +f!ˇŚzĹ4fŒČ‹+'¤&‡ůUřäřxŢ˙ó"Äç +0™¸6LBëۤ€nó€QúÉÁt”Ô˛d +:Ä3‡ó§s”€{˙ú‹iš˙ó Äć +`–XKĘLrJjÝÖŕUüç20ˆ+8Z:C‡Œť¸XŞďGŢن2{ym˙ó Äă蝘NLˇdŻťb€¨ý€ +€áOgŘMxüóů)֏ڥľĚK i‡ň˙ó Äć ÂŒřN +Loż@ڀ0Žm€‹K["–)ZâؗńĺHŔ +ŐCú$í‘ɲ4đQ°˙ó"Äć ‘„8Nq1˙´',Lj×ÇmĎŁ +@ť5¨ńМĎřű€´hśZś•Ćc@ř˙ó Äç p~xNŽHĺY0Ő <íinž”ĺěÎb€ö§ůŠţ°ƒt0&&ྨ˙ó Äč +8ž˜N +LL辚ś|vF˛€Ţf‘úů¤Œ;€g‡t +˜hÝ9ŇŔv˙ó Äć ĐžxXFŽLXlOěçaßďYꡞ˙˙ó ÄŮřŽ”X{ÚLďüý€hŔŚc•‰dÁE8ґAėk‰'ŁĺKť0ĘYŠŰ˙ó ÄÜ î xzÄpń˙[ý`Ŕ}~a:&ćú3E¸2Ŕ Cd1ě4Tˇu|ůżőOˇ˙ó ÄŢĐž˜X{ÎLƒz:Ŕ€řë˝ +6¤Ëý†MҐŒ2J°źärŚ\×Ę˙˙ĆŽ˙ó ÄářŽ”X{ĐLÜôu€Ŕon+IXV“˜D˝ô€= +“tÄł{4JxƒAcuRqč˙ó"Ää Hî˜xyĘpQúľ˝ÖüŻC÷‘ƒŻ*(šőĽŔD!D˜-g“÷YM~YÇoü}˙ó Äć ˜î˜xzDpžčRşŔżŕyE=HâĚAŸT6‘&:zcÝýę4Łč^_ţ#Öß˙ó Äć Ř˜x~ +L“ŢŞŠ*§ĐąvšřŽ‹`ÄŤř•y‚.ˇśűŹI?řsţ˙ĐľtW˙ó Äĺ ę˜XzÄp裚Ů0ü;sţ7Ë"ĆśĂ:ź â0ew;¤™ďź čQŞ˘Ú„Ď˙˙ó"Äç +ň”xÂÄpňčůŰëÇ҈ +{đ nç7@u +=!L{ÇĎŮLăN˘ł†ý<˙ó Äć ¸’”X{ĘLůL[ý9Ŕ6~ÔrŞź-oĚ)簅¸˛XCf”ň§ŐFfGŔfŰ˙ó Äć ĐęŒĂDpťwőÔÜYňš.“ÎtśJ+’F‹Ŕ۝źđÖľƒ›×w9׹ż˙ó Ä倞˜X{ÄL˛Łő:×z€ ƒ˙÷7 S¸ LÔV&Տ-qľ +¨A|&˝˙ó"Äę + îˆĂĘp>˘2€ŕeľg+!›—šœ%Üm"^Ń/ä"<"ńĽâÎ˙ó Äç  ž{ĘNŤGِȁ÷[ AKDG_ř%{ę‰0÷MŠęÁżżz:pŽW+ň˙ó Äç + ŽŒĂŇL +ŕá%‡’ +ŹÚm 9xäk”SPóÁÔ$ŽňŻsűřý÷7ěŕ‰˙ó Äĺ ’˜XĂĘLď,ďž°Ŕ‰€<Ž +ëĽĐ^ƒřż7 (žP7Ž+­@ÄwÁF‘˙ó"Äç +P’ŒĂŇL”?“ý š˜´–-|Á˘“!Őä§L ›ŹÖ…cF>•Ł +1QWË˙ó Äĺ ŽœxĂÄL>7˘\ĆŔ~Ś˘L=DÇt٢Z(˛sÇPQŸŸ[fÇšGń Šé˙ó Äç +ŽŒXĂĘLR_“GÔ(?Ż„2$%ęeP×C†´ŮÎ+Ż[ěąiIŔŐ'ô˙ó ÄĺXŽ”0ĂĘNş’Łő€ ´ăQ€Áx“U0e´(ĐŠŸLăÍźŘ9úՒ–ÚŐ˙ó"Äę ʔ8ĂÄp>‡b?Ńú€Ŕ8`ܗŇ@1rČÖ +{ŃxYѸ•Ź3jՊ§†LŢç˙ó Äĺ Ž­¸{Lţý`¨ ”␃ą DĚëobĽ]¨7Ř}¨€Š~4ý'˙ó Äç đŽ˜8ĂĘLßɀ€yŤ3›Š A +‚˘dÔř“ŔH)"URKʘß} +öP˙ó Äć ¸ŽœXĂĘL×˝šN°Ŕ›đL€™,%”+*ŚČ|Ą!Ÿ‹}*Ú;˙ó"Äć ȎĂĘN ëó}`vô4´ĘOd$W†>ľ¨8ŠCͤçéíŔýy˙ó Äć Đ~”8ĆHń žžÎ°•}ۓpeVć¨G”ëvŠX‡„Ě–f3󧍿 ~čŢ˙ó ÄĺؒĽ˜ĂÄLüwX3Ü$•&XT›đb‹Ëz41@ĆĚDCŹšV3ąb +~_\]˙ó Äč ˆŽ PƒĘNţ[­ýú”€/íR\qčŐUç$Tžý•Pľ(&çřÄň}řOę#k˙ó"Äč +`ÖŞ8ĂJph7[űľ¨€ ˇlи͕ŕhaTÇŹÚ&„<dC\÷˘} +üíú öÁ˙ó Äć ˜Öą¸‚Šp +÷Çé‚×%ńA`(ĚĽfH…r.ń(rŔŸ$ÍŃUŃNuę°4ś˙ó Äć ŕ֜ĂJpŢ°%|}LârĄ?§Ĺbő?ˆŔ¨%Ë@˙<¤)ŠNg™%‹˙ó ÄĺčÖ¤XĂJpâŽÁÓ + ¤ß…ÔŐ'§ÔZ˝‚=•ÂëLp•#–›BŠ‘ĆŇĄÔ˙ó"Äč +x֘ĂNpfüűPMô)^HŔ(‡ZkŢU +S˝`d^t%úšBxíCś'řŸű˙ó Äć °ÖŚ„pbňhţô˙ŔŔ°Ó=ÔAS°mž†? *LŃśE Tą›q/ýę˙ó Äć €úĄPĂDroGđoőú€ŔĐ0Ů]ŚÓĘXÔaÉqƒXŞM1p›+7đßüo˙ó Äç +Pî”ČĂÎpżƒnäŔ€~n™}8a“Ć`'•PEˆVŇĄŒQ e\—âö ˙˙ó"ÄŘ XîÂXaDpßĘşý €`¸ˎiDčą" ř˜Ĺq\Ašĺż ţ¸ŻýM˙ó ÄÚ0î¸ĐyÄrţƒú@€ř^Üó7t—ÓŞ"Č$śŔX9ŹťvÍ%dÂAoRR˙[Ő˙ó Äŕ ľ˜yĘqĐ\´WŃęCĐôĺF†•=˜L-.Âoš€Z܀ź2 f ô’€˙ó Äâ ęŠXz„pIľ‹†P"œcśžŔ¨‰á㈢§ž!ČäľşÎ\+!äň¸ę=ŘXE\˙ó"Ää Pî­¸z„p\)č b6Ů +ĽlżĎÂżôˇăśt ž`gi +ĺÓÄ6V˙ó Äć XîĽpyÎpĆFę5­üęnŠŸú7Ԟößwé Ö +ŽT‘লž6^5ŢT%%˙ó Äç ŃŞ8yÄpžĺ˙˝V°ĺg5$ńˇ•Ůűţ€î`uԏ߽ŽaO~ü’#Ë˙ó Äć™BĄXĂÎql–i&}żƒoĹř'ôoĹ~Ż ÂKÎĹVW2¨1‹3!âSžuđÖ˙ó"ÄÎ 0NŹđZ^$xú„¤ř^á6͚Ýň B“udŰMpzą"ą†#X.-–YKé3$É˙ó ÄŃ ¤0cJpS§˙ˇ‚ä9Ű9`Ŕ„eÔ B}eřaGĐÁŕ™'›(ޚŐyÓÝ­˙ó ÄÓ ŹXcDp^Ł÷Ëŕżżëđ +C@^l  1 ĺÁd„ls Šš=Ramc‡ŢŽů˙ó ÄÖ Ś¤P~LěL~­@A °Őf*6­Ź‰E \Ů&]ež˘ęçv36|+CŐŐ˙ó"ÄŘ !¨X{Dp´UnŘ +ČËĐšqÂሠ>ƒœéb“˝ď[ë(wăńl“aC˙ó ÄŰ ž P~ +LŤeĘoЁd¸ +ˇC†Žě d?†ü@@R–,úg`QŻ/FăPŚ˙ó ÄÝŕÖŹxcDpčßÓĹţ Űđ?JŠŢŕœ(0”„}&Îýý¸Rcř—QŰRŮ +*˙ó Äŕ ÖŠcDpŹ÷Ö(]uäóM‡ĹĄ¤CľŠÂX-”Dqëš/Âđcq˙ó Äă  PdŠLůz§ú€€Ü 5ˇ\ŽÄđƒ šĎPƒ¨Ť_Ň=­.œvéŃ;˙ó"Äĺ HÚ°x{Dpޝý`€ô˜ Au–iz +.2Îŕ°Lá>´žfzĽ•;đśĐ´9˙ó Äç řÖŠ{Jpýż§‹ţ€¨ ᕨŃcEě óžáB É#CMë_-´íĆ֘÷Ý˙ó Äć áŠ{Dpń0Ŕś`(ÜŘć-f›Kh,îKl@”čr5çßËanÔ-RůÚ˙ó"Äč +PÚ 0ĂĘr_Œh_‡¤@‡ÔŁłk9*Â7•‚z CÁŒÉ- +|DÍÇs˙ó Äć pÖ¤ĂÄrŚň@t÷'Ł‹6_=6]4Í"nƒ—SH{_Ä'‹č|ęsźž•˙ó Äç + Ú¨řĂNpšd˘@€lÜh’!pąPčaIĹ Ŕ”?q 7éÔš›Ö~ů€u˙ó ÄĺčÚŠX{DpWŁmęý¸Ŕ€<łJŽqž 4fŘú U3§-gď‘Ďäqžż’S˙ó"Äč +xÚ XĂÄpˆiŚ! +Úśo(C7 ‰ƒÎB€…ˇ¸;ąO×㠀áĚľďű!= +s˙ó Äć вœ¸ĂÎL24Ĺ@€P5%łL­ě™ *ŠĚŔŒl%WÍ+źüpŚÚY{u˙ó Äĺ †œĂĐHęs0Í €/ŕjŹ3ŠXY%Ő0%Hc{ý#ÉoTßşńÔ˙ó Äç ತĆLäě˘X€ÔáGz- +(łą[ "ĘˆZó§oNż˛ôS˙ó"Äć +˛Xz„qSż‚Ë€ü?r6ŔĂU˙.0‘ÂMöTbq™2—ŃŽáf_ŤĎO^r>gS˙ó Äĺ ˛ľ¸{ÄLxěęĐ[¨ťý‡a2P&‘Ň{"Ÿ)Áô˝‰Ăjř§ +…‡ß €a{˙ó Äč в 0ĆNÝŔ뾧ŰÎ!ËD œ…Ë!Ôĺ]6ŁQŽ3éŞő˙ă~\€0A’żš˙ó Äç +˛Ľ˜ĂĘLŁÓĆ/€ăÖ\*ô§˙öŽ‹aşvę׍ý[úđDž€Ŕ ĘŔ%%Ř˙ó"Äĺ 0Ę­˜{DpVő@]Ź‚I+–ţ@_źËňڂ˙Ťôô€€ŕť(B6yGâQ˙ó Äč Čú ř{Dpę)Áđ¸K˜X×;Ρ˝”żˇö}ŔÓĐ56Ó(9 +O›‰˙ó ÄçiJ˜Ćs0V›žŻćL“­Ťż#ĽD_űń?¤Ŕ ŸP:ŠřlKžƒŽ”ô?™–˙ó ÄČńĘXIÄp&pŐŐOŹ÷jĽĐč_´ýęĐŔ ŸP> ´O.fš—Â6Sš˙ó"ÄË !žXbÄpţfĎď75qüîÔőżĺ€Š¸ťţÓĐ,(‰v°@)ZŘË2‰Ü˙ó ÄÎÉą¸b„p‰Íx‡™? ¤ňƒ„0ÎÜéf–z̀:*ŹóŽa•úűŃ˙ó ÄŃ śĄ{ÎLPój˙ńŃX†ˆŘęĘvˆ7KZBČřÓXuďńřľŠľĚ/˙ó ÄÓńľ¸KDp˙S}ü`žŚÉ€‚TZO*€YňrIJ Ź3N‘. "ńÓ3ą‡˙˙ó"ÄÖ 8Öą¸cDpčţ1űé]ĘPݒžNzC;„ŔĐ,äŎX‰`oďX;Ń}>˙ó ÄŮĐÖą¸cDp?ęţ?ŇˆœdŁŃ1.I΅NC–‘+M.wß˙ű„˙ń˙đC˙ó ÄÜ PžÎX1†Lý[ ˙Ěŕ~„a’ĺ?}„+éůať­^– +˙{ëyß@9żę4˙˙ó ÄݨęÎXZ +pǟşG¨˜Ě3ŕ~U]‘w}ŤjŘh¨‰Ň1ł1xź6îaţ†ÝĺMń˙ó"Äá HîľzĘpâ˙QΰČ#`nRDx(UłčΎźĎ¸řćŽÁeÇÇ\BGŻř{ł˙ó Äă î´xbĘp×č3ß ‚LÚś€A•[ç}Ł(ӁťŠ'“¸ăć5xżęO˙ó Äć šŹxyĘpWôß@€Ű.ě)ČlęôŃr˛Źŕţ×>ˆŤ÷ó/řC˙ČÎ˙ó Äć š"ąJDplżą €Ű|iŘÉRÀ TĄz@ Ćdž6z–ô +č˙ó"Äć čî¤XcpNł_ňýŇ@Ŕ:r@av¤¸É0“$–÷*ŢÓ!ŻŽáö˙ĹŁN:˙ó Äć `ň¨XzŽpUţ° fy V:wˆ­;‚:AFÍd~yĄA‰ĎťˆoŔŻ˙QO˙ó Äç řîœXzÎpVőß&€˙2ŽH•9.„Ô&ÎąáťĂĺKSŁđ—ţ…ž­Î˙ó Äć ¨îĄz +p4}÷ɀ€ŕ[sÂö×6„UǍĐţX2Ţ; +™qóŻę˙ă˙ő˙ó Äć °î¤xzÎpzÎIő€žűƒ"­i랠 $žÚs3(b)™ÖtŁS5jŕ˙Sz˙ó"Äć +î xzpżpf3şL„ô é x +Ł‘ŚP á.Dô0—†yŕÔëzË)ľSÜţ7ŻÔ˙ó Äĺŕ¤X[ĘLmO¤ŔvŔbDú šŒ‚XȇL…ř`ÉĹéúÚžĆ7Č%żčť˙ó Äč +8îœxzĘpŐ˝Fď†@ťž=×%ˆťŚá„9‹ OŗĚÇş¨5^t~ętč˙ó Äć ˆî¤xyĘpÉkȀ€4ŕrş†Ă萊„ĐˆĽ ÜN-ű uČŽQˇŽž_…te˙ó"Äć ˆî XzĘpą +Hů‡\ öü°f2 šżŃ"˜Ű”Ńý†ľŚŞ3ň4NU0˙ó Äç đî˜ĂDpÍ$>ś†–Bă0"]ˆ*fĂÔS˛MuRj~ń˜IC8źv˙ó Äć 0î˜8{Dp”tÓ ŔwŔoÔjmKäy3Fͅqŕž2ëxăd0ôiˆ7˙ó Äč +PîœXzÄpÉd4hŕ/äĘ`_ѕŁ +C(Łţ5NĎ&ómŮő-z˙ó"Äĺ Ž ř{LÝd>[n@€ đe2÷™c +ÝiC‚"XŔEĄ *îůfÇęË˙ó Äč ŕŽ˜XĂLⵓČ} üm/“C‚B +#l¨(ĆČ@RW.ÉĚć÷ $ůgT˙ó Äç ؎˜8ĂĘL}Ëü?—ga“ †Ěé{BI {#L1ČW/ÔŚĽ{uňěć1 +˙ó Äć °ŚĂĘL9 +ÍÁ{‘4‡Wîeç yI—tîŁp†3:îżýŇPĐBâŰđ?N˙ó"Äć ŔŚ˜XĂL–uŽĄ“2źlW>Ż¨Ćüźc‘üw@Ą˙ük>\Ŕ ¸SşźČs˙ó Äç đžŒĂÎLS`‚`D^TZm×]L~œ~˙ţ7č€ Œ¸Ű[śV—×;˙ó Äć ؎•XĂ +LUd RÉŃŕ“)—ŢvŻ3'ßÓ˙ă~ƒvűqŚP%¨•ÉÔ˙ó ÄĺŔJ cŘ$ Č“¨rÔˇ^sZŐŮőeőoúŰЀü>‡ŇƒŹ°3>Ń`¤˙ó"Äé!BˆĆqÍmí*WG§ c|ž1´ę}˝ Ŕ˜“Đ5r8‹ ełä#Âąu–`…J˙ó ÄĚ 1Á¸JĘpRćMSż^ +×ďŕ›Nˇý‰âűË Ŕ– +ÁÂţюĆý4ýţü +ę˙ó ÄÎÁą˜b„pcȔŚťQ‰?Óţ‹;˙ćž8đ€0çł H­I|–X§˙ó ÄŇ !­˜{Dp0NU+Z‹Rów2ľô7]źqŢöͤ–˙őŠCčÝí֚&!ôXę˛Ńc˙ó"ÄÔ 8Ö¤ř{DpÁĄBš.,@řBxdD€HZô!rÍşŽˇýl˙ţŁ…z€€Ŕ˙ó Ä×Ř֜đcJp{/PÄPŐߘ‹CtŽ;Ô˝@ůúŽ­_ă[ţڍžŔ (˙ó ÄÚ Ö­¸KDpo˝ÝœăĽ@ 2ôTüĘ=żNť˙ú˙ŕ‹ ßâ'ęvÖA“yŁL˙ó ÄÜ `ć˜cĆqm‹0đ;öíç-¨|ýjO˙PĎűă_¨Cŕz;@Héř‘ÓĚ˙ó"ÄŐ!>X~Zq5"Š2*v~¤Gľ}ť XLÄq[ᢠˆ›¨Đl„€8yG’Í +%˘hfCGX“e[Ąœ¸¨ü˙ˇP˙ó ÄÖčޝ‘M8gíÔí=`¸2śM` *ŒY˘fbD °.‡ŤíĄĎˆývęBęݒ˙ó ÄŮd‚” ™ďá°Ř(ÚČ(੍ŔŹáú'ߢ +aáß÷čňYq…äú˙ó"Ğ (žÂYĎX€ ¤ŇA ŠëšúŻ~ä]˜žE/Ëó˝çyőČ˙ߏߣ[śňŔÄ˙ó ĕ Âľ¸L +L‚(j‡ˆç™vY4š/|/>Ś˝PzáˡˆŰ.ÝÝɤ3˙ó ėŕžą˜LŽLđé–Agŕ}îťŐ'ąˇ594żZ‘ }ęs˘اgűš ˆ€.Ŕ<˙ó Ě Šx4 +L>‚dŤ5ˆpŻŮ¨ÔŹĆžžR7žŤřˆ¤,nZőy Đö*(˙ó"Ĝ XÂĄ˜NLđő(ŔáŔ,›Ä˘8".óK ŸœËű†łÖ8äx +É[éČÝđŢY˙ó Ğ ž™PNNL*íŁ‚‚ H%#ŠˆÔôĎü”AÂÔ¤čw]Z€„ZŸĺőżů`˙ó Ä  ~™6Hí0Őp=Ą ˜„°<ĚE뎮0€—‹<¨Ůš5M~&É˙’Ŕ ˙ó Ģ ~ĽřNHÁYŔŁ†ţcÔόŽę•;ŔVššęŁ’[ł´+čğć@Ŕ`ąđ5˙ó"Ĥ €Ú”ČfVqBB: ‡<ÂT™Q!ěZ@ž*›]mžˇx˙Ąţ;ü(Ŕ v€'„¨H˙ó ĚŕœN”Lęäeď‚ó1ÜĆВn|×[m ř˙›ĐwúŔ c``ŕ¤ó˙ó ĝ Žš¸cĘLEü=d>DH°3JŚÉg[}\7öŮHşŒ` ÂűŔ$§BZÉœ¨Ý˙ó Ä ЎşXKĘLҁňX;ěäsžÖfůaG˙&ŔXրŐ̯ކ„os[j H˙ó"ÄŁ 8Ö­¸LŠpžŹŸD˝íŰ ~üĹđĄň€ ƒk€‡3‚¸(’­ƒ6c2˙ó ÄŚĐÚ­¸{Jp{Gľo_éâţţ€cćÍ&Ż4cž uŚ83˛IV˙ó ÄŠŕÖŽXLŠquĐn´đŸvô'?úŔ \ť'ed3pu֊BLÎ(&šŐRă˙ó ÄŹřÖŽX[Npćő|Q>Ţžƒ˙ €p#]¸Ń;lPťđ5ÝĚÔçB‘ĺ-n‡˜>˙ó ÄŻ ÚĽ¸|ŠpO_“ă˙ĹŔ€öŕ9…ÁQtaŐB­V*‚€=Ć;ânOG˙ó"Äą @ÖŞXzĘpńPßđ𠸎ş€ZT­*ŞÚ+HŕŒ™lßSŻŇl:7úÔ˙ó Ä´ĐÚ˛XKDpő€ YŔşZ@…O)¸ëx4VJŠŻ‹gúuÄ'ý Ô˙ä˙ó ġđÚ˛XaÄp@ ?ŔłEPNNŇžvÖŕ˜Z7ďčďŕřčßę+'ü(€˙ó Äş ÖĄ¸{Jpüŕŕ§0Ú&3Ŋěh¸Q™Ó2Žołŕ)˙’řQ_öőƒŔ˙ó"Äź (ÖĽ¸aĘpĐ5L´`źŚël"‚[@čëŔŢeô¤ř'Ă2°%`˜äĐƒť`/ŕ˙ó Äż Ú˘X{Dp9W‡Ŕ͍¤m&šCđËĘMvţżq:^˘Űqĺ8—Ę~°¤˙ó ÄÁ ÖŚXbÄp @|Á×*Đđł†N°J`ŸŮ‚bŸśdđg2?•ý@Ŕ˙ó ÄĂ ž˘XcÄL8ę KńvBX*ýôŕkřn‰S`ˤ4d`ÚętřÝ?§ő€  yđ˙ó"ÄĆ A™xz„qŹŔß⍽2XíÝĆGɔýF:‰üfTÜ[ń7?¨ q0 +š•‹˙ó ÄÉȎ˘XcÄLY)űŹŘ +=Rŕ{ţ’hĚĄşqdřý(ý—Ŕľ¸sęŞ`XSŽ˙ó ÄĚ `Îą¸zNpŃ˙ń:(ÚűŒ‚4áHÖ̇âʀÂ[S­JśD˛f–nłm] ˙ó ÄĹ Ś˛XzÄLsŮĺ^°ŔšĎÖ|˙ó ÄŘř֔ČĆp:žŹ ˆšäg1 öca°3/¨î›ü¨ŔŔc)żB#Ú4˙ó ÄĂřţŇXaĘp (đo jäaIÓţ_üřśŁS|f +¤ÄÓ%–S†O˙ó ÄĆéĆXYÄp“f:OrNgţřEçoU7í‰7ú‹zŔ(Z€ŘIH0<ás +‘k˙ó"ÄÉ HÖś0z„p!eD”EĐ÷6ŔҊƒčˇ0Ü' 㠚!ŕИEi‹ÜÁâp“Đ5óuş˙ó ÄË Ž˛8cÚL“2A֍§ÔŁČuRf˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó ÄÍ°ŽŽ{ÎLř€  8ł ş–’Ű }#%…Eżţ´ćƒótoůC˙ó ÄŃÁ*şXIÄqţŠ˙AŇ̈9Ŕpń.â~pžĆĚç8œ â˘Ţ%ôßN>ˇ˙ó"ÄŐ PţĆůK(zŔ€ŕËU¤ĐŇ[߼­ŠŢ6%tÂŇÍĽeň\WśP4fĽýr}Ţ%č˙ó Ä×l‚¨h)đˆ‰Lx1ÓľuŒ@ÜD}ýň+xMľŰ&5;ź"Ŕ ˙ó Ĝ +ů2ľYĎ8R++üć:§čx̀âg81@  &ߥ§őŒúˇýđmŠŢ°ź˙ó ėâÂ8IÄp‘%RíçXŸČśQ&)›mO^p˙†ö˙őoŁÔ€ŔAŸRÚâ˙ó"ě @ި°~LrƒđĹŞű4îđ +Ş”źę#ˇpťré˛í>˛`*)_ž_Ę/î˙ó Ğřâž8KJp]Ď1Äwł_  ™ÖGVßwßÇőQy D +rö#˛˜@4˙ó ÄĄ âąř{DpŻ˙ßď˘ +>!úG€ŕŠđđqăGƒÓĘ%’ĘhÄ°‰™Î˙ó ĤI>Ľxfqoő7‚=ůIX2ťěš)aů󐞔™ƒ lť˝^eÍ˙Ćó>ţ˙ó ĉčÖ˝xKDp¨˙˙‡` +Ęp79°Š­ˇąvꊢzĂîŻ_ŐM@}éÔ˙ó"Č ŇšXKJp˙ʀ÷ŕWĸńAç`sşŕÍÂţu˙:÷%éżpŢAÖú‰ôô€˙ó ď¸Öź¸CDpŕE „†h°8P|;¤A8‘SŽžGłĄă^(ÁÉqnS–?ŕN˙ó ēa>źKDq*čP,HĆ÷1XĚÔŔ)Ó­ŤňŽ˙=i$9>˙Ô%7ŕoí‹˙ó Ę ÂŹ8{ÄLE•E5Ŕ#CN…šˇîiĽżćů2×˙żč €š…ÉÂSŒ€Âœ˙ó ĚČÖ¸XKDpE딯H ‘”J7ĺĄjœqŁź“ż˙@d`r-ł:iˆA‹˙ó"ĝ ´XKÄL`ɉ(AbĎOÎö ŻńŢ4ýťęő€@ Žůíeô´ŒT„"sQ˙ó ÄĄřâ´XcDp˜FăÉŹˇčú/xX%?ŸĘ?ÔúýÂŞŚ¤‹=•Ůýzí„ +˙ó ĤŃ>¸XKDq$žÝtĘSbô…CŻÖz§őeu +ŃŽ0AźńLŽ…ž2{}˙ó ħ薤~ +L§óˇÔßń8Čg›Ă€lš­!ÁÁńě>i +ěfrüáÖ5˙ó"ÄŞ Ň°X[Jpx—´h oQů]žżX ° +ág¤ĄŮŠÁo:ĺĐ ŁąlSŢeľ6Ü˙ó Ä­ Ú°ř|Jpßńý?áŰ8ËĄ0ţ`zúCň˘ůĂg•ap +˜&Šd›Ęů^ŔĆ˙Q^˙ó ÄŻ š°xKÎLěúř<ˇ¨đ?qČ0žž‘‘"R°™ň[ŹýE3ŸčÜ)ÂQFŤýDkŇŢ˙ó Äą˜žŹ8cÄL°2v€|>ŐŇÁWP¸:ńqUF8˙ ŇUqş8™Ôh7Ôß'Sĺ +ő€˙ó"Äľ (š¤cÄLáźKĺ°JWyn1ě +2 j:h?ĺ|˘ÔkřŻúÓ–ő€ţˆ˙ó ĸ ţ¨8{Dp– Mśóa!Ń%  +!´Qý‰Ú›ĺˇƒyÔęý@€@ßŕHĺ˙ó Äş°ú°XZ„p|*bą‘0d:A°a?OV/j]řwžŁÖ>Œĺä€o­€iý6˙ó Äž`ÂŹ{ÄLÚChîówÁƒŚOą¨5ş +Šô×ý`@8öďWĐ.Ś;H˙€š˙ó"ÄĂ H˘´X{Lu†LŘHőčŚC<[č0ˇXéíX€$ú÷.F‘íĚe`+çčQ˙ó ÄĹˆú´0yÄrMĎ22rœ{Ύý¨GşĎR9´ÍHqŹˇ´œ`7Ű˙›p ⹚˙ó ÄÉ˜Ň´PyDrVÝŢáj€iÓvé]‚ÉĆ)~~R¸Růkż…T×ř…ɈŔŕ˙ó ÄÍŕÖš¸iDpő[÷ˆw˝ +°VŚŞ4}b@Ŕâ^S‡sˇˆ…żő˙ˆţ Œ ˙ó"ÄĐبX{ÄL€0¤ˇÓň|Ü 2OŠĄB‚;Ďc~)‘ţ_ű„ý`€¤r˙ó ÄÔ膤Č{ŇH˘ř LÉŘڅAÔŒň3gđ´ý˙˙ú°ŕŔĂíĺVÓ˙ó ÄךŠÂÖp™Œj{‚Źš ĂĘ×(¤Ţ–ŕ?A˙ßüoE ŕÓ0ű­`˝$Ż˙ó ÄĂ ¨Ëqŕu&¸Ę’Á!Bšű1?ú y›čŸ˙đ/Ĺ@˜‡ŔŚ5 +î’ŃČ˙ó"ÄĹ š˜yJpŃ`qĄAƒŠ:–ş×ô!]hÜH˙ţ#ú€ 4píPŇUĽcŞň˙ó ÄČ  +ş8yDp$74üî­r‘j‘ţ­K{óޢ]ĺüpp1CŐGV.&Ó:ßă2ďć˙ó ÄĘá +ľx‘Dp@€ˆžÂż1 ~„9…$ýƒń`W$Qő—¨ _řˇwĎĘoXŒ˙ó ÄÍ ľxÁÄpbˀ{IÖŚL°Me.ĽŽŔKMŇ Úoß +'ůIčÔŽ6@ P˙ó"ÄĎ ľ˜zpqؤ˜­Ń QăÉžî>žƒgCTżÓĆpšoÄ;/ĺ˛@¨!ˇ€DŠ˙ó ÄŇ  +ÂXxŠpŞ>Tă´Měë. +`Q‹ +)z•Ňl•˙GôţHŔž÷>5:çh˙ó ÄÔ +Ń +Ž8Ć +p€k-XăÓ&B W7]_ÎńlŸę˙}żÂjz€ +@3&rś2€ę˙ó ÄĂ蚘dŠLyFďf ´ ËF˙üţWÄš˙Ęßz7ÓŔK2ą‹Ńq–,,˙ó"ÄĆ AĆX[DpM%˘8 „ô&›Žśoœ‚ŠU6/NZ&T8ËČ2hF]Nt“d˙ó ÄÉ؎ŹŘŽ +L +öZŽçŃZgS]oK­čű˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó ÄĚéÂXkDp˙ü…[@ľ "Ϗ(kZŇ ’H&˙˙ň>´˙ +ŕÝoĺż" ˙ó ÄĎŃ +š˜|„pPĂ%˜hĄŇL<šu˘1€Ř%#_퓛Ÿý=čńšN %Ŕ˙ó"ÄŇ Y +ą™O+–ŮŘłuöłƒd!KĽů#˙ŇůĆĹłpŔlśíŠŮĂŔ1źëÝa˙ó ÄÔô‚Ź˜€+NC-bˇ&n ˘Š’?Ąüoőęßফë  p€SP`˙ó ěřÖÎYËÚă!=Zg/‚m˝äńwú×+á—ä˛Wä +îáŔ"č­'VN¸N˙ó Ğ ! +šX{Jp~qP , +K—oZcĂÉţŤę6˙V†ŐԃťţÝ:(éu<›ŕ˙ó"Ä  ž°Ř{ÄL,qß’ßzŐ 0ě—˙QlŠs`ŔvĐ#ĄsŽŽ”‚RĄĆz8D˙ó ÄŁŔú°|Dpä[ÓÜeôaşLę|Ľšt-|ÇńvjJ‚]CŰÍĹn˛7k2`­˙ó ħ஺8KÄL΁DÖiĽëC‘ěˆDAˇ;˛"›ů´ňýţPg÷řTxĐCD˙ó ÄŞů +˝˜z„pp"Y KŹ•áĆú >‹Ý|ż~{ăáŻ_Đßă˙úˆ`̀1Ŕ˙ó"Ä­Řž¨¨~ +LjaSJ‡Äťo’„‘°l㥏#vűB™vöţŁ˙0€Ą™”DmV˙ó ÄąY˝¸cÖpŠ˛ŸpŤ†'ŐE鍊ÜMůÚ€@`ťG“›˙ó ĞńÖXJŽq5VžĽ’ÍŔFLTů€‰›"DóéëvÍOä@€! +T ˜DvńŞ>ƒ–˙ó ÄĄ !Á¸JÄqtŽEâ]­ZŞ>wWKQĘßţŁ~ߊpP\ dşPŤÜœXľ§6`2G˙ó"ÄŁřćŇXJ„p•Błiż€2łś˝(;Gť%ŹĺHŔnŚlYZëĄ"T:e€ôC~˙ó ħ r˝{ÄHU‰éĘBSmĚG\pü‡Sö÷uBᗠŮĹżP줙ŢB@uN÷ä˙ó ÄŠ v°xcĘH󸈴ç>˙pŐŁ¨öÝFzŔ€-§ ę”ibŘ@@łL‡ÇjQű—˙ó ÄŤ !ľKDq‡a˘Ÿř,&żzvň €°—.+TĆ:íŠČG?7~­nš–h˙ó Ä­ Ž¨8cĘLŹßQ­ţđc“Ş~ƒ€%C/%íQ˝ŕĘp€Á˘Ě÷פǎž˙ó"ÄŻ HŚ¨X{ÎLč7ďŽ +ׯˇƒˆ´ˆ +Ń"ßtƵߑ€4„ oĽUéô=˙Š˙ó ĹЎŹ0cĘN:Úb`€2Ŕšç{O‘—n +RPÖŚŁţŮřÜ?L{ľ˙ó Ä´ ’ş8bDLFüCŔo-ń[ caÁ.ÁP\*j÷ëdSŸ'J§ţŘŢŤÍÄ°˙ó Äś ´řcDqQ(sŇšĎ+žE`Cš"C:eoŻRĐ]‡DD,k˙L2/+€˙ó"ĸ 1ľ¸bq ásFőALM¨Šń\ĐŘT`â+/[Ě%şź…˙ôúh8€`; ő˙ó Äťŕâ´řz„pqŘxŘs@bR AéRÎťEâŰÝ˙ţů´Ł. @Ŕ Aúá2N˙ó Äžč⹐zÄp”9Ú9GŹ.ĘÄúÂxîďţśď_üżg˙řôd+ €€.|.!)Äž˙ó ÄÁé°XyÄqÄ០"Z\LLŠşţ8‡ßĂ|í˙źíoGń€—Ž˜ŠcÄśŠt˙ó"ÄÄ @â°x{Dpw7C $*$ƒDߣ2Ü?ČßűÁżŚŁHôbBK.žN!şŘćL˙ó ÄÇéŹ8z„q)ĄŞ›ó­^ěîÁœQôśŽˇ'Ԁ¸ vśE +”ŔmFŮ}p’œ<˙ó ÄĘ ´¸z„qDuťo[ ľ/|˙ľ[úˇŽŕü ­†đŇłîY „˜"LŚ +ő˙ó ÄĚ 潘zDpU[đywč.ABhqpm}­HtœI`~ +Lbú@ŠFj*m˙ó"ÄÎ Q´XzÄqůź¤ÍĐóÖ؀6Ů ź74岨x=fW˛šr˜ý›ú‘¸ "̀š!˙ó ÄĐ˜Ţ°z„pú’đ +ˇ´ĐCú5-Ăüţ ý—×á°Ŕ>Ŕđ#F[E:~˙ó ÄÔ Ž¨¸{ĘL4ŇT‰ń09wř€ťĽ¸Óř'ĺŠ<ŻPTŤ5?f'ë2Îe˙ó ÄÖ °8{Dq‡(ٌ‚Ÿ^3)ŤÇţ‚˙ ýn'žę€€{ň›ˆŁŠšoiŠń‡˙ó"ÄŘ Ž¸8jÄLƒď\,0zߗúŠţƒ÷62[ÍŔ<€śŚ`ühTČvDĽ &đˆBë˙ó ÄÝ +éB°xzÔq˙ľ”›ż›úˆ˙꞊ŰÖŔp[€sŰŠhë° ZLFHo˙Ä1˙ó ÄĚŕŇĘXaÄpĆŁ§ŮżB˙uOçzŔ€YŢZŕž ń02Y2e@,km?ýf˙ó ÄĎčÖ˝xyÄp2żŐ[ÚB_QďTČ1OžC” €ŢźmHËa€âŽ!-ëđĺ˙ó"ÄŇ Ö´{Dp6ŠZ•Ąă¤ÓďPôbíęŔŕ)BşʈN¸j"°Hŋ?Ü˙ó ÄÖČÚźřaÄpügÍţ6ţ +útűů € +ŕ×6$&ë—l:™™@#„KŻçK˘˙ó ÄŮřÖšxbÄpú˙EŠŤƒ'œţ.Ŕ°ť$ˆÝDăš6Î%óŐ& FĆ?˝~…§ř˙ó ÄÜ ÖÂXbpüuëwŔ +č•’ĆťTÚ˙™$ÓA¨ؑe?œ<–dŰ˙RQ}˙ó"ÄŢ ˆúľxzĘpFŚłŸĹ€3ŕ!’ ‰őĂ\61ăPCÜŞÜó*OlżâszŰ˙ó Ä×ŔžŹ{ĘLƒţ@ , CŤş§ěőAO‚”ŹFŃj]:ϓ_ýˇW!˙ó ÄŰŕţ˝¸J„pÇŕß°p &Ŕ.㥀|¤‚rˤ 4MK‘,ęĺ [oחŤŚ¨ú˙ó ÄŢřÖľXcDpRŤgMizÓi¸"’1f?I4N…P岚*¤€Đ.@(‡C˙ó"Äá ÂąX{ĘLEł­`Ůc叜óm\ĎŞ)m˙‰…żţT8€ŒY^/œż˙ó Ää ÖąX{DpńP‚ĐL%­ůšýmżă!vţ żýn Ľr¸šŤˇĹ™0˙ó Äć `öąř[Dp‚@^˘Ë}3^_Ąăĺţ˙ŕ‚\DŔŔô7..aî_ň?,)&˙ó Äç čö­ř{Dp#!ľÝ˝Tőpßżżô˙AĹV€dŔ0ĄĂ$Âľ=DÔbáT”˜<˙ó"Äć"­řcÚqďő1–—_Ş[˙Š˙řďř4¤MŔՖH_;ó‹Ăąp`܅żR#Ż˙ó ÄÍńŘKNq„ý˝żƒ~lá°ŘLđ7Ż5e‡k!ZÍFĺNm˙޲ţßcŚŽ‹˙ó ÄĐᚘKDp}¨ßĚqPšŔ´áś”#Z% BhŸQč$ 4ěfč*ţ{„Ů˙ó ÄÓůÂ8IÄpźâŢ7“€J!? Äpţ+”@-†AĎ0MVbˇ_ţŚśž"˙ó"ÄÖ 1ľxcDpű¨î˙⠘ë˙ˆZZűyW§ý o˙ó ÄŮÁ>ąXcDqęeŹÄ`ô›˛cJqKßíť”ˆî€J˛!-âűź“Ćéƒ*Žu”óěÄšŢo`Ű˙ó Ä偏cNpĺˇOô€ „d´˛ í˝Ţ] ĆA$Ëň‹ľ|í_Œçöî~§˙ó Äę +š¤|Np\ˇň €o_Ŕ ú* ę˝n]ÁI8ÄôO{'Ńťo\ü8ŃRş°'§˙ó"Äć + Ź0{DpíTˇňŔhü B`S›łBÇ(ŤD â_XŤíSŘş˜˘¤˛OĆľ"—Ô˙ó Äĺ ´XJÄpöhˆč MPPź‹“Ş2’Âj +ł¤ŚČő>łjéÁ˝/\Ŕ¨˙ó Äç +Ö¤P{Npƒ÷_ur@­ücé@;rňŃ+ăƉĽ::Jľ/Ę?§FßÔl˙ó Äć ČÖ°XcJpU^‰0xŽ™“:R0|G=ÜPZq@LP+Ńs>q6tIjíÁ=Ô˙ó Äĺ ÖľcDp›zw˙ůP€í c.pD¤:ű=œ(śÇšă[.č7~ž&–ČÂ˙ó"Äç +žXJÄpľ9Gţ  +| +Ęć|X ›RH‚p‡.O^­šdO×okGŤü~ž˙ó Äć €˛°PcĘLÜ}H€ʆť‰8–Œţ‡›¨BPDFY7úëe”şuŮAl›Ç˙ó Äç +ćŹx{DpßרŠ‚[nŹčhAVN$Ž—s( јo2F`Ç9ŘérčŽ{=˙ó Äĺ !ľ˜Z„păAűA € +¨<‹qj>M×ĎŰ +a +lS)­4Säҟ,¨x˙ó"Äç +a 8|p%;Ťĺ +ŁzŠęրŃ:!;`Ďňŕ÷0,ˊõ~Ă7ʇ{˙ó Äĺ śŹX{ÄL€Íüńí§ó íiřű<ĆS ĄÍMIŞ`Ś×ĐĘEűဝsáC˙ó Äç š 8|„pşr§9˙PUňî˝îPŔŻú™Óč ˝¸Ô•çřWOţƒ˙Ł˙ó Äç Ń +ŽX{Dph;oP€†@4Öů¸qíĆ`čVˇä:Šf'ÇÁĎB—)ÎĽÂm§Ač˙ó"Äć + + X{Dq?ÉEkđđ‚"˛Čť%ŰwC–Ť9ˆS• ˜¤É×Ň,ÖPáÇýAŸęł˙ó Äĺ 8Ö¨đ{NpőďúŔsŰ“´ŠąŒätP´T@ĚVx”(ryfŁ^?Ťj ýG˙˙ó Äß Ňš˜bĘp‹Tţd€!;`<do¤7ĺ@~Ć‚2ӃżÁąí;uäÄĺ˙ó Äá莤ĂÔLŮW†ŮÔ!PÍ[´$t)MP°n#dˆĚŹQч-1cŔĄËŁsc†˙ó"ÄäéŹ{JpűfšaT2Öą„„áă^ądQ`rÎ?­c‡UŐż… +/ő§Ž/ŤŰúřB˙ó Äč +(Ú¨Ř{Jp(Žä˜€pÝŐď ľ¸(BÂp›ârČa]!_čňţß×˙ó Äć PÖ¨¸{JpüâŔÂÁĆ赆xŹţˆ‡Œ.żľ-ÂżĂŒ_é˙˙Ŕ˙ó Äç đú¤ĂJpŔ×ďZžuëKM†“(tˆîăňóĹ|îœtGţŸéţT€˜˙ó"Äć řś˝¸zL•ÓiÉVŚĐ<+aÔ+$ťĂf×?˘źđţ`áôč[ô/č˙2˝5ZA˙ó ÄćqR”8ÎqSqAŘi5Qą˜ôKóŐçř{vǀťßĐżőo˙ň€ ÷7qżíŞ˙ó Äż B˝yÎqDŃaŃTޙ0H;|ŠÓtÔĎzňĄ7ő/äşü€‚0řžV/‰˙ó ÄÁŮBÁ˜zŠq[CiČÍH79ďégŢďĐaę ýGűÉŕ ŕɧÔúŔ4¨˙ó"ÄÄ 1>­@ÂÎqÚĎńq/™úÎąĹsˆ?č_ę+­ý`€0ŔK€ĺꛖX2ĚôÂę˙ó ÄÇřÚŹŔzÎp˜ 1oŤ"#ą°Ÿă˙˙ăŃ.îdÇkšSŞö /9ccP’ED˜˙ó ÄĘ >¨{NqI]šÖď¨NÔňĽ?•ő?ˇ¨ƒ(ŕ7— ŹfFËÉ,Dz!€ŠŸ˙ó ÄĚčÚŹHĂNpgçt•p_đĎăGy€0 '€ę)Äó˛^p°zÇÁäy_Y˙ó"ÄĎ ÚšzJpőևţ‡ţŁ˙$Á ¨œÖ–ÉĘäâ…ĆaGž­ë¨Ö¸˙ó ÄŇ ÖŇX3Äp ţ-ýGuŹÁŒâ:˜ľđ +Śţ™iNEšKhüăęuPAˇŠŸ˙ó ÄÔđúĘXJ„pС˙2×vźĄPáh.dČwŁ.ÜVžHŞً^é‹œe]Ů'ß˙ó Ä×đÖŹ}pĘęwő Áuw0ŸR0 Q˛ČčqŔ„ß‹ó +Áۉ˙o˙ó"ÄÚ HÚš¸{Jp˙đL8ZĄŹčŽídsŠě珜r=BĆ4ßëĽ4Ú͏íúĹłá°˙ó ÄܸúžXd„p#”Š/ó@ŔĂđŤ(¨{ĘnO{E%䌧?Ĺ#*j7'őţŸëţż˙ó ÄŕđÖľ°[Jpŕؐ3Š7Ľ'”>Ě0E溆Ę: €&ÄÎźTĘÓÍ˙œ‹_Źâ˙ó ÄăřÚą˜dÎpŐďŐţbŕ"2­/€Ţ‡ń&…(ń×á•őŹpř7&îüšü¨/ď˙ó"Äć +ś¤~L}^Žż €Ŕ  5W>Űř da‡Ĺ*„D‚ˆ(ëź˙Ô +ýÇ˙Ví˙ó ÄĺšBĆXj„q˙ă €g@şWą\ÁÁťŰ…+CœöúÎÝńđBPO<ĹŁ˙ó Äé +˜˛¤X{ÚLoW‡€œÝëĽsüą +UÔb(Éŕǀ?R*ĚÍŰP Żč0;˙ó ÄĺąBľaÄq÷ˆőů°Â( ƒ63ĄÝJ™5`l”›Î˘ě§MPçôţ˙ó"Äé +™B˜ʚq3×çúŔ€(Đ;T[1Ůî^QĄXqhŮjĺ”čŇSÇţĄż‚˙ó Äć ˆ˛˜(ËÚL?ÉňŻć@Ŕ dwsŠń¤°ó,šüÄ +?DúŮ~˙ oĐ˙ó Äć >­¸yĘqż‘ę  +Ýi´¤™5‘ÔÜ&ŠˇT5U.X˙üĹűżź7”÷Ć˙ó Äč ĐŇşXbJp˙ĂzŸČu€ +8—,0řŃÄőÔ./5:“|ĽŢՈ˙‹†˙G˙ó"Äç +`ÖŹČ|ĘpţŁ˝€Ŕćf3cŮĹ40kˇď +Ô̋Äbޛˊuj…tęţ˙ó Äĺ Öľ°{JpŁ˙Œöő€€Ć^ś,Qk€7Ž‘šYŁ0č ĘgŰÎÚ지P/ńş˙ó"Äč +ˆÖ P~JpÝŃԀ ŕ?{*B;t\ÍZ”ÓĐ)L‘ ĚŽ‹Śş4!;Q|ˇô˙ó ÄĺŮ"ązŠp-Öîž(Á(qđ=Ů𕤶( ç“YŔŇ,cŻŠÓĽŕżĐGřÂ˙˙ó Äč +HöĄ˜ÄĘpë=Ѐ0+yc5ů™¨-đ X”8KÔIsŞnr†š™qŸË˙G˙ó Äĺđöš˜IÄpţUüׄĄé€(°‚­ Q̓2"ŕ+síŮ&V•áęOÜH˙ó Äč +`Ú¨đ}p[ű:Ŕ,<ÉGMč_ěŠëˆ2bŔŤ>gUĺňčë˘TL“[ý­ŽľÂKߤ˙ó ÄĎđĘš˜4 +pˇËýN˝a0¤ÂĂ<Z:ĂvVJS^ƒ˛ľĹÍLt€ŁúPwÔ˙ó ÄŇ Ęš¸JŽpżŃ\ Ŕ´rŔÂÓ0¸dDĐ´AŢ_QĄŁL”ďBĺ@Źţĺ˙ó ÄŐ°Ęą˜L”pßBţŠ€’IŔÚtD¤ +ŞJŹQ•]!|°Ëă‰$ŸR›­PPëč˙ó"ÄŮಞX2ĘLŐŚˇmR Ĺ0#8ˇl=Ć$(ŇÖ§Za{Z5ýÍo×MýžÔoëč˙ó Ä݀ʨČL”rŮGt’ËŮĐI—ď˜hœ¤Đ¨8˘ +şŮO­…j7ő'ę˙ó Äâ ÎśXKTp=8ßś‚WpFŻP3%"ŻdŽ*E¨†€XфW6žYüá8Łô˝˙ó ÄäčĘşXBÎpYĂ˙śŔ#$gmŕjI@áŞÉŕ =3HÖŮ×^‚ĚÔËSš˙ó"Äç +ʤĐ4ÔpŚzh_őTÂ@…đ:éX‚Ä:]Ë-14ˇ=WŸúrzŻV7ď—˙ó Äć ŘÚąLpęČH?P  8 ÜDŽ/`ćws›˝v<\ĐčZłÝ͉‹÷bč{÷˙ó Äĺ ş84Žp“ęÉM“p pô8Ede##QDřtŔ+&ÉŤÎUć*oԗŤ˙ó Äç +ÖŠ˜KZpÄĺý•Ö€ĂHŽŕ8źÂSC#(^¨úë"„łÉ"“ćM~r ˙°ď˙ó"Äć Ŕ֘ }pW…ů +ŢŁ@(ÔŚ]J& >\(D4I#Á€‚Άé3ĄLőó„Pao˙ó Äç řÚ đLŽpçO°w[šŠAĘJ2o(xœrc—O™„@ pů+ŸUNu˝"I€?ß˙ó Äć ¨ÖĽ¸LÎpÁ˛ÝőVĀÉ`*OA+'ŇÖ§Qm`FĄp§Rő˜ýf.ŢčRt˙ó Äć ˆŽŚ8NLQߚó`¨°Ŕ”STyˆ#é°qĐ \ [3zßç" ŸV˙ó"Äć ŕÚĄ¸LŽp˙đrހ€(­łAŽ“ďÜS¨ü§ € Hd#BŮť Ô^f` +k'ż”˙ó Äć ŘŇĽ¸KJpŠo˙,ŕ…LRÚ +˘ jU䥢!ţY‡dŽ’K|â”ö´ţçy˙ó Äĺ +€Ę ČL„rFŰ˙Ę~ €€¨&˜y؝ĺ4°T#ƒţp̨ZIžs~” í˙ó Äâ¸Ę¤(LDpĺťę€C01†*ČŠëŠ<*Ś6Kd ôEĹi˝#×ćf‚mEć˙ó"Äć +ÖŠ¸LĘpĺ ŃՒŃ@Łp ˇÂ8+Häż+<ˆAŔxHŚ~Ś­ž„úŇ?˙ó Äĺ ! +˛XKDpţÂţLƒrůĂfE‡cCMŻ ,>á‘ÜČ~äłţîěs_ÜÔ˙ó Äç Ů”ČeqsuýKȀC0-Wqk2UžX˜|Ü; ě¨ĄpŕRŇ[sÎýŢ˙ó Äć ᝘LÎpŻ–öu—ĘŕČ,s"KI>tŒTÓbÝŮ֋üɂ_J/Ž˙ó"Äĺ @ʙLŽpƒşNőÚŔŽ€Y2áÖÝ6Š}DűŔř,ŹÄ˙éüÎţoQу˝˙ó Äč +@ΐđ}pP˛Ŕ­ŕajŃBc{%ŤHÎâü:`ăĹŁ×Ôż“Ž}ŞOAĐŁ˙ó Äć ą +Ą¸KDpýK?“„€>y×ŕf +DAŠQHŮdT$ĎęüمţäŃ Ÿ˙ó Äć ČʔfNp­ĐáP€l­÷ŔóŤ§üJqx‘™ đ3%Ç´Ť˛Ť­Ö9.úâű˙ó"Äĺ HÚ đLÎpÓA˙×Ćţ  +ŔDć„b&R˜b'`>K ŮŕVÎş.Ú˝¤büޏQ˙ó Äç +ÖŠ¸4JpúđŘÁ +ž&Mƒf¤xŇůQ#P% H4ÚŻ\—c˙Žôä˙ó Äĺ¸ÚŠ¸L +pęy_Š0€Śţ2eVę(ł"ČŚp‘ •ď˙EŰë@¤S˘˙ó Äé +€Ň¸dŠpo÷áśu€€€@•t/$P†&—ÁŞ;JA~€Ý ŃLH#˘’6ůÂ˙ó Äć `ÖŚXLDp>Ĺärż@ŃŻŇů0ŔŔXj€‚˜{H“aüŔ<‹…#í˙ó"Äç +aŒđ| +pj’Ěż˙5ż™ˆ ‡Ŕ3†(°•hź˛›˘|Ą`˛˝?ɚ|š6u˙ó Äĺč֙L„p­ý<7䀈!Mň™ůŠË)œ <ÔVÔţ`ëúü˙ó Äč +ڕ˜cJpąŽě—Á’â`:…RMv†]LŸ3Ŕ +¨Ĺ'ŚŽ§˛łdţlÝĐ˙ó Äć ÉŽxKDpmżŰ€ů BąĚ)č;§\ę=BŐq6\Řëć +ď3™żü)˙ó"Äĺ `֙dĘpv˙n1ŔÁ€ĺđől2ş¸Ëä›8¨OOš ŚÔŽŞżîä1Á˙ó Äß ÎĄKDpG^{ęĐ0 €|°á]C1é‘P{KčWNęnÔĄ>î(ć˛˙ó Äâ  +ŚX4„pö׿€ 8źxšĄ,!쒁GCŕœR<}Ű­ť×÷EˆŘ˙˙ó Ää ʢXLpřW•yO@…§TCă7Ť‡ +ďĘ\é šFÂ~,÷Éř–SšeVđŕ|g˙ó"Äç +a¸L„p7ÄĘwŐ.áŘöp g -MDiľŇ'šF‚"€ß ÄUśWe˘´ĄżĐ-˙ó Äĺ Œ(} +q˘MՇ#„0ŁšŔ'’ž†đü*oë +ÉŔ2Îďň%Ť˛$'Öćud˙ó Äç +Ι¸cDp§ş€ŔĽp4¨š#PŠü3<ć€H°-ź‘)3ľJJÖźýÇuŽ˙ó Äć ŔʙŘDÄpW˛UëGˆ cȓžnÎ=ŒÚ°´ĄŽĽľF7ěÍčŰ˙˙ó"Äć čú™KDpOP€ Ź…¨(}x DľňŠÎƒmœm‘t–î˙{Ŕ)ŠňţQ˙ó Äć ¸’~ +Léü9ő€€S0ăöĆşV îđ6J›š řTt“cˆ×uúТ %T˙ó Äć ĐÖĽ¸LĘpôţÜŤ€ÄNô'mŸ ˝ă7rčo3âѢ)-Nżj§ű}˙˙ó ÄĺЎŽXKÄLőňť:€€ƒS€hąÖD‡Ŕť7ŽsÔ*$‰ %ˇńv˙x oäő˝˙ó"Äč +ˆĘ™¸M +pxf/ԀŁđŹé9ӘťiôéX/p&骤*ŰZĐü•NŽTxď˙ó ÄĺŠ +ŞX3Dp',€ +Ŕ8œ ŒP +b'J€Ţ0W&G´P>Š{Œ ¨˝YŰ˙ó Äé +Hƍ˜epĄ(ü€€( :={őÚ`ź|ŕé™1"Zo{vň€ŻíBö˙ó Äć ɐđ|ÎqŽ˙4‚ŕ2k4<\Ú˘‰_=J7”p„ +괗ěăD¸1ˇËú˙ó"Äĺ Q™˜dÎp˙ţWSő¤JŽgŸi: +Fůƒ,\ čŢúßäčvýHÜ˙ó Äç ¸śřfLÖ~p +zÍ*ÔtçMI^‚wěí] öšűÁV zôdŤ˙ó Äç 8Ú đLJr3Í0ÂŔ2US˘K•¨~˝yŘx8ň-&Š"č;ü¸`/č˙ó Äé +hɐL +p/Đĺńp’€€ŔĘăť* -ú*8¤/Cl0LÝş•ÚlŔ˙˙ó"Äć 8ΙdĘpÍń˙É‚-É—§4e@ß…ˆWHCş@ĚŞAÉ"ó=­ňfm˙ó Äé +‰ŒX}pňÔÔwúŔËËÍ)„Ž°f9gHŔÔ@ÇĂÇ*ş˙T;őđc˙ó Äĺ ĆŚXKDp­˙PNĆ^šTKĐT#ZDZüůťé9Űϟ^ĆŁ;Ď +ů˙ţuÇ˙ó Äč ˆ’ŒŘ~NL€¸×žšvýIež×到ŁÎ<ľĘj˝ śZÝcPŕLĎIjz˙ó"Äč + ĘˆX|Äp˜ŇOÚO][˙׋€ƒ°™ŠŽ˝Ž„ŮŇßł?I/ (ëçw +˝;!ˆ˙ó Äĺ Ε¸d„pÝ%ťůPq0 <q:P˜u‘¨Nę@šßYövvR3…˙ýśţ˙ó Äç +ʀX}pžn”ĐŽpuĺLŹŞëAlŤ[x$ď)S?ďďxë˙÷Q/ćˇ˙ó Äĺčʐ(d„pNQşóđđü:Ůo2äŚxÔčĎƒĐQ&4KËëGéŔ}Żöó˙ó"Äč ʌ(fp–2oɀFCÜ +Y&‰`łČ.vȘ° i.nÓGc?{@§-‹˙ó Äß śX3Dq˙’y`MŘË8ěˆÂZ~'°z2sĒ*Gşű%oćŻz?ű˙ó Äâŕ’œđfJLńÄÝ ÜK#yf4Œ@H0s Čś€Ě-4ÓĄÔÓ@*˙ó ÄĺńŽX3Dqœ>.wWœ_ČN‚Œ1Ü@nZ€F'€,2BÄů&J„!ô$˙ó"Äč +h֕¸fTpşË¨_kQbŃQÖ÷ö +’§đ(˜Ŕŕ‹‰–FJk“9>]p1˙ó Äć HֈPMp¨&¤ż÷"ŽżoĚ +ż˙ƒý˝ €+MěĆ|u˜PŔásÍ˙ó Äç + ҖXLĘp˙Çë} FÔĘ7ô?é&¨@Ŕ` ’L3’"ŸA1^Cƒ*Ó˙˙ó Äĺń +•¸4ĘqÖSc}fü3˙řĎĘü@ŔdčUq„'ĺńŽ‰ĚBȟ6żý˙ó"Äč +9•˜LŠpßš›Ő?ĂŔ저`ŮTÚ7>ľœ +0:S šU˙& Oő˙ó ÄŰ +(ΔMpçß˙Á€ +áG‰"§“Ô‹Ŕu„’“FĆŞ]żDr؟˙9D˙˙ó ÄŮ  +Ą¸Mp”4n,?člSŒ¨YlŸœ:˝ Š§ěTŐăëÓ˙ýL(ތw˙ó ÄŰřĘĽŘ3DpfXŔßn ŕ@‚EÁĚYű˘¤hŕq0EԈ˛Iu|ÉEţ˙ó"ÄŢ I +™¸LĘp8˙ˀ 0@+c¨2tĐ˝c3R“Áŕxą((Žôzćęs9˙ó ÄŕŘΙ¸LĘpe/˙üuŔ  €PŞŤDëo›Öy7b€ 1/=m™vO;_`˙ó Äă +™¸KDqD÷ň €Ř:äG}¨G(i˘lVšţŇd[]żëPZţŹÄ˙ó Äĺ¸Ę„(5rŤ˙)ú@Ź€`ů"R‘đvDgEŒ +ˆ@¨zŻç-˙ů˙ó Äé + ĘŚXcĘpjü}€ ‚ąC$*Ľf‹Z7Hép +AĐ;†pOĽĺ$Ęţ^L;˙ó"Äĺ ĘĄ¸MpŁýGżĂ`=ńpÁЉ‘”΢hF@HśöŠ>ŒďÔao˙ó Äč +QLÎqúżHo‰üTőçłrTq~¸ĎŞwčÉŮÓ ”Ó{•ÎYP@˙ó Äĺ Ž‘¸NŠL~&l~Ëţ ŕŔ .ÄĄ,W­ISýnĆcÄĄľČóZœđ˙ó Äç + +ŘLÎpźďä–Ä 1IăČh4*+“C¤ŠŞ„q&‘%SŠjîØá˙ó"Äĺ Q‘¸Mq>ßĐëp€ďđ + +#Ťˆî +b“.Ť° +ăÉŁ¤šż–Ř˙Ú+˙ó Äç +Î|đepo˙čěƉ“aë'K&h…@˜Ŕ +ŁćŠYi~Lš_ŰňE˙ó Äĺčʕ¸4Ęp˙ý‡ôČCĽô%„UɍĄB+Ç,@čÎK ř‰3ďó!œ0K˙ó Äč + ’€~’Léú+˙ŕ˜@€ń€ K#B(JًÍw2"`¤đśHšŠz˙›ąţü”˙ó"Ää @Š‘fNL01}@ +X\„Š‰NQS*çS@d@ <'†Éë7őŒiŰĺóŞ˙ó Äç ŔʀPMp(ß˙Ă°’l@6…ą´Dô d;ż;%;xŮÍéŻ˙˙ŔŤüç˙ó Äç + +¸LDpóaßň ź/ŔY™%4Ÿ˛k"tďŠaY2ëQĘ~ŞŢ~˛Švc˙ó Äć q„PMs_˙öÄ@`:6v•śç”j“ż¨“€Łř§@ŒĆÇeÉą.e%Ě˙ó"Äç +YtPe +qPŃ裌„ źŠúőč˙q˘ČŠ`˜y™ýčHĹrŽŮŰ%˙ó Äĺ ʉ¸Mp,°ÝXŒŠĎ—rŔ¸Đőŕ*;DE9:“zŔ +10]nşţ˙ó Äç é|xeqœA˙íi…żm h ŇŕŤâ:%›,műŹÜ,Œ +@ŘáI•ţłĐ'ű˙ó Äć ŘҢXKÄpzQe ~˜ -^ż€ő:çŘŃÓŇLĘtĘ4:QŐ{'œăşíô’ ś˙ó"Äĺ pڕ~pwŐ Č śđŃB™C,˝Í34LH`˛ScDfŽ¤ŰŁڟ˛č^<˙ó ÄŰČŇŞX4ÄpáčN°żŕ‹ţŒsߓž…Ü.CSN@Ź%€¨şgQˇć÷˙ó ÄŢ ’Ą¸3ĘLš0Â[É};â‰zĂŕUF’$dQ…˘‘€UĆ''œ%ËdY˙ó Äŕ ֙¸MpW)Uˆř_éý˙Pâî°ŮýÖš‰’ŒHLŔ!˜p vÇŠ(ş˙ó"Äâ (֑¸MpÍ>üÖĎŹl@ęü Ěp7–€SBp@č0˙)ô>NGEó?O˙Q˙ó Ä帖t~šLżP +Ȩš“˘1]Ś9 Á“UsʁedŹ—‰{N-Azy­˙ó Äé +`օ¸Mp2Ÿ˙-úŔt0ˆc|d̈öą÷pĘë'‰u=ôŤÁUşż‚˙ó Äć XÖŞXĂpoŤőpÓ@áJÇĽżOŤÇ ×`°MŽ‡ş ¤“d—ÉS_ŽœĚ˙ó"Äß (žˆN +LݓÁ=ňŕ@5EĹ`|Ú.*FMŚąj3seŰ,şŰççňŘŽ˙ó Äâđn•¸cÎ( ˙č*ÚzÝP\i‚ćĺtŃTşŃ–ÄĘKOžŁ6*Ű Śß˙ó Ä劌x2„pՏ˝Ĺ…˛DËĺŔ+N, 4]{mfLUZ˙M3Œ—ZĐľcUMœ*,Ę˙ó Äé +‘xpepĄ(¸ú&­ň˘ŕ€ řŘź1id×ăŤEQŁśÎm'Užžqm}g˙ó"Äĺ ֞xKDp+w*ń7Ö$°LEjň7íŮľĹ? 3RĽźďôő'aBéüKż˙ó Äč +Xօ¸dÄpJÝň`,ăbQPĘcé“ÔąRUŠ.y¤ßcč¸~/ŃA“OĐoţ˙ó Äĺ օEpňťľhhÄ#gqŠÔvbŹ46"Â>“\:‚’ö‡1Ńżę%˙ó Äč ÂšXN Lmň6|PAL鉏.Şrż:ʕh¨Fě°Śi—ÉńTuÎ ׍}˙ó"Äŕ žˆNMG4xwęƒ hÇQŁ!šËÔö#wLďHłA8˜gŒ~,:fÝM¸ë˙ó Äăř‚•XfHőX#ŠEŹ7螀ręDC ×9ŃÁS@iśą‚‡Î „Ŕ•V˙ó Äć Xž‰XNLtf7™•G˘-ě +Žż˛Ś +ŠlĂp‡Ę(ÖŇ`ˆ FU$žŐO~‹oo‘oîŃ+R&];ł˙ó"Äç đžxNŽNň@#€GŘŇáq°–Mɍš’` 3îě3¨gľƒ +˝ZŸ/Ô˙Ě%@Đ˙ó Äç +žx¸fTL2áŤ= sőöĘđĚP!@&‚ÚžĽ:Ó"ýüßzţ€Ŕ‹Ź˙ó Äĺ肅XN +H<‘Éۇ„ [ź +A2>¤ŢË̈§äüE>¸oę0bfî˙ó Äčąl¨ĆŇqx­ˇ­BŇqŒ0>Ţ>2Ú+zԘÝŰCţÝ é¨ŻŇ$ýĺŒ.3˙ó"ÄŔ rđNHŐ.ÚŠiÉö,JĽĘ–^ţ°4zs/żˇô€T +OŔRČ÷:˙ó ÄĂ vˆNVH*™Ş$ăI­ŽÔ_Qm]nŮjý@GCČŕ +a‚ŒH˙ó ÄŠ⑘5 +p2ršeZv)IH`äÔe™ŔĎłˆ–(˛Ű@NľwĚŃHŤKläřN˙ó ÄÇ ćřLŠpP&üŃ(ÎN~3&dÓD~[Âv˙Ž?ɀ° ›\ŠR-Ż˙ó"ÄĘ 8â€PepŇç; +Đ2˙e~!?Ąú‰ăéđ + @!t †,€dä™ńƒ˙ó Ä͈r€PfVHî—J5ĺ żôLÇťăGú>Gé ”œlŢS5—œh"č¨$.˘+˙ó ÄŃŘŽ’XLJLŰŢ`ýżüżčü)ţDŕßůDĽ§pśP˜2j@ôXŕ×q(š˙ó ÄÔ r„NXI{ţ˙âßď +‘˜×\%şbœŢž!+G‘|éĂĆ)4_抯˙ó Ä× +Čî˛XyŽp…ńKżŽ˙PŔl fƒaUĽK‘ˇ’´ń¨˜2‡ ˜3 +Ÿ_Ŕv˙˙ó"ÄŇ @îşxJ +p ĆůŔ0€=i2tŃlŤx@ (ČR‚•î¨ŠC~éăŕŻ˙ˇ˙ó ÄŐŔŽŠ¸cLřÇú€ÜęÂ(ŹąřĎS5ŔQ‚p~n “RMYwĂř +˙Š+˙ó ÄŮđîœxzĘpD_ +ô HC”Yx:á•(CĐa/sĆJěBýčÜO˙˙ó ÄÜŔî xyĘpȧȀ€8k5e˘~č1ƒSÉźÚVɧC5…ČľÄWđ‡ţƒ˙ó"Äŕ (ęœx{Jpý{ĐÖ䝰ž–ňä]Ý2z-˜UP'6)zSÜżArÔńž/w‰­˙ó Äă î”XzŠp$‰€žţż)u× ƒOB,š%˜-uŤIYŠçMź‚˘Ę˛U8“˙ó Äĺ î”XzĘp˙Ëz“ô€Ŕ íy†_č(‘TôŔ’+#’żńĄoŹŘî‚Ă#mQÜ˙ó Äç +îxzĘp%ńŇś`źş%.n?ŕÇ,…‡eŕĘ-A+SśĹ!˜Ţ„xŻ˙ó"Äĺ ž˜x{ÎL˙—Ó“ú@€÷ŕ?SN‚g6€”a¸‘HӖ ŹoL(Ňž‡ä˙Ôœ˙ó Äč řň”PÂĘrZ˙ cűXhBŠ€fdí:Ę:§á/—ĺ˙ó Äć 0žX{ÄLžÜc}đ!¸ +킉N8¤•Es|ę­~¸ZŠ\7„Ý-˙ó Äč řž„Ć +NĽĐ@ŕo9 FŒ,ŞQ°%H֚őűwƒwwâ;˙ó"Äç +`ŽŒ8ĂÄLą=NŰXđ)˛YNŤ—ôŹł,)߸A +"k\8ăęŞňŔŠ#wî˙ó ÄĺȒœXĂÄLkˇÖ­kôu ‰’…Ź/9¸č’‘ĽîÍĺüuxCŒÜP1˙ó Äč ¨ŽŒĆNYsý€ěíK.ęš +3˘‘Dˆ¤‘Ąˇaѡ,uźjłŒŽđJ Ă˙ó Äč +XîŒËJps´ŤŃS~×ŕ_š)¤rY›&bƒ;€xBČzÜř/Ez1%7Ę6˙ó"Äĺ 0ŽˆĂĘN$Gčý +ü őŁśŇ #˝/ČÁ⾸"} +>ckFfĺč)Nß.—˙ó Äč 蒈8ËÄLĐGń_ŇĹŕRnŹžÁÜńŤŰq)ánA˙ŕ¸Ň€éĹ´˙ó Äç x’ˆĂÄNĽ?§ő€ Lçfy&FH‚Ľ.úéŒß=Ä1 Ź'ô§\j‘›Q˙ó Äč ؎”XĂÄL<ťĽ…€ü ŹŹCË]×9<ÓŕľšÓvđçűOV°ŕ*GŕB˙ó"Äç +`Ž”¸ÎL=súŔĚ>ü’ClIhŽŕą >t°!kQĺ +š~ÔpjËčM˙ó Äĺ v XĂÎHž_ŁĐ ˙!đ”đœ ƒMm¤Ď˛€` +¤jĘËš¨B ?áĎß˙ó Äç řŽ”8ĂĐL˙jÂkŐśAŠ€’Ă%v\€ ˜坩W`Ç& *â.Đd˙ó Äć Ȏ”XĆ +L˘‡ˇýJ˙-őe÷ʇÝv­<Ë&—–î•g9Kah‹Ů˙ó"Äĺ PŽ”XĂĘL—“ŠJůżeˇ¨Ý`_”ň•ŠBj˘2"ŸzGŕÜó„›9ßsĹ˙ó Äç Ž˜8ĂÄLř_č7řÝIţ Ŕ `ƒ[řL0Áz 卣\\š Wcűń/˙ó Äç řŽXÎLÝýąšž €žŤš^J˝Ű$$\”Ž’9ľN%yőń 7Ôw˙ó Äć °Ţ˜xÂĚpú’ęwu€;€-Ě}wňiMá +'ňóÜĎ*çíÉýD{ŕ†˙ó"ÄÚ Ö­¸zÎqűÁú@€JŔ(P !&b,xí^[Í6G”˝÷ą•V”1Œd˙%Č˙ó ÄÝ Ö XĂDp”ÁkŹ€ ŠĘďĚWs¤IťCJUĘRäo˛÷ËN6Já Ô“˛ü˙ó Äß ÖœĂDpXÁ/ş ĺ5D(Q4Ş˙Ę}`€6߆¸GšRćĚ҇é˙ó Äá ÚŠ˜{JphźÂ§P”6—üoüŁüԀ Şvşt XIa{˘pœI–x˙ó"Äă H˛xĂÎLö#ьü/ýŞJhţ&˙ €žbŠł0ÂQžŁśă×B\čŒ)Ř˙ó ÄĺĐú¤Ř„p˙ŔGţ´űřŃęňŔ U0cVՎĆQ_…RdŕŁč/@FՓ˙ó Äč +0ÖŠ˜zÄpńŰřô÷đoôŔ_ĐáÝ"%ł‚ĘČZfŠs"1k7ńňT˙ó Äć +YĽ˜ĂÎp×ďăChßĆ°€!h=ÍŠŽ)wt!N[c˜íHepúťţţŘë˙ó"Ä× 8ň­¸yĘp˙ˇń €ÁĹqĺ2HvĆeľ>ŃŹB #ŢŚŠ +ąËKĺü9'˙ó ÄÚřzŠp?˝mGÁž°ýoÖŔęnĄź&r~ +>Đ|˘žŻ§–Űţýë˙ó ÄÝ îŠXzŠp~–Ă‘>˘‡ď’ęPÖăš­RĄŁ’Ľţą ŕ•@YĐš Ey4Nź!˙ó Äß yDp ǒYś‡e~n˝Ş)éőo9ô€ + ĺT)pŸ„¸KŽß/ŠĐœ˙ó"Äá )ľ¸aĘqeŇ'4 śSË.˝˙†ňú7Ť}FýÔ ksŕs‘’=(ŔŠ’gÔ˙ó Ää ŞyĘq*ȋÚfűŮĹ:űú7Űč'ô€Ţ +Ŕ@EąI† 쮉08 ´Ýˇ˙ó Äć ŔęĄPzÄpí|˘DK–łđˇe2Sţ€ ë ;„˛ÔťÔhŠ|7Ń&QüÚôZŹ˙ó ÄŢ ĄBą˜cÎqăžő|ţ'˘ +łżĺ€1ȸ•ź.­`Đޢt>4†ďríOî^x˙ó ÄŇÉŹXKJp&L•řQúr5łë‚ś˛ľ" !çĺ–!FĽe ĺ Gë<Śt˙ó"ÄŐ Y¤0|Dpm_÷řÚ;ž  Z} Œč¸fĂÔ։JęĚÂ{oóÖŻĎQnˇŕ‡˙ó Ä× Á¸JÄpčŃŁŸúŔz“|ÂcNŕ>€/i˛tŠJuSššyšRżŸ•YÂqÇI ˙ó ÄŮ ž¤P{ĘL}ČĐ Wć,°ĄĘ!paLžPˀ•‚ąŠâ‹Ťđćyüy´*s˙ó ÄŰĐÖŹX{DpVËŽů`ŁŔAŞ…âRď ]3ô@"×K0ž6îşžˇĹR˜˙ó"ÄŢ @Śœ~L+S.SžT@ۀG’iůSĚ!šĐÔ´Ŕ1ˆ‹2ZĎ3/Sę-ćęÜEH˙ó Äá ÖŹřcDp[˙Ĺţ €€rŠîĹ޲ Ôxîě>ÚŁáĎĎť+SÇáx6ą€b˙ó Äă Ś¤8NLŇ_Y-Ňú\YŸ€ĎCĺĚÂá4B"HVźăTtçř?~˝˙ó Äĺřž˜~LF˙ę#őߊ ¸ +tPđJĂ@řd:%&.ŽŁÜüęN˙ó"Äč +`ś x{ÎLFëýţ\€Ňp5ăZż$SĺĂçS#¨ą4žśÔký^x•fO˙ó Äć °śœX{ÄLŽ§ü¨€€´ !@šűŠ,ŽÄQf(™’Ă°Í$TüďQ˝ +ôy˜z)˙ó Äć Ą X{DpýşôńŔ-w4d†ÄhǨ‘G{đŇ6•›¤{üíë?MIÁ:櫖˙ó Äć °śĽ{ÄLŐňtęő€÷Ř ľ|&(OHjšBp‡&™őwŽßŃşjž˙ó"Äć ɘ8|Dp~ž'ő€D?~6g€Ÿ>Ľ˜[ Ÿ„8ő[ƒX˃íĐVŁM/fvc^Ú˙ó Äć ąœx{Dp,¨?ň€€˙ř +Cw*ĺ<Ĺé˜h;‰4 XŹżâëŠćnÍ?şŢ˙ó Äć hÖĽ¸{Dpžnž?éŔ˙đ!.í¤r0fʁ4ŃĄâýHň–Ąóş7ľL ˙ó Äç Ń +ŠcDqzĐŞ˙*­ Sݢ‚›?4XCóL†cnŽ'ŮŹ§AUĺî,Ť7˙ó"Äć + ¤x{Dp˘â4՝ů`đ ľ9’ŸoĺnŘ4˘`"­Cč./e^Ż üR\˙ó Äĺ ­z„p?k€€€śŕ &ĘĄQ”4ĆJ%Č1ˆXv˙w㸈é*=ť#˙ó Äç Řś¤x{ÄLœ§č    w&cŒŐáC™>rfřR!Ź|ăżŃ.ř;˝ƒíwn˙ó Äć Á­zp;ď†ú$€%  >ĺő—üZźdqňĆ1FP 0°čWZČ\>˛uč˙ó"Äć ¸ĆŠ¸yÄpŠťjřŒ +ô e ô~ +NS)YRÐ|h÷żň%ׯŠŻöƒ˙ó Äç + ~˜XĂĐHýľúŔžŕE–Ôˇ†ô6+%BáÎ6ZÜLÚ¤řžĎŠ>Ě Ô˙ó Äĺ † PĂÄHuœú€ˇ"ܚWoEIPb]Ő÷ Ë^f27îý#+ó-ÜĆň=˙ó Äç đÚ­zp[úž‚H€—đ鑬ą/ĚŹCŘ÷2ňƒ_çƒňĚËÓ ˇ˙ó"Äć Đڜ8ÂĘpK^zŸô€ '.WÁn?Ňéhásĺ,ĄšýܓOď} ›xe˙ó Äć °ÚĽ +p{ž€eŔr;l_T=¨Ž@w…HşWEůZň›ă_źUţڅPĘ˙ó Äć Ń 8ÂÄp jzĂ €iđ#ÂZ†(Žwěa+ŕ€{¨ą\œľĽŐH Íݸ˙ó Äĺ Ö¤XyÄpĄzłŸP€ —&gm, ‰˜Ç!K[ ďĆY!üů5ČýĄssśî˙ó"Äç +)œÂÄp§Ů;Ҁ{€ ŞW­…ĺ;šxźčI*‰ŇŚŘ'ŃĄż¨ż˙ó Äć ČśŠ¸zÄL [SŚ€—k)¤›u É˘BËQ\ĄúœÁüeU˜ß˜UńzěŸăF˙ó Äĺ Š¨đĆ +LƒîYßX€î}NG_§i’"+Jf…‹"“s8‡/Żô7ôh˙ó Äç Řś­|ŠLGőn%ţ€€í°‰Ź0j5ub// {7ĚŁmźMš¸Ęuóű˙ó"Äć 蜤Ř|ĘL6w Űjú€=ÜŞłő™|=+Ô'Á&˜H\Œ.˘šŸ;mŠĄ×˙ó Äć ¸˛¨ř~JLž˘}^ż_ü€šĐ,ëKDľ ‘xBŒ++F|ˇŃ}\´X‹.˙ó Äć śŽ8|ÄLó˝%=oú€€ř×3=BnŒäfP;c$$ŠçotÚÇQÇČýâ™˙ó Äć ¨Ţ ÂÄpř/Ň[×úŔĐóp aÓRű$,$2>…@ +ȋ;ôžňśćm@Ű_˙ó"Äć á +Ľ˜ÉÄpúŽü € +SjľŠăBzc•ż|tş%¤Ą’řĎʐzó şÄ˙ź˙ó Äć Đâ˛8ÁDpŸGĐGŕpŔś€cRƒr8……J"Đ*ÚO}ŃťOnĘwI˙ó Äĺ +šŠ˜ĂJpmU€}Ţ2Jy#h3ś kb&“ +‚ú(ČLŇr ť5MFœ‹˙˙ó Äá žľ¸LLzý}/¨5Ř˙ó"Äć 0vœx~H2b?źżŚą8ĆĆeP¨x _-°â3)#ľĂ÷ě\î&‡ŹWţ˙ó Äé +PrˆPĆHýÓËCh!~™ěYĆ~ůę'rőa§}ż&>LK‘ŁĚ}’Ř$˙ó Äć Čr„(Ć HőźŸś€Ch”n$‚ŔPoQ‚,#K‚,ĘŚżĽÓÖřĺů˙ó Äĺ v”8~HÉ÷“gĐS@,ĆťMś˙ó Äć  ~Œx~ +H´]ﺐđ&Ě €ŰQ˛ŒŹ&!č2ƒ+ja˙XßjĐc…ƒYá˙ó Äć PŠP{ÄNţ›Řż¤Ŕ›˛6ZQ&Ÿlš'€3SˇˆŘž˛ÔqL<.˙ó Äç + ’ŒX{ĚL‡{kBÝŹ˙â™¨H´DCZPž€ű=ěš8?ŢŐ˘¤Ä5ť˙ó"Äĺř’”ĂÄLɨ-әö:њş/ ă?Qâp,jäř,§¸@ßÇęQőeާú5˙ó Äé PŽ{ĆLç.›ô€đy_œk‹+9ܠ愸/AjĆC-^ó#Bă-¸!žó˙ó Äę + ’ˆÎ L˙QŕŸHŤäíŞEÍáłb•‡Qΐţ43Q^äc§z¸3z!˙ó Äć °’Œ{ÄNĹżűŠ Ŕ +-ÄĄĽz ˜áRŇşĎŕÇŠ8‘`ĘčüžXpâ“ŕ?˙ó"Äć đŽˆ8ĂĆLZˆţ +˙Ň|‡$’¤kdň4Âxz’ + Ŕ'&ĽGoRń[áż Ÿ˙ó Äć €Ž{ĘLŕý`\^äŠÁX!Áá"ŁűYşĆyîB?âż?¤cť–śţý˙˙ó Äç +ژXzÄpvň4Pđţ2§š­qî´eSN͐• ő?")ŃZŐë˙‚Ňę +őű˙ó ÄĺŘÖ P{JqűÔWđcu€™ž‰Öý^Ą]ˤŔÄ;YA‘îŽL-/ÔÜ˙â?˙ó"Äč +€Ú”XĂJp¨Ý@€Ł¨%ş—Ş–í ăŚfŘ + ĆTsĐőÜű˙ŕř„ŮŒgýýŕ€˙ó Äć ¸Ň”8ĂDpĆđŕA›CLŠ@ŕwM ëKTŸŢÉ°kgzꏻ>ćVü˙ó Äć ֔ĂDpń>î Ya>JŽ*řŒËu˘° +ÎÝŠ‡ó[šN)C‡•˙ó Äč +8ҌĂĘpMh.ŻQ/@ŔÖĐäŒM“;|\ˇ+3b銃 ěyőŮÔhŕU˛˙ó"Äć +ֈĂÄpb㽝`€׀ĄĚcńĄ7AŁkĄŞ(Řa[Ł$ň/Ë,mý˙ó Äĺ˜Ö8ĂDp˙ +şż s€Zö$€rQ\Ţ•riÖÔó/¨J2TJ$:珉ăżÇ˙ó Äé +(ڈ8ĂÄpúzßɸ†iš¨ăČÔzr„XN‡p~7@śLĹJŁDŽžüßÔ˙ó Äç ŕ֐x{DpŸÎ?řý`LęĺLě¨aa˝§e6j+ćĆĽk6>U!ľ÷_Ź~đ€1œ˙ó"Äć +hśŒ(~JL;ýzßȀŻ4üŔ!ŠŚśkŇTsFŰX +ąi_8ë]şAŐ˙˙ó Ää śĽ˜{ĘL‚~ Ŕo`č­đ‰¸ŘË­XŹZÉÜü—p +lsé bcďő ýÝ˙ó Äć ¸Ö˜ř}pĹte€€ďÉĚl +"ctđ"OČR”LH +‘Mk/˙×9s¸Ô˙ó Äć ˆś~ +LzНKGŕČŔAĎ7üE +`o!ňKˆB`¸ŇE­­ŸĚ/ô˙ó"Äć Řú}pD ˝FúŽˇB  žJˌI\.‡°Vh¸[;BźÝ—é˙ó Äć ȲˆĆ +Lńž¨Ŕś.@VłĘ{1–ąĹÔü˛ŕy4€—Ţ­ž˙Ýí}ţĽ§˙ó ÄĺŕúœX|„pď'‚Ž°€˙xÂÇáwnošHfł +067šmÓüîúś˙Ä˙ó Äč +ś˜{ĆLř%űk€K\-ÎPŽđŔlČfZŁ—­Kş{ŇfĐ_˙ó"Ää řĘĽNq‚˙ƒ?ŀ Ęń6KˆŮ5bÔź(FCä8@}ˆb¤Jĺdz-çiý˙ó Ää ĘŠ¸|p_ăý ŔՋ!Ŕr`*i^ü÷Œ‹ÓŻsş˝˛H˝B#˙ó Äć pʘXd„p +H-ĺ:€ŔaQ‚Uň'q‘ÜJ˘@l:ݍŃJ„´í˙ó Äç + Ό°~„pg0„PŽ€ ŮAÖdŒQ +Fn:“€@`„ň&ڝPşú䍊˙ó"Äĺ @Ę řcÄp’…˙]` D:Ř>Ł‰`;°*ÖU9Cbřŕ—ÓóŇC—şˆ¸ úr˙ó Äč +Hö˜řMq8äáŔ˛đb† ÔUÝłgVˆĆ†1’ĆME[}Pvőfo´˙ó Äĺ ʐ8|Äpâßţźc &`ŒҲ ˇI8ąĚKd0Ńp¨č­•uíT +˙ţ˙ó Äç +śŠ¸3ĘLţ°Ö€󏖹[mš ĺÜ0’çRd›JśÖ€Ës­˙ó Äĺற¸KĘL#1~ň RśA+SҟéúMGŮŰĎ@ŹŚwë‘HiÇϙm•ŕ%˙ó"Äč +ÚĽ¸LÎpˇŚÔÜďԀB€§ĽÚQ‘K‘Ą Ͳ–§bŔ0WĘ[Í +˙ĺń ˙ó Äç ஥N +LžO +8X@× +u|>!śět„ÍHůĆ1ąť;ßňn˙^“˜˙ó Äć ɝ¸e +q- ;ĺ倀!¤„_U_Œżâȅ€šą’Fó%Ů´–KĎ˙á˙ó Äĺ ҝdŠpDŔŕ +G+”#CŝŽŐˇ +Ä +‡ŒLí¸r×5Í˙÷§Iƒ7ł˙ó"Äç +ҙ¸e +pĹ|oöt€Ŕă€2Úś'؍ۓ)‹˛(3BIjZż)°şť-˙ó Äć ¸’Œ(~NLƒ‡€ĺť˘ ŕ^Ëś5ČĂ0j8Ä4hllĘ×ůŠĄ˙¤˙ó Äć ¨Ę”đNDqˆ‡`%ţ°@00üô$Ŕ•Ýňż+J<7Č@L +Ökúƒ:˙ó Äć Đʝ¸dŠp÷9FŽB@ş„çˉĐ#FŮšŐh +ŕt¤]G–›˙”Öżú˙ó"ÄĺˆĘˆesžöÁüţ ž}.Đł˙%g§sBޚu‰DN‰.é{űS÷ŞűýcŽ˙ó Äę +ˆÎ„XfDpÉ`Ł*ŁŤ7œ÷.,Ąq)+h›•Z—V–żdȃäąçöć.é+ë˙ó Äć Î‘¸Mp1pöyLűŇé@ţ€ '“DLńf†h=Ăr×oú(Ĺ^Ă˙ó Äć ŕʍ¸LĆp€đ÷˙X€4+˜t0ńájďeóĹr„@ 0Đ,S –ţ™*Í˙ó"Äĺ X†‘NHŚfűeżČ€ 3Ŕ‡AČ aúƒĚŽ‹P”2"&Ě˙ő¨+ö^ý¨˙ó Äç + ʞXL†p[őK@3\Ű *I)™ýgŒÝĹö` .óĘělă/ů{”˙ó Äĺ +ř֌~Tq(cˆ’Ôiě7™QÔßÎÚQÓ84śŤĽFvŻ@ r´˙ó ÄถŒ(NšL˝çĺ¨  +đXł;Źđ?-=Sů4%1\:ੑ•˙ó ÄÔŕ†™¸KÄH’ńÉ÷€çNZƇ@ ˛U+Yd1p„“y\Ÿ,%!oƞéÚ¨×&CP˙ó"Ä× ֞XKDp\)đílß^2t€ řňzÍbíĎ`ś˜}6§÷šĘő +Žwţ…˙ó ÄÚđž‘dŠLîg‘YϤr\ 0iA„$ˆŹgVXł˘âeU(ʍÂۇUd}˙ó ÄÝ ֙¸LDp—|ˆ`ü/rä†N:ŽÖ\^#ńă8Mé“Ĺ_Eő +fjőţţ˙ó Äß ֑¸L„pŁ4/OâD¸Zé.*38MNŘ\*dťď_ďrŕqĆbă˙ó"Äá 8Ś™¸KÄMOżÖ|؂J‘˛¤5nĚE Cá/LÜŤĎâo +şß˙ŒŞŸQ˙ó Ää +žˆfLň@$ř ĐĄ4IłŐ¸Œčِ5„'qźÉˇ4>ŇôţOW˙F˙ó Äâđž™XKÎLœíŤţQ@s +ěWŢiDAĘ$á•_ś‚Ř)ˇ{MosęF› ˙ó Äĺ膐¸NJHOýÄëƒOó‚p2*Š%H:„ŤĂŽ2–œjąÍœ)Oms'Šđ˙ó"Äč +>ˆ¸dĘqÝE×čT%Ç…ČK“Ć ąLœŠ4pzę…ŮżÍu0ť\S÷ń˙ó Äć Ŕž‰XN +LOGč€ +—%B“hqDĹxj‹œYĹĂÇÝwŸz5@žž‹˙ó ÄćŘžˆ¸NNLÖĺAż@p ä”SWśo+Y´ę›q˘ČBŇĺiŢšÓVa€¸Úso˙ó Äé +™>…XMq.š5;ęR@´2Ş%ÂŽœ3÷HÜÍRe„JůÝű<Ąŕç}Jë˙ó"Äĺ +ŕ¢X{ÄLb6jä@@„ű€(,Đô×cTn (¨NÁó™‘eŢŚj$–/˙kŞô˙ó ÄáŔ’ˆfNLôö ŻPčN`Œ‘TmMfb7arç?zŢđo9ůkÜZv˙ó Äĺ „(N +LˇC×^8Ŕ­@?LÝJ:Ĺő2XŢ4 ü?=ŞŁŕewżĄ˙ó Äč +(â€Mr˝Kú_ôW€;’|†o÷˛7Aa@O"y”4Äńs:`¨`ĺ7WJ˙ó"Äć +ž„ŘfNLK˝ŒbVŽüˇëÚ@ŹşéŞ6 VFăx#l˘ĄÎÎ?ˆŽq˙ó Äĺ ’ˆřNLëRёŸóGŸ ƒÄt6 +ƗB?˜•śl+jř°1Ë u b rn˙ó Äç éˆřEpŘĎ˙’ü€„ŕ*ۂ‘ąr@``W/ӎrÚŐ1Šźĺ“ăÇř˙ó Äć ¨ât0Nqä>Ä1 Eˆ]ˆŠBĆůrMOŢaR)cÅ;aińťď˙ŕÍ˙Q˙ó"Äć ČÂpfŽNm}đŚú@€ @†2ĘV˘d¨¸¤Ő´jdć`4šŃw™‡ +(´â˙ó Äć ¨îŚXÂÄq˙ňß!ô€JJƒŒâČćn%Tu \択Ś‰­d i7č +˙ó Ä޸ž”(NNčWäŔ)FqUϋĂ'ÚwޤuŒ1ä§zD7MzŤŸŕ;˙ó Äâ螘ř{ÔLâßřĆ +Ŕ ß(BÎĹŃW€'(xRKs‹ńú;šÚîń=X‘^˙ó ÄĺŕŽ”X{ÔLżÔ“ä>@Ŕ + ÁMAŮkŁăŰ´ÂۙL¸ěĺW Ŕžë>É˙ó"Äč +PîŒX{ĘpĹoˆ~Cč˙7ë*}؟Âëq„âD@ ’áî‡ćÓäŠ{›ć+ăřúv˙ó Äć ČžŒX~Lđ“'Ћü? +vXŢŰ°­"sƒJnĚE˝•G`K˜óaA´vĄß|˙ó Äĺ žŒ0ĂÎL[쿒‡ŕ çľOľšk`×ĚU")$ +ŕo”T'.̉‘mWĎóˇţ×˙ó Äç ŔîŒXĂĘqÓK‚jZŠŔ€ +źČŁFśXŒ-AÚÇ2âögĚómŁ5S—ý˙ó"Äç +0ž„X~L¨ęœĐ´BWUV8ÎŹDĺՑî*ć’e§s\áÁŠä^hÇ˙ĺ˙ó Äć ŔŽˆX~L“Ś°†ŕ +¨ť +Wj§R¨/ĎG–Źń%?ť°!§ |KíůĆ˙ó Äć xî”ÂĘpu=˝`€vE3tUę|ĄqŸşăÔQŐîÄ2š~sË," Ü°Bů?˙ó Äç ŔŽ8Ć +Lô5Řőő€Cŕs'ÎT c)XU­Anôó?k„|×SJüxâ<”˙ó"Äç +Pę8ĂDpäŔ=´żiŮ:j¤<‡řÇź†Ŕę,LFhoý^ˇ˝'ôç+Î˙ó ÄĺŔ¸{ÄLĺ´|žüŃČŇ´uxŹzcŠču•HôDÉpŚˇ…h,n!ôh˙ó Äé + Â„ĆLO§H€˙ŔŤňŚjœ›ƒe`œ/82ž"ňŘ3ç\kF4Hƒ¸Ň˙ó Äĺ ‚Œ8ĆHýOMöäŔ v-Äi*ŤĽ„,g°a•ID;i‚Ç÷Ž­r4Â<˙ó"Äç +HˆXÎLć˙_u@ź ď(ÜĘ% +ĘĺpŤW"ŕÚ5])Ă{T×´k8Řý} ˙ó Äĺ~XĂÄH˘˛Z2 ü MÖaŠOt1ď š&(‡<řł +˘UiÝiżŢO˙ó Äé + ŽŒ8ĂŇLë˙gĐځňpƒIf0łNÄž m źYD“ßýśsVńj˙‚˙ó Äĺ莔{ÄLž˝>ž#Đ­K°ŠToĘďEqÁ•UńIŕëĽâ{q҈ň Dn ˙ó"Äč +Ž‘xĂĘLá”9ú@ŕYÜ/kQĘWQ “šÔrő{‘Zć]~pň=đ˙ó Äĺ ’”8ĂĘLüě˘@€ nN…â°!ŘĐąý…FzGçh´)˘$ÍČhâáFŸKŔ˙ó Äç ؎8ĂĘLĎŠůOčĆŕOeIgnđYČ\ °X iY†nę›Ň= +ŤÔIŐ˙ó Äć ¸î8ĂDpž”~„|¸?{ҕü“ĺ\FYjŞöŔ+ťpżö÷ŠCváÝ'˙ó"Äć řŽˆĆ L%™ů}@Ř 2÷ĺ&ˆU++bpö"aM8Ű×U{¨Ň Í˙ó Äć ĐŚ˜XĂLĄ?Ľ:—aŘüAńhÁs¤Á Łˇ7Ÿn{śľÖ´ËďS…˘/–˙ó Äĺ Ž˜XËĆL~ŸÔ˙ŕO}Zv-.*M[Óű‹čČjR"ö^ޡ6łçűÉş“˙ó Äç +~8ĂÖH?Zô€€'ţyXđՍdĽl-ďS€ŤŠƒžŽGń/ş śŹ˙ó"Äć 莘XĂĘLŹ{Ť€źÂß ń->Ađ%€ 9łg1šÇá3¤ež|ŚťqŮŁ;˙ó Äć ˜Ž˜xĂĘL[ű_Źşf‚4‰lŔ—P 6[^L‰¨9 ˘xÇĽ{΋•7 +˙ó Äć Ў”8ĂĘL=˝LţU€°5„ŞS4Dc Ř7ŮVĂtÍ(ŔXç•9Š•á˙xŢř˙ó Äĺ Ž˜XĂĘL1˝˝@ +ü +÷-R)RÓ"îi0̚ÄZćbë3ňŚ/*üo­˙Q˙ó"Äç +Ö XĂDpżƒ6ŸŹ@ŞäÍął2ŇĄ Ď`>€%ŒÇᇹĽoHвżý˘˙ó Äć €ÖśXyĘpßÔw“°(ˇzJş˜IT,\šîUÜÖŇLń˜C#?^ś)ŽÚŠ˙QOn˙ó Äç đŇ ř{Npöǹԛ/Ö3ƒDZl™–” •‡,ěrÓžŠwîáÉ4=<Ĺ˙ó Äć Đ֔¸ĂNq/ŻßüqĽMîb\ľUĐŢçԉ™ß +śVĎ0p@€0Ę뀎ď´˙ó"Äĺ ڜĂDrŰEƆŤĽ +pž~§’Nę˙‰ŠßPżĐő ˙”’ƒ¨áÝ,§("˝˙ó Äč đö˜8ĂDp6K†B$žPzî=<„9Îü+ýń[čßő€ŔC@ťf`Ą뙊-ś˙ó Äç Ŕڔ¸ĂJp%ŁŔDM@ś§<9šoĂ˙śOƒ“‚‘ď‘ +RhÁ|͑ü€˙ó Äç Čú”ĂJr*%4O4“üg˙¨Ťhţ “  k÷eqx"íIŞ‹Ô˙ó"ÄćéF”ĂÖq +AœŸ›ýöô?ȀŔ +vĐew‹ď\ˆŐňu((uŽ>Q˙ó ÄÎ îĆXa„p1nżƒţŠ@úŽż"G0 †Âl$đ¨-ç:ϑü ]Žüs˙ó ÄŃ ňŹřzŠpý˛_[ýGşŔÎl60 ŇDjpĐjp  `ńűc‚Šĺaĺq>éâý˙ó ÄÓ îŠxz„p_Qí"ž‹QOBZÂc띅v0˘ôg€Ńýř.'.‘Ő˘E˙ó"ÄÖ @î¤řyÄpHHÁ‘&D”¤‚*JŤýJŘ'ď—í˙ĐNΰ.NT41dźM!٘¤˙ó ÄŮČîśXaDpÄJ2ďéŒbęřWNK%Š˙("‰%jPD¸ëpw…)9˙ó ÄÜřyÄp˛mWd”úţÚ¤őo_ăţ\€łÓŕ%ˆô—rÝ!XKmŻ +Ž +˙ó Äߐ {ÎLŒG§ˇâ]%őžů@hŸ`L­;cĎC+ƒŔĆjpúТŠí;d˙ó"ÄăF ¸{ĘqUý[óř1žˇ|¸f‡}şƒ@†Šüš°ŕFs"ŮęI!=[ş(#üó˙ó ÄŇ !˝˜KDpzˇŰč1~€Fţ´Í, +˘0Ů!BR‘ԝ]MAŮsý:żí\&˙ó ÄÔ¸ž¤PcĘLŮé-`ůűĹńb~H§82œ°Zč?Čъ™ŸS)j%5Đ´źĄżB˙ó ÄŘń°xKDpřÖŃßôIˇŕ1ŚŽ.TÚ2Ř61‰˛ĐtV“TŁFüƒţţ +˝˙ó ÄŰ ž˝¸JDLńśňŔ -Ż˛>7e~†VSŔ, PáI%׻ԆpÍłpNôŻ˙ó"Äß (Öľ¸cDpŕű.Smë@üt+ĂÔe•HíÉ—4E8ćŠzŮňż/Üő˙ó Äâ !ŹxcDpDëôíÇ *ć%˘EéßQH.ŹřNňtx[)j{Ăś˘ťó |Ô˙ó Ää ÖŹxKJpű}Ëgˀ[€?ĘŰhŹ˝n +%Ş)d „ĆôŇ}ÚóŻ˘iüݡő˙ó Äç +֔0|Špúô žMř|7&łľčZY1h!8Yţs+Ô~:ľ^˙ó"Äĺ Y¨XcDpœŸÔwĺ€Á09Űȓ™Źţź,Qáź +ÄŞ- çá˝č/ń?^´¨N˙ó Äç +Ö¤x{Dp +˙úúŔŰ`QÔB&&š#U°ƒb¤VmfKœ~§ýżƒţ˙ó Äć Á +˜P|„qŁţ `J¸dH žk b¤ž!ĐK‹ŠŢ[TŽ˙…Oĺáęú˙ó Äć Čžœx|DL6ţżţ˘ü NÔŕ9ČÍbŠšR +Œąy¨›{Ż×0ŕʎA ˙ó"Äĺ I˜X|Dp”f—Żô„/˙˝ŰhĚ5™ňNtr'F^¸˛űľ†Ž4yG Y1ö˙ó Äç Đś˜x~ +LOHę îíA%’Kz™RâB6ÁđĄřNGĽŢęľüz.ş%~í˙ó Äć Ń­ŘzÄpýźWĺ€$e•Ć´şäľĆS ŃFúzť÷ ůÍ`ď¨}č ˙ó Äĺኸ{DpCŠ5逡ŕˇh_.›‡Ťe`v(¤-GJé6´žˇ™ßżśJ˙ó"Äč +q˜x{Äqľ?jx‡ú€€sük86“Âô/Qƒí &łlń͖‰úw•kş5˙ó Äć Ȋ˜P~ +LúŔ€m  (ŘCĎ#ŁL.ĺ-f[¨Ł__ZAą˜ČJ˝¨˙ó Äĺ J¨x{Ř$Űă!ŽwŃ~l€+Ŕ +aÎěP2Äă+"\tXăŁ;?Ä ÔYXŸń˙ó Äč +Q xÂÄp€ŚžőĀ–.\ěGŽçŇ4Qjh1Ÿ &ó!ÎŢ?ď˙ó"Äĺ @Š(ĆLPÎŻő€Ú5ŮtŠu´îĹÁ‡„§t!ÍTh&aHëRűR­+°onŚ˙ó Äč +QĽ¸{Dp˙՞ΠŔ @÷aĘÚőŞä¤Ü]í Ş…éďn+,őiąWËăž˙ó ÄĺĐNĽ{Ň$с@€ +ÚŐYz- 1ĎdŹˆăt4)~w}Ľ!í ßL`˙ó Äč 0śŠ{ÄMgń™ŰzŔ‚žYˇŞlĆ +Ĺifć:2…𝯗jgÄ}ř˙ź`˙ó"Äâ ÖąyĘpg˙ +€ü$W5ND&“9t8Đ4:ĐÍó˜\ÂQÍ>Ä4sóÎć}D>˙ó Äĺ Ö¨yĘpńîżę‚ŕNů´ČW^Q=ˆv1™ 5ŠŻň…ßs{§éâ}HŔž˙ó Äç + Ö ¸ĂJpÖVŘC¸€¸îŕ!,†Ä͌Ŕ?›"6÷Ż“¨m\‰Tč/ě¨˙ó Äĺ ÖŠXÁĘpdQź;vă€` 7ŮńßźŠü-´ KĘ'Ɠ5|ɍöĄ7íéŁ˙ó"Äç +öĽ˜zŠpÔm˝ \'mdL˜Gňš¨# ¤m*PŐs:ӟ^œŕ€&-ök(§z˙ó Äć HÚ¤¸yĘpn­÷ŹČ€2pvX4°ć.œŮ@RÉ´H€¨ť|ëďFnť Ÿžô˙ó Äç +֘ĂJpoWýFőƒ0ŢŰą §k30´¤- BşuňšÍęŻ_ԕ˙ó Äć š +¨¸zÄqČäz €­9$ÇńĐëM‚4–DáD@a‡ižbnEZ…6N|Š˙ó"Äć + Źř{DqăU÷đO˝@E ˜r”PŻ˘›ů6*GÄe0›´óZ˝řx¸6ŇמŃڎ˙ó ÄĺŘâ¨8|Dpśú€€8ŮH{ +ľbÉ°Ż37Uŕj‚( fSîuÉ N¨Žţ˙ă˙ó Äć ŔîœX{Jp˝{¨[}b~.‡'DúP˘…ůÖFzFă/ůďyulUC˙čżú˙ó Äć Řîzp‰î’Ä7 Fj#ŤÜXŔŹŻ°íp&֔ƋOœ,ů~óIžb˙˙ó ÄĺŔî¤xbDpŃ˝FS÷śS*\ŽůâüŤ+>ŁaăW5"6­Ócůżő˙ó"Äé +¨îXĂÎp'űăwɂ‚ÜzbY=‘K{Žk*lŘ(“•¤ďö˙đü˙ó Äć ¨Ž˜XĆLM?z +ň@€  ‡ÜÉľ˜čÍ8„ŻCÜÖš$î×1 +ôSq˙ó Äć Řî˜XzĘpýŔ + ź|Şő{HŰXlJÉă ‚‰hPÄĄz‰ő™ŞfiĎ˙˙ó Äĺ î¤xzDp¨ˇĘ?ˀ + Có, +€{D• +2IXöf8ĺS9×Ę^’Ë"`Ě˙ó"Äč +€î”X{Äpc¤Xƒ›żßX@öSܖşŃpZ* ü!r=ž$5d~­ú=âţ˙ó Äć ˆîœxzÄpQÚßž˝`GIY˘4%FC—Ž29V#Ŕ@ZVŐƒÜă˘.ü +ú˙ó Äć Řî™ÂDp´íŇNń‘őČDÁĺx*Š(@”b¤DŽĽ–Ěý˞TŘá7Đ 7˙ó ÄĺĐžŒ0Ć +M•Ĺ´iёـ÷ä‹ĚąPęjđÇ ÉŢCHF[›ýěŠyW‰”Î˙ó"Äč +HŒ8ĂĘLęшt⠁…ˆłx™é֜đ˛Ňś'Dm4‰ň őA˝ćÜ}z~˙ó Äć +h’”P{ŇLŔ;ŕNJ–ÚéaDˇqn +QO”ŁŤr¨­4Ů hlj“ŔΖÓYń˙ó Äă ŞœXĂÄLň:tV€í c“1œP”ŠYАäiČĚČđÔ‹„bľ:ś¨ŤĘŽ˙ó ÄĺřŽ”0ÂĘL5QŕWl?V?%Ő÷E÷Şźßősč6ŹmˆJ}Śmë˙ţ%„ ˙ó"Äč +€ŚˆĂÎL¨…vŘőśĆÂ‡aˆrAő4Z´–Ě‘ĺ]ę”ç&žÖ*Żő8˙ó Äć ؎ŒĂÎL6ţ>`@‰JˇłĄÂtxdz§€ś&ŕú^EěŽÔĎTőářqŠWďńţ˙ó Äĺ`Ž0ĂĘNˇý`Á(łŘl˛>šš›LüZ”Z’ĐIVŐnÜ-ęţƒţˇ}˙ó Äę + ŽŒXĂĘL@H9k/.Œ0Uí,ź‡řb@e˝žÓ×W‰pąvűz˙ę;ő€˙ó"ÄćiB”XĂŢq„mňľT_Bš˘nůű FrRłěÉmcő߃ĺţžÝőÂ$0Ÿ˙ó ÄÄ +áBľcTq°ĄUĽVieÚ$ŁyŤ"J?ľYšĐćtvűô­÷}`3ř|X˙ó Äż Ö¤`{Dp ,kCŻtůşęťČË÷˙υěĺLÜ)ßéâ˙Wę€~ĺĚö,˙ó ÄÁ Ö­°{JpâŔ)°Ş= ¨6ma:…CE‘¸‘…žNThP~4ň˙ó"ÄĂ IœH{Jp$… ŞćžIȁIšPVtšěy"ĂžĘęDw?Ů.Gˇ˙¨g˙ÁJ˙ó đ ĘYË8|/Ѐ +†n1!ťěóĹaÖb sEŤůĆúpT?˙Äýę r˙ó ē ˜cJqŕˆ€+EŔzŢËfsö=ńéZ…#ík֛ˇ×ľEţ˝˙ű¸CżQž€˙ó ĕ Š˜L„q€/žĐôä>ŚO|ý´ĽcÖX8&’łčK°‚ŃDŕě,{ +vn¤˙ó"ė ) xLĘqŔš’sWZ˛S/ú™ý#J™ÜůÂnˋ6óČč#˙˙˙˙˙˙˙˙˙ó Ě 杘d„p˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙đ€@!ŠWe˛EžÉš[ËŔማ˙ó Ĝ ޲YOÇGŁ‹žšOgƗdŠf"p0TčĽÔPë ţý-×ëCő"č„˙ó Ğd‚”˜h°!líMěśS‘Ä çŮamöčFĆ,÷îáŔL1´C˙ó"Äc +ů>YŘh[ÚN,:,â]¨uÚş9sŽß÷ćöęěëčh4FEKˇôx˙ó ÄS žš¸dLŚ9ĽÄݟŐzĘ˙˙S[˝[á—ŤĂ`Č”ŘZ“™×35/ˆ˙ó ÄU@Âą˜KJL™H ěˇUęŞr‘/˙óCŚíü2˛ŠŔ4…‘äLAmŮ&8˙ó Ä[Řţľ˜3Dp°UvťÖëĘż˙@í˙÷ţ @­;€.7óá]eüôu +ŕĽ˙ó"Ä^ 8žĽ˜LÎLÄę™çş7ŠqߖE*v˗áŔ‰€ďŔ'LĎ$U1+ş-s2š@źďěƒ˙ó Äa !:˛XKDq2›ĺ7ёW%­Ý@7ܞŽ¨‰v?4Nâú +ą‡:`Ś\˙ó Äc ~ŞXdHŐ0V4žS6:§-•FHمĘOŘŽOž43\Ĺ7mD‚:$şˇ1ź˙ó Äe˜~śYPŇłë›×˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙řť Űlt˙ó"Äil‚ ™ ! °ľ­¸ýBIOžżĚĘ^ŭ׊/;˙ü č`T°)‚–c‡b˙ó Ä/éŢYË8tғ8d&BÎćxú:뎴¸W˙RÔXîďĂŔń âgŔŇűŰ‘Q˙ó Ä2 ÖÁ¸DŽpí—ňÖąč5‚źÍ֕JşŮĄ?ů~5߂ŕ @ـz˘1yŕ9,ć˝˙ó Ä4ŘÖÎXcDp¤4˘•%ŸŠżoýýí˙đFŔbí€Ha%ŔDzÓAÔń”!˙ó Ä7 ÂXLDqş™ó^}Oýő +ÔTíŔ `#HˆüK‹m děx+‚ěZI˙ó"Ä: (ÖžXKDp+9őP˛¸˙oޡhv°ŔÇŔŸ´VęY×mLű@Š"\ŕ†@˘cu˙ó Ä=ŕŇš¸L„p>˝ÂýĘ˙. ‚<a€öĄRř}‹Äˆ+­+˜Ňݗ˙ó Ä@řÚ­{Npűřţƒúß €ƒ7€ĂˆŻŒ ň¨;ɢÁ{×oĹűgš|Oę›+ú˙ó ÄC°ÚşX;Dp˙ŠÚ€ŕ'wŔ~͚0°hzđ %Ů#rđkjŞ0§č6BßęY×( +˙ó"ÄG ÚśXJĘpˇň@Ŕ#€};CšyHÔ症9œ:Ŕć,"é7ÎlžŸżţL€˙ó ÄJ ÖžXcNpC€¤§ŸíŁpĆvŃ:Ń+ą%ŞŻ:Ő§ý +œhoů ˙ó ÄLđÖ˛XaÄp:đV„ăښG‰C6ńWF?Ęž(OüżŠCOţ €€˙ó ÄO Ö˛X{Jp¸s„žşY3żAӔ4)˛-źÇŔÓíäđc˙ţ°`Ŕ@ś)˙ó"ÄQ HÖŽ8bŽp¨BҙőPlRĺ(#ŠŔŁ°ďdňœąß?¨˙ˆ_úŠŔlGg˙ó ÄS ŽXz„qŰ[ŚÍâäVâ0ň^nËčٓď°Ń&?ˆ€€ť@Ś% †(#˝B˙ó ÄU Ň­¸aÎpŇ0ĆĐoô[űl"3†($ż¸<ÁžđOے HNË˙ó ÄWŇ˛XyJpJ…ÇŤ&$‰jĂ’5î$3,ÚVu 6Z% Üř" +/˙ó"Ä[ř–Ľxc +LŚ“ÓĂÚ˛¸-ł~ŸÄ|3”˝ź–,|wŠęěXŠsŐPŸĘ +G! +;lÉ~ !đČýUhĹő’(ÝăľzLTu˙ó Äa vŽ{JH˜‚4ăłuüI°î€ 3(á]xç9 đHep=ąšFa˜'­TO˙ó Äd –˜ĆLqVTv#!ú;ô€Ŕs°ŞŁÎDˇg}ˇq€FzAŮh°|2˙ó"Äfஶ8zÄL|}$÷e@ŔŔŻ†¤ƒ „˜ř„cÇäőÝlőe?­Ü|k+P˙ó Äj vŽ8{ĘHŸŇ€3đŁÂQĽŁi‰äքd/ +’`aúˆe†ŠÁ°„oőî~˙ó Äl Ž˛8zDLç¨ťh”"ş˙—5`Pr+ laŔě|_Ŕd,NÍŽ‡Œœ˙ó ÄnxŽ­{ĘLÄŮ + {Óę¤'€\Ś‹řŠÉ‹öPœ‰8fż‘˙ó Äa Žľˆ{LÂ0ě_Đ˙ô˙ƒ'ŹÄ†°Zš›ń)›zC)ˇ×SBƒwďPejO˙ó ÄdčŇÂXZ„pÇ˙‡ů/(ôÄĽ€˝'Z”dŢ +†!öČĄ +rď˙ľEâ{˙ó"Ägđţş8aÄpEޏ, H€NÂCa1pP• @5 +2b&8ô…H„áh˜ +đ˙ó ÄkČÖş8z„pnbI“DĄDŕćŞcš…CzL´ÓYţš 2šćÍVšoÔ˘ň(˙˙˙ó Än¸ŽÂYI˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ü¨6PłO%ŽÜ‡Ňě˙ó Ärt‚Źh;ź™OKZ˙úP)ť—ő,mPťŃ żĐŁC +Ŕ `XY¸‚#i˙ó"Ä7 +ů2ĆĎ(Ś$4%1F”4~ŮągP´ß&0úž°  P„ľÜŽDŞP‚˛˙ó Ä3ŕâ˝X[Jpš&¨v,cˇßÍv‚ž˙÷Đž§ůPĚ'ŕ ä BŚbżPJŎ×˙ó Ä6 âšřcNpMCœ'*Ÿś>žđŐ?lşČúŔđ  °VŤ.Ť˛`7ęáŃî˙ó Ä8 ćž8[Dp ˇŻDţŃMŰţ=túĂŔč'`-X¨Ë`aU +ž/,ƀA˙ó"Ä: ćšXcDpÇé…HGŰ÷ŐŁ_÷íô¤(€\“ZÁeŇ! +ŽÚg ń‡›}˛sg˙ó Ä=ů*šřaĘq„÷ýň`Ű˙ţŔ€(źă~ŕU#„ŚgíHŕ@JĎ-AŘ[ib˙ó Ä@‘.šř[DqŸ\ÖňţOɲP^Áű?ú߀ťJń/ĘBÜSđ%0OˇŔđÄď˙ó ÄDq2ĽX~q¸‘s泃6’>Ć}ŕs˙ÎŁ"=M˙-_˙ĆÔĚ;^ĺ…Ł‹˙ó"Ä- 9˝cJpŠˇëţ;ŠůÁri*Áßţ)/‡°ˆw-ĺ^Kő€;Î2sdXr˙ó Ä4°š°°cĘLŔfĹf ˝śË„Ą×Ń˙ę:ďɀ@<˝ő^¸,zS(şB"`˙ó Ä8ČÖąpLĘp9…R ßĺ&Äę÷˙Ą;őÔŔÝ@t\bÚŒRb­Q‚–-I×.˙ó"Ä; 8ÚąřdŽp˙Üö!ŰT˙sŽ˙¨€ě𠨐)™˘nYDąŽ¨°yšôżęŮă˙ó Ä>čÖľxLJpŸQßä×ý’@>ăî‡vĹY›ĆŃn[ˆŠQj„5Ô˙ó ÄAŘÖĆ9M(%ƒŮ8\ÁMÖT&NMŇH¨O™´’1tĚ%"rŚ¤Ővu"×5^h´˙ó ÄD„‚ŠY˜”‹Ůş˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙€˛4L*˙ó"Ä 8½qĎ(W?•śh8qĂńp@Vˇ˙ńvÍŇë Šţ˙Ö =OeŽUm˙ó Ä úšXKDpRb Ѩ$#ŐĚ˙äÓř9żA~ţůN°ÁŹ˜œ(D(#O1-˙ó Ä Ö¸¸\DpƒŃ™ +ćŻ0?„żüU´§l䙀J€ôŔu  ˘ä‘r˙ó Ä ½xcĘL. +ęŞŰ>˙„¸ůiúŽÔyGkę / t—ീWejđ°¸ +K +p˙ó Äč~¸XcĘH“~¸}ĹEźŽ)q öđŕşŕ—XȆT‘ žŠ]18 ćÂ|*­?˙ó"Ä PÖ°|DpVŇUô˝Aü(ü/ä€Ď@™ *^7\ŠCt´0bŠs ä/Za‹† ĺFvÜÜӗˇ{iéŸxI¸U|žOČlđp% Sś˙ó Ä)°–Ź8{ĘL肸LbCÇłX€A\švŽ›y币ěçĽ4Tę}`ŽŠ)D˙O˙ó Ä-ؒŹX{ÎLâ7vjÚೂ†ÄÓO˝6…|M˙–Í!Y-ž ä F +Ú@Ú`’őD˙ó"Ä0Čž¤{ÎL’'Bt€őPŢżEôöÝĘÓ~ +Őz€\ÜÁ;a›=‘󊲁˙ó Ä4 úŹX[DpÄÄŮ>ôňÎ ˙ývŕĎď]}ÄԁL+á|Jdvˆ¤-’9á˙ó Ä6É>°8b„qúÉ7żŒ›`_őlÍBFä+ë*ž¸˘e‘z3 \%f[ÉŇí˙ó Ä9 ¨b„p,ýuŤN)˜)?Ôołg‚Ŕ`VĐ7—ö‚O ˘ëi‰¨á陊j˙ó"Ä< ž {ĘLpú Î žKIÚîý@żŻ{Pí=4D"ŠâNOôńŃăy˙ó Ä? ’˝¸zDLHĽĂ$2m怀nĐ +ČÍŠˆ/) DšďşłÄé~žGM +ď˙ó ÄAž°zJLĽî+[Şő€ü…nZœ€<Sř&šÍĽ1xC›Nk €Á'Gą|˙ó ÄE şľzDLž‡łX÷€maF&‡ľ2ľ‘ +JJy_rĹôx'úŃ5u’ őŤ˙ó"ÄG袤P{L¨bŰ`‹¨’ +1ŽĂů1kĚÝiŽúü&é ŮwIP˙Ëhb˙ó ÄKđú°XyÄpAv”nŰcSfşŽ`f BÉŔˆOş°\7 ‚Á1ƒc ĂA™RŃ0„˙ó ÄN şľšMx5'؞i1rÄĹŹ…KŸVRiŽŚ:–’~6m˙˙˙˙˙˙˙˙˙˙ó ÄP‚¨™P˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ĐŔŐéZž\ŔQţ­Ő'ŹO;T˛ýg˙ó"Ä I +Á‘Ď8ëeôwĺHđˇűţĄ €ŔčyÍδ¨úHŢ÷l(2ƒxčdJňˆ˙ó Ä !´¸ÁĘq4ďˆ:ţ4wôođ  @í_ű”ŽŹŚ R+Z ŁŃI1`îó”oţ˙ó Ä  +šxÁÄp)żƒú?řvú€€0íĘ˙AŐ%0HD„č,Á›ˆÂX˝L…ßŕ˙ó ÄĄ°°ÁÄrĎţ˙ă~€ŔŐľˆX>Łˆ ¤=ě:Ďŕ(Q;Üů??Ęoě'˙˙ó"Ä! Q +žXzp˙ĆßrŔ€ Ţő­ËŃ­ŮFbq)ň12D˘d5%_éĐýęßĹéűˇř˙ó Ä#ů¨ČĘĘq +Ź„šă/ІĹ8ĄĹ­ +ČÂé_V/üîBş2ż3/˙ř €˙ó Ä&áĆXxÄq Üšř ~ë!@mHi‚ż˛Ö–X 3•mqőxŻö‚ěżšP˙ó Ä))F˜ÎPqrÎDƒbCAxK–šŚ¤Ď ř{˙ŰŐś˙ —v°€I,‘÷’"u´AÚك˙ó Ä š˜{DpŠřd„SK×CWţ‡řßü'€ ™€Ö#ů:ŠA¤%"ŕâM˙ó"Ä 9žX|„pěH‘śÎ˝|S'ýţŰý0<2¨‚:Ýç¤ĎvÜ*iŹk1ËşB˙ó Ä +ĆYOÖřßÇ´Ż–˙ęOFßüK€€Ę“ú݊ +Ÿ5¨Z~y`1‘Ăoş\˙ó ÄԂŘɌ8Ő§śwo˙Óű˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙Ŕ„ ˙ó Ä Á>Î9Ë°Šdš[-ŤąG(Üëçăŕůéţ‚ýmć X??ó đC˙ó"Ä !Ř{Dpf—sAĚîđz•˙H¨Ř¤ÂXą›čt_$˙ńýú8Лš € Œ˜ę˙ó Äč´¸LNL¸Hš2§¤J€tŃĚ3u7ZĐ ;$Í˙j[ŸWXđđ7¤˙ó Ä !>Ÿ{DqŃđ+ŻŐ* +Ôäű}•Ě› ˙Ą}‡Ëę߄p€÷pÜ)Í…˙ó Ä Âąx~L$C]ÖVĂ$ű?rĘ˙ď¤Ü##‰Ťţƒ=ýv}@ě +ŕb,ń7V:™ĂR˙ó"Ä + I˝ř{Dpl)2čĂPż¤ßžM|ěŸęOżű`öQŒj Ë´r+6š˙ó Ä ÂśKĘL´’ +śłÂ<ÓďŮ°[řŻSÎ}`Ţ!0VĚ4qUŠbKŔ2˙ó Ä +Čâ°{ĘpŐl\ڞŮy5ŇQ2˘ČtżÔ_çq0;Ř€Ěǀԉä…¸˙ó Ä +ŇXJÎp|X.˝GŐsoůŽUQŻŐ?bĄŻ˙*,ęp !€Ô.? k˙ó"Ä )ĘXZÄp A˝­€?ž–ßë¨ůřKˇooŕß˙ă;XäŒp:GšȀ%ć˙ó Ä ŢѸKDpt>ŹL–hîßLÇ˝O+ŤW ˙Áťę1Â`L`:AgPz`¨Š]¨˙ó Ä vÁ¸KÄHĹXĄˆ­‘čň°ŞĽTťĺşÝőâ Q6ؓMH}‘ȍpc8hO˙ó ÄÉ´({DsfI +ČűîFS[őŞ{őţ;€#ŔKBnáËź#<ťd íËʒ7;×čŔIä,˙ó Ä Ž´x{ÄLAgžłŰ5ëk<Ɛ^jM¨ąX.CŽÔ‰ňëŮđÓąD˙Ń••˙˙ó ÄńźPJÄqŤ˙“OŔD=p<Šg>ÚU""b0´ßŁ=KńzYˇ—b‡˙ó"Ä" PâÁ¸Z„pę:zŔ€Sęy Đčš ”0 +ĂC‘ŞMDť¨6C˘˙V¨Ďľ˙ó Ä$ ćÎXADp`ŕ|ŻÓ6öĂ9"31ź†€œżăJ/†suÇß÷nąf%i€ *˙ó Ä&€Ž´X{JLňťŽçԓ¨tč„0xÁýţ +ȀŠŇłK͙Í(h$HŠ¨‚ţÎ>Š…řA=ŮA?¨gěŻKvá0ŽTËtŹ˙ó Ä ÚɘyĘpQlH3ś3Š‘Š€>˙MG/Ŕ˛Œ˙PÎýőy0ŔP'\Q+žđ3˙ó"Ä ÚÁXbŠp>ŇBƒhé„`Enёc‘|ţř?řďd Kšŕ-€™ťM˝ƒ–ä˙ó ÄđÖĘ8YÎp˛Ą’Ą‹}Ë6ܓ{T§ń0tâ.n¨€ƒycˆNOQ>Ÿ'áIz6˙ó ÄčÚ˝PzÎp€–˙ü­k–WĆż|;ůBÔmëđŕ€Ăy]á.cŒşsü^ “0¸/ď˙ó ÄŮB˝Pz„qń{ˇáż ŕ›úżű˙Ŕ€ ĘS*M°‹ađŒ*<đ‹řźşÝ˙ó"ÄéB˝XZŠqú˙ƒôőšŠ˝?Ƹ€‚<,¨ÂĹĹÁŘW¨” =ľär_ ˆ•`$Ö˙ó Ä1B¤¸~qŹÜĎ˝'Ęĺ×Ţ˙šUWcŠƒƒP$c˛„ÎÎÍ.Ú{~…œ€K˙ó ĨöĹXKDpHŒíŘWđň‡xĐŚƒkˇÎ;fŻżô‰ôŕwń €X„ÖŽÎ<˙ó Ä 貽XcĘLĐ-l¤Úž1ž÷˙z/ô~§ń_ë@€ Œ 4R`ű1˙ó"Ä ö˝P[Dp¨c +a$qlÖóŒ‚äćÓúŠÍ÷ţ7ÓˀЅP&˛ŠDč?¨˙ó ÄđţŸKDpž\ Ę%íýĎs6Ő´ńśóżôýœT y€}ąâîĄypó”\3˙ó ĸúĆXKDp&@ţśÖu˙N…ËŐG˙ýÜ2€#¨-5D€ëřg8ĄPœÁv˙ó Ä Ö˝˜J„pňşG…ň˙˝°x;[ş:€Ěu;â2d+Gaˆ+'k˙ó"Ä)"°¸cÖqóşf]Ťí¤–ˇMCnŸ1ÍÉĂp.œ{3čű€ŚéLýÄ` &˙ó Ä ŠÖX2p4ÍŔą{Çg-¸•dŹč]Ž§d÷ŽVŠÚßöĺ_č!?X€`@˙ó Ä źŘL +p4ëÓPmŠ ź‹!<†‡(]'?ŠĚ×;Éô*ă[˙Ôş@Ŕ˙ó ÄŮÁ˜JÄq+´žÉĺ‚ + Ŕ* ÷ǨĽ—ßńâ˛V˙ôŔ€ 0hM˙ó"Ä QÂ8KDpBqV{Đр c"ýŰÎ ]ŐËň¤F_ńżüú€€šô“&C˙ó Ä +ńÁ˜KDp“KD(„œx…‚#wőš›nŮžŁKżűúüXŔ Ů0Pć +ç!ü˙ó Ä +Á:Ć8J„q´I„R–úąmx?ĄożđGô˙˙ƒ€Á8D*BřˇˆLŔ˙ó ÄńÂ8KDpSsŽŸÖ´˙ Óo÷ôOÔG(hôSĘĎAě!ˆ*Xü#˙ó"Ä (˘´[ÄL,MϜ X™ľ÷‚GP !ôĺC΃q$ ĘǙxľ´g˙ó Ä yɐKJpŁő*ÔP6ŢţaV_ú +ˇÖŔC–B‘ +mŕšXˆT#pŸ•)<ĺő˙ó Ä Ö˝hKJpôáčŢţƒ–s¨ýý@‚Kń0 ×FÉVĹZ‰đAÚ9P.‡Î3=:ç˙ó Ä ´ cNpđQ˙üśßňűúŔPš)O!š6”’T>) A´§NŁ÷Ź˙eÇÂCœ˙ó"ÄŘÖ´HbĘpÖţƒŽë=ň€!*ˆ Ô\6řšwŚt3Q˜ú]ŽeęÉçüă*WOˇú˙ó Ä éÁb„p‰­Ü¸ >ăéx ńž +|KH J–O12ľš6śYú–včß-ţî˙ó Ä#đÖŹ(cNpPÍ.ť +%2‚‡&-†:HUĚôÄ1„ţ_ŤG\摿¶ů/Ýô€‚y˙ó Ä&Hž¨~LBUžÖ’ŁjĐŻŠŰ]žŻř6ÓŃ/ʀ €c¨#˙ó Ä+ ¸řcDpçąSŠxÓ$ˆNΔgŮ7W8ý+ӔvÚ­ŕÚíĺŸPĄ0,m€bÝ˙ó"Ä- (ÖľKDpÄůd‡‹•†ó;¤ÝüIUeĹwá16č +îĎßő€ÁĘśĐ)v,’Ş`˙ó Ä0 ÖŇXBpúĹřŒĚŻ2<ڑm¸mNü€Ţíëe݀@6űp"Ę +|xar˙ó Ä2 ÖɸIÄpB`p[1äŽs}ž„ś›™¸˙ŞžÉŐřَíLŤ )ˇłdQ§ŠXBć˙ó Ä4 !Ć8J„pk\ˇŹo…ą`źŇńŹĄjëu´€‚4ŰŁ/™Ô‹ÂőÇtĚ ˙ó"Ä6 ˘¤~ +Lî%ÝďC>ś–óukOěŁlŚĆ‘Jś¤üŃ 'ŕ;Á´œœü˙ó Ä9ŕÚŹĐ{DpҝV)*tÚŞűh?Măzj€ HÚŘQŔ;ěZ Ńj1š2{r˙ó Ä< Ú¤ĂDpŠ'OęwŃFŮźüHh1d+;?ĐeÇSń$qž‹Pż ;şč˙ó Ä?é +žXb„qŒOĹk‰Ý|tDÄ'ážčŞ%„Äľ’ˆ\ĽĎő1!ĺväSŽ&ˇź÷V˙ó"ÄB H˛¨0| +Lܗó°mŇ[W +Ű7Ł­ÉĘ?‡€€ĂŇ,Š9/`CÉ.‚†b˙ó ÄD Ń +¨0Ćp™zŢŽőçę‚?č_㿧“§řĐŔjs†qC“AQˇ˘‘Z˙ó Ä7 !>šP{JqI +lŐó‡őĽÁżÁ˙ ˙|­>€Ňá9T¤Ź=‘lĐ,j)4+̝˙ó Ä9 ÚľX{JpT“ViŔző +ýGčzŸoPÂTśŞ;Y"{€$Ľ”q ü˙ó"Ä< (Ö´¸{Dp§–â]|w꥿ÉâŰz€šł]śkn­B,îƒá ´ţŚÔŸ ˙ó Ä? ˝˜aĘpŐđA˙¨˙Á4—䀁Ő\HF_Jř +ťĂ(˘p)Ń6ĽÜ÷-âo}˙ó ÄA úšx{DpCOoRýNSž°ŃŇî¨y ÍÜ pÄÁń*ÝjY*rëďŠ?”[˙ó ÄC ÖŹ°{Npţâ4~íü+€#Aš$f\–RYR— Ä8ş &BąŠ9E˘|ă҂ޔ˙ó"ÄE IBŘIÄq¤IZ&W$e&™9l˘ ĘŚw˛D˜Ŕ!čŮ;ŃHĎęu“Ę^€Ä0˙ó ÄGÉF 0ĂÚqĄ˝€´‹ĺ°ŔŐv0ŠßÄíc 3B_Ć˙¨ďëéËţĂŔ€G˙ó Ä* BŇXYĘq:#q{“vh9 +â„..wřbNŹ‹Á]í¨küˇő˙˙”pŔ:ąœŹ˙ó Ä, !B˝pzŽqôâjăöÉRxdřÄnťđƒeę$(ţŻý?ĘŔ ŕ—l™˙ó"Ä. >˝đzŽq}÷G…TůrŁK|pejwëĐOđCz}Ü<Á`Ť­ u#XZŢo˙ó Ä1řÚĆ8yÄp$uş‹żĆcmg¸ąőčÄĹş˝˝`Ŕ($p‘G¸6Gšă ˙ó Ä4ŘÖšp{NpÓTL+§Ŕă4#Ĺż¨řĎńžˇň`€¨ TŠÇ7͌ŇlZ•Œˇ˙ó Ä7Řúš˜yĘp‹ů këřNŠŒ_ę:­ő z4˘7čßďüqŔÄ0CÁí€Ň‰đß8*'Z˙ó"Ä: +ŃBž8kÎqÚ€•7Űé­^z"3őB˙ÝČu„  Ŕű^őś…ÇͤŁ­‡˙ó Ä6ČÖÚX2ĘpČ.ük”ĘđŸřţ§ň]náŕŔ@ÁË@aŠŮŸV)Ą6.j…@ž˙ó Ä9 ˛ĘXd +L_ĺ]YL´'ő ýţ +×ÝÖB@ňÄŞ3Ďą4VۑÏP*ňŠ˛˙ó Ä;řöÎXZ„p>‰őíÁŰřgř˙oW†ŔŔ …ôá# +5˘™ő:DgP)Wó‡Ö˙ó"Ä> Úšx|Ępľ.¨+ţ‡ţŁúßý@€€g€ˇc]܀)o-)3P^Ÿ_Î:óôŕ_˙ó ÄA Ö˝°{Jpă˙(ŠJßü°ƒkVe°ž!Íü5ŰŹ1Š`Ńť–ž˘ŚčaŠ!{óÇ˙ó ÄCčÖÂX\Dpď×é Ąš€Ôř‹ŞĘ"ň|^dĚűbÁŤE”mţ4w‘ę˙ó ÄF€˛´¨{ÎLó €ƒnĈ;Ŕ śČŽçœ„ço‰E‘ţÚw ˇůQŽ§˙P ˙ó"ÄK XÚÎX{Jp ­-ŘÍ369‰ötC*Hý#Ć +x#ţ‚˙㽝~@€ŔČ˙ó ÄMčÖšˆzŽpĚÚ@ćőg94e\@ońňȗ› ›őAe4?‡€Ŕ (˙ó ÄPđÚÂP{JpŽ{—RătĺE¨ąxWoČŹě´ŚJ ĂĐcý`Ŕ€Š@ťU.˙ó ÄS Úš˜yÎpÍxŐřQl,Ź4=ükŤZXKřÁč;Č˙†Ŕ€ O Ü“N ˙ó"ÄU Öľ°‹Np…N66:˘ýFOۊ˙¸5˙NŻő>ŹŽ8śô÷ŔFt2gć"—˙ó ÄXĐÚÂXyJpŘFXˆŞ€z,˝ä2ę_΋Xîłć:“Ł+Í=ćJč`Qť˙¸˙ó Ä[ČÖ˛yÎpwϰ܁ČÔ?ꈔP€ Ŕ9€řyľŤS% Mm&R" ‚Ś}źă­˙ó Ä^ńBœƒsmţ7đCFţ@Ăh‡‘ÖT—éWQi$0?Lžr’ˇx˙ó"ÄA QĘX{Dq?č/ř&÷r €  ‡ťŒ‡LôČq§3(P0!‹…™jĐâÔř˙ó ÄC˜Ö˝°|„pÇ÷qŔŕ‡kĽ8đjR=ˇ,˘`™: +Éş*ó3Ýę#ř!úÝÄ˙ó ÄGčÖľ°{Jp˝@ŔÁ6ůˆÎPň3˘d_ ľ7e2—hßĐř!ý˝˙ó ÄJ Öš°|„p`‚€°Ô(ň˝ěĄŠădŠ˛NAÁ*Şüď˝âÝz˙ţ7ÓÖÁ˙ó"ÄL XÚ­{DpŕMŕ/ywśŠˆ6Ü9óŁH^˜ţţ]ęö—ůĂQ]oäzŔBŔ^˙ó ÄNřöą|Jp¨“ +•¨4łŰ‹ş“퍎T;ʧ/ş*‡aŒXEŇ ´źÉ¨ăŹw˘`˙ó ÄQ ÖššOBËŹpĚśpĚůŁą§Y6ÔR2{Ôɴ3‹ż˙˙˙˙˙˙˙˙˙˙˙˙ó ÄS\‚¨˜ˆ˙˙˙˙˙˙˙˙˙˙˙˙˙˙ŕÁ q€=^Őb׍ƒŘ0qťá" +&XżüÖ˙ó ÄŘÚŚϛ*[ŽßĄ˙¸’ôňŔ B타>5˝öG¤ Z‰ĎÄݘéáƗ…źĽľĽç?\˙ő˙ó ÄB ÖÂXKJpz“ú?3c@ă +.ÎjajŃž(Ôk"€f™˛/Ň=ő(żÉúś˙ó ÄDŃžXLJq[öȀÂOŔ”ž fÉY”–ZLđt 7âAKó3ĘôĐ?ęKÔľ=˙ó"ÄGČÖľ˜KNp”Ŕ°(Ŕ’{˜ř˜:cŚ‹*řAÍ_Ρ{@íüwÇL;ŮPÂ˙ó ÄK Ú­LÎpŔŞ8Š„šbóÔIZě7@2ŇNËâÖŠ‰/אSš g$‚Ŕý˙ó ÄMčÚś8CJp0[Cť ĂQő7$@%ěBGĚKWçPăý1č—r˝°Ţ`˜˙ó ÄP𒩐\ÎLž!,¤ˆĹâoÚgƒĹ)ŸË@Őä!ÂŮ8“Ôţ€Ď!°Eh+0W˙ó"ÄS PŇĽXcJp$”Đ/p÷ ă:yaű(|‹ވÝB"Ęm@€(y_#‰=Š5…"˙ó ÄU ’ ^ +LşAĀ^96.šĽ\ąő +Č_řżŠýľV €y^‘PؤyQó˙ó ÄY XʨLĘp˘H1[żœ=ňűţĄşm˙Żƒv  !8 ašÓT\ PI˙ó ÄZřʨČ\ŠpD8 ˜hHżÖ{ĺŘżń˝Fů9Z|B˨LŘÔ°jT^@şľ(°ňK3iŁˇÔős ˆŻč˙ó"Äg @úŽXcJp? ÷rT`/˝Ýq-9ďBuł& Ŕßx€„ő~r˘9  çÁť°č˙ó ÄjřĘŠ˜L„pŮő¤`DX 3 ‘"Ł&Q8]cTćŢłd™ĚÍvRtüÓ˙˙˙˙ó ÄmřĘžYM˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ţˆ B(ĽĺzÜŞýś$şĚ˙ó Äpü‚ ™k3xĎ­żţ*ÂĿՆɫőËŇ0;( WŒFQ>ˆů˙ó"Ä7 H˛ÂYĎHńhóWł}Eýň§NëëÇ@€6¸T…#s”ƒu˛îŻ÷…ń˙ó Ä9 ľ˜LNqŤ=âjßr…ˇńmn'ű!ž Á 1Ž#1Ş“y8üˆ /–˙ó Ä; ś˛8N +LíŻóCúŠzŠFœíŞXÁ +ĚZÖ;ß@3wË °ůë68ŒÓ¨^ƒf?Khˇ˙ó ÄačÎşXL„p­ţvúÁŮCʀ„#ź\é2W)¨îLhçŠËěłý¨C˙ó"Äd ! + đdĘq˙Šę]_ú7HĐƀ4ôřNüUÁ×D~€HÔÎpĽÚđý˙ó Äg ÚŽX4„pIÉŸt€Ŕ Ŕy +eˆţíÁY‡[@ZÇ"˜Iya]ć0Űnę˙ó Äié +˛XDDp_ët<ÓĽ€5KQ0ˇ@ąč@úŒBŢ=Hűz›ç"ŢĐ×ý|˙ó Älčέ¸3Dp€0¨œYŁ5œ.‹f`ę(ŘćŚŰj=ôŕ7őOËwmXĘR˙ó"Äo 8͐epcHҋ°śHŇ—ŕOŤ$ĹĚâŢżTƒč&PlëA“¨ý Ā˙ó Äré +Ľ¸\qŚ›ULPjŠ$´¤ŔŒlXŢżÇr?GôG˙ďÄ)рę€:­˙ó ÄučĘŞXLJpa‹Ţ3 +‘“$L˛.ŽşĚ_ÖY‹oj™ó ˙ýř`ĐŔá€53tŽ˙ó Äx ŽÂX3ÄLáÁ͖(ňbÔ|´˙ó ć ΐ(LŠpY5ţ~ ˙éŁ˙ř*€?3âfŢ(­_Źh’8ו;@ťťM‹ęŽü˙ó ĉ ʔđM +p´ţ#SţYË÷ D^',ˆF*Qc­măáv;„Ö}ë•ŐMô„ţ›˙ó ċ Ž”Č6LţUĹÄHŽ Bk ăÜ˙—ĺ! +ŻFąÉyžěn„DËĐ˙ó"ď Q +™4ÄpňŔ@É`>4ˆO9ŮIŢ Yŕđ††‡Ůűüě;ęˇői_˙”É˙ó đŔ’ˆ(NŽL uĂŘĄ_wœśÜ8ó‘Z;Ŕڛł–9„q ŕ.s=n%ů ™˙ó ĕ’˛XKÄL€'Šs3ʁlçC‘˝pőpcľŁńŁ§äS¨Ž§#ó\ÄIO˙ó ę ’•¸6JLKQ$ľ‘Q/ iJgÄÉhŞ’ş‘ä˙đC+őB‹Äę˙ó"ě I‘¸LŽp9˘x˜Pń4( +d˛%Ł,’kůyŸ˙ŕŸ˙řwp@ ¨` ‡’lB˙ó ĝ Ž|ČN”L•O*´ß…˛"­•'ípĆrýB°Oâ?ĺ^°Ëhb,'Mâƒ$˙ó ğ Ž•KÄLÓR@‰öN—Ś_ň“ýw˙ń€¨ÂᘤNĽÇŢ˙ó ÄĄ ʄep˘„T!_Mfś[SůÓźƒy_üĐt€ĺ€•%…J>˙–šŠ˙ó"ÄŁń +„(MsŕD‹…gÖľ?ˎżvóU˙˙ƒ`œ@ó€ň´”Šč1žkQˆÇ|Ä˙ó ħ ’…NLjŞj›çŘ!žFŞÜ9Ćţe ˛Sţ@ŕă(€#k‘Ýť`°˙ó ÄŠ  +|PM +q`ŽŽřhN†7‚°Aţ …´‡j„śŁ4mi”˛ëÔJRD Ô)˙ó ÄŤčƍ¸LDpݔ‰ĽÔ„­alOŽaßHD(cAôP‘ý_ĽŠ[X&¤ţŽ[äţ˙ó"ÄŽ !¸dDqUÝZ̎ÓýOúŔ<Ŕă8'@Š ţťg´°ŘčóÔQć^´ÜR˙˙ó Äą ƍ¸LÄpÎŹ;Œ¤6ĎŇ< +0tVöĹH2ł3šffáŔVÍß;>fËó˙ó Äł RŞXX$ŻŰwԂ¤ Ś} m-HÔů8PóAq¤ľ2¤Ŕ­Š˙ŽĽ€˙ó Äľ ¨Ž„fLˆ ^hWŚúőŻo:Ô°@“&ik›Ţ"Ł]:ÁM|ĂZˇ}u€˙ó Äľ ’€NšL$€ˆÎ€ŔS\š˙˝q 6-ô†Ö'j¸Y–b̄H Jś˙ó"ġřŽ„PNšL€×s]ˆ!LŔň"|‘…pĎý)˙˙úQD”žˆßÁ +ý@_{ +bY°ô˙ó Äť¸’„PNŽL .ó6[ 3ŹóQcŮą •đýˇ×Śˇśş@€AĄC˙ó ÄżPրMséâóŒänĚ[(ˆPßYz—ŞĚőđ„ßíKţ  @ňteĂ´( ŠĚ#ĽĂťw˙ó"Äŕ 8ʈxNpPË~‰ŰóˆßëGÔ,Ŕ.„ŔÔ^ฌf&(“‘"¨ rźö;E˜J˙ó Ä㠒¸N†LţzŤó—ť€üÍšg6-ĎŇÓW$Œ?přĆ=oôśĽoÝyŮ˙ó Äĺ ҉¸4„pň€˙ +.J4C 5Ó8° Č(óSÔŔ˜‹HZę()ße]´uó%R ˙ó Äč +XŇy} +pňZÚż.P6ŘFQ>‰]ŐZ +Č=ŞČ[ +Š7)2ŸŞ˝/튘˙ó"Äĺ ʆXLpÂż?ň€Ř`P,Ę5R_Ü[ VŃHs˜‘4ěžhƒŢş6eeě˙ó Äč €’p~’LŃ˙ü¸ą ŰđoÜńL1ţŃŐ`”2&ań˝Ňjó3ĘŐFnŒo’™˙ó Äá ˘xNLMß˙¨ŸĐń)˙đB=zžľ4™ t™ dZeůfšđ}Xë­Ś˙ó ÄäXnˆđf*xqÝę9ô€pÔ|0LšBŕĚR16/FÂÄĚÚIeůMÍy˙ó"Äé +xÖ|PLÄr`ĎʍĂŔ0D‡ţMĚOĹĚí¨ťĄŁ„•ä—2‡ă•Ąćłh˙ó Äç ˜ÖL„p3É×ŀ  +72]ŁĚv“Ű OQóÉ K—n&]Bît˙ó Äç ¨Ö¸L„pwęďŕ’ȌŮć4„sƒĆb +€ +´,xň őy +~ž”)˙ó Äç ů…¸dDpő Ě8´ô ÜÁĐL\‰™™ýł÷™;Žľfhă'r­Â!ŞŤ˙ó"Äć +օ¸LÄpĽf>€ż€1$XqHSEQ˜Ń>ő-đšSŐŃRŠÜŞQţ€€0­@N(‹FĚ˙ó Äĺŕ~|řNHäf˘ó23šP)Çł[s*Ę0g`‹”Ô˙Ň@1f€ čĽ0ĄDó˙ó Äč č†tfNH3TâľÔN¸r3ý˙*eߜíş?Jhý @1wŕăyH˜đ­ˆŔ˙ó Äç +žqXNŽL+ÇĆúŮj@_‘´ ě8şHzd€0†_€ l„¨M×;^0ń˙ó"Äĺ ž‰˜NM´dşŚĽ×ípą"EÓ¸ĽěüJ ĄĘóâóg +šw”TŃ˙ B4ž‰˙ó Äčކ8Ćq¨ćű­-źÔcYmG|F0ěpƐ0Ć9fĆCžaąŒÁ꺲˙ó ÄÎřr‘N H gęM<ôňWoúŔ 3ăŞwQÇ€Ś#‚!PŐŢÔËUŠG@˙ó ÄŃ NDLó?fĎrYΐv(RŘroŽĚ=a†€@^„>š LĎŚ(œěY˙ó"ÄÓĐŞ‘LDLQä/{eřracß÷Ô0>ő`‘‘ Ą!q .ĺ… EÖQěÜ˙ó Ä×řr¸NHĆń˃2ł˜ľ”鏿ňߨ€ŔqXąÇ`áÉ6PžW6šńäuť˙ó ÄÚ¸vl(fžHý‚1G;ńíń'Ä?€ öJÔ ˝8ĽPeá /Pýo\˙ó ÄŢ ž†XDL19/Ô*˙ň_Šţ €¸Ę +ŒŹpßD÷Wń™á=c)4H}˙ó"Äŕŕ’d(fÚL˙ +ňúú€€&AŢĄŞ>¸Ź2Ćƒ$ďS6Ł'ŁŽĘĹn‡˙ó Ää ˜Ž–X{ĚLäťźˇČü€‚ű”írЋÍGĘ +Z0ľ Z/{űDPëŐÉW˙˙ó ÄŘ 0„NZLĎ}Ÿ@ /Űq˘řäžŕł6QÉs +\<ÔžĽž[" +ŐŇú‡wÄ˙ó ÄÚȎŒXcÔL#ň@Ŕđ{%€YÜnŃM/jl„Žîä Ń +q3qcĎ_ü/˙˙ó ÄÝ ÂŒ8{ÔLŠ/üĄ?ŹÂőt쾡N‹ŤFw"ÄbTKH†_Ÿ˜ř€˙ó"Äß Pňx{Np?ţ#˙ŕÄ Y¤"ܕŤ-űt‹CǒËa-ŤĎę{Uíl0îÍKĆ˙ó Äá莌x{ÚLÍ˙B_‘€8 ů;yx„ĚÜ9B‡*‰—܉@ˇg÷\TWyR|˙ó Ää螈8~Lk˙Ëüˆ€( í˘úÍWëb‘xš“ „Ć–ŞŽĂ%5~éň§jď+Đ˙ó Äç ˜Ž„x~LMń6œˆ 2¨–ŻJˇ)“Y•dq ‘~,–všr‚Ř5îsPźĽŚ‹6˙ó"Äç +0ň„xÂÎp&ßůo‘Pđ,umÄÖjmçJ+™gŚ­"šŚ§­đŐ?“ďâ/ň˙čť˙ó Äć ˜îŒxÂÄqKîƒĺ€ śo4wU×}ŽtfƒĐžMK*ĘŽWóź(I…˙ó Äć Ŕž€XĆLřK˙ú@ =e öJ)¨‰HÜn;$m–äÄ_ű܆Î;PŢ'˙ó Äć ¨ž„P~Lń&€€_•ŒßRǚC/55Ő¤P°Ńkgďu.óĹÂmŠš?ô˙ó"Äć ¨Ž„PĆNż‹Č×PB@ ŃÔţk ĽřRËayJŔÇqŇj@x¨ůŚţňüŸŞů`˙ó Äç 辀ÎNC! + €˙ŔR\(Ś­€Ę[ÂCQG‡Ĺ=cjŁonkřg˙ó Äć €ňˆĂÄsOGJvä +Ŕ}Ft“€Ţ‹FĂVťM+Žžc_txÄ?)˙ó Äç +ž€PĆ +LŁNđ2Á2ÜBü•kvd„KP@Č6P“™kUяFÁŽKý˙ó"ÄĺŕŽ„Ć +L2ÍČü6şaäDŰŔŁpp‰X˘DoRÚsMľ_É˙ŰŞ˙ó Äé +h„XĆ +LŽŐŹÖĚŁŞ*‡}*ŒôyĆ hŸjH󅾱›ŠhńŠ +eţ˙ó Äć ˜–ŒPĂĚLŠ‘§$˙?1$…˘ËO bÉPU˘M´&úë_}­+¨Şéôä˙ó Äć Ў”xĂĐLő€ÚZTŃQuĆg`łPBŇ°:&*EóÁ™Ý6ÇŚ0ç˙„i˙ó"Äĺ Ž”8{ĘL~šr{QHƒC˙zěÖ-łu !SęľśVP˝ĺJŸ0üŮřďŕž˙ó Äč ˆŽŒËĘNţđďѤŔ +ŔĚĘĽŹŃ2¨6¸`ž$ +úm7ŽľŃęäF~[˙ó Äč °ň{Jpňţ?ýŰ҇„”-"É)ąĺ@”W•‹UBzdpŞÍÁ|É˙ó Äč řŽˆĂÎLă'ŃO0€3.LĆj˘ŃpÄSźaqŰ4]‚^Z['ÖđutxČ0Ó6˘˙ó"Äç ŽĂÄLΕu*ý)pÇb,°`dH44VżEÜXP˝Ií[”x’_Ăż˙ó Äę +¨Ž„Ě L +Ký ?oŕ˜ň8ĽA`ÂN/Q(˜%:ă~˘3ěăô +Đ˙ó Äć đĆ řÂÄpúčz@€2ÜŚ,‰iĚ1Tœ*ÍOód8ȜKĺKŃę@‚8˙ó Äĺ ŽXĂÄLăÍPH‚ą– +-NžÂT =ţZ dšŹŢ“Žó‰{kNžfw˙ó"Äç řž”xĂÄLDýĽ+Ŕ€¸/#e9'çE%ąÄ4)ËZwÎ1˛ţ)ó)Ă^˙ó Äç +ŽŘËĘLŠřXŕ€# óPÄĄ§Ő$k˛\#R˘č6ÄćtN3›Î(]ř˙#ƒ˙ó Äć ŔŚ˜xĂĘL ą[0ŔŔ_œˆą)qW,­ŰŒ¨$˘w805™ď8xÝNüOřŢ˙ó Äć ЎÄJLڍŰ~XcVnń0,0IJ1˝Ú™‰ +ŔœC) +śšVó2ŃaKđ“˙ó"Äĺ 0ސđËĘL}†÷řî°ŔßŔűQţn¸ą‚.1 Qł_Ęąťqżăýô ˙ó Äč +PŽŒ°Î Lëň@‚Ŕľ5o1e1bŞ• ”NśRE0’ž¨F5ç}3•Źú˙ ?Ł˙ó Äĺ ÖŠ¸{JqŠ} y0€X–Jżő×[˘ƒ‹ľˆ-;RxÖĄG~ú˙ó Äç č֝ĂDq ęwH€ hq—ÉŤj_w4PM4•Ř-Sę+ľ¸°zžu˙ó"Äć °Ú™XĂDpţ7íT?Ń ÔœĘ”Wş!c˙—€ŔxAý€Žë%1uőó|`‹Ü˙ó Äç + ֐XÄ +p4TCŽĽ?7ů˛KŁř!•č¨¨A}€‘t 2>-%<(ŇŁŒh˙ó ÄĺŘÖĄxzŠpžTb“ţÔoGđ‚Uä€Ŕ +7Ŕ™ś$‡‰R`Dę4Ĺé2­ƒOŠ +˙ó Äč +`ڌ°ĂÎp‡*(ô˙ŔOţ/÷ńň@   xEí@9Ž.ąň†$=n^˙ó"Äĺ (˛™řĂÄL`sbߎťźMň€Öę~ŢHŁč]&ŔꆸŠi„¨^E?ŔS˙ó Äč +řîš8ĂÎq˙ăžţ0VîL…Űi†Óy˛•2ía$›‹ŹG ˛Ź‰ńűP~˙ó Ä× îžXaDpo2ý ŔúĚl9Ň#ŢU Ä €ĘMT’#ŐÍü;ÔWţ˙ó ÄÚ îşXIÄpƒAť‘Vvě.ÇT‚.Ž)㕥ŕ†§…;čÝéi­ö ˇ÷9k™ó=˙ó"ÄÜ XîĽxzĘpKŞ~ňˆö;p@uY‚T‘ţĆĐîᜢVh“VČEz-äfľ=˙ó ÄŢ Ž˘{ÔLÄ5žYšçާ˛!Čä{žĐ(OP+˘P”œ”Ö˝@> ŮÔÖzˇ˙ó Äŕđ{JpółfMůzOßč6΀F +v˜SAMŢ7̓?Ĺ9šN+ŕWwƒt˙ó Äă îœ8zŽpu%ŠŃŸZˆČűŐů`ŽFą’ep|%ƒŤ’—„2Œ>-öSř]Ëu:=˙ó"Äĺ @öĄ˜z„p=sÜž +ÎŐú@"9žK ŚbŸÚDA„Yľé/j=ăÚÜßAň9˙ó Äč +Š>”~q5oů`˙€aźŰąŁWÎ'WE`Đ čŠvŞŠč^œoľMk…>˙ó ÄŘ nœ0~(Oš^°Ľ€L|¨Ź3îL\ VŃe¨ľ…hű*šű)Me#F~ +˙˙ó ÄÚá­¸KDp°.OťęźRdÄSŚ*[ŮÄq„§É śąĎˇřs •1?'˙ó ÄÝ žŹxcÄL=*;-Ż¤° ˝0NJ|śđükěÁk?f6Ç).w>âg…i•P˙ó"ÄßТ”~LœƒˇtV°d‹h’,ž5°Ž†.FSsĐ •ň4fâ#ˆőŚě?dI˙ó Äă žŹxKDLVš:đ*˝Tĺ›LŃĺ0BmąÖcwžţ˘ťtč&qĂąy8Œ˙ó Äç  Ö X{Jp +~łB¤(¤5˘~ŮěĄôŃ9C;ôyXByWSČAC%ú7/˙ó Äç +֘X|Dp+Ťßő‘7ü enžěDóÇ`|âaşÚyO}őňřÚĽ ˝˙ó"Äć đ˘P~L—$şŮő€€€¤X+ëŠNh)öÜ.‘Ä`G +󄺊ł{^ÍŐ¸Ţ˙ó Äć ŕP~LC÷}@*śpQ“äFĆŔPôő) e3çrßÜüşx7•pąô2˙ó Äĺ ‚¤x{ĘHCBާ} @+h|#d9ß)c€ňé­ŔÁ˛:ČLbšú›Z_Áůťś˙ó Äç°˛ đ{ÄN żüpgô{ Z—>đĄ†+ŘĐľ°DąĽ›íŤbPM|kŮGS˙ó"Äë śˆ8ÎLS%şĎ}@,żřXsŇŽ}‹~Ј°şE…›~Ż}3…štęč¨űő˙ó Äć ČÖĽ{DpO_ę 7xlíÇůCś% ĄÔ;ăÉDČŽŒý}U¨xJôt°ˇ˙ó Äĺ ś|DL˙Ä:Ŕ/˙rCĹý’‚Ě9‹@ƒ:M5" +şÓçéӒ¸Ő™˙ó Äç +ž”x~L•şöč-ţ  Ó2ÉJ_P4T@ľ˙ĄŢZÁTĽŇÎڜ]ECg˙ó"Äĺ ! +œx{Dq§?ý ígČKÔ­Żě&0 dJ×fK*°#ƒ~]Ĺ҆PHTęź˙ó Äč +ś”xĂĘLcíů ¨&älpysNPŐÁi"ŒWE”ĹĽŸmbsĘe˙ó Äć q +¨xzÄq§ůPheÄeŘ° +Ć`‰VŸ„xŚĐ§Ă~gřŕˆ4Ć~ü˙ó Äç ˛ x|LR§í—ř˛-Čx´<`ălB +ĄŇh×LŻíŻ_tBóóqgb˙ó"Äç +a x{Dp|ŽĐŕOˇ& łEPˇÜ…‰ŃŔ„ŕ’*qš›ŁĐMëJv]ú˙ó Äĺ؂”PĂÄHŠÚp˜ZUb”ě$ť\‚3T<Ú;Š#ĄB‡vňců#ĎóŔ€˙ó Äč + ŠXĆ +L_A]üc€ ŕ +J˛đ<ąœĐŒeŞ_°ŸœŠ]]°§3Ĺg‡úô˙ó Äć `Š”P{ĘNę~ƒ˛ŔŸŕgJ•áKfVĹ2œ‡j ƒźœM 5yŻĚđýąşőˇ˙ó"Äç Ŕ”x{ÄL ˙şŢ _<ŒäŐi„vŮ8Z–iŠY‰{˘bßřQ"~ďÉĘüoč˙ó Äč ¸Ö¤xzĆp?QŤ°51?HLFůĺŽŕb”“ŠŠŇůxH:=S3ĚËJgö} ö˙ó Äč + ֘X{Jq oôn<0"íV{řÚ2βäKÁt1šŰ^Gâă_qdÚE)•ń˙ó Äć Č֘X{Ęqăýý0Ć×j˘î‘+/ ¤ť)Q\Ď\=3›jdŞtâYż5BĂ˙ó"Äĺ X˛˜8{ĘLeŤ=ÉŤX€Ürm€ôf.œ—Š +P(HëOnď yw÷éęďJ€´˙˙ó Äç  ÖœXzÄpĽo?ś!ítĽ äXR(pĚ`2I>ŠlH˛ +›B\ź(r\˙ó Äç đ֔{ÄpŁţW^lŔ :œŽ“L^œ‡`°śâǀÁ áëĆ5rŘť7řż˙ó Äć ą +”ĂJsfÚ ęü3€€?Ŕđ% +—lr6Ŕd“‚ÍéNôśŃŸýBh˙ó"Äć 貐{ĐN¸ţ™@ü XOĘśeő´w¨Aŕ’@áH2QšńUÂáÓ/řŸGË˙ó Äć ¨†”~PJ ň[˝ €.ŕ Ů_ŹłâŐ­7 +ƒ1)đ˘(`%âMŰźm?˜;é}˙ó Äć Ŕ˛Ąx~ +L šp´f˝öfŹŠńäšc˜Đj +aźŠ”ś[˝ç7öżx‡_ń˙ó Äć °†œXfŽHZX@GŤHzL  K€Á#VLŽU0_„ÍÁӉ[…‡g'[˜@a˙ó"Äć + œ8ĘqqÔÇŚ™!P`0h7ŒYđ‚Ŕ"´ Zx͆ě-řˆ œODDź˙ó Äĺಪ8d„L1â}7bÂ@Çpô,dńł +4ĚG8ĐŤ88LP53'ÍŮ3yx˙ó Äč +Xú˜8ĘpŹ’Đ+M—7)Ť˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ŕ +Kj˙ó Äĺ âĽÉDp°>č2údŕ‘†y˜8¤œf†‰†Ň•6á˜ź‚‘ Ř˙ó"Äç +(ś”YDX|RkŠO4j]\t˒Er7<”Ůxł2‘Sœ‚őîúŔź-3žÄŒt'ç'ؓ'ˆ”Y +]H­˙ëÓŠ˙ó"ÄB P†Ľ~HĄmٸŽ§3m WlB_Kƒ"{PŒ‚Šmj?Î6…7VĐ˙ó ÄD ˛˜P~DL=[›śD +< šŕ.BPOŰR”*tĽ*ŁÇ +$6џh}yîá/˙ó ÄFđ˛˛XcDLßň€€OŔZă +XS:¤ľŤ ⌍ď˘nPă­ë9ęő€˙ó ÄI †˜8~H€RÚG7 ĂƓ){L'œ39 + !2U<çĐŔ ˙ó"ÄKČ^™~L(Ež @Ľ3Ľ6"4@Iߛl+ĺiC$ íRU?R.u™ZpZѤ•ÂśGÁ@ņM¨˙ó Äj rŒP~H؏ô€<ÚŔ˝Îü'śJˇ§EżAEĺTB).h +՜Óęq?-˙ó Äl rœxcÄH(Sh;ĂCuĽ÷đ !Œvś ú•€yÜČ,ÇáúEžćţ€@˙ó Äo r”x~HA@Ň eŕžaź4ó(Ľ‘Ëî$gPKOîUđΏ¸VU¸˙ó"ÄqČr”PĂĆJŞT‹çޤŒĹIRZ•ČďŐŔJş{GŃĺe€,ထÉÖ˙ó Äu ‚”xĂĆH<ĚďɤGĆüPŒMJÉőź6ÂĚŹ1nˊ§ň0$Qäţ\+QP˙ó Äw¨r”x{ĆH:ŃňJŢIr^8ÓnśŠ‹ŘüÂT0’ĚL´ÖVÍéĂ°ľ˙ó Ä{ rŒXĂÄH™–’܉Ó° ó¸s‚ŽˆúŸôţ€Ä?jQ§Ö8Ť 菘˙ó"Ä} X’”xĂÄL`ŔYž–-XFŁ _‡čű“î‘Ŕ/ŕoŘKţüćňŰ/É5ÁŹL ˙ó Äxz„(ÎHßH–öî…ŇrtügÔ˙FŒ†lŔ?ŕ[l(ââčóˆó“Q˝E^c­˙ó Ą ŞŒĆLfĘjÄKpÚßâş=i€7ŕ Œ†(Ar!žŹhA|'Łpv}SY˙ó Ć ’˜X{ÄLÓŕţÁŸOž€°&cëb&ŘYnZs8ú]‡Ň[}gă{épJĎ˙ó"ĉ 0Ž˜XĂLÂ>Kôßž€\űGfŃIjĐx%1š#Cˆˆ˘4¨ÎˇŇŽ?ś~˙ó ČŔŽœX{ÄL›%FD ´~ĽÔMp°×ţf@™-`Ţ#d¤ˇÓpž}ş@ĂNM@^ŸŰ˙ó Đ ŞĄ‹Lvę@$ _VœÂ讂Sź2j;•PăćšÖľ;vŕ˛ŔŔ ŒX˙ó Ĕ ’”XĂÄLđăÓ:Ú(t΅EŇ,gx’”˙čŽ}Hţ/ĺd_ŕß ”Ž˙ó"Ė X’ŒÄDLMĆišČš L”z”°Qř"fĄř}ťzËKŠgŕ_ń˙ÂčýçomŹ˙ó Ę ’ŒĂĘLäŘYÝ9!ne €đ9—ŞžóŤż }ŁD6ńŽűä€Ŕ ľ(Ĺ ˙ó Ě莠x{ÄL¸žk‘ +rä´ř<ˆnĽP}o-‰‰ +ŠŤqß-äşŔ°"á$ÜJF‚Š°˙ó ĝŕҜPÂÄq€Ä€1‰ŔJDŽĄĽnD”nÜţ‡ţ4u;n¤xť$0"J,Ǐ[†˙ó"Ä  ҔĂJp)x + —,ČNîýGŒ•ŕmüę7†ú@ Ŕ +ÔřNB!’ˇn-F`˙ó ÄŁ ҜX{Jpâ2x3WŇ(‡/ÄżľDďe`Ä*iŇŔ2Ktŕ’ąÁÔŽ˙ó ÄĽ ś”¸{ÎLŸŻÁ¸P˘tůŘö|ŕW&s Ţ€Ô°č/ʝ˜S?qג% ÉŔS˙ó ħđڔ{Jrůk,™ńˆ5ř)nŁýź|(eŃČxz…{`ÄÄRDédP€Ňš˙ó"ÄŞ ڔ{DpżÎšŐťp—őţ€ˇTŔ +Đh+žDŸ´˙ó ÄŻ śĽ˜cL/đOä:@€&€3˜Ld˜%ň˝;l{W˜[Sy2•$RŢď@¨÷oţŃ˙ó Äą˜Ž˜~PLýOč B fšńœäq‰É 1Ä'‘áű [–~śr˙ó"Äľ 8Ö XdĘp=`ŔđŹ¸‘ź˛Ÿ.VóďŔ5i#„[˘Ë=- 1Őڗýă{űy@ ˙ó ĸřśĄ˜~ +L‹lœŠXóN’ľÔXK‰Í‚[•O_¤Q``#őźŸXŔ@›˙ó ÄťĐŇŞ8LDp€- ĄS|7_ +šąâ°`šŤÎÖŽ?"?•ĺɀíaI¨˙ó Äž ˛˜Ř~JL„Aˇ íؐRŹ™ +‰ š6éhžđsxf5†8˛ŢÝ=  v~Š˙ó"ÄÁ XŽ0~LÓ˛F ÄşĹöŔҤčΉűĘĺ[Cą<‚ßý@ ö˜C$Ć˙ó ÄĂ ˛Š˜~LöI“í8ŽáXŘĺkŽô=y`ż4|‚ý€Ŕ4JŒř˙ó ÄĹ膺XKĆHoÖłŕB9c:9ĎĚ ţŁ/Á_@€@ˀ"ş@ĚPƒ Ś’˙ó ÄČ ÚŠ¸|„p˗grN&żÔŕs˜…Ž‘ů +대ĐyPě…˜Â‘ęŚ˙ó"ÄĘ XŠ˜XNLpOXőkôiÉÖRöAŔ€wŔ'—ŠÚN§qŚ™ŽÁĎŚŸ`ŒJʃ˙ó ÄĚ؊ŞXcÄLě‰RB%Đî6Śťb % +cŔ|9ZrĆ&^9Ř!2… ˇ>gŸ˙ó ÄĎ ˛”xfL\Ť5îc:t€đ JH.‹Š—A fĺ“-†RÂŔ!Ë^lúÎĂ˙ó ÄŃŘƚX{DqŞ‹Öąj9 €Řü4ş)ÚÄrśäÁ|}`° ĹCGťŃMlúq˙ó"ÄÔ Š•¸dÄL1mňPX€PŰ5k+ÇŰĽÖ4!ĹÁüe€”ÂLvĄ[ďWho֋˙ó ÄؐʌXBÄqč\“€4ŕž9°D*œ˛í{:)͡ŢővG§Hţ†qě˙ó ÄÜ Ž”đ~ +La?é +p<°[K‚üIÉęán#h…ß + ŢÄ­äşvÇ`ť˜˙ó ÄŢȒ„(f–Ls˙ęü‘WڋĘe_ĆD˘[ +źĹ@IDN~bśąEDd/[Y˙ó Äá ’ˆPfNL]sŸPîŔCLUFW‡őŠpŸ“Ąi:-Ég1GQá¨ÁS’•K˙ó"Äă (֙¸LDquť~Ż{„peśW„Č\ćv$12ԊÝj‚ű@9Ň Ŕn*˙ó Äć €Ú¸e +p:Kć–R&SŕŃĚ@Üţ—ů×ţ†ü]ßX8j*„Ęl˙ó Äç Ŕ˛‰f +L—mr¤ľi +’tăv­mً_œŹ6Ÿë4 !v "‘Ś1š!˙ó Äç Ŕ’€x~ŽL<‘H!P†ƒaŘUzŹ—ÉŚDŻţ‚­ý”,Çc€$ŞtŰ ˙ó"Äç ¨’xP~ +Ly,€’ҁ¸ŽÚ#ôŠÁÔďčŃp~l}v ÝŤ×cŽÉ +˙ó Äč™xX~ŒqÇ;äPËŢřś.ŠŠţ +ć?!ý` @%óUFŤű˙–VöçŠÁ˙ó ÄĚčʞXdDpˆŕXô§če+, ÚČ/…Ö|Ž]b\N€ČËžĚEř„˙ó ÄĎřŠ„xf„Lh(ÚRX¨˙ö‚Ć œ:9üí¸ Ń0‹`4 +#GHvŢ2+9o˙ó"ÄŇ HΈxL„pŠKůh׊‰ĹĄ6Ë0lÉ#ewoŸńüžŰř†fžŕ‚˙ó ÄÔ †xPfŽHĽę39šËS.§5H$ PŔ,Fś†×ŇB +ö)%XÚIRA€ťm™ţ˙ó Ä× ŠxPfJLęë-ĎĐĹçú@!BŕŰýÚą*ŤrŇ ÚG4ô•Ă“Ţ˝•˙ó ÄŮІ€x~NHs]Zx2=ż“€`í“ńa%Ő<™“ňÖH4a` 6óką­Őgň˙ó"ÄÜ +𚍐f Mœsň`”Ŕ˙€$ ´˜a[łsŕžŔ6@ó2\ňU-ŃúĄ˙2s˙ó ÄŘ ŽŞXN +L:KwE`[]Í;8÷Ołœ‹ËĆʐň)ˆqž!ŠÄŠň¤áđ˙ó ÄÚ ’x~šMxy7| 0štƒč @:—˙ŕYŰ5 A3!ż’M ˝˙ó ÄÜЎ„đNLÔŮNS’Ę€âŰP"Ä ]ľŠ,BN@'z4xw†ŽŻřU}Krœí˙ó"ÄßŔŽtN–LmG羀 křЙf•‡ôÖ6"I”„¤ÄHlLőŇ(ĂŇęŹÎ=˙ó Ää؎tČfÔLl ţűú@i4GÄ | ,O-Ą§ÜN J:#„Y\ž÷ł¨:$ŢËď˙ó Äç Řډ¸4Îp•ťé l耀`žˆ‹4É>…ňŕď|T6_Pú<ŢđÁ˝ęŞ˙ó Äć ¨Žx~Lě˜>Žé€ť`p +$󝬹7ŠŤ”–ŐÔŤ-VuüĂ&ö‹Ź —˙ó"Äć +ž€ČfNLHhIőžůPÓśĐ\?FőŞa֔äŠÜůü&_¤W{|˙ó Äĺ n•¸6(—îú€°Kđ’6ŔöL›ÇšóĹ>ćœKÏ|pwŠĎ›ŁŠÎä˙ó Äç +n|xNb(ţÇ`€œ dBĘa×$M Fő¸ĚL0MtúĘjśš,pűŚ ”˙ó Äĺ žpfZLsúkőCDŕ€ŕL˘m3ŽguYŰÉHÝ! ńËÉB [L†ł˙ó"Äč +€ÖxxLÄp›ýâ0 lD#Ă`ë•i%pJrŁIP?Š`Amü‹W…ŽâPÂŮ5ţG˙ó Äć ஁¸NLůšî°€lśâBˆˇk:cAFs˝ĽœL>č¸fqPd•äÝ˙ó Äĺ Ś…¸6 +L“˙ Ůń@óäat‰Őőf?',‘ŔŁk!HmşMäFQ1ÜzDő˙ó Äç °ÂžX3ÄM˙ŹAlAiűoŘőFé”D9gƒ™nS˛<Ĺí 0ĺe:~Ł˙ó"Äç +Xž‰6MEYěťŕŕV ˆ|f”Ő×!ܲrš\2Iă²˘$Ž^C•şřLî_â˙ó Äĺŕ‚‘6Hőy0,3đZ6.ڌ4€ ĂhŇ4Í1ĎafŸZˇóüéűÍ˙ó Äč + ž|¸NLŇRa3ÎŹěYţ†äP2ăŔ?ÇŔĚx˘ć`”E@)ÔXĎj…€˙ó Äć ŕž|¸NTLb–ň12ȧRö”Ćuhť}úŔ@ +ƒĺC“°pqVx4SýÍ,ó˙ó"ÄĺđÂxNŠLZÂśn$ŔĂk;śŁ˙ú$ ĘXJ‡lĚP%‘zËěŇí&Ę%ljń˙ó Äé +hžt¸fTLťáýÁm–hĎnoä€x?1ńI"oA)›P +!ˆ¸‘@ŕl tßţőľ4˙ó Äć `†pfRH;­Űűu-×ú€@`*Ye–ż§–üšŠźö7ěνľR€i˙ó Äç +čÖ}~Dq3vʎň_‘„ě6<󼶕…Z—$ LßősC5żîÎćÜ˙O˙ó"ÄÖĐv€fRHĹhý € Ŕ’˘lLdҙšV‹ěl% +6F6‡B\uN}FšlR˙ó ÄÚ r€Pf +HÎ,ô¨Ŕ&Đa–áç“@ńHmę9ńp4 ËŢ}"§ŮzÜ˙ó ÄÜĐr€P~PJ-ąI–(’Öˇzaśě,Bűô`Îœœ$ÔÖF”’Ščśgż[žř ˙˙ó ÄÔ ňŽXaĘpâ˙đL€pŃŸSË"Ŕăpe°›¨ÚT0h›8.ĄÝUpˇţŠ˙ó ÄÖ Ž”x{ÔLuˇĹ +ôH +ocŽŃ„áAbr¸!˘ŠÉşŽÄdLVßů˙ó ÄŘ°îœxzŠpˆŔc€ä%Ő뚘ˆ +ňp’5ňP_%˜{â鯟-ëţ ˙A˙ó ÄÜxŽ”0{ÎNüc}Ťü +ńvFŸ†ĚrřG%$4ŽŒVłVľž´֝Gśf˙ó"Äá (î”xzÄq˙¨ˇČ}ŽÜ'•šg-űF´*”‘+ĹŕWиă_Î×2†Tű1R +˙ó ÄäřîXzÄqŠޤ> č|"R„Pä} Tk`beÔĉr›XřQŤăp_íA?˙ó Äç +îŒx{NpPź;KuÚń+sŞĺ +ܧăC*•Ź-:VX˝Íž*O(WŰ˙/˙ó ÄĺŔžŒX{ÎL“ءÍ6°„€ ŚJ‡śĄŠž&ĐKHŠÄş¨çTbÖ;Ô2ArĐ˙ó"Äé +Xî€XĂĘp7ŠżÄßbŔČ˙yNӈçNšś‡méĆ}RF[^÷´ş¨ý?řĐĘ˙ó Äç Řž8~ +LÝSý` h<]Ćł”Rˇ$ud6ŒLBöÜcę˙h:F¨˘ëôŚ˙ó Äć ŕˆ0ĆL0Oş§úăÚŠÍŤźö>ŕ„¨`‘}˘Š*UŹ\ߌĺ}*˙ó Äĺh”X{ÄL§ô€ʈDpÖ Ž@ŽÂć_„8IĄIAŠZę”ţĂQĺ?w˙ó"Äę +ྀÎNCĘbOGé˙~ +‰ĹJ!Č6’đKԗ$hč1í#^ˇŤŢÖ0ţ÷ř˙ó Äć Ў„8Ć +LŞ,Š:˙w‚ŞE +P› ¨!Ż‹’¸šŸš˛ ýa^tQüCäř˙ó Äĺ ˜X{ÄLý/ˇęö_¸ˇŁ•Ŕ1ŔTA~L,D #ÖuVşáE° ˘é˙ó Äç +ŒxĂĘL|MŚ˘@řc´Ý‹ =$)ëlŐÂl5ÍĄŠ´nŸKzŤpÉ˙ó"ÄĺČ~ĂĆHś;E`öŔe‹YXé<;ą$TuÎIA&Ę?—ýÝpĄxŹĄźQ—ź˙ó Äé +€–„8ËĚLUtPߐ˙şđĂ^ę9&kÉźő6Ô›­­áq*œ/ăwz˙ó Äć žXĂĘLpĹDţ€‚čwăÎň)„éŔŇź" JEŹ§rŹEč‰Ęçů(çKŰ˙ó Äć €ÂXĂĘLý ßý(žżx°@nž~âS-9†‚d,Âń#ӌs•%ą_łËNÓ˙ó"Äç ؎ˆXËDLˆKhŸŠĐ_ô*8îÍNłEŕŇQ•ĆFĽŔZŸßďĺCí ěÜ˙ó Äç XžˆXĂĘLŕÎRŸŇ€`ĚڌAj¤9š‘ƒ]ň$ô6 |~‡jĐp%'PŸ˙ó Äč +@Ž„XËÎL¤[ô€€n´n2< JLš”çrL‘ Uą~ż/şć’M—ä˙ó Äć  Ž„ĂÎLM’%ú×eň¸j$j”ŒÔ蒋 żăťĐŕ÷~#÷˙ó"Äć HƐXĂĚqŒwçt€Řiéz$DWiQ†!ĺ}`fFţőĎ\#B†­ÄŸ[˙ó Äŕ Ž˜XĂÄL)#ůí@€BŤ€3ĘvŇŻp…PŤ@ŽŃü ’oBNŁABĽľ:|g˙ó ÄâřŚ xĂÄLO?ţ°Ŕ߀7U朏Œ°čąű!übB9“’™ß0b’~ä'˙ă°˙ó Äĺ Ž™˜ĂÄLúž˛ĘtVAÚ@Ŕ›0ŘÁcĆ$YIC×Ě# $9AžSĐąm˙ó"Äç +PŽŒřĂÎLřŸî‚žŘXî xő§&•ýBGşěşń ŕdŽő&M3÷,/ÝřOń˙ó Äĺ ޘXĂJL~Ř1˝ Ř íąrJ”F@%Á’Œ””ÂdĽ‘Ábr§”Ę…[ŸöP/˙ó Äç +ŽŒ8ËĘLń´ó@Ŕ ĐŹ'ď. +ĺQ4ćagpŇž6T<^nÜěŐVíű(&˙ó Äĺ Ş¸ĂDL×㺀€ +ŢŒŁąśŇEeôŘ>Ś@uô‰vs]ç\Ľoű w˙ó"Äç PÚŠ¸{Lpy´ ęwő€€ +ŻĹŠj8Ą—Ă0ƒ:72ŰçË}[üm˙ó Äá Ö­¸yĘpôƒśrťŞŔźFRœ +dŐdęóHZĚVŚ*–őaŢIé$w˙ó ÄăŘ֘ĂDpů÷ĽČsţŚ q‡T *é‹ vţ îŔ0ˀùĄC[•ŕđi˙ó Äć Ř֔8|pEč„TĐąA8j”üí‡ôř1—ä`Ňű̐ă¸ĺ&ĺăMâfT˙ó"Äĺ ÖĄ˜ĂJpĺœ3ΨY‘ęŁőŰúâßúŽú€(@]ëö´20ř8§ĎÇÔÚWÝ˙ó Äč +H֙˜ĂJpků8b”boË{ĉ‡s_@€SŸŤä.'šdÜvÍqĆŢ]\ü´ů—‚˙ó ÄĺČڙP{Dr˘Í‹}›űdi#’ů Ŕ € /rÂř„ĄôL~ŔqBA<ˆă•˙ó Äča˜řĂĘpŸřöÇłQü;˝`ƒŔÔĹó¸ö9ŃŁîŃźÄLBÂţž@Œ9Ôúř˙ó"ÄŐ PîśXaÄp˙=Aę5`ƒśđŮAšü>[Đ1t†aԇqť4˜‹ŰŁřł|ě˙ó Ä× î °{JpęţÕäŔŔé@ž+€žS–‚âÜe°O{‹yÂ˙VĄ˙R3˙ó ÄŮ莡ř{ÔL Ęô€ć ¸d9úź_ű”ś–ŇAŃĘ`'—+řb[PŽŒú­â!˙ó ÄÜ žĽx{ÎLçƒBl–cşG”Ÿđ>˘)vó˜ěýţV‚gŰ×ýń´˙ó"ÄŢ ęŞ8b„pd.QِŹ€a éH!Ťgą\őŹíĺxX5-Ýkî˝wŒçşx-âŤ˙ó Äá ňœ°zÄpR6j}Ŕe%˜D|哒ę6ˆ ÎĄdźî…\Ţß˝őoţƒ˙ó Äă {JpţöŔy?)‚°Ú -w%śAĺgĎWPˇ2EfPď¸H™˙ó Äĺ îŠxaÄp-+­˙*E˙ŔeźÖ„(zŠ"łpüÂTš:B¨×8ľi]w­¸?âPH5˙ó"Äç Ž”~ N†W[žXě i&˘lˇ"c2:űⰄ…N9-R?ŢđQ¤úżz˙ó Äăŕžľ¸KL ۑîúŔIźč“Áv.OÉZŮ}ĺäĂGQăÁ9PÖŢ°Î+ +ÎĺŹS¨˙ó Äć ؞œX{ÄLţ[n ćŔn Xô'ŚšUNHb ąŃŮÚŇŚGvŃ´ľD˙ó Ä奨X{Dp__Çç¨ífCÁó+2ó03ÉŁ`iĚˆ’Ĺ‚›˝ĐjfŒ„Ÿdgŕ˙ó Äé +xŚœXcĘLŸŸoúT€߀:ô›>ŕŠÂ),:pn‰Ae$PIgzߡNŚT˙ó"Äć °žœX|DL:6 +ô¨€Lŕc5 +Ž­ †5…öł>KĐ›4ĚáŽH,)đg'¤(ž˙ó Äç +ž˜X~LŻ˝”á €K˙ןŽ ŠX Ŕ–Ă#ŇÄÔdęďĎp~5őkŕÚ˙ó Äĺ Ś”P~ +L˘j­ŸP€€ö| ˇˇHł{@ĺU˜Ip},œ5˘‹+9ԏG՞˘ť˙ó Äç +î”X{Dp> +ŤowÖ MżŒKF#ˆłƒNępKÁÝť9ęز`›A}¸6źi4˙ó"Äć ř֘X|Dp[´RŚü /ßZŠâć^’ä"{ą2šłVëČŹŤŃľMu4P’ Ö˙ó Äć pÖĽ¸{DpŢ˙¤€˝<îÓ;Ń3:cÓr" W]3çC9†őq¨ D(„bń˙ó Äç ŕzŒXĆDHşn­˙-_(ů/4Őхă Âq„´‹ŒÄéŁsđjŘĹÝź“ ˛˙ó Äć ¨Ö x{DpO÷|` żř]vŘ?U§ d(Ń[W$Ű˙źkƒ9čŃ.~•pu˙ó"Äć ř֙|Dp }ł‘ +(Qův“1aßIH‰0 éœŔ˜|bIŽ!mU]Íę7™}˙ó Äć ¨ś˜x‹ÄL?˛˙˙ŀ?řpf„s™ÎOTDqĺ/FȍN†|x5XťŞŞ˙ó Äć 0~˜xĆH°ŕ,đ,!5|úɧKgLB70%E„Ďw¨XWĎ÷ ˙ó Äč 芠x{ÄL;Ž‘+°v[„”řlčăŁ]œVm‘Bľ­ţ™K đG>ť‚Ŕ˙ó Äç + +”xĂDq|‡Ë$=8óyĐuƐ)‰pîpÂ) ?š”ď÷­{áWă˙ó Ä娆 x{ÄHĽťô저 đ <*/V×PXäcóPÚŁahôQçO=jŁ˙ó"Äé +Á”xĄq˙÷Œ +ýĎv†Y_ĹëG†D‰âđ䪥˙ăƒ' +NÜk˙ó Äć áœx{Dqˇý Aŕ ąƒžľ†‡ĄŮ0ŘË(UaňcŇÍ}_ćĐ´BÄâýźw“˙ó Äĺ Šœř{ÄLĺ2 Ě PNFH¨żeóç ş°ĘŒ’yÄv3Î-ăśźń~#÷Ž6˙ó Äč +Š˜x{ÄLžţĐbş€°;”Ü‘[ÚtWBźs–ĂŢHy|”‰­]Ië6źÚ|ŕ˙ó"Äć đÚ¤xzĘpßB605vO‹łeo¸“°Œđ3˛ĚDŰO˙sšI +ôÖsˇ˙ó Äć Řڝ˜ĂJpEË€ my\›BPŁ€Üa W ŕÜXlZ:ŢSÖ˙ÂÎ>ŚňSżA˙ó ÄĺزœXĂÄL?ÁNڀ~ť~“Fę ™ŁÄC‡sw*×ášh˙ôžw.Á}˙ó Äč ˜ś”8ĂĘL`‘%šśK`` ˇE}¤Ń(ˆ0–Ë}Ň Ş˘ŤĘ9‡sK”@׾˙ó"Äč +ÖŒ8ĂÄpżuň@F0”L3Ԅ&i78ôíşž}%5Zuvô9Ä5%ż˙ó Äĺř֔ĂDr7šCÝý€PĽ  +ľ?l$¨ +ĂťRâuȏ pĺďôfFOYdî˙ó Äč ȎŒËÄNFš(G?5/ =LJh€dk*-H™fÍ­řŤôÔńĂ_Lh +ńŇM˘ř˙ó Äç +ژX{Äp˙GżP­ZěYă]N4ôŔM´IňgáL6ÔŽŹjŇ÷PHQ§ŽÍ¤˙ó"Äĺ Ȳ˛XcĆL[E܍2 ‚@‹łŐH˜Ť"’eO¤ĘČş€4- ÉÓj}⯃q‰˙ó Äĺ ś˜PĆ +Lümvt€€ +; ‹+ŘJ÷8ű ŘŰ‚cîë{˙Âo˙Łđń˙ó Äç +˛ˆ(ÎNLĄ˙ęöŔGÓ¨"Ô¸xŢ7Ĺ´î4…ą4¸KŠÖžÇĐjőÁŃŁú˙ó Äĺ ˛Ľ{ÄL:~§.€vŘÍNJE,=ÇŞMƒ¸1U–7˙đŽ]­ősĄ*˙ó"Äč 趌0Ć +LČ­Ôťú€$ř ŹŢźNY @ ’Aŕ2…5 ˝QţţLoO•î‚ž˙ó Äč +rXĆHFęÝ9ô€ fż-)nL×R´ÍŽH7ˇ9ݘ('ôť—˙ó Äĺ ˛0Ć +LŻćֈ‚†­ ’Yƒ1zքĽQ+°şŤÝb ’ęľ~ ą™•ŒV}˙ó"Äč +xśXĆLY™”ôœĐĄ‰P‰Ž¢gż;E– cƒHů{Óш’Ţ!ű˙ó Äć śˆĆLÖPçÉĚq Mţ/$0Ş&˛)p§‡$1:‰9§ ŹŔ;ĘѲúŽÚ~˙ó Äć XžĄ¸cĘL‚~Ţž„) ^ĄŽ€HŻ,ܲ‘#7ƒč—÷§†âkGr­^‘W˙“˙ó Äç Řś•x|ŠLü—Ň­ ę傿kY˘Ôś–đ”€ěS ‰ źhű3œëł%­CW˙ó"Äć ¸Ş|ĆVMűV^KHd€Ě1Zćé72*°A2ŻĚ“ŚŻ=bz Á*šĺ:Eü˙ó Äç +xŠcĘLľ,Ɉ0 ‹ ľ“#,-Pő9`OŠ%řË&˝Ş<ÁŮžu¸˙ó Ää ž¤xcĘLŃţ#ْČ1ŔKaUrîËÄuĹŹ&ꏸaľ‡ąř^ŽjŮV6o˙ó Äć ŕî˜XzÄpü–C1ôĽŘ +$jŕĽ u8Łtů'ťn/1Ąçɔşž‘˙ó Äĺřž”X{ÔLţĽśh€'•Ŕ<„űmýö˘-…żCĘžő4ţßç‚11ֆG‰˙ó"Äč +žŒ8ĂÚL˙Bš„€Ë$ Ç8Ĺ9%o^#´Ý‘ÂĚMWY坂¤QjšŹť˙ó Äĺ ž”X{ÎLĺôä @ +ZätWÔ]Ĺg˘'?ŻQ*vÚŞŤD09”éNâG’˘ş˙ó Äç +ŽˆP~L.dr/ŕ ěËź–+Fľ”‡ŠˆX‰čo,˜Šlu +ŃZ߂˙í˙ó Äć ČžX{ÎLčô|mDŔ´ˇË!łľÎ™&‹ƒ4=2á„X:ôÍ˝R×ĹÜŕ˙ó"Äĺ @žŒ8{ÔL÷¨ůŰ÷iđ%!ĎRŠ@Žłc3É)]tîE˝jߊC˜qčĺ˙ó Äč +ž€~NšţKäčU°Ü­¤…#Ů+[CĐX°ŞÉő“)ęMn˘`´Bó|ž˙ó Äć ž„0~N˘> ˙ŕ_‰,‡Šş¨.e)čĺ¸^ř"‚5}TDh/ŻßĐMÂ˙ó Äć ˜^„8Ć(´ŠírÓ(´ "ŠĄ•f v§ňp"éF㞃†űÖÔ2aÄU˙ó"Äć ĐîŒ8ĂDp}?ř˙—ůČ0mŽC¤\D.Kr;–RŐľĐj˛TŒôo?˙ó Äć Ŕb€~(¨Żţ € ґTŇ`*ö{`ŁFAÔĆ Ńźhv…-˘@ř0b!6’˙ó Äć ĐžxĆLÝ~Ÿ!ô€ V;ŠŰ>”mÎ÷%Á´Ę~ĘWY´đúŢmĄŽˇ˙ó ÄĺŕˆXĂÄLâţ€śŔSm| ş6<’(Ř#…ÝF +RĚź‡EZ…'{Ý;§Gŕ“Ó˙ó"Äč +xˆXĂÄLăę{7xĐŔ +׀čL Œ +@qJL@ŽUŔ÷‚/yžű}ć$ói˙ó Äć ྀ8“ĘL7âßŢ$ î$)°’`œË4ƒ1ÁÔř]F~(ľ[`¨Ě@’ŠÜ˙ó Äĺ Œx{ÄLDř„öOЁŃP#Ę ty>.äe(:ĺqëî4]šŒÜ{˙ó Äç +žx8ËÎLz ÷Ĺ_ş°! ř/‰4ä…+%Ş+5EOĹiŒ_í˘ÓŚćjłpż˙ó"Äĺ ŽxĂĘLúŠĹ´€˙c¸űE0°”ý!‰ˆ–22¸/dë+Ęßš˛5ăGVü+˙Š˙ó Äč + €XĂÄLmŐ¤˙ŕe‹Ga é +ÁźČ{ç3hö,!+3q65A¸_wá÷y]9˙ó Äć ŔŽ|PĂĚL<[e`öOő…ź!$Ptđ†ÍĎ&ťŒzL’íSíYB"ę rq˙ó Äć 0Ž| ËÎNWţŘýştĆe“=^H!L30á÷3ˆÓ)™–ŇjoJÖŒ7˙ó"Äč + Â€XĂĘL +Żú@üźćrjšJœĆBđ§(¸đ/›ďvVŒ{ź/÷÷˙ó Äĺ žˆxĂÄLŮŹ˙ŕjŤvn`b Ifl}Œéů´öÜ<ë˝őhRF߇ĽGÚ˙ó Äç pž„XĂĘLśXüŸąđg¨2.‰TXâDă‹!!HŞIĆĽ:ą_í Őwâ›Ó|˙ó Äč ؎€XĂĘLWNĐśOjeDÚS6)\Čw +ڝ¨ÁÖCr4rľŮ.9pżëÇ˙ó"Äç +Hž|XĂĘLZ2ëE`-̖m¸Š ˘\[…Ę‹ +ˇ¨çĄ!Ÿzę. +¨eáý˙ó Äĺ Ž€XĂÄLZƒý_"­ n>ůNUŮhTBD‚áP+ˆł`Đ™Ú T—ç˙j˙ó Äç 0’€ËĘL‹ěŁ]€vOŕČ&‚ƒ ^ÂđŰp5|\ěťfŐu€gÓą("ž˙ó Äé +˜’|XĂĘLSĐŇ + śH¸´BŚăXŸB\jdŤQÖEš—3\œč1ŃŠĹ˙ó"Äĺ辄XĂÄMč&Ś­ô€đ> q†€ÔĹ],ađp.ÍăąáűAŐ"ÝŐÉ6 Ţ ŕćL˙ó Äé +ž|XĂĘL/ţ‚ďţ!˙ÉZBcÁšGŘsCĄçš%ĂVż: +ľegÄĆý˙ó Äç řžtxĂÄL´-Š‰ˇp‘đyńDËšJ +,NěrŰRĆĺźUžíĂüČŁ˙ó Äć ĐžlXÄLŘgy_ Űmőś‚p˙łĄ! Ŕ€XXdH’óĄANOĐśçƒ˙ó"Äĺ 8ŽpXĂĆLqQÇ˝îf_ɂ@ "ÓˇáśţRq˛d?F)ÓvQgXŔóŹ0˙˙ó Äč +žd8ĆL ŸĚ:Ť(2čÖp ‚–Œ´8ÓR崑Ęć$,5ů’Ć 9–˙ó Äć ŕÂ\ÎLlă†kсyĂWhwžnůÉ"<+ţą˙Ţ-fâO@Î`ƎÁ˙ó ÄĺČvxxĂĆHA-atJ´ 䜦Š%łÜ”DΡź_EˇőăżH€8ŔłŹéŹG˙ó"Äč +0žhxĆLŸhďp€{ :%'šůĆIŮ#÷A~úńżPŔcůj[†I˝ +jÔ˙ó Äçń€x{ŘpŢ ř‚¨ˇbֈ5găţh­2Jţî°Ąŕw{ԝőTëÉ ľ|y˙ó ÄĆĄ€PƞqśBMFVÎÍ4VڅúÔGľŁ8wôz@ œzüą°—EůË ˜­˙ó ÄŚ (â |ĘpŠÓdžÉ‰§ě ŽaNhĺŔ›ˇŢýÄ;ËDÔHyŒĄĐRP+çq˙ó"Ĩ âś8{Dp×˙Eę-™°[ë;­ €'P”ă˘ńIuI>ű@­Ž‘ż­ől˙ó ÄŹඤXĂÄLs?řVőuí6†¨Î’âŔÜETBÄif¨ŒśXT.Xń彟ÉÂ˙ó ÄŻ ÚœXĂDpżKÁ> +#ržź"÷A'Úd% ÖÂŐŠôôĽßüďöFŢ˙ó ÄŻВX{L%qŐ_Ëţ0^/h6z´& +„ÉĄ0Ě^†é* rtB˘čœ.Ťü>˙ó"IJ X֔xzpg¤€_%Ö'ZÚ5Ąá¨OÁ’Ž›Ó˜(˜`iĂś‡Łŕ°ů~]˙ó Ä´ ╘bÄpTKÇ5ş>ĎΚH$Íö´čéČŁ:ĘČ&“Ő üFĘ´r)؝1Ĺ˙ó Äśč’|{N?(‰ß1} iúŻ‹bClwĺÔ@^7Şlgb…S„ŒŒâ^J—(˙ó ÄšŠXJÄqx¤ËN4ĽyŹÍ˙ ´‘ß÷ýÁú‚â&ŠăŠPŁŞobżńń10Ŕ˙ó Ä˝črŒ({HŢ#ć„üŒ“PŁ.p˜đÔ É×Flěţ4GŕŽÉű)€ d|˙ó"ÄŔŮp(ŢŢqî@LAš%­"€řŁBm´DřŞsů™ęŸŕÝр@aýő*ź˙ó Ĉ +á”(ÎNqšĚ8°šČ Ťú†ŠQ]sÎ\ńŠÍáYé +Üýé$€BGšośŔ7˙ó Äw şľ{ÄLÔŤă#Ŕ NŚˇRď”{xG +@űŸŠůę €Ăp,^Ţ%€ #t˙ó ÄzŮšz„qŞp( ™HŇ÷KA“ů™8'č2ˇŃ˙ÂéLœ ÉüqË;R`6Í˙ó"Ä} ’˜0{ÎN367G^ČŹďÂëŐýŹ´|Ďţú`€ @6çpČŻ¨i;A“ţ>˙ó ĀؒœřzÄL¨Ă]§!V/đŽÜރ_÷˙ ‰€„Fŕ)._FéŞU‹C#`8šÉ˙ó ă  +˜řcDpWm˜AŸĎ +×ÝŻ˙ü[şČž`fĹBIDŒeŻČ>ŽňQĘňęW˙ó Ć !8{JqȂ9—)ٜ˙Z€ŹŔrHkÔ3rśžTĺlm(  ŕX .ă˙ó"Ĉ Q +‘˜bÄp'Š=łÖęKd29‹4eĐR†I8Ł|ÜÝJý^ŁŸ[­žvœ'ńru˙ó ĊéŒŘyÄqĹ­_Œ^ŮÔ(ĺI=耐HžďüŤľiäś1[C5ŚmÇŁŇ˙ó čđžŒ{ĆLuľœšĄÔjmB˝FĽż@đ˘ /ďń@ŹRŕck(ÚÖU‚ĽÂ˙ó Đ1‘˜Ćq_( +GYÓ zůÖ|ž{ő˙ŃżĆő€Ŕ.Ă3 ŃŇ0ąâ÷°!˙ó"Äf aşX{Ęq „Œ5TźŤ•lâc˙Lęq`Šŕ|ç +ćsNŞž;”˘!ٍę˙ó Ä\É˝řcDpž×cüágŰPéAqoyČą@ŽĎÄ@šk;l-T<1ęĘŞÇg˙ó Ä_ŕŢ˝˜b„pń4§Ľ\¨ýM/űďöý×@ T|üĐ8IÔ +Ź4b¨FN ç­âÄ˙ó Äb ’ŹX~L +Ŕ-ٓÔdňďn.ý@@×Ýń€Úĺƒ"¨?îiÝ + +ˆź˙ó"Äd Q¤¸zÄqŚtP‡•<˝‚ŕŔ,čhˇ¨ƒâçĐľLh$]@ĎĂňW”˙ó Äf ŞœX{ÄL¨>îË°ŇŞrŔ€Qđ1Ůi˛> ÎC#|0–A™â +皹WÍő5˙ó Äh ’œxK +LŠńƋţFd €ƒŠ˙Ŕ,›ŹH€˘ ™F„ă QöGö5‡rý'ůF˙ó Äj ŽĄ˜KÄLĎŠęś"xŠŘ7B8^:Šţ˛ţ;oMřĎłŘCF4łä{˝ ˙ó"Äl 0ڙ¸aÄpĚ?ŔÜÝOŠ4ŞPÂhݐF‚š&„†ôŔ‘ÚŃý›˘€ěĐÖÔŽ˙ó Äo ÖŽX2„pż˙“=ďř G€ŕńyhamÝqlŸ™Ź–°ç‰ťc¸˙Äć˙ ˙ó Är˜š”{ĚLýż„4€(aÍ\5Ť  xA‡ÄRĺäÍ Ó€Ž#ţS˙˝I˙ó Äv +ŠÁqđű¤€Ŕ8Kľ+tÇI>6†H‘ŽŁ@¤4Nübĺ>•:šjí +5˙ó"ÄlÉÂ8yJp\3ü>S!éżóżŕhŔpŘë˙^äH&›VXbPHŔ‰TŮ# `˙ó Äp ¤¸zŠpP ŠpńéuŸźăZ^ü/ÔÝSř'˙N‚Ŕ˜ +p=šĹĎ !ƒ˙ó ÄréFX~q%×L(óşGÓ0Hçšőażo˙A˙ţ;ťX@ĚZҐŁ#Ć˙ó ÄY ­KDq…A‡r6=Ěź­řv1_č?˙¨§Ŕ +4ŽŐÝ ĘŔpÄĐ°SŽ¤˙ó"Ä[ )ľ¸cDpLŮŮfďžü+ž˜ţOń˙X×éŔ%]R]ńÁ0!Š‘X¨V^tS˙ó Ä^ ŞX\Dq:”őđŇuO_óúŒ€(FôR lŮB7„˜üíĄtgđ˙ó ÄaŔ歘KDpi˙ÂżĆ÷˙o˙‰€ +ˆ/†í%ŹRŤ ć]HAh#<Ż’¨0~p˝…˙ó ÄeůŠ˜cDqQߊÝu€1.Ú˶ޏdÝŹ +8ź}žŠ—›ub؞?˙‚˙˙ó"Äh ŚXJÄq§QUkĐĽ,ZŚŘ +MWŸ.\|ŔŔť_™?‚€#’lgä}ň˙ó Äl v™˜^ +H@ˆ2Xßp€qnPٲú‚hBŚ"Uk~|Íźvóˇţř˙çę3€˙ó Äo Ľ˜cDpO ˘,ĺِŇLe ö} ÚĎö:ţż‘żë¨úrŘŹg­˛˙ó Är ’žXK +L{ˆ€zˆ—UX;Łł–ĺ‚@ßýzçš_hśy?ĄoO¨Ŕœ?Yűt!˙ó"Ät Iś8cDq%mžJv`ű‡×W¨ăřŢA˙ý†ąş*¤Ŕ8Ŕž5”ůĆx˙ó Ävđ揋Jpőăę¤ĚŔ¤<˜zoCÎ7†?Oýă[oFńî€> -¤!ɓŠs˙ó Äy莠~LpY#%–ę:ţ}ěźöć9n€š˝ ~ˇvfŔ -č|žD&BŔ˙ó Ä|đިĂJrg˘s RŸjˇR­á)ö ěĹŤ ŔÂŢÝP$™ CŰç˙ó"Ä 9Šx{JqíKÂQŢÔéč5üů…ůsőS§0Ä?ő“ô̂‘V(ű}}6ě…O5ËŽv˙ó Ă ŽĽp†LŤ2˝‰šŽ˜ţ_ă§wd@‚jü^ʟ#^"Şç¤ ąQć*QľO˙ó Ą ˛8ZŠp…ýż/ăŃ”¨? ,9^ÎŇN’‘“I!TŤn ¨ĽŸQĺďżü9´˙ó ƸŽŠP{ĘLŢhvžý<ސ€€{œŰľœ&pnŠŃ|˘ç +ň…ł;x™˙˙ó"ĊĐŞ¤(ĆL[ń3+F.€č¤|"˜Ę*d¤7rjÓHhíw};Ă~9ô?×Đ˙ó Ď°ć´¸z„p@ =@Ě(Žh˛kb2B˛†zŽĺßÍńŰÚ7˙Ő_Ćë˙ó Ē Ž¤ĆL€?€ś wâr˙.Ž“4 ËP—&§Réđžű~8ľDňŔŔ˙ó ĔřĆ°ř{Dpęqł¤ĐŇ˝­ÔŚGM8Oš-: +á>ďô˙T—Ĺ8€ +­˙ó ė ŽŠX{ĘL€^ΒDĺ}ŇżÔ˘ĘWí(MOóufčOč!ˇ@€ÉŹě‰@˙ó"ę śX{DpC&Y>× (#ž-n(őśßmƒř§K;ŚôěѤwç(ć/‡Ö˙ó ĝ ţşXz„prež&`œ"f)FäoŕţU÷ˇý;Ď ěţđř$ä…ŐÁ˙ó ğé­˜z„qŽAŃWc:››+ŕ'Ě˙—ˇÇP&Ëĺ€ Ź +5P!†.–8™Ô]ë˙ó Ģ¨ŽžX[L׏ÝÎóŁq đ7Jżظ‡'›Đ€‚“ţ¨zĄKÂhĄ`Â2?„W˙ó"ÄŚ 0ŽŠxƒÄLSÇöÖ>On…r~*œŽŒ[,B&†T¸TńˆZdˆ\2ŇY’˙ó ÄŠÉ°xyÄqr +"["ë l! $h\:wˆg?bS ŒC$$ŃdF0€˙ó ÄŹ {Jp°^ÇtY|ŹZ—˜Ôý–ËOŚ™tŰS˘G:˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó ÄŽřŽ¤8ƒÄL˙˙˙˙˙˙˙˙˙˙˙˙ţ ćŔ|揟ä¤WŻKĆ{ËR4ŞÚëÖż&˙ó"Äą 8ĆŹůOŻÇÍäsŸö÷BiGčśĚ6ƒÁš€€C &%xZ Ůś‰faŠP˙ó Ä´|‚™ 3÷n†›ü‘/ł˙ýń˛;¤€IŔZxŚ@ ʆ÷8Ľŕřą–šO8Ř˙ó Äi Q.´YĎ8ĎoWɘ%ż÷úž˝¤Úë€fé}>2Ǟ‘Ď *Ę€ Äo˙ó Äb b„pütŕ?łůS/ôԀ€gŔ-÷´ Hß +Gą¸*XŢĽ˛‘ţó˙ó"Äd A +Ź8|p˙Őˇűx­@‡1ĺFOSTí,đ‰ü: 7•É_×÷b?˙ó Äg¸âľ˜zŽpíůoő€Ŕł°˘ŰÉ"ŃŻŚY.zçĺŕĽn7(˘™–—=IGt˙ó ÄkŮľ¸[JpwɀŔž€Äg–˘d^iN9 ämdÎ +ÇŹ˝[˝ú$Pí=ř‘˙ó Än âœÄp€'€{ó:čQŻ6‘™2^‡íšŰ%=]‡!ه˙M@€˙ó"Äp –ą¸[ĘLďŔôžDěޑ¨ě<$‡žŮcgSčÁy˙šˇÖýꝁ|Ăŀ™˙ó Äs –Ľx{ÎLr'ޝ˜/“鸯VRŢÝźP ttů++=.ő€Ŕ“đ1Ű˙ó ÄvؚŚ8{ÄLą -ój=ŘXJ4 ŘŇÔţżçţ‚Ý°dö"2‹ŰĄrЁ=^Î˙ó Äy ĘşXIÄpŔđÍőK +ňujŐzŞ"#:˛j pQXy'ťşú,AŤč:i­˙ó"Ä| Hš¤{ÎLŠćš+ Ž˙ژűřŔŐß)‚âIJě…Б|G+Ňi?IÔĚţ P˙ó Ä~ šľ¸zÄq’k˙˙˙Œ€PÖcxeJoâĄÉbáˆk€ň’LôŻŤÔ„Ű˙ó Äv yą|ÎqOüi?ĂŔ'äwŒ@Ăo­ŞĂúfL mCRŁ˝ý/`%!Í˙ĺż˙ó Äk ľLĘq˙Ç\ÁŁ÷@D-…Š‹¤ĹCT „‘ŤďŮm´vw9źëĚ( üˆ˙ó"Än XÖ­|ĘpČ#[€ĂŁ\-íŚäkŮŇXň%>őŸŸýÝŽ +/zKŢ‚˙ó Äpń¤eqłŃ˙˙˙J)ܜq!\Vľž~Câ"7dh­@ !.‚ON‹Ýs Ó˙ó ÄsčÖÂX<pţ˙ăŔ€X‰áëŠWǗť,`|˘3€M$ÓjÍ֚6šâŰË˙ó Äv ÂX3Đq˙ƒ˙†Ŕ…€'ÁŔÍv ä"7üë˘NÇ66ΟďďóľDđg?˙ó"Äm Q°dJq¨8€$:wĘ…„ŕpJÜĂ}đv*ƒôŢÉË˙Ć˙Ŕ˙ó Äo Ö˝°dpđđ5=ĺВ5ĚÜF˙ó"ÄxřÖš¸LŠpě°ć<€D„BŠ/;ćţń‡ö{×ăÁňžŮ@€Š€6{ŁĂBĄíD˙ó Ä|řŽą¸KÎLľi—ApŸE*ŸRđ\ÉŃúßX˙řř¤"—@ę)-Ą6?Ř`Ů˙ó ÄčÚą¸dĘpjƒÂ:×ęĎ/ťPŻŐ=˙—˙ €Ź€Ţ-1n7eeKZÇÉc˙ó Ă Úą¸KNpӁ˜ďSt´„+ńoń˙䀅P>oŚ-C[ĚfÂÁŚ˙ó"Ą )Š¸LÎqŐšš>ĚýĐWőü< +ýٸĹ.— YŽKĖˆ&-SžúU˙ó ć ÖśXJÎpÓÜ =ŻăG˙“šŕ|oŻƒ‰WdĹ;Ń ´H ŔU_5ů™?ŤňŸâ˙ó ĉĐÖşX{Jpwů€Žp>1ԆđŁĘňS8 ŒUσů‹ęvסۤHwđˇ˙˙ó ȸֹ¸yÄp(Ŕp7|ôţçˆFÖ <bE–—Ó~!OsŽţßí¤Ž˜Ě˙ó"Đ Ö°x{JppŽ3#Äű%L[ˆ‰2*d‘UHÖbř„ţ­o`PíČ”˙ó Ĕ`ÖŹXzŽp4ŇČLöDâÁ…Á˘ć@6c¤E@@0HěĎŕ¸ŕF ”ˇĚ$˙ó ę Öą¸{Jp˝Ł h(˛Ř–I$ЙAŽ3Wg‚X`óÎű$aÍ%ˆ.w%Ázćk˙ó Ĝ°Öą¸yĘpNŒĺVŞ×%9Ţ˝5=ƒxş”śŤňŞöd’Ťěc;†‘AˇmbSľŚ˙ó"Ä ŕÖ ŒJqʁIÚ哯Ťî­.C Tc¸a1ŠëĽłGQŁÎ§ďPCŐż˘2|Ď|˙ó ĤÁNhŢđq,pn¨łáhČ9ęé‰" ¨jĎ­{ˇŔpĚľýăty/áŔ€˛˙ó ÄP +Š>°¸|Îq´LĄ˛ŔË@=ÚÂv z+9 ç‡}=*Ÿ”ďüSîĘ˙X‚ďz˙ó ÄL ž´[ĘL‰A +¨ˆp5ş“ňˆhYôsĆw§—–Ľń7ö˙&ŔXݟ‚ŕŠ˙ó ÄPč°¸~L`¤gXÉśdˆŠJ ŚuöހĄ™?–â~ßä@€Ď@řŘ™Ä ˙ó"ÄS¸†´¸ĆHŕFBk|™l +Ř œýűžŘăcÖ˝xż5–~ž €ţćý¨ók:Î˙ó ÄX žąXĂÎLĺpyÓ Ď÷üBŇľWD:)Ă=ź€ŕRď¨6c0eŹŤ˙ó ÄZ Âľx{ÄL$$ +U65Żúc†ëŐńťÝŤ¨€*ěÍĺâ|;)i´Č ˙ó Ä\č~ş{ÎH8÷ü7“’j>ĺ1ŤŒv°Dˆ +9Xxj奝őäŕôGR˙ó"Ä_ 0²{ÄL˛m:çÓ1c_#=[ÍęăzŔwŕ|˙Á +ĂBwy á –ëO‘÷˙ó Äb ’˝˜bÄLľşvő{§ĂśĐĎ2LŰ¸|3xŽĘeZŁtŤë=oB ë|mwĂ,˙ó Äd b°8kâ(JüeNŽ}]@YP=w†"0‘îó„Ó8\ÔrĎęĆřĂęrg˙ó Äf¸ţŔXz„p‰nßáŔ= +Ô7B@Xƒ:Ź5§ĎB!8§ýXşĺlą’K=[‹˙ó"Äj ˛ŹĂĘLwëëŔ hžôˆƒŒÂ„łáĂŔoÎmĹűůŐżçé7Őą˛Wd{(†Ŕ˙ó Ämŕ–´Ř{ÄLB&DgWkď ¤ŔbLä§ň¨‹0ňT™!Nň +M•>Fl˙ó Äp šŹPƒÄL1 pŒH‚cŒd Ŕĺ„ŇZx‹•Î¤zËdë3Ň)“ě™Đć˙ó Äs žľYOŽ˘\6uŚĽą‚ Ţĺ˛Ń*”ż˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó"Äuź‚”™ˆ˙˙˙˙˙ţ€ B’ ŐcńFrż{–(šzRLŒWJŸFĘŠăOz 9J˙ó Ä" .š™Ď(Ćż•Ň=ÂýĽ**řց +¨<–î×%2bńócçL 3ˆľuA6—˙ó Ä ĘÁ¸{NpJŰÓŹĄvT‚…˙úŔ€¤s!@éoÁś…s}avqň6cT5vNÓĎ˙ó Ä .ÎXJŠqĆ=GBŸ˙˙řŃŕýrŹ<ÂUÓjzîŔ‚öÔĹéśŕgÉő÷-6˙ó"Ä (Ć°ĂÎpcéŢ|ŻüˆH ¤0<{k ´ $e"e§ž]lľ†Ó+o˛OŐ°/˙ó Ä ń*Á¸{Dqż˙ţ*őťŹŁŽéh~{‡ę2IoΠ .ľ?­cuĺ‚o¨'ß˙ó Ä# ú´řĂDp–`Pż@˙+„¸ )`ňäQź™*¸!>Ź—“Šý‚1r=’@ˆ˙ó Ä& ĆžXz„p čď]˝Y“TÚK*lňhEŰŹ˘(ô§ňőÁ‚ĆÝĎ)ĺŤÉ!˙ó"Ä( @ĘąĂDp˙ź/ĐłrøÚâÍ*"Í>/ł€7SQs˙7ń™FŃL_ᐈ# 7óœ˙ó Ä+ ÎŹřÂÄpŽĐŕ,đŕŔ10%ŽoАsţKŸĺÔďEƒß˙˙äH‚ÓąŔŠ§]3˙ó Ä-š*°đzq`śXä›'żńBżŹ„ ܔ⁴5[˙˙řƅ V›˘.ÁüŃä¤˙ó Ä1 .ÖXJ +q‘0'Ȃî>.QCŻăż;/ +án˙n@C‡Đ4“H] +ฐÉçV˙ó"Ä4đĆŹXŠŠpGtÉlŁÔ˛çÉňҐŚçß˙îż8ŕŃ`9•C’J…Dߎ…ľ ™Ăđ-˙ó Ä8 !.˝šM(3Ť jƤᴠÇDĐ+8šÉB›ŽQüŔú ŁYąq12š šr˙ó Ä:¤‚Ź˜h“˛^ž´Ý]ŒG’Ű˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙đ ˙ó Ä yĆ1É(RŠxŕɜ•jTĹHžE7á9#mIj*_Ť0YŁ˙úŠVŐ;˙ó"ĠʸŔkĘr¸ąƒ şhło&ĄDő”T*+ýuuM]úŮC#_ţ€„Ü‘ŠX˘ ˙ó ÄřÎŹČ|p#‹UĘčťDp´Ć‚%€¨AŢí=CĽşčʗ˙ĚR,=Šˆ€Ý伤˙ó Ä ÎŹOlGďk{Ź˙u6u%\1-˝ű;‚ę?ţĺrš@!˘ňý÷ˆ¸ču˙ó Ä ”‚܆(œ$߲ů?˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙Ŕ˙ó"Ä (¸ŠĎ­d‰Ŕ˘Ż]Äřm¤Ŕ€ä ƒ5?NśĆcŒ_›Mƒ"îXÂAp˙ó Ä ŘKJqJítCŕ´6hĘ%óćŐŞë ÓJĐjčüô^ÎŻQŕ¤0`B˙ó ÄáĆ8JÄp94# 1˝ŁęçyYˇ`ąuűţ:˙Ú Ô˙ďţ Âr™W2ľ˙ó Ä + ľP{ÎqˆŹÖhF¤ŸŐĎçrÔőđżŢf˙óAŒŸý˙ÇŔ€ŁňPh X[,˙ó"Ä PžĽ@cÚLâk;ĽŸWÔA7Źrľ–u[tnT9U˙†@‚mw‰Č€Č°&žG˘Ú˙ó Ä ¤ [ÚLÓ_ Łwá2'¨n­%–uýz‡Y_–€ 3°tżHGąYťł ÄÇ˙ó Ä ą[Dp袋R)ZPŃYíŮűuJ*˙˙ŕę€#ŕ´Ú ŃCl +Œöţşçly7˙ó ÄŔžąKLpdP;˘˛Ň˝ç3ž€0Đ3/Ÿ–ËÚU—gîŃH’xÔ,˙ó"ĸcĐqŕÎ%,Xpł÷ô +؇pĚŸ|ĺŮ2->ŁÔ÷[Ęsz€@ľ! ˙ó Ä Ş´ČNL´äd6V˛l˜WłůJˆÉ +łT‰Ô=›ę““ß­úŔĽuí€ő˙ó Ä ŇX3Dq,.ÜP?˛l¤x)LłNmóŮN…FÚ´9?×řG€ +‚Vi0:™(˙ó Ä +ČÖ˝Plr +×3@rČ›ÉiŐüÁÚş‰˙uŞĂźźć¨`Lv2”˙ó"Ä + 8Ž´¸d”L?:ƒ9ŔäH\ŸÚ3úŠä.Kü7Ô   +GąŽŔ2 úŸf˙ó ÄŮşLDqJJČŽ‹Ąí ôIëvý[đ@ˆ;¸OÓl8ŕZ?ĚWZ™0K˙ó Ä ÚÂ8KDp:ˆĘSŁů˙â; Ţ*ě˝y ŔřŢŢlEoôĐg.ÄDőŠ˙ó Ä°˛ľp{NLXŁ’ýüé;Ş8ĚW  - %A…ÍU° +#braˆ‡€! =˙ó Ä!.ľřKÖqEbÖZźßüăˆťŚSžľTŤIĆŠ9ˇHöŘ|CŸ˘Żą „ ˙ó"Ä Xć˝PKNpŠS2Tœ űKŽ˜Ö‘Ă!¸đAβ›ú¸/m›úrý˝ňጠ˙ó Ä ćѸaÄp&ąđvmëOOĄqÇQ8?8:7ćz‰}1hżÔů ŇöËŇG˙ó Ä âŔ¸{Npľ8Ľ%Pâ5$“#i0ŸŐR›Ľę›żżňŻ‹ťĘ€Á@Ť°:m€đ˙ó Ä ˛É¸CL‚Ăśz"œťD Ł„ GŤs[ÂíŞiţKkĽľ U•ŽŃA‘H†˙ó"Ä .ÄXj„q˘ÂÁ0Ýŕ"ŔSd×Ďő~2÷ţ­Úíën€Ŕřľ(Œ+2eóeE˙ó ÄčćŘj„pf Q$ĎŠ7ćútkŐ˝^Łs]đЏ 9ŠT< ^ŻšR2žĄ˙ó Ä ŞÉ¸[LĆH˝_#vÓΠá›eŽ¨…`bG˙̀@aőT>`‘š˙ó Ä +ĄÎXKDp8 Œlh´ZŤúâTžk숎+_˙âސĽ§w€éťdYš˘}Ň˙ó"Ä PÖŢXKDpZđ˛EŸiš™Şl +nŤL˝]߆d@ÂĎ<-ƒ¸ŒÇYÇĐ˙ó Ä ͘3Jq%†ĆŹĘÎ×­ŞŻ´wÉNß˙wĐ% +珆Č9Ş5Ö,Sw˙ó Äđ˛ĹSJLŁŐ͖°„CŁ šžŐž!úÜ\äÁ}€č*˜+"öäŕč¤zŁös˙ó ÄŕâĘXCDpľVĐ÷üV”K/ĺ^°č‡Ŕ¸3SXPŰŰ0Gâi6ô´g/˙ó"ÄřÖÎXB„pŞ€Qö”ekoĚÔ¤Ąk€Ř˝Œ@,zEÚb ‹ˆŁźĺ´Ť‹SÝw˙ó ÄŕÖÂXD +p­ŕŠ×á ƒžď#U_ˆ,8LůsBrjZŇD߅ŻňţôÂW-˙ó Ä +ŘÖ°¨{Đrţ’Ë~=_X?ÀƒĹ]2´p +#ƎădX@‹/ĽĚ\_mƢěq˙ó Ä ž¸¨cÎLLüꇢ ööň@  +ŔRŐ +oDŮ<ÍÔ]Á[+1Ǟܰř{ţ˙ó"Ä @Ú˝XLDpŐ% @~ˇčë€8nÖěHĘęŤ\_Kf€ŰÔ +ˆżŤşřÂlŤôÔO˙ó Ä 𞸸{ĘLQÎdLńŞĂ t+ +čŽgČě‘Ę›˙zW  ˝÷@>ţÇţ˙ó Ä#ŕ°{ÄL € (ËňŹÄĚË?f;ĄŚÄš~Xőđ§˙†ęúˆ˙˙ó Ä& žšX~ +LÔ +ąÚ €c{đ˝^ˆDƒ>ń˜5ÓŰx'Úł†îN"=­ÚŔŔ˙ó"Ä( ‚´8n +Hʡ\YdDt‘UłI—iŚH— +jnJ໪tř}ŽNSúŔ€I°2Ó$˙ó Ä+ Âľ˜{ÄLnpFD—Çe…†í˙żâđ™ą3ŰXݚ-ś*Ae˙ó Ä-đZ´đCđ$ŠüĽŃ§•FŽRÂ5”d8uřď?4Ҝ§Š8şĺšDä4Ő)7đÄť˙ó Ä0˜ĆXpţNĚóĐ|ËëIë{)<{qŕX”›­ +솸OZĽÉĆH!˙ó"Ä QźČcÎqœUÖý7äˆóývűú˙Œű†€€8ρN˘hŹŔ +‡˙ó ÄřâŘKDp1öÔ뱟ëţŮż¨ß‰‘t<°, •Fě†q6'dŐEŐ_ց˙ó ÄéÁ˜[DpĎÁOŃQŞßăúˆ/XČ!ŔĐԑ([Ra0’—ÉŠ6kUŁř6˙ó ĐćĘXCDpgď}ż Ÿć:€€)ŽĽnKąTŻ™0a…dޗY-ß%:™MŸ˙ó"Ä XŢš˜[Dp÷ߨ$÷DŕŔ† :ŇüRc{…˜ °ĚĘÚlL5Óu@ţÖßú˙ó Ä Šš¸J„q˙č8ŕ0ŠŰ]ŮBďB&FBÇúľ&W¤ş šíţ?ó|P˙ó Ä$đ歘d„p´ŒžŔ4%!8BâYśŰŚż@D ëT?Aiťöšń ŔŒ8˙ó Ä'(Ş´¨CÄNúޙ‘RJéVćA8rršvš[g2š‰ĺőwŽ8ă8(sÔ\ú˙ó"Ä-É2˛Ćq"ŠsZŚŇęSůni#†€ĂćóˇvUGČ#[!ů<°ĹjZź’˛ż˙ó Ä .šPĂDqŔ›Tˇ‚7ŰáůŀŒŇąHVÉü$LźDŰK§*çľzz˙ó Ä .š¨LÎq†LŐ%?ü{˙ˀô€T@ŽV. ÔťÉx#–Ú՗ßü8řP +Ć:˙ó Ä ţÖxBŠp‚(ĎŰâžů0ĐŢHűfaÖpaČb íé—4?HŒŘđ{ľS˙ó"Ä°ţš {Np˙É~L„Ä“6JT÷€ ¤äĺęQŠ¨˙ˆz˝ŠréůoţU˙ó Ä$ .şPLŽqŔĐŢ a=gTÚ +áH É|ń*Ôş‘/fڕŕ#ö÷§Ńţ?˙€˙ó Ä& *ľ{Jq Př—śÂ›á-qÜ äyňڔÝlkž˙nŢđMęďâ?ř0€,5<ˆ˙ó Ä)Ą*š|s@5⁠+€¸9qLS˛Nłđ5ô$~¨śű|Ÿ˙*ŔŔŹn…×˙ó"Ä- Y.Š\ÎqrGÂę%ˆ¨h=Cßł3?ŔG˙mžßţühŕ”Ě?­ž€Š˙ó Ä/á.ąpzŠqU¤bŕ‰2äˆyȈW˙ŠlíŃŞ_Fřä€Ŕt˝ěź žÁ˙ó Ä2 ţÎřJ„pč7B ZyćÉj›i/â(öţĽôüCň@@ŮűËS¤…ęK!¸Â€—˙ó Ä4 ţąpcJp„X€™Ń*f}‹zţ(`ňm×_˙ýá÷đXŚn€%ƒĐwÍ˙ó"Ä6 Xţ¨HĂNpKĹ Ś×7ÍÎ|]Űo’żţ!ú€!'@şP™šCŕ¸d˙ó Ä8Đţ¨0\Jp O­Ń>X çţżţň@Ŕ€qŕ9 >4Ţ9ąD)r œ!şJ ˙ó Ä;ŘţśXiÄp˘îŠţ ˇŢ +śeşk€Ăŕn˛hS• é‹Ýë@čňję›fËřN˙ó Ä> Ęľ¸[Dp'Î߯˙ˆ˙#cPúŽ$)á›Ů ˛ĺńÝÚĚKҔEYŒŚ‰LÄ˙ó ÄAń.ŹXkJqöV%×, €(Ci€Ëřv˜Ř™Dw5đE‰ŢEE-)·čF˙˙ó"ÄD¸š {ĆLH֖-˜çś˙_­ D,ĆS‹ú׎ýŐ(ř›Ż˙ŰćŠ}ącŔ­ŕ˙ó ÄIŃ"śX{ŢpځźŚ6™ ÓĐ,`’†tOŽěŤ}PL3żó €€€9Ç˙ó Ä, ŇxINqÜĆÝĎ$˜eÎqˆąÇäÝqŔěŸč/!-ćň p‚(–ő€¨˙ó Ä. J +pSŚ +ĘÇŽը`kň§ţú‹[üŁ÷ţ1ýŔ`ő—̸@˙ó"Ä0 ˝˜Z +p[ÔdTa˛8‹ +yô_ú‹&?űíüŁzŔç@ÝgńTĂľ—Nxf˙ó Ä3 ľXaÎpŢ_ˆ r˙„Űţƒf3~ ??ôN€ƒŔřŢŐĎĆ ÷-ąň$v˙ó Ä5 !šxyĘpQ<@{dâÇ˙¨iÎč^áŔŔ `ě.—ÄĚŕů° ˛La˙ó Ä7 îŹ°yÎp„÷ČÓá'ú†'ž^‡€‡ÄÖ]<łJš%ĺG5ą÷üF™˙ó"Ä; î­PbŽpB/Hbjż~‚ŠO'ň@ !píáŻ$Öh-ĽżOϏсźż„?˙ó Ä>˜ž¤{ÔLô +(ţNŢ +€ŔÂwŽ`T=ŃRřb•Č‰úěËŻż(.|űŽ ě +N˙ó ÄBˆžś{ +L,§ör`ŕ:Ŕ÷yŐꥋ+ŠÂ"Ń˝NRvĄcßϑ-˙ó ÄF ŽąPcÎLč#‡Ŕ ¸šíÄ6Ć +Ô.’"kŘD˝ęďžSĐřONÉä˙ó"ÄH Pžľx{LäŔh>s§ áM ŕ´ě2ˆj#y^oŢâó\Íşƒˇć|šOΓĐEü +˙ó ÄJЎ´8{NLőƒbՆ଻\iŐŽi_‚Ç^̡3zrU3Ę5)€hŁÍ˙ó ÄM¸žŹ{ÔN€đ`őäVa֋˝ĺ`žkęwşżƒŒz˛ĽßĘ0ŒC€)+¸“˙ó ÄQ ´8iÎp}éň™Wîhăô " ľÚVґŮQ=˙Ä__Ćeôž KĘyŮu@˙ó"ÄT PŽľX[ĘL€ pxŢѢXŸô0MÁŇŠä‡ň—mŠ?ăç +,â ¤H˙ó ÄV `úÂ8zĘqÎCňĆČrßAŮ Ź˜‰šŔůĽ`°QßÄ"Č2ăá”ܨŢ˙ó ÄO +Ą2Â8{ĘpwoćOđmżőn6Ŕá&¸"œŔQÚ(XŸ• ą +‹‰[ÁW˙ó Ä? !.ÚXx„pýMGţ­ˇő.ƒY‚›Hɀps8X­ďĹ˝äĐçýK‹ÝaÝ~˙ó"ÄA 92Ň8B„p÷ţfDžˇ'Ž Ŕ€ŔPI€ázĚS2“Ŕ؎0Ô3Ô|cŚę @l1ÇľT˙ó ÄD ÂŔ¨SĐL­BVČßÇÚŕ(o•Ýř8ß ÎŻUC2łWƒ'žđí˙ó ÄF +"ÚXYJqęۍü}@Ŕ1Č—­Éë#iDM=ź`< ˆŢšŠů~˙ó"Ä7á.¸PlÎqĽţ‰üĺgܛ”˙ńßÉ „:‚Ö|Ś0ţ6'! ţĂu +,˙ó Ä; ţšdÎp÷ŠćîâůcŮîD槜&ř—TQ€NŁ€ƒN‘X?|SC ­íľ—˙ó Ä= .Ć0zĘqM)=%žR‹šŸ4"ß˙ăEž_Ć € 0çnŕŠJ<!mĄĆ­ ˙ó Ä7ńź \JrVďYŽĽ˝húüďú ~0„€ĆUyr¨`Â@á =Żtéԗ˙ó"Ä:Š.ÁLDqKŢĆéOˇđcúŽňĀGŹaŐ) +–É +2} ćLőő˙ó Ä? ĘPKDpźZť˙úúŮ Č0ˆŮŻ?a›/Y!(T ¤h6™ćĎűČ`ž˙ó ÄAřćÂPdp˙˙őşB Ä€ľ€›łŽĘ:3Š`{ +‰Ó=βô5^đNľo˙˙ó ÄDŕ潘cDpßOâ[Ŕ1œřZ’íĘo˝ăą”ë}ßă°łk™žZżˇĺ˙ó"ÄG Y.ÂXKDqy€€@`5ŽŐbD0™8Ź¸ŔŽÎÇę˜PŇÖĎ5:/˙ßďýßŐČ˙ó ÄIĐŞľ˜NL2(ÚŕňdŠ‘ąą,`‚'AU¸S-[ń?ČâŮ^&¨€Čp˙ó ÄLÉ.´Ř[Dpœâ3e›>đČĆ™ăĺžm~f6ţěě%ë=ŠV;ët…`ŕ „YŔ˙ó ÄO°Şľ˜DŠLľŹHü0= n>¤†˛Ő›1[zÓŰôŻň˙e@ xŐůq˙ó"ÄS X–ś8KÄLIŹ‡,lź Ůh_wÖۛRž7˙ ßŠŇ5€ŔŃNö˛\ +˘˙ó ÄUŮÂXB„plëƒ@:ťŽýú<ôčíčß]8 o”Ľ#Ęři™ň›Żţ˙ó ÄX ćş8KDpAŇn¤Í9ĎRťÇ_Ç˙fÜ+ôýé "řĐÉ Ÿž'¸&˙ó ÄZńš˜b„q•fˆ˛ęnľVď7Ő?VđCý˙ŠŇ &qÉ#Ÿ`Öž„đŒ…`˙ó Ä] ž8cJpőé=šÖŢż†{ç5œ‘€0°źLF‚“ MË JŁQM7\ď˙ó"Ä` 9ś8cDpšŻ|ŸB˙ú÷ţ7 €Ç4ÂąÓ +yÀP?s˘ŚÖ÷vŻŢ˙ó ÄcŔć˛bŠpÉŃ˙üýżA€ý\ÍhĂc˜k.Ş€TˆăĺQˆG„`Nľ +ÔÔ˙ó Äg Ž8kDq{¨ćKO"€Čž´wĄ ĂşšZ@4ݎ5ŹjŇ˙^?â>T÷F˙ó Äi ş8bqúŁ¤€)Ě–˝NâLĎŇS +ŕHŗęĚÔoĄéă}ę77Ž´˙ó"ÄkřޤĂÄL–Ŕcݲ†|T>3Błg:Ń?‹óĐU¨ß—S˛ÝŘ-­šŔ ˙ó Äođâ­pzÄp +Š8ďI>0Ľ˅ƒŸ-ˆł]&/¨OĄţŠ~ŸŤ~7ź€L˙ó Är 暘yÄp–^Œ”v§ËÜŤĆ /Ďquxƒ„šŞŮ€m˙ó"ā Žś8bÄLTCiéÇŃ-SřWÜߊęčŐËŔ Ž]p-JčĺlTj¤ ˇ¸kb˙ó Ąř’ ĆLúÓ ŸéWkĄ^úŔŔŠśńÍ0eŅڧTR›ÖO­÷˝˙ó ć Ćš¸aÄp9ŔtmĄÝ.Ë÷ýgľŔ +*ó´÷ą3iœ$Ęȏ Óoż˙˙ó ĉ Ćľ¸{JpĐ<­ŕ6‡ĺť˙v°" B˘řP9 ¨`'YńŠ{OąSŢ#i˙ó"ċ ŽŠX{ĘLóS˝QĆbD†L8Af?‹ČŁ9Çp^ĆOŮTJiĘ' LC˝˙ó Ď ŽŠx{ĘL4g<ŚQ9”ËV‚)֝Ýë6˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó Đ Ž­™O(˙˙˙ř€ XףʇDcZ´ŻvSľÚĂ QHTŞĚŚţ˙ďľÄ/˙ó ĒŹ‚œ9™h˜w‘t`4 9ó§ŰŘďĐp€P€¨¨ţŕdĎ$÷Ď*Ńv3˙ó"ÄJ +)>ľ™Ř8ŚČ;šč>oţ5˙ýFĺz€€Pp ‚ÚI2B6YŤ•?—š!Őă.˙ó Ä=ŃĹ{Dp‚ąŢřŞ{őíűqFƒŠG +ĆşávkÓYWôčd‹f}˙ó Ä@ ŽšcNL_Ĺ×~ý\Đ=7ĽÚ¤Î5ŠÇZ^—ÉϞ#âËëtHÄÜ˙ó ÄBp°{ÎLţP6Ŕ@ńąŕ.‰PÔ˝ihđŔĺŠcÎŽ;Dý[ńźŠ˙řÝ\,˙ó"ÄG¸Ž°Ř|NL  LĺÍ\ň'ĺe| ޞ=Ă႘ŁF˙ů¸ +݈÷żč;•ć@g˙ó ÄLůąx{DpşŞQŐŽP…őJč +M#Y$Ô§đŇ ŃčÇ‰P´Ál,„łŚ…dύ˘˙ó ÄO ‚ľ™O(R"ct“sˆ'Œ +Ss68ýEËäWkŢůĂĎź°Ÿo˙˙˙˙˙˙˙˙˙˙ó ÄQL‚¨ ™€˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙€Đ Ŕ™ž. ąň=:ցóB `.Ý˙ó"Ä QőŃ(gIWSjP!Ÿę4˙üI€AĄ`9ŘU‘ˆĹňSzFKP)Ćë<ŽĘw˙ó Ä !ŸKNp×6fůĺź?/˙ü}ž€€%ŔŐH|Fć/ +Ľfj ˆRşßüĆż˙ó Ä ĘĆXKJpz¨Ń8oňż€0Ŕq4â0 bąů3TÁ +ŽbłwťÚľŐ*pŸ˙ó Äń +´X[Dpwöƒ7˙🬈"h<{^„`΍őW/"Uhm­šˇöuĎľź†č˙ó"Ä 0Ęš|Dp`müłžX +“X˜UԍSĽý@g(Ń_ÔůF:ţ{y(čw˙ňŽ˙ó Ä"  +Ź8\Žpż•(ŚRÁ¤žy< łUƒ¨dZ(Ý=ý\˜â_n˘˜gÔň ˙ó Ä%˜Ę´xkJpŻRŽçEӖÝ#2`F:ˇűgeřMV¨”`/˙˝?2›˙ó Ä) ¸yQ(X 1 (”šďp.n=’ř”')ĽgYŸ\şłŒ“-:*Óő;˙ó"Ä,̂Čy‹hfŚM÷d×OS˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙€ÍÜď˙ó ÄńęYČţĺŘXńëšŇ6H.Şű_Üź43z˙îIúꀀă˙ó Ä ĆXLŽq&Ľů!üř劈pcpFÍRŠkţ¸WéîuŸ˙ň Á +0ŘŻ)ˆA˙ó Ä ʐLpâ&OZf%d đ"É\Ýžýč T™ś^GöL€¤"Ŕ¤ˆ92„˙ó"Ä ŽşXLÎLP•=7rDG€źÉbň>NŹ'uN;]?çŠČ@c×@rÎăJl‡¤˙ó ÄĐÖĘX3DpŚB; ĎIԓhžĄúŞŕÍűd€Ä ŠŔ؈a%ŁĄEtÉ`ű˙ó Ä ÎśXLĘp‰ŕGšŠžÝ}RšŽ“ý3Č 5pĊŸ]]>֟'-Dˆ7˙ó ÄŘÖş8KNpfłímmŠřWýˇÚUż Ŕŕ€ CYŔĺxúžČŃĘɸóý~˙ó"Ä (ÖşX4JpmBú5ĺ2 Ź"ű ĚŔcK€ÝV…*˘,ޓĚQŕ/„Ä-žŻEF˙ó Ä ÖžXJŠp:ý•6Ś43ôC€Ŕ C×ŔéąOR'fŒęhĚMAő&ýůęńßýť˙ó Ä ÖžXKPp֊Ł$Čf2ŔŔ†Z–đŽCt˙ó"Ä1 XÖĆ8[DpŐđťĘ&Ď`Ÿ‰ş|ýc ü?Íý¸˙#53r‹ĺőŔMS˙ó Ä3 îÁ™OˆYě’EČ. ~ kp'ËĚ,ŁZ\iMSĚ˖Ôę!US)4ôŞI˙ó Ä6|‚ŔYˆĽ¤¤Ë†ˆlłŃ˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ţř˙ó Ä žŔÉĎ0’:&ŕgKVÇ|ÎQÄ0R Íń÷ý>wn”KSô­ßä@ŔnďW˙ó"Ä PâÁH{Np;9AXyAk84ąĂ= T޳ݗW&[ęó‹]ú€€-Çn˙ó Äđ–ÁđĂÎLÜ8|ň2ĺyŒćƒçCxţFƒ˙úŕ€pĺŮ˙ó Ä'™´0cDsđÂĐ`–@ŚAÇ8ŮnäýmTÇ9yP~Šéý[úŠ~°Ü"žƒ˙ó Ä+ !°°\pđčšMj(â‚HZAťK›ScmM™ +ă{}h5>ł“ň€`9|)˜Ps˙ó Ä- 潘KDp +ňE%č.HĹ^ˇ6™ú ƕÔńř…W°0ýńŒÂŔĖ‡ľ˙ó Ä/ š°cĘLMYIżPšíF„âéƓč<_đc^ŸSşŔ€€şŔÓtĂZ€;˙ó"Ä1 ÖÚXyDp.YxqsJ +îôÄ1ŁUđ˙QÔßÔm+ę?րšóűfs\i—Śôƒ,˙ó Ä4řÖĆXYÄp=ÍjȧĚ˙?Š ›zŽß˙ç˙aŕ  h}@öŢa†Ó“”Ä[$˙ó Ä7 B´¸{Jq6^Žřš¤IŃ•íG˙ó Ä9 şzp7ńßE†˙AŐO˙TâLŔĂ{ďJaTÁ!rŹ&÷Ńâ˙ó"Ä;ůBšřzŠq;^Jţ]J]S‰hâO$ŔOb+ÝAŇGd`B%B"ŇŢ%3kơęt˙ó Ä?ř˛ąP{ÎL2Éôlśˇwu€Ŕ F`ZTt&ŚłujÂńćţqcł7Ô@ =ý˙ó ÄBČć­HzŽp4oüẀ€Ôw‡˜tźĎ!ç jîŢFÝ ÄŐ9A´ô˙ó ÄE úš¸j„pw­ýý@ƒđ7“Xű K ɎâaŠr›+Eš‘íúDG ú˙ó"ÄG XŢśzŠpu–Ođ ;.E”.ÉAöŽx˝ůfVńĐNŢŻ§Pˇ č>>˙ó ÄIŔâ°¨{Dr2g&Rxkťh\.VmM/˙E{óę­ÔČ/gÇÔţî°o|Ź^t˙ó ÄM°â´Z„pCdvŽdP DB…‡–ňŽŰ>ŠÝYM§ŁŐüYW# €E Ÿŕ˜b˙ó ÄQŕć°zÄpHfŘ,˘ař󟉟Pmí-óťśÍ@6Ţ*\–gqmu˙ó"ÄTČú´j„pq!~cF5ćŰ'áL$M†ŽČˆgŤŰ!Ň˜ +˛!/a’ 6€ŔřřŠˇ˙ó ÄXŘâ°8yÄp›˙ĽM÷×pc{ÇŰȘ…ń¸ÓĘˀÇňQ ‡.Î1„-ăŠ.˘ÁŽ„˙ó Ä[¸š¨[ĘLńÄĚŔ°ŃéÔ>şA:˜§wň ‡ßŁ ŔMţiŇ6˜… +$ …Ôš˙ó Ä_ ţ°8jÄpB:ůBÍŤâ­Ő”Wőú7Ď+Ŕ€hýÝć0Š@PŃÂBä +v˙ó"Äa +pÚ¨{ +p™î0ú>Ť~)ýGűr˘Żć€DĆü´Ž‰:xăŰ#ˆŚ˝?ňł&z˙ó Ä_ŮBɘiÄqŸ•ž„Š.´lXuńÖŽ• •ÚΗDRć-oş¸…[˙ó ÄbĐÚšXyĘpń|B˙ďőRž°Ŕh3ƒĽ!ŒÄK86Ç š?Œ\›*ŘA[ę%˙ó Äe¨Ö´¸zÎpü"ćě÷pČŔ ƒüáu,x➪ŒíŠüyżţ“ŻvýD˙#ř'˙ó"Äi !°zÎpwu4őgşá°ňR€ěéŹu + ž¤0¤[“7ÇBT˘˙ó ÄlčŇąXjŠpľ"^#HLĎÔnçdR@â!F­MŮe4P­AžžÚßç˙˙˙˙˙ó ÄoˆöąQO˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ŔЍěVĄnđ]2Ő´Ă“˙ó ÄsD‚¤˜hľesŻ˙ÇL(IóŰ÷”u!ŸäŔ‡ź+2)˛€ŢMőV¤ ˙ó"Ä9 PžľYĎ8=ߟI­sÝ[ýÍQÖsů°&ÜiÄ66Şá¸ězŔďxqý…˙ó Ä;ŕžąXcĘL—eűTJh@ő’u~ ,Î1­rÚN‡aLřUÄšY¤—Ű˙ó Ä> ÂŹkĘL}Ôˇü2Őxłż.qeÖ +%ŇE˜‹H‚ę ĂÍł|°–U˙ó Ä@ ¨¸|ÎLÚôîűř3jő€Wĺż=~Ç;ŁÝôفUÖ=?W”nŁ~˜˙ó"ÄC Hú¨|Dpő}üÜ˙‰ŔźŻH' +-)Írś+:¤ˇ§ćmލ˙原˘˙ó ÄE ö¤|pôhëN~!ŒŒĚÁş¸mlrüDH“ˇ˙Uż“Âç5żÜ]˘-‘?˙ŁŔ˙ó ÄG€úŹ8\„p„í€Ô܍KđöQŔU§×6Q[N 0Ąń‚}ęő€˙ó ÄL °XfM`=âüşŽÁęIçËŔÎsČUnĹşž7ü`ďčżL ďƒH˙ó"ÄN I +ĘXYĘpŢ;ě‚ĚĘvŽ~Ů0Ŕ@܍GtÓ@ĄŰ¨˙C ˙đ é”DĚr˙ó ÄP š¸{JpěZ>=B‚N{ÇÖýĽßýß?W(Ăý~ƒđC~PŔíł5¤ö”)˙ó ÄR °8ĂDqUHÂŔLÂěłĆÔ|ŠG?ÄaooŽť—;ô€Ŕbk™ď Śă˙ó ÄTń´“ÄpFGp ÂrĆ +^dĆ?ă!_Ť|wúäú€ov~ÍĚôź…“fép ˙ó"ÄW Öą`{JpFBVɓëó4MŸůăˇŐţ_ţ÷ŇÁ“4mŕÁ(ăVË +ÇĄ"6@ěJR˙ó Ä^šŠHĂDpŠÍY=eĆ0üîőčŐoźh˙űăA€´˜9Ŕée$H4*ßʂ2W˙ó Äb +YŹ¨cĘqRgAŸ¤÷řŠő ş˙Ť˙ř0G•Ź28ĚG„ÉÄŠwŽ%†‚s(Ó˙ó"Ä_ Q¸Č[Jq ňŒgăôę*ż]˙Giŕ‘@ÜU‰ű™ŕ0öÔew8 şě¸˙ó Äa ÖXJ„pÁ˙űDR‹Vsę;Š &Š(œ9őv+zV\P“ý.dŚ}!Č âÁ3u˙ó Äd !"Â8z„pâdşo˘ŹŃ˜ŰčĆ[VEb¤Î6‚}6Ś‹˘` +#GÜgż9—śşÝ˙ó Äf śľx{ÄLqó™÷çΧĘŤ{ˆČ¨-˜Őe˜ŻTD”Ýͨz}O;˙ó"Äh"ˆÎ^qGđżGżžş~ż¸ °éŔ‰ÇŚ}¤qrŐ¨9É,Ň G•Öć߆D˙ó ÄB !ÂcDq›ßśţŽß‹0‹Q5Ą@Ě?ŹÝĚXȝ~çż_ŁŰÓľG˙ó ÄDůĆ8[Dqýnę€Üůߖ™đ/ -œNŠC´(}O˙Ĺß÷ÂH“Ş0Ëí˙ó ÄGŔćÂ8[DpP +ô÷̂|MŔéľI˛Ńć—ÚƒΗ°Cé3A‹<ďHŻőý˙ó ÄK +€Ö¸Č{Ęr>Ľ,Đ€ęÍĽWp„šŹĄÝ=j‡wĽq0ʍV(MĺźTöČ˙ó"ÄH 0ţŇXIÄplŕ3ŕ˙cKđŕ¨f\‹§.Ř$Ďë%ěÎBl¨Â?|엨Ź‚˙ó ÄKđ’ĘXKJLK@ôŁLŸ!š´ś.ŚĽ:͘•gVًęĚÚ ˙“ˇŘnňŔŐ˙ó ÄNđÖÁJDp[ŔÝÉŚŐmH.NŁJ˜é˙ž§˜Î…•öÇŃžĂ}[ŔĚ<[˙ó ÄQ XţÎXKDp€œE*ËTŇĘ z&h$í@Č/ůǔv+Qö¨Ő?GqPąŚśŰ@ő˙ó"ÄR Y*ĆXKDqP‚ +mE„Nšť"ƒˇFÖcĚe$őփřAôđ‚¸Ŕۛ.ř˙ó ÄT ÖÂXLpšî¨ôœÖęŽtłó3\3x'ęëđC|<̀#ŔiPŰjNLí@˙ó ÄV îÚXJ„pR6˘˝@X¨}úđżŃúí­G˙ʌIńŕŕ`łŻŸśŁ +Â8˙ó ÄXřîŇXcDp„k8Ä05ýKĐCúĚ.Űý˙ƒ`'*S;\ČVŤ/E1áW˙ó"Ä[ Y"ÁřJŽp4‹đD:ĐŃAu(|Q°ˆH",Ž/73jm°Cńt‘&łČžćh%Œd˙ó Ä] !ľPb„qҤ=éěhšţÓübőz}Šb”5ŽëśˆÚ`ŞŠ>őÜ\e9ܡvÔ#˙ó Ä_ŒĆpütTEŚÄĎRż*Ÿ;÷+ŹÂ8‰O`–&u†Źí^ Ö;ĎR $¤F˙ó Ä:đŞŹNLřÎ1ü,[/Y+‘öŹŹK@e#6¨Îߛçýŕ6Ü ?á˙ó"Ä=𮰸6 +L† č•ƒu[1ą@'<—ĎxŮspŘgQ|Jeży+YňďҘ×ĂĘ˙ó ÄAŘŚžX3ÄLl„8ˇÎ_rčŹp WP ̀ Š(lu§Í°ž”É Ťa-3˙ó ÄD řÚ´({Äp{nř]Zűö‹?Âöż.`Œ0TmʗđZsLßşᣴßâňŔ H˙ó Ä; +™BÎ8{ÎqB?JÝqPz’Ç6â(úšÜÁŢĂžYĆ7WřóťJžú¨g Ŕ˙ó"Ä+ PÖÎ0jpcóMŔxTĽŁC"~ľşŮvŞŸQ´¤+ő1>ü:&89Ž?ŤŃžˇF˙ó Ä-x˘ĹđcÄLś$Qć”Tń-n6ËĺĽ#rM÷ĹĆT,&ŸŚú†3LŰžíľw8˙ó Ä2Q>”Ć^qÓ +őGörÎŻŒAÝ`Z-őŞŹąŤíżţ3üEÖ ´o€ĺ„,ąĽ˙ó Ä hŚÚX2ÄLĽ¨w&ˇţŕÁß/ë, ëđrâRßHčp°ĺŁĽäž0óÄyi˙ó"Äńš3JpnˆEZŻŻľĐ$aĄžţżţ⟠ä˛đďr\ËŁ¨lüß-â—˙ó ÄřŽľ3ĘLNG%u;* @QÓŠż, ƒđđrŔ†&ŁXn +řë0ôćş}ší˙ó ÄÁľ{ĐqZu&­ŞÄ?XEŸęąšĎüÁ¨&ôÓĆňĂé€đM÷ęú˙ó Ä ąîXJŽpŮ˙Ŕő\–)Zc°T-žGîĹjÉfŠ›ź˝żą8?íůRPŔƒ˙ó"Äń>ÍPZDsT™ÇO HŃĎjjy’oý7KňťŕţŠ_ŕ–Ł˙üfţŔĂ+0“M˙ó ÄXŞÁP[ÄL¨ +Ýă˙Ą;é-pĽ-|#üdőIţ!ň@đ!Œ°şËň$˙ó Ä "Á˜KÄqÉfř|;Ž[” >ŐYŢo]˙&ôaýÔ"˙Ł°2ú8ŚoŠ’Ë8˙ó Ä Ę83Dpŕ#^ĝ+“-W/xçˇ|/MżîěĹý÷ĐQúŔ‰€xk€‡˙ó"Ä PŽĆXĘLgP +÷–Ů­ëş +'¤zB şC6fg.ĺńyŔÔRá˙ó Ä ŇX2„qCžŘđ,qUi¨ +ŻŇcšŒnb+B;˛;}őâ<ՀŮ]€ôÔK–_˙ó Ä čÖŇXcJq+ + +Ô `™ťłDÚŻ5Q¸O@äbÂ/#h‡÷W˙`.ţ8 Ř +D˙ó Ä ââXIÄplm€ő›‚‡ ääây؀—¤ßó26§żv°ĐX˙ó"Ä YÎ8yÄp„ÓĆmz`ú:r`Ü@!I‡ƒ­÷l{úb}ýqżAárÜ^_Ŕę˙ó ÄšâXIDp=6oC)0txtW÷Ó"§ŁWýOýŕŸ ĚX>›1Uý@˙ó Ä PâŇXb„pÇT{Q0ʏ€|luvÍiKkc˙ď|á%‰€č<ďŔ4`ÖÁT˙ó Ä ZĘXZ$ +‰‰ó…w[ĄőȆő wT$~°"€ĺň0z`)§_•˙ó"Ä @Ş­6LęமÔä`/Y››1=,ĺüĺi +ičÍ ĎŔqhY¨8b|÷՟4˙ó Ä؞ÂX3LÚíƒÇŰŰVŻŠ{ΧPmf”M`€ š<ĹK‚Ă„bAŠXÄI˙ó Ä +ŘÖ˛8NqŒËNÚ'YË?çăü˜ł› lÝŐŰ/*Q‚ÂЇň}ťŘK˙@řß˙ó ÄČÚ͐{DpŔ˙PޤI :Üľď>,‚Fłăľš×ëd /ęEý§ô€đ +Úě˙ó"Ä AјKDpE‘‘L2ĚüÔůĄ< cČ)ů×}|7íO*ăŞ˙O¨Wę°*čŻX˙ó Ä đÖŇ8KDpĚúbu2(NDœËçŰ_ű0ŢůÇ˙9¨°‹x RA@Šp˙ó Ä ĄBÎ8[Dq˙˛ŃŮ"`6Rȃ%MS™÷Jg^.ž;AŁ52ëÓzš•ƒp¸ß˙ó Ä8ÚÚ82Špř։ƜˆfYĺűƒž”úo˝ý(41›‘~SŇĐĂÄUˆ´Xš˙ó"Ä + 8ŚľP~LŘŃ哥füMĽs.5QŔ đbŽ˘ŽŻ5Ôđ0ĎŔĐešČDÔ˙ó Ä +ĐvŇX1šHĚßrÎ6°ŰoL¸ÚC¨ťĐ\ýHĂz€ŮÔlě–4‰ĺ6V˙ó Ä âŢX2ŠpśĹ‚ělŒ&‰oĺŁe)Űď’@°3ő€ä"ă$ÚË$4ś"Ť0cmĎo˙ó ÄČ⚐KĘq sSxĆb‰ô˙˙ž­˙CÂǀÍJš4I.”E7+wĺţ‚9˙ó Ä âĆYI8˙׀Ő&Ô2Ś~ŢĽIäş@îĎôŸ +.Ž džâqy3Hx~\˙ó"ÄŹ‚Ȇ8ó+ű}=š˙˙út˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ř˜$űÄ˙ó Ä š>źÉĎ8}NŘŰhí¸}Y¨ŒÎ lĺ6 +QƜŚšßu˙QĐJŻ˙˙ř’А˙ó Äń>ĘXKJq@˛ Jń"HË^U1jŒ^;ŰX-ôëV˙Ăk˙˙üXŐPÂ,˙ó Ä ÖĘP3Jpi6ŔTCh]ĘM4}b¨ÚK7žčYÔQżĐgôȀ@X8‰{d˙ó"Ä Y>šřKÄqdëotŐXä¤ErÉň=ż?šŃżÎ@č=vęčKWA@Ş@*˙ó Ä°žŃ1ŽLřäVÂN„җ€Ńs¸ŕ¨ÜżWVodâcýߡ € +BZŽ­˙ó Ä ÖĹPcDp)âľđ-PŽĚ‡9ŞUőP%[ÁýŰ ŽĄÚďÎt€ (€ŁD€˙ó Ä ˆfŇX*X('iQýçj˘Ű…ˆ„×&Š2Ÿd¸^W}Ą€1ŤřĆH`ą!¸˙ó"Ä +ŕÖÖ8AÄp§^˘Áąƒw–ŮúźÍ˙VđcimY>Ŕ9öŠŃT~9!O–â +˙ó Ä +Ö˝xcĘp +Ęܝ˜ž +B­:šĂ\Jf›ÎÜ"ëh€cý1˘,:Hü† 9A€€ ˙ó Ä ŽÎKĘLö’Í\“‹[ÍĽ}qgzČj˘ATm×WŒŐň}Ą’区@ŕp˙ó ÄHÖŐ¸3Dp;e +G”‡Ő’/ŢšÚˇŁŢŚíՇÖÔđÝ4Ćířpë‡Đ˙ó"Ä +řÖŢ8J„pŚYß"ěösӕŐÍM^ÖnozŮ +mg.‚ ŘmU„Óúź V“Ú˙ó Ä !.¸°cÎqĐ0jÁ";ÂNŕŸwŹš[ëü°ôͲŐćÝ ć=՗ˇ^'pĎ˙ó ÄřâŸCJp2|ŕŔŒórk§—‚ÁĆÄĚ_IÖ}m*§[ăľôր€)c-˙ó Ęš˝řCÎL!ýcöÝü1‹LD+j_?ů$Ôî­â†Ű]@Ź l‡3†‰˙ó"Ä @ŇÁř3Np2dStĚÉrL˛Ô(ţěż1 ˇ;ţ>ň?까bˇ@íh‚x˙ó Ä ÖÖX3DpRLGЗ%’&­ŸˇVIŃýýE_řb€Š0ľ@ç’ŮŞÁ䑮Á˙ó ÄčŇĘX3JpôT#Gu7ě­'€“uřקňîX‚ ćé.‚z"°;]2X‚L™˙ó Ä Ňľ5pÓË?^Ş +ĂĚę˙ň§‰~V°Č ””G=‹Ş°ĄĹUńÂX€˙ó"Ä ąľ˜KĐp'Ú\–/œ{çďç.ďĽH ¤˝ôbu×ß_ţ2řœáĐę9Ĉ˙ó Ä + HÂɐKĘL5]ŚŤq&Ř´|ż 1#ŮnpĄş›Ćťő€ąXşčyNú˙ó Ä žÉX{ÄLëGbŠáƞÔň!ë=wî>r[t€pńŤ/Ź‰†`ÜƏ+˙ó Ä + Šź{ÄL‹XA *YœçöƒŚ…Vq)•=Sů]Eú€€ˆü'+úLůŹp2ß3Q˙ó"Ä ÂÄđcÄL!lŒęÔŁιٔáĹąĆú×ę ßp)4Œ‚ŮkÜԂ§Ĺ¤˙ó Ä é>ž{ĘqŽCŢPq—Í÷oëHjĂKÁŰuŚ5}ŐŞťSčRÎ(° 7Ű +˙ó ÄxŞŔ^NŘęÔůMĺUÔŻ#‘IÉźŕS_Îq[„bśoܧҐ +ŤÚ@ěP¸ëľ˙ó Ä ž¸8^Lť¸\l´.¤ŠAL›GM5Ť°7ń>ćLíń@@‚~ÄŔ 7ŹŔś˙ó"Ä + PÂľpNL;jęşëŮ,Ž€_!;uĚÉ"ĽX<F[ú€ƒŽs!!0 r4R;%Čć˙ó Ä +Ž´¨CĐNb ô8`K¤ĎÔ÷L0#ŤĆ“q"ë0Íž’`˛:˜0Á0š×c˙ó Ä + ćĆKJpj Ç î&Á¨ ä7ő__ˇô­ô€Š˛0–.´’űşÉ—M˙ó Ä úÂbŽpq ‚$/IŔ´Óő˙Â.żWĄż*íUé܀볃 ŠvşzŁDK ˙ó"Ä @ţ˝xKNpP§H{ŸëöômŤyŢŻ(Oĺ^Ž÷jBď€ŐIV‚ ş%AÖ*˙ó Ä ćŢXJp<‘Źs?Ý|u‚úÓň@€ r:f„‘ňîĎŞY]ˆk@ű˙ó Ä ÂľřKÎLÓë; +ŤńsvčOӔŽ ŘhŔĄ‚{TĐIP¨ÔŘ+RŞ$QÓ_˙ó ĨšxLL ů+vń\zľP°LŔ˜Óo*š$mŃě€ÎĄŠĹ´ŽĘ˝ů;­ˇ˙ó"Ä @ţľxKDpRiWŰ[nË(ç,ݗl1ŤŮŘÎtŔŻ“ +Mm6ŽŮ łŸŤő˙ó Äřž¤KÄNŞÜ×Ů$Ýow„ŕĹű×Ýř1• YޑDkJ0 +™Úr.ÇáÂz˙ó Ä"ŘŞ ~Lý`€LĚ>çő\HšgŁčRŽ`zäďëNÖ!0yN˘*ę3qŽťţ°˙ó Ä% °đ{ÄLŔ G°Պh{œ6ŠíěÖ™{pp¤ÎËŁä‹ĘÔvĹżL ˙ó"Ä' (šś8{ÄLŽ3nŢ °c˘˛° 3­ +Ń_8ěE`–b=â>Ţ-ý Ŕáě˙ó Ä* ž ¨{ĘLŤDb6SŘÚkTŞÁRD÷L‚ˆý +šJ]}CVč‘2ސŕíŔŰ[Č˙ó Ä, –­P~LąbżmţzŔ_ŤsëŹV!÷J”‘ş ď;ź ľŢ4&m ˙ó Ä. –ąx{ÄL8–sŤ;'RęđSQŒš‡7Ö7źŞ°‡)îŃú€˘Â`b +›ł\˙ó"Ä1 PŞ P~LÍ2ĄŇhFbŞĂxó]źJŠ•Ü7äčűÝ°đa +ăźá&"\×-˙ó Ä3č^Œ60)ÍX­2ጼڥy€Ň^â˝ĺŔ +ăľ–9ŇĆRćeG”1˙ó Ä6Ş {ĘLĘh{Œűßř3zxĂyËđĐŔrţ![ŕ€Tyu0şťÝŤi )Í˙ó Ä:¨ŽĽPfN‚+V§hSoŃŽ¤‚ šŇNW—…ˇEZ)#V]Ą° 6_ÉĆ˙ó Ä>Ȗ­˜cÄLŇ#g˝ÂMNű–€kŔĄŤsK€ă•ÍŞtlh‰ĺaYY¤×ȢŠ˙ó"ÄA¸šœ°cÄNĄĚđOsqô?Q ăeU'JÁq禓ĺkx߯A0ýM&;>LĹŤ0‡˙ó ÄF থ3ĆL˜¤3v€8íŘO‡đĺMÔó¸ôü˛Ě™ű}LKéekpŠ•ß‚Ѣ˙ó ÄEতX^Lě&Ł*Œ‘0č í¤Cęĺ¸(îz­ÁŒ@ÂEÂ#á+ŔĆŁňš˙ó ÄH¨Ś”(fDLŃďđúśéč%°d=múM"0•Í9Zg î ¸˙ś^Č<,=o˙ó"ÄL –”(NLPżâ‚6r€´đ#V +‘VĘN8Ä0öÂ2ɟ€Eąh[˙çĐ5ťő˙ó ÄO ˜î­ĂÎpĺä ÂôL^núŃ=TăÜăX˝Nqf‚?§$ÝB­˙›ýqâ˛˙ó ÄG î¤ČzÎp<€âŽ9/Ť”2‡28ƒÇA* Ű BYPĺW?W ďâý>‚éä˙ó ÄIčzp„1oŔ؞U’…Đn†kŤČ3Ç?.?×˙t˘Ł$ëśhL˙ó"ÄL î ¸bÄp­2iú2š<˘eŠĐ@!?p9J§†Ľć%eÉZˇÜâۋ*úÝEĽŸ˙ó ÄO A"˛XKĆqB‘d—¤ôŔ(_p8;91Zzóf­}ëß`´sCô= Ôúˇ‹˝mŽYÚ˙ó ÄE°^ą¸2^(ëŔĘű’€pˇŸčˇő­oIՁ]Ăj¨´ë'Tş”KÝɀń˙ó ÄIŔÂą¸3ÄLýŔÄŹë•Zˇ  ČF~AÖňŠ§u÷ţ8–Ľ#šŕ˙ó"ÄMČž¨řKĘL`Źnaý[š…baŰí°@ÎőňǍI*âĹ& 0÷)R%Rţ5ĺ=~Ż˙ó ÄQ‘ +ÂX{ÎpŞ°ůbXnęÔyzőÓáŕ€ ™`9×Ďgx ˆ f°Š!öŽhĺ§Á˙ó Ä= ި~NLŰ^ÔĽExŞż+úĐ#’>‚SŻ17ĂŇਠ+]žŰiÝ^˙†˙ó Ä?Đžľ¸KÄLťSOFRjŸý_á€ĺ6dŒ@*ňýG’ć!|V Ľvž¤!ŹX`˙ó"ÄB Qľ¸bÄqHD dňŢmNÜԏŢŃ@j¨˜y˝ ’Ŕ0B‹”EB[{Ÿ˙ó ÄD ޘNPLeÓŔƒ‰ ŐyÝś§ŘěxĐĐŁŔą˛"d +;zŻŸ31üĹ˙ó Ä: ‚Š˜3ćH&ď˘lł‰kw×ęyŔłŔHő"2R”Ë­Aíۛĺ9ĄŒ|FŹg˙ó Ä> ‚ĽK&HŢÂÂJ +ťÎz@Ŕźů‰,^4çŚ@ˆgۍaĚK ‚ueŘŔ +•”˙ó"ÄBЎĄ6LűSšŔxňŻŮěFn{Pť\W/?ďlŸKšY9'<>Ä@ŸđűŚˇ-#J˙ó ÄF¸ÚœČĂŇppÍš`'ĺ÷LľŁ‡çĚr|DQSú÷XŔöX9Î/ÂM @°˙ó Ä2čÖŐ{Jp'*HÚ×ú‹ťŰ|;züT—éň ŔlĄ˜íóř'?VŔ˙ó Ä5 Ú˝pzÄpœšΚϣ—ń/Ć7ƒÎuGužę€IŔ–ĘĎ+œF6Ąˆw ˝:˙ó"Ä7ŔŽÁ˜cLśs–C5Ë[š˙§ŮCWĹßŰ—”—*Š)…oʈő¸ˆ2@ć˙ó Ä< 8Ö­xcÄq¤P%MÖuXŰÍ;`ţŚ§őoń›ë€őđ(âç Ţъ˛2˙ó Ä2 ţş83DpĆ˖(`ÂŤÓüęÖţ˘?ġYśÖ<źŇaěrqXOXX›{JŠe˙ó Ä5řţ¨ŘLÄp7ŇÝُŠ,‚eTčő ŢQ S÷r Ŕx÷ܘPq %AěW2ˇÁˆ˙ó"Ä8 Ş”(fDL‰" +löšf*JŻ'ůp،…ŰlÜľ÷WXd0 ňo‚".÷˙ó Ä;ŕ~ŹČ{ÚHlœN â›°Đ ] ňŻč¨ ÉŔůy˜˛Ę +´ Qe‚ľö‘Ę˙ó Ä> @–ÚXzĘLfă[^m¸PżňÚ@ýa(¤“:gŹ˘°P&ŽűD†­99-›avݜ˙ó Ä@ žĆXzJL’Ě|—oJ}`Ŕ6^p–Ö—ę°VMyákQ§K“ÔCŰŐ@˙ó"ÄB Xž ĆLŽ{×öţÚĂÍYşĺű}>3Ţ˜„‹y4F‡€ŔV€&‘•ĽÄ`ˆ˙ó ÄD +‰Š˜ĂĆqř  M"N‚zťŤGš¨űOĺŽ÷@>9á  ÖaU8h,°+˙ó Ä4 ‚ą¸JdH”ÉunYŠ9cWĆ˙šéej*rCoÖ×(ÎŞĺ&„.rĄĹČ˙ó Ä6řf˜(3ň(t÷ĐéCűT]Žűł ƒiż­‹~˜ĚŸ°!Š(Ĺ!Ú=˙ó"Ä9 @ž¤xKĘLfűŮîFNśwţAvŀë0śß^ćř˙Ĺ)˜›ŘLĎ_á‘˙ó Ä< ÖžXJpŃ Ö]Öp×çšeĘgş\VŞ}L˘f¤­ß ŹŞŕšŽjă‰0˙ó Ä> pÖŠ~p2ŸYZ$K@–üíu +ł‹â>¸ŢôAŔA°ý|-N2e=ä a˙ó Ä3ĐÖĘXbÄqA–ŒI0GbŇBĎ~§KVőiýˇý`ˆu€ĚX:x'Gaŕŕö˙ó"Ä6 XÚŹ¸ÄÄp×Cg|U†Ł"?Gř?Ť(­×ňý@ >ąž•$`@}4"gCsIýSn˙ó Ä8 ˛ś8{ÄLč +03Xubm–wo}´˘:ĹɨâŔŃ=ČBNĺĂ]_˙ó Ä: Ȏ (~ L3›`ë‘Čΰâŕ‰ĘŸŚ@Źţ˛äŒ,N—$@#Ć1EŁÚ˙ó Ä9¨V˛83Ţ$ˆUő-F/Ą˙5oX°nĐ9ÂIžŹŢšĆs_ÝNsż“˙ó"Ä=čÖşXKDpřˇ `€ +ź°řŔŔś Š&'T„NÉEçfŠoĚłM^Qxu2¤˙ó ÄAčŽÂX3ÄLMŕB'eWîZŞK꾈ĎţO(ĺ‘y`°%§Ú“,–"ěŠ(˙ó ÄD  ćĽNp˜SqŃú žš}>Ş­]ôx[€˛Ź&Ö˜$0žŇŤ“ƒ˙ó Ä8Č⹸KJqiŢ´B,ľ/pţbš=8Ŕ¨&HiI——Y”Z‰š'Ž…ůT˙ó Ä;čâ­¸cDq÷3u”źärŢsęüŕ€c¨ 15ĂO—¤@CQéĽbśOKR7T˙ó"Ä> X⊸KDqč—,ýˆÄ6ŃLafđ~ŹTĄVňĂF ‘˘”ęŇ(ô‹]bń5CÇć˙ó Ä@šB™~q˙ü‚D,Œ@AóÜF 6éK:]¸Źš„ŔŘĺőż_ř'Š˙ó Ä >ÂXJ„q˙ţ€ŔŔ˛čȕƒôZCúĽ­Ł{,p˛Şđ¤8_čţSzx'ţ˙ó Ä" ÖąKDpKË× ×@4łydÁÓ>ǧśŢŞUöÎĹNK˙áŇÎ×úđź1ŹçÉł˙ó"Ä$ 0ÖŸ2ĆpŹŔć˘p÷%cřƒ‡i¤(— s͋!§‰50››éÖŚo-.˙ó Ä'iBĽ˜{ŢqÎęs{í䚤SäܟYŐ­Ÿ˙Łíă5ÔfőkP˙›çXÝ|/8‡a9f˙ó Ä ž´0cLNЅÄę<r‰Ź.B]wI—zż~‰e?ó˝`‚9P< Ö˙ó Ä ž¸Ř{LЅô"e(#Eř3֛ó0Ěľ:ůÝ?ýGŠˆI-ż‡‚@Za˙ó"Ä @°¸KÚL +†ŹŰ† ˇĂZšŢ­Çđôš™)ç^×ę7×űk€o~¤dđTÝT˙ó Ä šÁ˜ZFqĆ/eGÎf&P>Âl×÷Đ˙ţśŸ]óD)ôŒÝŘIž?ř¤˙ó ÄčŽÎX3 +LX€Ó'Ÿ=Ű_ŒşeŢ\n„EŠúÔ&XňÝ˙Al÷XƒŔʖXĚ˙ó Ĩrź°[ŢJZÄ8öĽK<ćĎó 89AŸÉ5šÍź¨çňÎl¨Đşţąš˜j˙ó"Ä + Ş˝˜3ÄL"—ŢöČç‹ĆŢ\ŕŻF:˝^;|jÎ]ű˜S̀H›9€ł R6â˙ó Ä + ÂÁ¸CÄLQŞxmđôŢ'°CvD×9)DL˘gúž°€px#F€GĚ˝{kTƒô†$5Ż q~…8ĺő)Ż I•˙ó"Ä 8ž¸ŮOßC¨d~Gk8.ÁQÓŮkF!‹jĆÄlŹ$Ň)ş M<„ź ö.Š˙ó Äœ‚¸PbNno9×˙ë˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ŕá˙ó ÄPŞ´!ŘĚ+Áď…jiÖňf–ÝgFRöKŁHÝŮn<×ϓěÇрœ–`? ˙ó ÄČŞ´8NLHĺ?ůęŽ<Ţň¨v$ýp͢?ał•ŽYßɀí\"„z´×˙ó"Ä + HŞ 0~DLiëJ'Ş>ÉIľiPÜĎ!ÍZgl :ĐMGu -ŕLÖĺA˙ó Ä ^ŹXk0(M]ěYŰ6Ľşn +ż<ĂŁLŐĽŤöŕI`äŃ +qČtâ8ó˛řÄĽ˙ó Ä +đš¤fLQöýć"€N鯧ťeTCęőŠBŘŰŚţPtÎ}.ƒJ˙ó Ä âŹLNpU¨ŠPŽ*‚ä…‰UvWŻŠŔnŸ7ëRúßęĚŘDě\|Vśó]˙ó"Ä ţ­XKNp‘8V^ě'î˘ŰßÔýÓ˙ęvü˝ˆÍäB×Y ŠeĎ9‘˙ó ÄXš­PKÎLi>Ř'č +ý*ý5Ÿ’Ę}`ÖĆm€ÖJš@`FBXR:?˙ó Ä +XâÎXJĘpCâÍ3ŰŰ?ĐŻˆ÷-!aŠúŽîý@üMč <•° =˝ˇę˜˙ó Ä š˜KĘLş +¤'iüx§ˆ>„@ž{}@á(,~0™–÷†ui¸$–›w˙ó"Äřž¨¸NLî}ÂęˇÔ7IÝĆŠýżd­X4DqE}˙Âżţ­”p‚ ”ÉÎĘä`pšT`Ři‡ŠYč%LÔşě‹ÎŐ×7ú˙ó Ä đÖ °fpîţš÷œŽĘÓ s3$8k…u\ŽDܐÇ~ÎÚćE‡Z=í|i”˙ó"Ä ÚŇX{DpŃО ŁFÖÝŹć(EףťŘ˙ţŔC{źë0ÔĂ&yFé#SĆ*˙ó Ä ¸ř{Jq4(šŁęőЁ]=ú§Ű˙üEŔ„óÔź…~éľQ‹×˘şű2Ŕ^~V˙ó Ä ÂŹ~NLę轼`(ăŘ˙ĐśŁéý@  -řűůđB7M"Š†Á˜'f  ¤*˙ó Ä ÖĆ8KJpUýQŹßóü†ŮĎĂŕŔˆcUtŕ'śX¨ZRú%–ź +ULľY˙ó"Ä 0–š˜cĘLşôp‹ůd4˛Ž˙¤‡lCœ6!xL°™r‚w4¤zU<ý;Vć˙ó Ä ¨Đ{ÔL‡3ůo#ţ  {SzŁp+ž,śJ@´‚ů|ơŠ/Ť€mý m˙ó ÄŘâ´x[JpZƒőý@ţŰˆ„“íűŽôŠ›Éè?ăŃmž_óŔŻţ˙ăú˙ó Ä" ć°xzĘpi˛€ ćŔoWźe˛ÁösŽ˘šP˜" 0Ŕš/ fr™Ä+[ÚÄG˙ó Ä$ +8ÚŹX|PpUöˇ;ˇ=0y"i×>` ˇR5¸n0̊չ˘CĆqGĘÁ ˙ó"Ä HÚ¤(}p遭oéA#&ˇšô€€р=öĹQl[…`ݝŔAbç+•l˙ó ÄđÚľ¸dŠpröZ‚Žöőú + >ĄżWŽ@uˆĎ< Ašcýˆ„Ľ¤3Š˙ó Ä +ؒ (~LLśŸMńžƒŹ¸q•ą8˘Lý ŔĂ;}Ť+1rĹ°= Z…xây˙ó ÄřĘš¸|Dp)•öjż0ÔŸđN§§ÔŔó€&Ôšd[Oă¤&PúPCĐCv˙ó"Ä Ę˝¸cDpˇÓ-Á[čšŇâŔ€BҀ-™!ÂěńLŒçŚ ŞCĄŞ.şIŁôN˙ó ÄčĘš¸\pHv˙t×X >÷x)ชÇ)Č­šF4ŤĆâÇŚ0;ŞżHc ˙ó Ä 8š¤(~L"81źœ@¨ƒţŇôˆ_×4rÚĄ– ɚŽ“Ş—ZĎGţŽVőÁ[˙ó Ä!ŔÚĘX3Jpę—(äKN0Ź\ŽŰKᾒýl +ƒ&ĺŤNťőߎë8Űż”˙ó"Ä% @’¨XfL€Ă°7jFr)ÜűáTŚmŕá=§ĄÚžďŘäFó cŮçQ?ý@ďs+˙ó Ä( ’¤đ~LăŒHa?pQ MwŸ8p܅–[r;~ýě^:.ß64ďBÎ˙ó Ä* p˘˜~Lňőř`57wď•Đ‡Ě ׀WéTŻ´n ¨š%<ÇňΑƒ˙oďů˙ó Ä#ত(~ +LpŔ-h KŽ8¤€ä˛4Ô˛›Ŕ +(ś }~ťđVűQ Ů^ś}˙ó"Ä& XÖ­cDpŘPâČk`Şšôĺđtßŕ7łćx*íG+áP+ˇëwҀ¸‚ˇ˙ó Ä(řžžxKLMÖBĽyägUÖ內-^Ćűbَˆ~°$œ<vLŠ˙ ˆ€Ł˙ó Ä+ °š¨Č6 NlŃśÖL—#œâ"y +”˘˘´’Zke+\ŻŻnQ_ë‹MŞn˙ó Ä+ ÚÂXKDpq¤nXabLŁa AÔKf/çjîƒěˆĎéú“˙g@¨€ƒ~˘ ‡˙ó"Ä. (Ö¨xMp‹ăŚŁ„%Ś%3}<ëŻÓ‰éŐöťX‡ąiˆ˝Ŕ˘"‚°S§ˆ˙ó Ä1 ÚşX3Dp•™$ĚDňî$N”–ÉU—=~ "C]żO˜UŽ°˙ó Ä4 Ą˛XLŠpŔl‰E䭆ű͔Js9Dë5˝ŢQűĂfŠ[%Œ#ý`Œ˙ó Ä( ’ XNL@%î…‡w^úZf˛˝FŽN‚á5OóŸ—iňŇfA˙Ăvő@P8˙ó"Ä* HβxdÄp<“ń†ŽP˜i:OŔŒ9šHż^Ż¨jub_űź{ţ €Ŕ™4q˙ó Ä, Ę đKDpiä•Ö%–‰PÖŔVdĐŔ6.ĽÓ_46*ľÝ5W>ń +Gý n˙ó Ä. ÚŠdĐpu ŒŰƒ)šëtFł§!ĐT {wŰŁěŮŕ丝­ßԟG˙ó Ä(¸’œ6VLŚVy)–×—YQŚZqîôN]ÄÔëIźń€f)ô-ßÖŽ#Î˙ó"Ä, 8’NÚL +¨ÄQRŰŚÜV´Ř“ąż.Wű°WKĎT.ŕ0űp“˙ŔěF?(‡˙ó Ä/஺xKÄL)!P, ľ‡^áLAôp +]z(Z¤=Ďu1—ˆ7ďFĂQżćw?—° ˙ó Ä2 ĘXÂĘpŻÝ€­&tŰ9ŇX aLä[ĺ Ż~ żŻż˙B˙řń)˙ó Ä) !>ÂXJ„q•ĆĹ@+Üň-Ą¤[˘NÉ´ţqýhIă3j¤N˙ĐËË]˙ó"Ä+ IľŘKDpB#^Ÿ!Râ‚­"<-řOëAź¤h‡Ľ7΍ß˙˙ň đĎdI"˙ó Ä-  hLDp>Ó <âLnU +Řńm'Ňdő ­Öœ3ŞŐ>ő@đĂŔ÷Ţ%˙ó Ä/ ŕÚą4Jq@-yŢ×΍…M‡ŕŸQ%ˇuŚčˇB :?˙*˙ë0¨žr@›˙ó Ä. ŇądNpWeM8ŽJ’–/œg.DĚŻÝĐn´BŸĹßý@PĂëŔ„8—˙ó"Ä1 X˘ PN–L°ńňá§ä€ÝÝëßG§:¸ éČ0aO÷ő€˜ŔՑA†d [ÔĄ˙ó Ä3 ŽşXĆL螕˛ľžő[yć~ŸC  ]ü¨äŔ QFŒł:gĄ,fd ˙ó Ä5蒭NL@\3 ĄRćMňůAˇšôú€$’  P¨ĚijEíő!8˙ó Ä8 ĘąKDp•Gn˘Bžŕ@+׊Jwđ÷Ö.C NN)ĆłcŢă˘`%`Łš˙ó"Ä: 8† x6JHŠ‘?֋z‡ü•¨‘@Ľ`&!0š-™jSĺ‘a'( ąŤ5˙ó Ä=đʐ(d„p5ú…S¤VXq˙ç>°  +ރŘ`™:S2 HɋÚÍţ˙ó Ä@ †Ą¸NNH`čɧ˘q˙˙†`ŕ ŕhm BÂÁ0sÉâpc™@<LqŸ[Őu72˙ó ÄB !ŞX3DqP]ˇżę<őBďîŐbؐGĺ*DJęÔ Ľç˝ßÍÇHÔo*ą}˙ó"ÄDđĘ Č4ĘqtýŇčHPË ëSYąf´tÁ‰ŗ­ˇ&y—k˘ŔĽžűTďę˙ó ÄHŘÚĘXJĘpvóđ880–K.I˜$€@0(˝]ž¨ţýĽ˘˙ůřš€€ ˙ó ÄK ’NÚL|:˛*Ѳ}YŔĹeQ^ř˝3Ľäzë~b€O˙VË˙™”u‚1˙ó ÄN ­¸3Jq2H‘^&AŒJ‚36p ę4[‹NŽĎúÁ˙ősŕöm–Hńzh$™˙ó"ÄP @֔đMp“ĆÁč‚Ĺű–Š]I|ę@hvG˙Vłŕ’ ŢyPBÚ˙ó ÄSX֐MqIËA°áUëgRţ¨ßűŃăG˙ŹŇ0#lX"˜ăts"čźČf˙ó ÄXXֈ5q€e%´óčÓo§ľtţhâö‡W™D Źšű ‚E\ÔŻ§ţÔÜđNG6°N& ĄC`y:8aœfC‡˙ó"Ä| 0’xČNL*SŰörjÎ7ËŠ†ĘŔőń2żÔBkˇ( Eďe“¸ĚŃN¤˙ó Äů’X3Jq˛s=&öúCR ’=âe?é¨.ś€"fr ‘‘šdĐ>˘}˙ó ĂŕҚXKDpŐ˙3=űîUŞěă?ĽŔ6Ŕ Č)°ş"‹śÍNdédw˙ó ą¸’t(NL3˛żĐ@Íű}ę_ý`ŹŔś€ Ĺ%EHZŽ×f>`3 _ĂKĐ˙x˙ó"ĉ 0’l(~Lýť:|Nôz¨>ˆ˛€‰s(/5J["RE"E&vŤô`‹×ú˙ó Č ځ¸LÎp?‰Î+ŰP>(Ž€0QĐ –ŃPĹ"š6) é.nŞ Ěšę] 9žś§˙ó Ď ÚuL”pʖúŔĚ,ôD›QŒĘÍÎ HTŹ¸Őbu&II~q`JWtô+˙ó Đ ҁ¸LÎp4 &fa†QŇł˛Ép܀ŠąC`¤šJ]h}8"{ˇËR˙ó"ēčŇ}¸4Np°cßŔÉE +Ąf ĽŽł'Đp˘ő5oôgoú2§ ˙gX ĺW˙ó ė ց¸4Îp¸ŇťsĂq‡lŔ‚@ +oD湟ţ­˙ţžąŕćz˙ý ABťŞĐ*˙ó ę ÖhMqăĐVŐŹÓN’LyćţŞ(­Ź Ÿ˙ýß ?˙Ę0 ‚ŃZ‰Vk˙ó Ĝ ÖqMqé‘ _€ěPIƒ5&󈿧/˙đ@ r^0pXŻGˆE’¤˙ó"Ğč֊XKJp}D na—‘IčzF§=~ťz+Łą +ŽKi=Š*ł;b˙ó Ģ Ö`fÎq ŞâvěbÇşŁkLCů„Œ~Ů|ŹŐۡZ­ęĐi*C–ů˙ó Ĥ¨Ö`fNqiÔV%ÔŰ,:P¸^ď"€0rÝA^… Qšˇěâ[m•†Ş{˙ó ĨŘŇdČMq?}*RŚâŹ&0•} Î`Cm€†ŔŚ‚—+[‚QƒXт™m‹˙ó"ÄŤ @Ö`(eqĐÚüÎÎś\cĄF~śS´ĎŤZ´L °ĹuÜţh€zNl–Ě[˙ó ÄŽŕŽ\fÔL#Ś MšfĐ+\vŽß<×4B/X,:Ĺ ökœÉÄÜá˙ó ÄąřŽ\fšL4ŕ‚&€ę{?Č9Öä$]It9“Ó˙,СP]fň˛äżd4˙ó Ä´˜Ž|ČNN&\#iŽżřSŤô‚žeÝD˙Ďu€˛ ˙đ-<\ŽsTWbŃ˙ó"ĸ ž–X~ZLőIGoœe?Ău˛§{˘ţűz€€đ šsas|Ű_'"ýž%˙ó ħОxfNMXáŢ<0aÚáĺoĎŰőţ°/€"Cbaƅ1kI˛Reţ“)SuíŹ˙ó ÄŞřŽˆxLÄL38ÓÁńĄŸƒÜIüŒ§ßŔ.|’ÔóŽŔč'PÚt†űkĺš-R˙ó Ä­ Ž…¸N +L +‡÷ÚÓř1żwÖi_‡HLąvĆ­n(@wđˇňhřéa‰çSâš=­­˙ó"ÄŻ ֑¸KDp\ƒáB˙ýKź[°Č($A=eŰéŕˇD$r—ĆÓű!ß˙ěôâ˙ó IJ žyNNLôŻĹŔŕĎj”lř°\3wĄ„ˇJ(óě¸ć5ÁnóšË:6¸˙ó Ä´čŚdN”LĂú…—ń˛b@Ą ŁL°@=ůƒ/ermóŢ +'šŮv>]™¨°˙ó ġř֊XLÄpŸg­ßE@ah÷€4Tˆ<î'4* +ŇĘ~Ŕâbpľžç +Khţß˙ó"Äş Öh}qűýIcóĆČL @ü@/੠$źÉ4ë6üڟ˙B˙ó Ä˝О|N LŔąŔŔ`'"0}Şe˜Ë ĆŞ: +išIşÖľŁÚ˙ţ8’0˙ó ÄŔ +В‘NPM l(PĂ@Ęň™d!Ífƒˆj! –Ž‹ŚŐp ż˙„‡~™@ţhŽ€˙ó Äťؒ˘X6 +Ll-GeqH%Ż‚ "„˛Z4 +–Ż€ŸţŢP˙őľPĂZ˙ó"Äž ڙ¸4ĘpÉT‚L˝Ń"“" ¤šŞ–’Üäú/SB_ďü`˙ő€BŔ!X˙ó ÄÁ րPMq",¤´˜Ś|™ k¨{ElôŹž‚„ď”’ ĎŔĽ°őżo4˙ó ÄĂ°ÖžXKJq%Ď*ĐpďŃ@QŃ9ÝŐ: +ŒRęY­ÉňžœË +ď~ů/Ŕîf˘ą§˙ó ÄÇ Ú’X5 +piÝă’ÝĎŇDÄXƒC!ž*Í€\4lH…@ƒGŐJiîÝ°˙ó"ÄÉ H҉¸4Žp؅îoîWüL÷ԉď¨Ű,)4o:m\Œ–‘2ŕ7đúJĹfK˙ó ÄË ږX4Ępý"ôű8`ŃßÄ  +°D(×!ą‰e­ÜűŠtŒľeó–<šá˙ó ÄÍŔ֊X4qvvÚbâŽůP :< LHÁ–ŻËůSÎˑ”ţ9ÅŻú‚‡ő=˙ó ÄŃa†XĆ p@˜ť˙˛ „€Î@O‹ŹgGJ…ś€mĄ‚ˆ.Wk/ůĹú˙ó IJ°ŠŚXNLţ  e3 +†ZťôŐáęŻ>aÁÄ#ďĹĘŽÇ:ů /"OÉ˙ó"Äś XƑ¸L„p*”âlj˘ížŽn+P¨ł#/Îuśü%.Üňgü V˙ó ĸ ŠŒxNNLŽ8҃-+łüuŮŰnŸVyq˙ú§(§~ ŕŽ€Ţ(˙ó Äź †…NJH +!ÓчæšDř .IťĄ˙™ˇé;ç‚k]ôäÉVŚP’ř-˙ó Äž ʍ¸L„pH]ÜFOŃ@†˛Ôí7¤ŽÄƒ)Ýťĺ[,ć)ˇ‡2‡‹Et˙ó"ÄŔ 8’xđN”L“î° âßŰŁ3ĽéîéxtĽĘĂ+˙ű$­GŢÓŐF‚šŹÓ ˙ó ÄĂ芅¸6L;¨čb@ŇąöŇ×ňôoKú ř×P( +Ž&[hGœłśđŒ˙ó ÄĆh†’XNH|Ťłj^Ž´[ւÂJš~•ťúŔ6P Zçq\ňE#á×Y€7x˙ó ÄËˆĆ˘X3DpŔKi;Š(P\ ˙ţč[ý`ŮXـ0‰gž˜ŇÔvӟ@ ˘U +˙ó"ÄĎřöx~žqĂľ&zśô -Ż§őÄĂ +ý@ŞĂýŔX¤°šţýGC.Ŕ•Ę÷g˙ó ÄŤčҲX4ŠpއŞ÷ęĚođđҐĂîˆńo¨ŠßLŤ˜ (™:O7o­O +˙ó ÄŽВPNZLýýöQůx”ÚW\ŮäŧŠ*ş–'N3UľđŠżç;Żçâfu˙ó Äą Ú˘XLÎp7Mżüáđr Ú +ŕaNÂŇM‚ţ‚Ô r괝?ŐM駢˙ó"Äł Xڙ¸5p  7ú€’ #P4ÁcÁaËŔŠnd +C)ľlÔ~đ?ďÖťÄÁ˙ó ÄľčÖ˘X4ŠpŞ@ŽŚ€"`űJ†§‘‚LđoŔ[ E—oű@ş›żţ…˙]` ˙ó ĸ°Ň˘X4Ęp"5t,Źƒ(ś,ĐP?đb CvfŃ6‡˘Ĺ%˙޲Đk#˙ó Äź ÖtNšq€íË:v%Ž\i׺rČ| _bîűţŠ‚ÓďŻţĽ˙Ô˛ CŻŔ˙ó"Äž PڒXp'Q ¤ IClbę{‡@ +Śĺ­EŻú-÷ý:—×Ů€ăŔńđtv‘˙ó ÄŔ ֒XKNp–¤¤´uššdkSZ„“ěˆFĹŔ ++PM â6ńF˘((˙ó ÄÂŘڅ¸Mpş7FŔÇb˜“Č$˙čÁ\?GRWűJßý@ ƒR-"ĺ˙ó ÄĹŕÖpČ4ÚqƍňtČöë[k˜0g4A_ˇĺęeMŔË°Řô&@ŤO‘l˙ó"ÄČ 8چXdÎp-x ČRy7ëź%Őtôo*ďĺŔ 32ĘąDiLŒ.EÖăT8€¤˙ó ÄË ֎XKNp@ ˆŰęĺŐÚjý§OŹizQŔęú°*dxş:łř"˛=ż˙ó ÄÍĐօ¸LÎpË 9ţşŤęϨ€8řŇS¸şŐHâÍKƒp-Ô}Žĺ§ŻW”˙ó ÄĐ Ňh(LÚpŕ1‘ţžŹ÷ú€ 0a˘'˛z¨jRNe@ÂgĚRü¤ŤĽýzĘ €/–˙ó"ÄŇ Ňh(pL‘ŤČ*°ţÍPę4„ĺúŐPcP "ŤÔßę‚_GŁXő(Ą˙ó ÄÖ ŇqLpš§˙+ĽßŰ`š´ŤIÉ̹Њ!HzI2şżúŕ銡Łk.Œ˙ó ÄŘđÚdČMpťlSĐN ŁŢ âÂüœ|˘jĂ#ŹÍKČŃöeŠg %ţ›:&˙ó ÄŰ°Úd(LÚpP_ßÖ xŽ€5f™ÎvŇ4A2p"4 +hᐟŐĐ2@;mÓ˙ó"Äß ÚdČdÚp^íňßĘˀŔ@čH‹œŚÓF–%@p;ˆ0̓ĘJŻŚ´Öí˙˙ó ÄâHž\NšLŹřŕ;üâ +Yç +םMK@ž¨Xö=ž˙íěYüţTżZ˙ó Äç +Ň~XLŽqaî9@Ś€ +§&y)mu"˘4ŚfEÄj“Ň@Ű"wF˙ó Äć xÚ}¸LÎpCTÎWžňŔMYّ’ĚŠ#r`ž3?­ŒBńqZú¨¨ +u˙ó"Äç ŕÚ~X4Îp_ŰńÓ ŇáĆ ÖÝƅ&>ÔE‘ŒN°šM•†Ç.Úß˙˙ýh.˙ó Äç +Úm¸Mpt˙üŠ`!ŠHRŁěŃj×b7UrÁÁŔ%ĽšąÎKŠpÇźçţţ…T˙ó Äĺ¨Öhđ5qŸOř1€*Ë@fÖďďZ5ššĄ ˜ň8]óuVË?#˙ó Äé +XÚqMpăCŻßXcm€"ÝóĄő +ÜŠd0 XX8ƒ­%ŠŻćđŚűÖ˙ó"Äć +Ňi¸5pJ­Ô1_ڀ8ŔŒ@çş %Ě?„`Đ;ˆ)yŤ×ôa?ýhĎl˙ó Äĺ ÖXMqˇ˙H <( ˘$ŐEÖzŰ +jó œ‘Âr˝Řýîď÷Ÿ9˙úšH"T˙ó Äç ŕÖTČ~Îqe}GżĂ íh[ći÷_vQjĆNč„`~ÁX4V˛ŇAhťk35ž˙ó Äć ÖT~Äqk +ţ€  çT”ěrť_Ÿi)ŕŁg|Nc™OĂJ™}¨¸2ŸLë˙ó"Äć čÖjXM +pbŻŮ¨Vžh´ľš+da0DˆŠH¤ŐÚ´”q@{2j˙ó Äć ŕÖnXLĘpűÎ?útÝqŮ}ě0Îď*ctŒ śĎ=ž›.§ëŹč¨ĎŇŐ˙ó ÄĺčÖi¸5pęń@)Ďđ)Wš™ÔăˇMYěy§ƒP•H“:ٔ讪Ôß˙ó Äč +XÖTČNšp´ă÷őVq{Ś*Í7Bî-D÷2œĄ&X›Ň\2ßĂĎäMŠ%ŸĄAŋ˙ó"Äĺ –P~ÚLޛżƒômŸƒ˙@qqś‚l7Äůhç\č„`Šk~gw›v!/t1˙ó Äč +@šTđfZL2wި4•’úŔ hs…(Y4GŚhu„34š-š +ŠK׏Ý=J˙ó Äć ŇXP]pN/ŻOđnú@ űđ͜@ˆÔÓZdňůŠż"Ú\űćf?q˙ó Äć `šLĆbL“¨‹-%'0|Rô&ýŠÁ& äh1l(ňNډDŻŇęýÉĽŁ˙ó Äç řŇ]|Úp:]DUş€ŠöËŤ <•—°ËŔ˘Au ˛ˆĆ&Úę,7Rх~ëŰ_˙ó"Äć +č’lČĆN/őč~zŔd€ĹzˇŒxţşč +;M!g7/wK‹'¤%Ć>îs˙ó Äâ +’lČ~PLéŹcmŔÁ<gľé”ÜhŇŃY!ÚÍŢdŇx> {ßޓҰ˙ó ÄŕĐŇ|x4ÄpYč™bƒ`s ×đŢÉř§şÝ˜—ěAňX¸‘Ëé CŽdƒęEnů&˙ó ÄăhN}¸2p$řŠ śĐ މÍQƒV!€œŕž“ÄČÚo¨D_č„ç _Ą˙ó"Äč +PÚhxM +pњ›żH Ű`ڹ׍gfš~ äČW0*C1.n(!6vM3Gł?ź˙ó Äç čnm¸NN(kœ™Q_áđĺč˙€6˛…úÄn]jŃäĹ ż +`ž"&ĚŤ˘›Ţ˙ó Äć €žm<ÄLúý?đŻú@`đ$ٓLc; +œDá˜4 š;ÉsÉŞľY'˙ř3˙ó"Äç +ŽeNJLŸM`06ŕĺ„V+hXpĄ‡zDč €;âJ8ŒReN6ÚţÍţŔ˙ó Äć +’lPfFL¨ło@€ĺjÄĂ­€ŹŚše!œR&Ôŕ B"’)6É%ҍ˙ó Ää +€Ž’XNDM˙ţ +€ üœkKT8•f&LŠ‡Cö&)KĘHeŰżŢ÷˜{řĹż­˙ó Äá ŽtPfŠL×Đ,3ý`P$€|œáĆbÎăMżô×&Ç0!…5+;ć<ýsçę˙ó"Äă P҅¸Mp_öţĄ•~€@á3¤ądh‹†Î-…rĐ6ŁAźŠĹŃV˙Ă˙ó Äĺ˜Ň€đLÄr˙Ć€X++KŁů`~.â°żeAÉŔô [Ą8dŹÇî§ţż˙ó Äé +˜ÚqMp˙ Ŕ0(3Ř5Ćڐa„MŃązN lˆ#"=T–ëwvtˇ•ţjé˙ó Äĺ Ö}¸LÄqŕ†ý2Qj ,ű_X`•0žřϒ'x@Ŕ†Ľq„kEmŁe:0_˙ó"Äç +Ú`PfĘp˙ŕýˆ ô8`ś +쓑ž“Ç⥹h[€šĄE"Lƒ*§ŁAÎA˙ó Äć ŕÚmNJp˙EÉྀĘüq`şz ƒ7şěÁAG-`Ŕ†`LjńߝčŘG˙ó ÄĺŔÖ`ČMDqč3ü bźÓ^4Ëšaaş+„€h4ۂ8üń‡ek,˛j"ěMa=S˙ó Äé ŘÖX(eqšüžXáÂĚ(Y8˛‚Ú]PHŻpýi Pqiś]DDYdp$`x˙ó"Äč +ÖiMpDŞTlú?6fýůľ˙—Á"ĘڙĐ)Ťů”I"KCú~˙ó Äĺ Ň`PdÄpú~=[đŒ÷äďúŔ ¨ %ĽJĕ찢ECÚ~ sŸ'Š;˙˙ó Äç + Ö`xep8ĺţ˝Úo˙áŕí×S†ńúITc);č:éŤŃ{]uřů_SĽ˙ó Äĺh’h(fM×y ż[˙‡ ŒŘV3ĆĔà ZËă„Ľb(}oS_é×íŤ˙ó"Äę0ĘlP~„ppvţH ćš“¤O)ôS!†á1eԇ ăL’gjŞŮ‹ŻýţŕĆ˙ó ÄŮŔʈxL„pŻ˙Áť¤lBŰůŞS1 }Ł3R`B05ŕ…džZ4t?çňką˜˙ó ÄÝЊpP~JLŁI틀 dŹQô6bŢz‹Ë^!AgˆÓ:v™ŰEŒKü Î~‹˙ó Äŕ  +txMqž Ë`*’˛öF • i2Ń +ĺĂ +˛]—ţĺG_ü­A˙ó"Äâ PŽdPfDLžil?HȕGŠ˛~2Šą¸ľœéuˆŃËćéŃÜÇ[×˙÷˙N‘ü˙ó Ää°ĆpxMpž˙ü)Ŕť`Y¤o ps‹x‡ŹYG6คŸzPó_üŠ +˙ó Äč +1 +lxMp!táç˙ě#¤psN#˜Bu$}7ŞUZ! Ok7]"˛Śt‚Îúĺ˙ó Äć ČÖy¸M +pC$ß#ćŔ h‚†^đ3q+¸Oňm˜-čAĐ-˙vvŤ-Œ@§˙ó"Äĺ ŠdČfŽLUO"ż×( hyy(V_lxGI,łRŘŮĎ G=’Óbs§÷„~]˙ó Äę +hÎm¸LÄpíHçňŔ/.\z@*ôôý%źŕ&gÉčÖˇç3SŞŒźŘ˙ó Äç +\P~ÄqÝý`¨]ËđŕžUƒ+´ÎU>ÜřŽŤTŚÖ÷Îó˙™Cztý˙ó Äĺ °’žX{MhÝú€˛M GĒƒŻMŃÄŕ7,Ĺčaˆ['ÇśťÎeýýé˙ó"Äĺ ŽxfLŔľë˙ôĐů%Łđ/^?†főšl”8P*–Lć-W_Ý÷űůáœ˙ó Äć ¸’„xNNL7úÓĘ€Ş€˘â¤Ď5ę5FI˜ ǂ$ř™"dkgˇd`/Ď˙ó Äć ؒ|xfŽL›řĆÖ0c “–‘”@R”/ @Ĺ +€ +\btÉ4ŮżHŔ3o˙ó ÄĺؒlfŽLţŃŠíĄE”8 +837‘}\1˜“E­Źu‡ňËůź`zÖ˙ó"Äč +ÚpPfÎr„Vá'ýU]íúÝ)ĚŃ>ŠV‘*ƒ"$ŚŠ<zs뚡áâ#–˙ó Äç +Öd(f”q@źŰ×„‡™€‚&ŸČ€¤ŔŔ´ dá ”&čif­Ţ%9ĽI†˙ó Äć ŔÖhPfÎq& +áÜ­čV˘]Ä@,AáőÂ˃މjĎKPyGđ‡25w#˙ó Äć xÖu¸M +pKnÁŽ'ü„÷ő€0˘‘J`˘çBěNnr!Š$I2mýD˙ó Äç hŇhPMNqŃ +ţ˙ĄĆ_âPŔ5ŘEčÔkůš€„ŕ¸@„™TŇčŐůÖţ˙ó"Äč +ˆÖhxNJpŞ é7|ĺ €- hˆ…aSć“˜O]˜$$đhĚôśZ)ĺM~.t˙ó Äĺ Žl~’LäŘŰ(šl.9,žTJ‡ˆ"D˘ý{~J2};ŠŒ˙ó ÄŰІ‘¸6 +H "ĂŔĐzc$žŽúš˝Y˙”‚CŽ¤ŹĚĂŁ3OײNśž°ušö˙ó ÄŢ ’xPNŠL¤Äţ°'ĆÉĎ74ő¸Ń7.źĂĘ͉‚ş–Ë^éżśŔ˙ó"Äŕ ʀxLÄpXŽB˙*°ť ţOŃŹŹG€PŸ°\ADPD@Á’úţĺ~Ô˙ó Äă Ɓ5pŠĹßőĐ_,@Bn"EFÉnهŰ\Š˜ŃçÚŻű.—S &…[Ё˙ó ÄĺĐVyJr$r§{ŔŔԀú "¤4@Á3¨¨˘˜Z‘!=Ö˙; ňŐ˙ó Äč +Ć}¸MpM˙Ô +/“Ö‰XL Leđ%Hzý…g3Ą3 &fĹů­JćÜ6ŻňB`˙ó"Äć  ŠdPfÚL˝ţˇĐHĎé4œÄL¨“ Ŕů(ĂI']ŃëĽ?ěš˙ó Äç ŕŠ`(f–LľGúq" ŒšFp‰Łíˆ>˛8 ř ž1hş’5˙: ď˙ęŮ˙ó Äć ˆĘžXcDp`€ŽŁBľŠ ’'jr8˛|€‚ŕbÚúč+şmU‡×ő˙ó Äć ؎‰NJLęmżŢ `- tš%ÝzËyś„ÔŽ Xň*^GwüÁoŚŠ˙ó"Äĺ 8ҍ¸5 +pÍ:Qür+ˇđĘÂÉtO˘°Ň`˜€:¨m"´˙ćń?ĺjѨ+˙ó Äč ŔŽp(fÖLđăƀ?Ŕ‰Áś ę.qÁ3 !)ľsš'ö‘ýŁ˝ŃJ@˙ó Äč +a}¸M +qcŤ\÷‚L#‡Šě!&ˆY˙HQMŁľ$-č×íşÉ +y…+? L˙ó Äĺ`Öx¨Msšƒ$á˛líaěu}@BĹ„ôÄäîܓ˧°hŠXw”—ü˙ó"Äę +ŕÚq¸Mp…ĎŘJžxUC‘ţ  @ňOPN¸c‹— tô(8a%ĘČŤ˙ó Äć á +uMpţP§ýř'čÁ•a4 VsqîŐp[>|0Í°ŕ|L‡­{ɀ˙ó Äĺ Öy¸4DpŠ˙ɀ śŘ”I[ˇ2#v3H*0 +'1˘Ť}fj!˙ći˙ó Äç čŞ}ĆLŰ˙†}ß°,aƜB­QeëњڃXéĂ Ĺ—9Đ6 uüÁ˙ó"ÄÚ †x~ZHďÉŤő€ƒ',…ŽyĘßŮš§– +ƒ>gÇZüśYłĚťz˜7ö˙ó ÄÝ ŠxPfŽL?ń`€p`¸— !ZMcÚ };´ 6|Ąp°Ă‰ —+McfşÁz˙ó ÄßhĘ|PMsfA?ĺŔ˛€Ú:—-Lü[ÇpJ†=w¸ht#‚&R5÷ď˙ó Ää †pPNTH:iĚů_Ÿ˙ř‚BŕÁ b˙˖T'רŹüˆ•4˙ó"Äć +! +}Mq…Z•LČ{…0(A9Ÿ‹ZŮżMǍ_n +ýŕđЀ×ě) ˘•˙ó Äĺ ŠlPfŠL­w›¸śFh}-X´ď[§ĘÂĆW +ťů@Ľ^ ¤1ĚoqŽ˙ó Äç  ŠpřNŽLěfTĆ@-D˘Ô /˙Űú倀ˆ}cl*4?”ĺ5)Mŕ˙ó Äç +ŠhđN–L*s¸QÎ~cVNżňc@Ü÷Ü@0•ƒÄ˘ŠžZ˝^ayš/Ôťň+˙ó"ÄĺđڎX~pŮÖ˙Œ`Hxďä¨@Ó ććAf nĎDȀƒfNe˘xŐŃ˙˜AŰ˙ó ÄŃ  Ž¸NMÓżLc~H8"Dćž^M5Ä`’,D č;˙›šż˙˙ó ÄŃ ’•¸6LŢQŔy‘, ćfäRV€^.ŠRżŁ}\Ă&4˙ó ÄÓ ڞXLĘpňńĘ*ű0#ţ8“jĄš’hxxdŸČ˛ĺ˜…Ü +{’•j…˙ó"ÄŐpŽ€ČN”LJççüÂaż$8ť+…ąÔy Š€Ţ䃁b`i §%j—Xé2˙ó ÄŰЎ€(NTLˆoF†ËŻĚdţÚ÷˜Ô8Á÷€%#ÄŔ‰>1#ĂŞ`ÝŽ‡D˙ó Äâđ֍¸M +pšł;3~aň˙WĂPx4íAŔ˜Š*ëă÷S˜„(4ŽZ˙ó ÄĺĐցMqC[ ‡ĎÂ˙üő€Kg/‹Ł&ˇ­Ř´˘ q6I’E&˙ó"Äč +x֒X5p˙?ţUŹţ°¸ŔŇŕş0@ۗtßY˜~†ôx’?MNÍňÄí˙ó Äć + Ú˘X{Ęp˙ž Gű!Ѝ€<ĹČ a0Üjqˇž`)Ě ë%ů6Ĺúőĺ ˙ó ÄŘřÚ˘XLÄpţ¨¸”@ëĄĆ†œL¤ˆ(˜^D˛w­^˘Ť“íE˙ó ÄŰčڕ¸LŠpt@Uţˇ üeŰÔB ĚF_7 cč] +$ĚÜžšoű“J˙ó"ÄŢ Hڑ¸epŻ˙čŕÔOz3 “ƒŕLšţ–<Ö¨ŃÇFžŽÝœČŻsš˙ó Äŕ Ę|Pepd›°ęŔ„€c\PD‰¨g;ŽăŮ)lˆßŠËąňťęÚ<˙ó Äâ Α¸\p÷äDżĺ ü +B@–vÝ6÷bfBg*iÜî5k  +5€É˙ó Ää¨ĘŠXM +p{ +˙Ô 3ŔhŒĄ`4Ž J˜E(~@ +ĐŃdň*ÔĽyŘtĘo˙ó"Äč +pĘ}¸4Ępý^QżýůPy*ÖÁUH'ŹŐąVľ<ŇË5Žfłľ}9Őş))Şyń…5˙ó Äć ŘƉMqýŞœĐű€œń†č¸ĆŔ,7‹ˆ¤’›ůŘ.ůöiÉRŃâ˙ó Äĺ +PŞ‘NL˙_ŐŚqÎ',(XЁĺUTŔP0( HŮęţ„ďů¨n˙ó Äâ ’žXNJLÔ/úä@¨ł…×W˛…ć`ŽI›"ЍEӋvţü&úů}˙ó Ääř’„PfPLÚÓJĐGý  l­–FËËČęR2–ÜÔ@e  " +˜yx˙ó"Äç +aMTqpčѕ ˘†@-‰9Q-썹yÄaŠdQŇ@Ć^ÚäůËcҕ~˜‰ÎÖ˙ó ÄĺŔ’tfšL' ĚŮËgq*X­OžÓ¸Ăâ‡Uăě!Ů<‡ˆíBnWű¸eĘą¨9˙ó Äé +‰¸5q9|š€‚4ĆҒpŹ$1{};˙ů@H €’ŚâLÎ烙` C!äľoô… şý?Ž˙ó Äĺ1Ne˜Ď0q˙aó@U€P€Ś":3Ź¸ą6=D5ş}ż›7çoÁ/˙˙ó ě ą™¸|Îqđoú¨Ŕŕp +ŃŚËÉů´z°ö€jX\´JĎě˛5_‚ýO˙ó ē ! +™¸5qůp˜Ŕ` HâBN™őë' Łŕi˙ÇcŻÓFb‘–ý@˙ó"ĕ Q‘LÎqy‚†}„0läžűš-!ä Y X0Enďţ[oí#‘(7˙ń ˙Ŕ˙ó ė  +šX4ÄpNÍé3bi +'ŁŠLš€›$ň€<™ÔbĽSú'ĆGŻ Ôv?ţ˙ó ę ʕ¸MpŤÖk֑8ßÖF…ÍlŁUřźÍX +ĽZ›Ý‡ĺÝ÷_˙ýš„âÖ˙Ę˙ó ě ʕ¸3Jp˛ÝőTŠaaÁ™ŕnÖÎ˙ýe’bĎžQinUcisЊŽ%ű˙ó"ĝ Y +–XE +q$€’Ŕ!ž $p’Ă×ßRc PEĂÉŢëýPţîŁ¨ý\Ž˙ó ğ čĘşX{Rp#E€lăQŇ•śĺą4 Wş)TľQúŕv˙ęAV!űd@€˙ó ĖřʘNTp™Ŕ(ŕč źGÖÓ0đ‚N_6=oúá+ţżM?ţRˇtÖ L˙ó ę Ž”p6šL Q…‰S$ě˛čȂ5rô€ßČßňÎ@˙đ–ŐŤk…˙ó"ě 0ÎŚx4JpŔŮ8\-†DÍC,]#×~2ɓ†÷wÄäťúb[Ýôžq,›#>Š˙ó Ğ ÎŚXLĘp…I›7€ĂŤÇZË,š=ýÖYi +P4ŸÓşŽ˙ň˙–ú`A˙ó Ä  +žX4NpŞjóN„śţ1Š‹#Ž˙_:üŁ‰~uđO˙ÔWĺ@!œNP˙ó Ģ¨’ŚXĘLŒƒť€ " áv +óÚ˘"ž¸Ż˙\IţłŸ,„#¤ę@˙ó"ÄŚ +’ŠKĚL–ŰÖx*Ś‰¸hFó"ŇżŕĄĹNQ˙ú–ý`¸@!fŹ˜HŠ˙ó ÄĽ +Áœ~pϊ#ź5:ĚĆMőžWŁ^ŽËÔÜę +}@Œ5 "1?äÂ˙ó ÄĄ ĆxIÄp × +5Ö+@ł'Óů*ßTœÁţЧúý`´0#Z"ÎSëšH„y˙ó ÄŁř֙ 3Jp™ÁŇů‰őű߄ÇýŁó˙P´bťŹăP?8wlŠŽJ'É˙ó"ÄŚ YšHLp5?ý]e—ƒ28{ƒŸK>°) ÜÚĺÍźŠĆDC@m-I’‘˙ó Ĩ ÖŞx3DpááëËŇúí_VÂľć&Źěv& ć•gšcĺÜţ] ͡˜––˙ó ÄŞ ֚84 +pˆL|.pY‘ĘŔR/ —_Ô܈—é÷ŐżŃŕßëQŔÇ0Z&ŠbS˙ó ÄŹ¨ÖžP4Jp¨Âɤ!ôBđËťzۤ{^ żŐżÓQ?™h‚c-śFźŘÇ ´˙ó"Ä° žŚXÄL(@´ +?˙(-``́Ĺ~˙ó Ä´ ž•˜6LLčďBŕ/a ”EˆóŽAtšÉ÷öţŁ˙ř ý1Ŕ ‘Ŕ֌6˙ó"Äś @ŢĆX„pRë}ȢôpŒÂ{ˇ­2Kĺ/ľżü˙ţƒżHŔHŇ€ÇF3˙ó Äš‘śX{Úp¸xŸ&ˇě‚CŠęýč_LG˙ę ŕíŔ0™0SČdę˙ó ÄĄ !ľ¸3NqţqÂYVwťzŰhp?`t‘ó˙[žś?PĚ@ĐĄĹP|ێ˘Ź˙ó ÄŁŮ ř4Äp!DçDâ6ÝC÷}j?ˇřßĚt€´#gŔ‡Â† k>Ĺđ’ćéĐ˙ó"ÄŚ )ŞXLDp^ÎľŚcű?E'óQ‚PkŹÚ€Zm€Ôç,#Ł +Á1YplĹ=˙ó ÄŠ ”đ4ĘqÇ|čÄö˙ůÎ˙úŔýç1+Ľ­*uG +hAL.HȳŅkK˘oŹY˙ó ÄŤčv˘X6 +H–š­tĄ;zÔiţńŔ÷–ŕ + Ŕ6ˆ˜iϜ*–bi5„Xţl;˙ó ÄŽ ćžXKDpÂŰč°űřŸüü€ýĄxb€ÄˆŽE’¤ŹŒ:ËǪÎ +ůeJ˙ł˙ó"Ä°đćŚX,p˙§ţ‚˙ŽƒúÄ!šF[ŒS­ŘťG‡P(!Ť/“ŠÎČzVU6˙ó Ä´ŮşXJ„q~ÝGÝr?0ÁÜ‘jů‹dVǚXXš=ƒ0:˙üˇúńüké`m˙ó ġ +@ęœĂÎp˙$€>ĚRŁ› sMBŕĂJ .sÄ/Ů~)żóŮ˝F‚’ô€˙ó Äľčî­¸J„pgź*ßœČľ˙ó Ä˝¸î°xZDp%Ą†>¨4]§Ë9x’Ď}qĐeŤŒ?żĹÝoҁpđů)8{˙ó ÄÁČIÄpć8 ÂBT!ůřŻFáXäqŕG7ń@BÜř~d +°¨(˙ó ÄÄ žœ{ÎL…ĹÄ఍FĚͶܓáţúâQôz˛Z ’r DŽŕy‰8t‹ŃÁ>ě< +˙ó"ÄĆ 0žœX{LŢ9t—íÖçfš“Éţ=nýmrv€€ ĺ9ń˛—¸=wJâń v5˙ó ÄÉ ޤXzÄpA¤úöîššŒËď÷ÄnŤ¨ý%'@ŔPż2†ä5řZš "ô żż˙ó ÄË ž˜{ÎLÚŽđBy~Ž…e-óî%X +€dzⱝÓxw¸hÓzcŁą Ś×Ţ˙ó ÄÍ ’°xJFL¨ě¨Äž¸řĆ.Žm@ `ŕÎxĆzß‚|@0'sĄöŒę˙ó"ÄĎŘú°řIÄp×éRcÎĺšœÚé7"˜ń1˘Ľč.oŁ§Ë$9?J_ŸíȀɜŤ˙ó ÄÓŕö¨XZÄpW‰‰F@cďL§5,/<1T`âڐżÖaӨ퀆ńiş˜§E8˙ó ÄÖ Ö¨xbÄq^ qTď‡KJ8¨4KpĂŹ]ˆ‚kvĽ +ţąx˜ă0*ŕ3'ł˙ó ÄŘčÂľ¸I„Lˆëf“ČžŕožŤd8 +Q‚‚Ą8Đř[Íötńś‚ü/ăî,Ú˙ó"ÄŰ ˛ 8{ĘLrđlöʅ—Lm\î=cŘjHzeÎ?VćgđíöžŚŘ˙ó ÄŢ Â¨xzJLŕ† ‘Úř5…ˆ–ˆ+Řýö‡ ‰Ązq@IýˇŠŠÇoíUm˜˙ó Äá°ś ({ÄNFđ +ó9TĐŇžHU68Dš QđOÖîŤN:ÎŹąŞżo˙ó Äĺ Ş°Xb +Lör„śY đ7”’äö +…TQ;¸†,ę +ĂÚűö”Í8 +sţˇ ˙ó"Äé +¨Ś”ĂĘLc÷ʀŔ@Ż-EtCT{ ‚Fę d}X †~Bˆ~ńV°Ç˙ó Äć `Ś¤X{ +Lý }`Ŕ @ÖŰ ´<D[5 ˜ ý˜ÖDĎk”*Gâ_Î?˙ó Äç +î ¸zÄpGéíԀž˙K2ă+(ĘÜĆ?j—5ŔÇ@]pťÍ’_`d*pÔ2‘˙ó ÄĺŔî¤z„r_¨$†NëŘ +圼"PAĐńéqšĐDQî‚b’1öŚŽX´[…úˇď˙ó"Äé +ŕîœ8{Np˙}†FÍ@€#đ5•+ě>‰ŔňŁh^ĹŔxŇ)Ăĺ58Q´ŐĄ>˙ó ÄĺȒ {ÎN˜&ÇC9p€Ť@ý†9Lu€Č y*\”hčŽŢ˜úd0EGă÷ŇK˙ó Äč +­¸zDLôóy@ŔŁ`'Űd3˜…14†Ü=‹jŻŻ)~ŢtÁ8 řW÷7˙ó Äć xŽŠx{ÄLQHüIٗv˝5.‹Š€›†H[Ąs“ˆaPŽĽ…\ݟ AdTÜ)•ş˙ó"Äç +@Ž¨řĂĘL ŸŁôŔŰ`5ÚŹ›] +3$M-fpˆha„ByĹG3˛ €h\‡Čŕ:ČxD.MŢ&­ß0dw~ýĽ´I˙ó"Äç +@žŠ¸{ÄL{ť:Ŕ ;řěrŤŹQ2Šü-+Ô/*<ÍńréđŸ•÷—7đw˙ó Äć ˆŽ¤{ĘLüíôƒp°žXřŐzĚdC. aP8 ( ¨ËƤ + +śĄżŢ.÷Ôˇ˙ó Äć Öš¸yRp“÷gȀ )°°ŔاœĂqĺź)đŽuŕ?§—ăöéřŸŤ¨Ś˙ó Äŕ ÖśzÄpÔn‡÷jPŕ2eS ҁ3ŔÁoô-ľŹ-×Ų!*¨(o‰šLB˙ó"Äâ XŇ­{Nq!Ř d`^w)FâΙ˘dldlŁÄ‹­Ň[ŹűPškÎ=.jż˙˙ó Ä䐜­XzÎL˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ŕ€sč‚-<…—Hx˙ó Äč +!Bś8z„q­bŚK+;›”*öńZüůńíŁt0ĘňŔ +Č…š†‹ĘĄ˙i—h%˙ó Äć ˆÚ¤°{Nrč㊠'+üę™čÝL"Ż Ŕ Ä“¨ÁšĐ\ź­ä(C›L˙ó"Äć čÖ˛9O ˙Ďđ(65ŰđűŠ—ň +ôŔKŔđ`eYfçEg€‹(i¤%˙ó Äć\‚¤˜hw?đý éôj7đcz€Ŕ.ř†ËELˇŃR(ƒ + +¨rĎ@Ú:/˙ó ÄŤ îÂ9ĚĿǭ‚Ş|ł˝nę‚Đ弆<3ßA™2ě^˘” +W–¸ŚRoá?ę˙ó Ä­řîąXyÎp˜Źş? ęˇń ‚@„ÚýľCelZ×B=>^`-Ď˝…CQć9>4ĺ˙ó"Ä° žą˜c +L¨Nî§7Ź€ Â,›ˆRŃXś(j­ LA€ČxŤ–t°ˆ•iň’‚Ó˙ó Äł "ľxyÄpëŹÚëXř9dÔŞn‰pIÁ_!—›ú ăÜ؀#?o˛žÉbdý˙ó ÄľŔ˛ş8yJLw”ŮqFĺÍ%y<ąíă]ű埣˙ő%ůP´˘ JƒËä˙ó Äš Ź¸zŠqĺĂ!@˘]9wFźe7Ę> mZ†ůOÖsĺ€ †ąr a7@t˙ó"Äťđ¤°{N‡‘YłŸşź­4Qľçá†íé˙ńż*ŕ$hó@:ć’8tq#Ŕń˘ň˙ó ÄżŠBŠPzsE2jŻ—Ÿ>ÖęÎŽŮ/‚oéĐOĺ€Ŕ˜ÚŔ9ŇŇěܡ™0d&–ľ˙ó ħ Ą°({NpÓČ Ţ—řÖç ëÔ(wÔ{묄 Tń(ĄľńäiDŘ! FÄ‚ęĘ˙ó ħĐÖ°@KNp{QŻżďÔ(š5¨DÝ g Xö"&ěx>‡x1z4*y“t˙˙ó ÄŞš´PKDp”vÚŚđCd՞úŔ無I“ľŚ™ +!‚xRĄQZ:ŠVzŐQŻ˙ó"ÄŽ QÁ¸J„pű{ŠYďú€đ/š\\źńyMÓő0;Ý.ozjšŕÚÄĎN ŕ˙ó Ä°ŔÖÁ¸JŠpsvDžĺ€ň%ň-I"@|?šÄIVđÄ1ÂYůwO‰× ů^<ڐëw˙ó Ä´đÖŹ8cJpe 0˜=ŔRgŇVTŕę,X”<Ą-—Őů~žŮĽ×Ťőú€˙ó ġ Ö¨X{Dp€čk`Čňˇ˘ËQÚ fƙ#ýăŽĂŹŽ~­ÇŁEu>ĺŔ˙ó"Äš ֜|Jrđ1+iљXÔ彉ŕę#¤mAj´Šů“ŻQ=š:>˘€€0˙ó Äź žŹđcÄLYŔQ"ÎŻ’­ćžÁIgÖěşůΤ{˙—uéďÓÄéé€ }đŚ˙ó Äž˜˛¤~L†ĂţW€B]\ (ÄúĐĽCŠľň˙ď§ľ¨ú +ɀ€=@\éł˙ó Ä öÂXJ„p-ۃ3ć*q@ëĘ.úŰYo7őM‘¨rhnE€źłE"!,@˙ó"ÄÄ PśŠX{ÄLĽîP…ĄŽ'ŸńZî 塉ŁŢçvŽ ¤]@čis.’ˇ†˙ó ÄĆ  +¨đ{DqŻ(2^ÔꝦš5űß×ÂëŔňđ9;$0ű´^ÂHäŹ9&Ź˙ó ÄČ şX{DpvݞfŁAO¨É.AÁŁŚ˘Y €  Ţœe2uă"čk2ˇ¸#V˙ó ÄË ś8cDp7L]´q4xvn'+§îĽŐŔÎp5+H2zx +žPź\R˙ó"ÄÍ +˛8cDqí3QÔŞą7ßş"}€€šIáđćŘôĹ/Ć(‚Łń[S0‡˙ó ÄĐ Ž¨0{ĚLWŞBNŠżs0  ĎŔ~ĘáHŤpUă٨T= +.ÍvÎ"űJú;˙ó Äҁ +ĆXaÄpň쑾ŰXŔś€- +Ά*6•ů÷˜ŰĽ˜bc=HjźŢ)Đ˙ó Ä× žÁ¸ZÄLD}ťĺ€ŔśĐ;n,…Ă|ާk[“8h2ŠóČ5œ‚SM ˙ó"ÄŮ ˘ą{ÄL4… +§ÔF?á€Ŕ ȨÉ*ŠŤĆEĂaĄV^ÜĚç fŢ6,˙ó ÄÜ ‚š¸KÄHÚx&•{˙Ѐ+Řj›)H´¸Ý™1€a'GÍů^Š) ý ęH'ĺ˙ó ÄŢř†­{ĘHj3ő€ ŔjjěĖ×h +˘Ÿ‰H˘4¸–8ëŻŃ{ýmđ—/†ýŁ˙ó ÄáčĘžXaÄpů:Ţž°ŘŔ +lĂť•Ňf#pÚ á爥ëżĺލ67—čą˙ó"Ää ˛ľ¸{ÄLž"Ţż"Ŕ ťśÓ!'š€Ŕ­(ęIŠËDrꫜIęyȇ+đĎAń˙ó Äç a +š¸yĘp˝[ţ €%ŕO˜í‡Jă2D~š†aސ +Łn(ĺg÷ĐOŐč˙ó Äา˝˜{ +LáÎŽ“@Ŕ"Ŕ&ó[Ţ'ĺxJ;CĐdń<'őťP/VE˙ó ÄäČÖÂ8z„pâďŐšţ +ćŔĚť…ק—#aźIA.—šŽ‰tzD…bąŮ[ď ×ţ€ßů›˙ó Äć  Ö­X{Jp××˙Ž@ŔřÝ ˝ +eq]F„&& đ5âśŐßxŞęý~Ż˙ó Äć ˜ÚąřzŠpČýţ0p â𕚼bĚ ŠTŒńYI— ‹÷ř[ŕ˙ó"Äć +Á:ĆXzqĘwÎlŠ`  ní%–_"#¤1ż óť‰‚ß>‡6ŇGđjá˙ó Äă ⽘yÄp›ĐzžD€8îůś…5šŐ3ž"™Ę˘gŃÖŠLB­˙žž5ăW!ťţ˙ó Äĺ :žyĘq§p}’ŕŔB†`?:ë\wdąh——ĐŁŔ>„:V/–˛<×&ŐI˙ó Äč +!>ŠXʊq's…Bx"ŞH ]Ş| EšżńŸ!(ż[Žš\::Ŕ `ĆoC˙ó"Äć é:ąXÁĘqłŮű˝XÎ°|A'45毝üËámĹ[ńşăż,–{jŠGÚA“˙ó Äć ˜ś˛8| +L17š†đ˝oű¨÷wńűpŤÔ}95úő€‚›‚íľ Ÿ… Ś]fĹi˙ó Äć °ú­řʄpKƒ;9?˙×lö„Ÿ°ůîL§Š˙P€ +Ăwđd´N,(ĚŇ–˙ó Äć ˜âŹČĂÄpUŚrŇkĺVóöáíýG-÷ý` *ÝŹą0ćăôÉ(;YĹ`‹˙ó"Äć9FŠ¸ÄqłčůĚŢoÁ?ÓQׯ?ő€úŐÂcKSŠ03A. #˘@Ǚ˙ó ÄÍůšĂJp6fßJÜ7›ć¨˙_ë R_@‘łé*¨­Ô¸BŃUËzů×zół˙ó ÄĐȞ¨ ~Ntęžšč•3ň€Đ MśĐ+%đýáq RGž4jŤ×Ëţ\ŠžÄF˙ó ÄÓ茨¨~L7@ +}pÇQĂ‰é‚ + 7ˆ˝i=[1ź bj3Nnl‘ŁźÁô÷č˙ó"ÄÖ XÖŠĂDp›˛™?ÓPĎôđĐ @ČČŕš5^HrYlJ‘Ą ăĺ뎎qÍţďÂí˙ó ÄŘČÖÂXyÄp˙N7äÁŀŔƨ€5"b$Öě‡ň­ˆSş‘Mn­I!ţK<ó˙ó ÄŰŔÖ­p{DpMôɂŕ|ŠÖPh\´z"¨ôB2š6Zš%Y‚ß˙Á7˙˙ó Äß ÖşX{Dp¨Ă>ŔDOá Ů$'Ű22AD¨¨%Đ3Mo:Ē˝r2Ľô˙ó"Äá +@ŢÁ¸zÄpűh%žŞ@‚ yR.L°m’Ś‚pƒ@ŃĄşeٕι+úukŐč?˙ó Äŕ !¸đ{Jqę*€S‡1jO{tĺ¤ôĄŒ‡‚ub€ČԊ}ČUďŻR˘˙Ő˙ó Äâ â°x|Dpń_˙ÔC#ŕLƒ…´ĘÜŔţÄái|DŽp§IŃę6%îGˏ˙Žłž˙ó Ää ⽸{Dp}b° +ŕO‘íDiëžBU!ś3´()˜Úe:š‚dßźvŁ!ĹŃ˙ó Äć ą¨X|„qö˙ż ›GrUTtĽËŕ9)Ř{3Nr€ĺ?™zyHE ´óü˙ó"Äć +¨xcDpY"'ßý€Hoź1Ś˘.ˇ\ŐÇęXv‰é׸ç÷ՋP×Ć}]É˙ó ÄĺřćŹX{DpŠŐçîcVyéôđdč| +ĂĐŔ/Ľę÷ęÇU4އżőÓęß˙ó Äč +9œĐÄÄp‚p @×x=_łńgňĐw$„ô Ôî)5”†ĽZË8ćé +§őÄ˙ó Äć `Ö¤XcDp‡˙ü{€ ŕkP ž)ĎM’Âڈ˜€œ\ęČ3?R&óˆě?<)˙ó"Äç +œX|„p­˙Çý`~°€łƒŔ ٹܐrC@(`|ƂˇD2„öĘś˙ß×˙ó Äć ˜Ş˜~LŰ@PXL9!F󪁍ńž~… +a)‘ő6›#YIđLěZ˙˙ó Äć தř{ĘLzżý8ŔX ôDs€ďagŠ$Ď3ƒ wO¤çR_™­ć&ԚĐy˙ó Ä奏XZ„qŢ +ŢŐC¸ +sŇ´Ídb'mĚhJŒŔj?ž˝ +i˜&óżýu˙ó"Äé +I x{JqŇőŔUú•AÍ\„ąy˛Áed9­ô˘ë[ÖôĐnůŚ×˙ó Äç + ć˜XÄp–ßçŻ.ŕ -o +uKaÂmč32ć‘T6yś˙Ÿ…ŢŹ_ŔÝżö˙ó Äĺá­yÄq‚ň`V–Ôoj@çęňß\zČl 4Š +úĎŻŸ•ą)ŽîáN”;˙ó Äč +œx{Dqő9’°Ŕ“Ž‰Z/f˛R8˜Źčżˆq<4 0Ţfo8ËR~űżďŤ˙ó"Äć +ޘ8{DpĆFŒ€öüw[pƒĂ°>Œđ Q”‡W6˜q†0îĐ˙j˙ó Äć Pć¨8zÄpžźUę2…˜î—)ćr÷ULŘíěNdŔÝwe+[R +ŔŰĎ˙˙ó Äç + ĂNqřôé¨>Mü +Q%"g3!‚„ßĹ?Œ˙ó Äć ¸ć 8ĂDp S÷ÚŚu€2Đ?k”č#QŹČ{]ŠŔ°°5ťÄ˝Ÿ„ţŹ…ţ0uő˙ó"Äć é¨8zpSż¨€pîLʙl5!ˆ‚GrŤ°?ƒ¤u˜ŠÔň"F‹żčČüŁ˙ó Äć °ÖŹx{Đp“łÝĂŔˆ?*’%kav/!ÝŰ+ÂhH"DŽ–§Ç“Z~jz˙ó ÄŢ Úą˜zŠp(×Őţ°Ď^Řƈ6\ځ4ŽĄřEŁÓC˝#ĆJvŕ_Ţ÷Œ˙ó Äŕ Ú¨ŘyÄpč~ó Ŕ Ž@°¸čÖKldŇěoRoŹ2@ˇE˜ŇÓ]kŻÔ˙ó"Äă HÖŹ¸zŠp–ý¸ţ€Ěu5Qˇ`V(…Vž0x†¤P˛ĂĄöźŁ}řî?ő˙ó Äĺ°ÖŹŘyJpúˇđýŔ €łaC—n„>UC +Ôk[śöƒ"ťŻL˛ßżŮÁ˙ó Äé +XŇĄXĂNp€y>°>tÂâ*N×Č-Q˝8Ry@Ě%O/ťf&éŻă‰ţ­˙ó Äć  ÖœĂNrüA=á° fęyy&6߀~/QŠšđFFŠíŸUۛěČ/˙ó"Äć +Ú¤{JpúäůP VrůN#AŚŚĎą†xŹp7  •R¤ŐE_ýET[˙ó Äĺ `Úľ˜{Äpú†mżú€€Xš(ËŐ&ÝCΔ5Śh˜ä2VOSUu|+éŽýPu˙ó Äć ٤¸ÄDp9 +/ °Ý/f"ěŻS­—ÇŽ|‚ĺQÜ.ŁŻVWűŘçr0˙ó Äĺ śĽ~DLčńť°ĄżŮŚň9ŀ Ŕú%°Ěqť­ŽŸř°[ŃŠ“˙ó"Äç +a"œ¸|DpQÖޢl¤úŃţ7Ճ Ä@ÁĘ(â60;$í Ă: +ÂŁFśHÍžh˙ó Äĺ Ú˛Xd„pgűăő'˙řW€¤€:†E\Çu´ Ž‡@”8S?ë}ęŐ˙ó Äç +֜x|Špű)SÁú€ Áŕo¤x#F§ŠĎUĹć„H FRú”ťVäŤůśę˙ó ÄĺŕÚŠ¸dJp˙Ë;退  ’$:<¨?™j"Ş +h@łh–h´á˙—ŰÖ˙ó"Äč ˆĘ(~r‡ľ7˙řĐȀ€*zĹÍép‘…ň`DYĹÄÓÚ§ů“/͊yą˙ó ÄÝ Ίd„p˙Ă`€(œÓM@ŰĘ5Hôń™D? ;„/"ť¤ĎŤěJÁ2v!DeU˙ó Äß ­¸4Dq˙Ż†ý € ´ľ:8{HwŞa˜Ă0€ÜČĐbĎŠw˜íůHŠŠVÔr˙ó Äâ ĘĄ¸cDpTÂŽŽ,€()` +›i† ĚćÓňšVęeîý*Ý3mŽß˙ó"Ää @ʘXepvInúĺ€ŔXÎWPřŚVؑňň#XŚ=-›Ş­z­Jżßlľ˙ó Äç +! +•¸LJq[˙Ńň  Í€/5ÄÄzpš”Ĺů6Ćq-Łj×N‚(Ž +ˇś˙ó ÄĺŘÎĄ¸L„pޅŽúĽĐŔňđŞôĂm2<°Ë=ö:ů­bĆýŽWúŔM&ÝSY˙ó Äč +Y +•LÄpűáŔÁ@Ę &DE,X×$^5!€Ü 7Âş/IˇúvŢŮúŃč˙ó"Äĺ +8–Č~L˙ţ;XŔ@ĆpôČC5őKşĘć{t‹T`Lü_ş6r?Ţ zóJœ} ˙ó Ää ’NLżĺ§ňŔú5CôŔ@„ƒŕ—y0¸á‡öÔeÖcrüšßĂŐ ˙ó Äć ™¸LÎqîÉ÷é_ČšňĐ@ +@ц ĺŇĐ"$Td`Í1Bśˇč˙ó Äć Đʙ¸LÎpŒĄ—ĺ/Ô,Ďý` (@ +XJ˛2EžUgŔĹDx~€zXÁ4-Ÿ˙Ô8Ĺ˙ó Äĺ஝¸N +L;}‚džŔ.źłĆb‰qŰ=š:x ›% Sc-=ué‰D™˙˙˙ó"Äč é +•¸LÎp‚˙ʨÁ€@óš^sŠSÂeň@ŐŰWÔ3Ćoţˆ˙ó Äč +X˛¸fL˙ú‹°`( R‘퇷g4ÖVyR(šj[.˙ąĂ>çú˙ó Äĺ ʈfPpŒ ˙ţ"€ 8”c&ĄZś.‚dÎĂŘ5d“+űIâ;Q˜˙ó Äß ĘĄLĘpĘ_ĺôč@€€ +ÍTÇJn˜¸Í@7`hÄÂO•P]tţĄôÍ˙˙ó"Äá ΙLĘpě_ňŔ¸˜]íąJoŻ a ˆYOÓE!ś6v’či)•Ęĺů~yáż˙ó Ää +ˆ(eqցăTÜéül'ąŤä‹€Ö Łlń§@äR¸8Kh @6˙ó Äć Š•¸LŠq{Z¤_EP˙R˛zˆ'őź ú0#Ě0¨?#QEśŰ+°MS>˙ó Äć š +M +qÔj­űŽöţŁ¨&ý“@¤ €ą¤M%‚Ojëf‘hV$ ‘v{˙ó"Äć +ʉLĘpŃÖç!ś§ţVY˙U`ť2H+nA!¤EnY€EBąĎJ•TO˙ó Äĺ ʑ¸Mp×ĺk4˙?ŕ¨öp.Qn\[<šŕ7ŇšŐ]ˇÖŔš˝Űô˙ó Äç +HΑp~Pp,iR_ščÍp`ZœFz,ă­á^ŁÖ +AÔľdřűŮ@˟AĘ˙ó ÄŘčÚÂX3DpsdJ˝ĺźOôy¸Xɀ†%⸎ž¨ +´h˙ó ÄŢ ĘĄ¸5p”›˙˙č_őĄÚ(a€h—mŁQX‰¨¤6×"żŐś ˙ó Äŕ śxKDqßNƒżüëŃ + h ™ÖŚeŠ@v"›.Ľ˜›ŻűTVs˙ó Äâ ÖĄ¸DÎpĺżůV}@p`cô-‚śŕ†(-đ‹żĚ§úđśťsŻŽ˙ó"Äĺ 8Ž•¸NL˙ę;ő€˛űŐ(Âá‹ß$hÁh pU ĎÔDKëŇů G•ŚłM˙ó Äč ڌ~Ppü‰żwʇź8 xń_툅gY&̙Ÿ´ÜŁĘÚÓJ†˙ó ÄŢ  LNp}LůpđKđcXAiœÇř%÷4L=˙Î2%]%´ đ~|âĂ˙ó Äŕ Ą 3Jp߯ Č%(XĄ nĄŹ-2=ˆ†MU),˛˘T†˝z×ňK˙ó"Äă Y•ˆ4Îpůg„ś/Ý&ÍCžłB!ť›Z-ŠżěępŁâk˙ű4\Pˆ 'P­˙ó Äĺ ŚP3JpLuŔý ńź`„ɡÖü”V~żƒ÷˙G¸ż¨V Śq]-˙ó Äç +֙°KPpËŹýšľ@hě.ăůóKuýA4ű{áŔ¸‚Í $Z/ÜqÉá˙ó Äĺ ÖŽřCJp|™™ĚR‡'Ůţ&;PTÓçrż ×ýÂOŹRçI4— <ŹČ07"˙ó"Äç °Ś3ÄL ­ ]|ăsj6ż oŁ˙§üNh %ˆÚn𙋢\S€Ý$˙ó ÄčŠ>š8fq‰§Dýűp…+Ńţ˘_ŢŁ˙€,Ŕ8†Í­Ö°z fćiD…ĺą|˙ó ÄĚń +Ž84DphîP\ޤĂ|Fľ€Ű@ąĽ^ř`yP‰¤K˙úÎőm˙ó ÄĎ žĄX6 +LČw7ńő‰Ŕgúŕ‡PÁ\öŠřđD5)Ą­c1on´‹ŚŽŚăVť5˙ó"ÄŃ 0™PNLŹąľVľ_ťcNǎwńĎV0B4˙ş&`Ô%ÔGĚŤá´qX@˙ó ÄÔůžDĘpçˆL|Ż[Vô Éýş}[Ëühg˙ đ$‡Ŕâ7=i¨áX ˙ó Ä×Đž™XLJLŃâmýH¸~š|ńżýGzâ€Ŕ@@&‚Ģ͢žŒFŘc2.˙ó ÄÚř†•ř6 +H6ż¨ĚőHýăú§ô ˙ńĽ@°0ŠĘ °$ĄźĂ—€Xź2.í˙ó"ÄÝ (²X3ÄLé @ľó!zý˝O˙¨Ţ€ô1Qf‘ž˘ő(i°ŕ|¤ámďS˙ó Äŕ á˜Č~q֐ájšëöŁ˙ü`Í=ŔânÂccú< +yTw&ƒ+jŚd—ç˙ó ÄÓነ4ŠqBű˙Aů+Š u€˜ Ć8˜ xC|úÈ(S‰Ăş–ËęHx}Č˙ó ÄÖ Ľ˜KDpý_ˇ÷ůž$’T€P8Œ +ľ`HŐ­ra0ńך }ŇUˆĺ ˙ó"ÄŘ Q”ŘE +qžŐüýroí4}pÖրpĹ͝}rŰ%Zö•@j6O™ƒ\7ü źý˙ó ÄÚ !˜4„p…úˇQř7ő˜đ…ŘLáMĘąËtŒú&)ÍĚŃM!ŃúŰ˙ó ÄÜ !™L„qĄżí+řh´¨Y´t(ó9g +şË ˘(Б0Ú)@î˙‹˙ďţ˙ó ÄŢ ćŚX4DpĐmđĐŔ+Ŕ|”n9Dž2[ŃüPeTĚy"ĄÓcE| +˙~ŠŃ1˙ó"Äŕ @ćš83DpĄm˙A­čŔ×Ô#.yDÖŁž‘O؆ÂÄî6X–€ŕż:Ëţň?˙ó Äă +Ŕž”NLÓ+ř?ä€ +@"°TœŢEr! 4*QJǢCyĆMwÂ˙ů˙Ł˙ó Äß îÂXa„pĆţ€) +ĂBš)~‹ĄœŞ‚&-ç@ă8d!ń<ó‡ăzÜşş—Œ#Ńţ˙ó ÄâđcNpł.§’ů xg$j7LęäŚ&*#t5έAtŹ˝í‹šŸă˙ó"Äĺ (îśXyÄp%đȀ& +Ŕ¤ĎÔ?Ęźipb„Ľ$h4ŔdŃË%RĄrŻ ˇ˙ó Äč +A¤X{JpÔâ_ €°-…Q ÎGTmËÁtň\(o}p°6tšÇĐŰú˙ó Äć Đî xzNp‰^BďúŠŤA4la™O79ŃkVŚ„ƒhčăxOüő˙ó Äĺ J +pŽÍ=  h4-’z3KjPŹoäNżdsvŔýŚ!˙š…O˙ó Äč +`žP{ÚLiřż@‚€Ü +2)<¨WźA% *’!$ä/v|uń_ăcüjN˙ó"Äĺ辜p{LƒÔVő ‚ ŠŮ˛ęŤŽđ + +1Đĺ Ožż_V™ +ÇÂ˙žŚ^É˙ó Äé +HžP{ÔL'EŔ °_¨Ídj42š´$”ą0ƒĚ÷… Ş3Œ˙6˙ó Äć Ȑ{ÎL:ţ^Ĺ°€˝gŸžQârgN=@p= œ¨”ĘęŻ*úç˙j:C˙ó Äĺ  0cĘLŞM€€ŹŕvÁ”˛ ÷°śWjd( +†‹…"őŸąőŹáż'ô\˙ó"Äç Đţ xcqşGžzD)Pŕ/ƒ¨ˆ„ŕfířţEŞ÷‘*çďç9Ăr9ů‚˙ó Äç °ţĽZ„pŇNŚ= €Ü~bÄ[°ZbŤ`’RĚŃOv὾…xUĽT}˙ó Äç ŘŇĄbÄpžˇC/¨ő|™ęU!*„ŠÓ‰aUDAŹ'LŐó2Dö/‰k˝˙ó Äć ŕ˜{ĘMˇÇžĄŕ ô=ž93R˘îÝQz›j"éyš4–ď˛I {‡řVô˙ó"Äĺ Hň¤XyÄp^ĘŁéĐľŔ~Ž,dI]Mě&‰Y!€ąwůÖcŞOˆO–š>˙ó Äç đîœX{DpŚQöä€í~OCžť‡UrÚţfáŘ؂PĹI ^c}^rœ7‡ž#č˙ó Äć ˜ś xcĘL=:Eßş°śžgG Âö(!Şłp=čűŒ§ ­k|ń3pźˇ˙ó Äć °’œ8ĂĘLńÍцŔ ˙öćŁü;ŰZˆ˛GŮ(Ú¨őýzFäřaÂ@˙ó"Äć ŘÚ X{Jp7ˇfL@ďÔJB‹R€J#3LL` @-*w +5ҢMáš˝–5˙ó Äć ¸î¤xĂDpsfj"Š€ ĺ p¸ZIY?žÄÓW.  1°‘–Ź‡ Ę%Bś8˙ó Äć î X{Dp'}%˙!äÁ0ë~'ě+Jďʀ L˛ś´öRâeˇŠ˙ó Äć ŕœXĂĘL’8Zh•ča"ßC|ä÷_˙Ćěˀ€hňĂc^{‘ÄĆl%!‰|˙ó"Äĺ PŽ˜{ĘLË'@mEňĽí—Đě—Ö ţ€‡Ý Ű°˛G[NÇڐ@ óę6œ ˙ó Äç pŚ¨x{ÄL@#ľË ‡éý ŔDcŕ?m˛5ŕŃGœń%†!ŒŇˆúW–Đ˙ó Äčxţ”PĂÎpW´},úĈÎĺ@Ŕ\nň1ćŽ:Qx‹uĐh-¨§Qs֙~˙ó ÄŐ Žş8zDLç'ď˙č'šË€jËT6. Ć Q=xŃ9ČXžł{ř4aÉďäű˙ó"Ä× Hţą˜z„pżĐqOČľ˜ +Âéůp.jí€Ŕ\ęěQŠ>âó@ŠÄâV˙ó ÄŮŕv­˜{ĐHg‰˜}~CéA6öőö@€Cp\ŕ1ĘüŹ&5ďÂiigń˙ó ÄÜ Ž¤PĂ +LЋn?îŚöÁˇB;z€€ |3ŐUR˛vfĐĘbČŕ9šžpÁoŔ˙ó ÄŢĐŽľ¸zÄLÄ7jhě '¸ÉrXÁ]—şP@"}óźŤ?áżd÷Ô˙ó"Äá Hţ­˜{DpoęĎůżxvŔ +oˇľŠ$ŠdÍTYPRx•3řşĹÍř-üŘ{÷Ô˙ó Äă Húľ˜zĐpˇ_úŔŔ €K–1\ŤGeęˆPË%ĐđŚ'xŇnfż@“ýƉ˙ó ÄŘ ŇÁ˜aÎpŽňŢdŕ §漰‚Ě +ÄV+ |`Căt­GˇËç?řďÔ Ň˙ó Äڐ֚Pz„r„ßű7Ú0€")P’$$%ă2V,ď̈Š& ?یPq¨šŢ=°˙ó"ÄŢ ÚšřyÄpö'‚WFđ¨(ţ@€ˆq,›]&\_fä„j-fĘ15˙oă˙ó ÄáÁBÂ8YÄqë}> `tƒžh0ńeŞv„ůźĄgí§GÔ ÄÄ ßQ˙R˙ó Äĺ ÖŠH{Np}™y Ŕ WŔ˛ĐčÉSę\@XPę/>&mŠŻXKüW%´˙ó Äç đÚŠř{Jpöuťj@€ H˘É` xŘ_ +ő’Î=y_÷‚‡Ę–;đť~„ĺQ˙ó"Äć ń>žJ +q#ąNäú€ !í@ĺë>+E2)…Wž ÝD˘ń}ż'좹r˝˙ó Äć +đîş8zĘpÁăy0€’@/ ÔlC*D^ßP +Ëi­ƒ›Œ +wü[úŽ‰#ą˙ó Äá öšXyÄpné„ °עä(Ů#QPŽ_ąüćëá*ߎ[ęý +˜˙ó Äă žŹ¨{ÎLáŔ‡đŔ€b ’˘EĄđIN]^Vş’˜’jI˛ł÷Ľ[Ś˙ó"Äĺ PęžXIĘp‚Đţűęƒäýy˘ç˙ĺ@j<ŠŞ!&VíĂC‡ 5xć­%r˛Ű˙ó Äç Đś­řKÎL}ř€oz›ĆˇÖϨ‚ó<' h$ÔkŞZ—LÁóĐg&S +|^ĂŰ{âÜ˙ó Äć ŕţşXb„pţ7Ťőňŕć˝}|ŤkŒé‘ƒL_QŠLŚ•_jď Yťg˙ó ÄĺŔś°ŘcĘLůV˙ňߔt0’5’eńŠľm?#`– +ĐôEʊt–’šTČ=uW˜3÷˙ó"Äé +Šž8Jpń­ŐSţ\Dí`gihÓt”eF¨b)JGfAjkľďzqŁľz’× ˙ó Äć Ń>ącNql—|ď(-Ź OA,Ą÷”Ä  N@ą49–GŤ_×UăYÝZ‚ˇ¨˙ó ÄÝđÖŹ({JpZíV˙¤ˆç uL¤*pÎ! đ0DRĆ(Đdď1uľwř7íGđŻü˙ó ÄŕŮźđbÄpÍĹç9P‹í€yjcĘZmC¨l0=uťęűÎz›•w$ ý(q)˙ó"Äă AŹP{NpłÚżÔ<‡Jé^Ö{žâtą!%JU¨ ĄcńƒúpJáčeAX +˙ó Äć °Ö¤{JpŁü‚pťTG#mľhÍęa–áx^I)#yIJłœźqý°Bč˙ó Äć ¸ÖŹX{Jp­÷}ʔ +aj ĐŔýœO]V€ö ÇseŞşşČÜÝRjźĂ˙˙ó Äć °ÖąbĘpú ŰËX>źŠ8ÜhßÇH€ÓđT\!ńF᪉ůĺVţĺ‚"˗ۉĚ˙ó Äć Ą°XKDphő׿ĺ@ŔJőöl-7Ćsř)c5O˜ŢůóW‰ô˙äę˙ó"Äć ¸Ś´X[ĆL­ë9őR“ @kYÓ‘ †ęđ4ŠcŕętĄ.)KëÓ¨ý›Pt˙ó Äç ˜‚ ({ĐHçęúţ°ˆ Vˇ1źł2ĺëMČmČŠÄ;ßßă^o>-ѸŸ˙ó Äç ˜Ö °{Dpë˙ńŔÜ]Ş[âůP`şxŽ Ő,ň=ă}R†<Đ`ŕЇŰBo˙ó Äç ɤ8{Dp, +ůë$ꁏ;ź¨aŁ>ĄüR ÁöÂs'Z~ˇlšSŔ‹ßˇO›˙ó"Äć +֘Ąpj“~żâ@ËŔ^WrĽŠ9(đ1PŘor/tŻT/žFƒ˘6š˙ó Äĺ śŠ˜{ĘL=Uąe€€ đ Cƒ\ ޸6… ń0–!Ë ŁëďŠË† H‹¨˙§˙ó Äç +!œX{Dpđŕ +•­Z*ë +Śőíh€ďpŒ+X Ů˝#ŚřV$ƒçÓ-¨Ż˙ó Äĺ  +˜{ÄqIżž&Ç0ü űŤéfÉÇ,Ë%;z/Ó~ۑą‡PČqÚ˛TV˙ó"Äç +@˛œX{ÎLLwş$€ +L§XĚq°|!EZn(5ŚŔm‚AT1ďJÜ˙ó Äć ą¤0{ÄqÎ_ ćň ŔŞĐ*R_ƒëœä#N&~°őĄcłŢ‰ŁÂ<7ZĹ˙ó Äć Ȇ¤X{ĘHŻČž°„ť`'#R“aq#+÷Š$ÚJ—şńřȅäuáC*ÄF˙ó Ä娞ŹđcĘLôżđo‡~°€ +ĄĆ\˛˘d‘<ÓeĐľ•Âˆmîħ=ŰÜ^ˆüo˙ó"Äé +ČĘ xÂĆp˝˙ő€.@2݌X¤Ÿ9ą•Ô[4dƒ%‚Y‰żÔ.ü‰˛`^n‚~Ż˙ó Äĺ Š¨P{ĘL@ތďˀ$ řĽó, ˛Œpe`=›Ç^H7öÇó˙ó Äč +X†Ľ˜{ÄHRz€żŔgf4Ő¨žfH- ĆÂąËӉŽz<ř^í¨´˙+˙ó Äĺ Š­¸cĘLh +´oŘ;€ÁăU*Œ ‹lT†„ĽĹÁ›|Lg{Juň>‹˝UŐ˙ó"Äç +`öľ¸JpˇŹh7r_3–™z€uVÜ'*Y3pH%ď™ý"ÜĆm ś^€˙xÔţ˙ó Äĺ Öľxy„pÇ×ä@ĘýËbŠ< =ĐďYŠT‹g;ež“ÝKôęCÝ˙ó Äĺ Úş8aÄpĽL ‡˘šC51œDĐŔ¨~8!fň;ZMŠŔ„Œl@ÎŚ˙ó"Äç +IBąxyÄqk˝ĺé˙´ŸO\°€ 8 (†@Yş˜Ě+ 9‹†Żúܡz˙ó ÄĺpÖľPyÎr4ýă˙´ó|¨€&¸ ”–Bdy|˝7 Ŕ +'’F÷ú-é_ŮMţ˙ó Äę +Q + ÂĘrĐO˙ę;ő€䍡XßâĎ$'F!(&˜‰+|°|żľ9?hßíG˙ó Äç čö¤¸{JpőöÁ“€$1Őé!žäÖĘ&WqGhđđu(ľ|ˆĄŮŁEĎô:˙ó"Äć yŹ¸{pţëýFŔx†äüZ’´K°‘Ůd ćĘ‚ĂĐç™ŢŤ~ńţÔh0˙ó ÄÜ !ľxcDqĺ~aúŔŔ.N6Ů%$ëĺŐg8A”’CÎ÷óĆŰ×7Ô@0é”˙ó Äި޺8KDpFN˜ŒT€ đ•˛P(”Ę%Ŕö(”ĆîşušoŽ§lIGěI.2%ęăÚ°ń˙÷řm\oúŐź˙ó Äĺ Ö­xb„pgMOă@€ ź‘ ŠoŔĂÁvŁ8TLśžDńr˙–AŤú˙ó"Äč + Öş8JÄp˙ĆqjĹŔ˘ˇđzBé傸’‚0ŇŁI }'+ď˙ÔËß˙ó Äĺ ŕî¨ĂĘpQ;¸Đ€ţź*RÂWđۏ6?ŽŢ+ŚqřˊZ/Ű +˙ň>čßB˙ó Ää îÁ¸IÄpÜŠoxŔ€ Zk‘D°Č> @H%ĺË ř7gK*@G˙ ż˙ó Äć +´xbJp§Ńţž5€ĚA%Őů—HľÔ◇ňž2YĚH˙LŠÚř;Ôޟ˙ó"Äĺ Pę°xJJpOďƀÁ÷<Š‚ŚƒvłŃnŃ҉!ł˙řÜ!Yżţéô˙ó Äç +ꊘJĘpď¨ţ"ý ţ=ríěÂ*XKâJďĂ_čę"ýöá/ˆ÷.•˝g˙ó Äĺ ! +´xIÄp!°€pBT%­#¸@6ˇ +`R%j#gĎ4Ćţë‡Ď ˙śKďű˙ó Äç á"¨xbÎpšüśĎ €đ¸>ŚC7ŔţŽ](´ŔűŹëHÇâßźp˙Š>˙ó Äć ŃĽ˜IĘq?¨‰9`„t[đVŚk +Ő ˘Ě)ˆ˘ulŽŚžzőW/âßüVô˙ó"Äĺ ¤XbĘq@ÚĐk´€ŽXůSDsHĘŔ3“h”.ÓB×<#Z‘[Vž˙Ąö@C˙ó Äč +Y xzJpŐÔ+}`‚>ç"R)lőqÔ˛E +c1 +1öŘŰ˝ęăZŒëÂżő˙ó Äĺ ŽŹx{ +LŐ­ÚĐ+ÍBœĘŹ*˙@”Ę‹E9Ą˜Ă_XŐ3636>/ü˙|w˙ó Äé +y¸zÎp2$khŕôFé—KiƒźgŠ”¤>XÁáĚŽkšŮž˜c‹ęz˙ó"Äć ŕňœxzÄpŚťÔőÔn×H'­ŮĐ´€&ąSÄ<$ +e ×üŽDkđ‡ŰţŽőj˙ó Äć ˆę XzJpŽĐú@ź@KŃ'„qŠ`M3ěcHT÷_7Ť{Ű_oňu +˙ó Äć Řîœ8zÄp›* +ŁHâk(ćdĺ[ŰŕĘl`ŻDxp ú‰ádóűĘç¸ +ţO—Ą˙ó Äĺ ˜ĐĂĘLJ–Aú@"@#JňčAŻă ";Ś~šx”Ýeů5Öuü9Ř4žżŽ˙ńߤ´7,ŻĐĄ˙ó Äć a 8zŠq¤áËÔlŞÁ„ž\¨ŁŠV og~=°§_ˇÇń‡~€Ŕ`"F€uÔ+‘˙ó Äç + ’”¸ĂĘL ć˛Ö\HFľ˘îöœzŻËÁSëŐF˙č?é€ Iö6Ő .Žx˙ó"Äĺ™B¤x{Ţq#Qúź&ńÜuiĺ4f๛÷ő˙Č+o@Ž ôŮg†´“ÉüX˙ó ÄĆ !°đcJp ő–Ú×ýĆŤ7mFL[%őżĺ€ÖWL%ŔB†BUç8b$œg˙ó ÄČą¤@{Jp+ź*Âd9É⎲]Ő´?퍀(b†ü‰n,/C +)>(ÍX ˙ó ÄĚ !¨đ{Jpířł†tşíNFVłÇ% Š.ÚĂę$ŕ˜„sô[˘bxź˙ó"ÄÎ A˛XcDp +óˇIDěúŔ ++ŔaČóó‚źh›řb‚GԪע™ŸăzŐ?ęŹo˙ó ÄŃé­˜z„pűb ˆ€*‘Ŕlh +Ěč´q0ŔŧX׾)řGŰo˙ú›L˙ó ÄÔĐŚœP{ÄL¨ƒ@`2H(ÉĆâżáážĎč,ö­ç"ż›Ś˙ţ4S˙Đ4íŻĐ ˙ó Ä× ’ {ĘL (ĽĹč=.9@Cš_ŢŚߗŮ_˙Ôoűę(ňRŕŘ0,˙ó"ÄÚABX~q\ę¤C•ű!Dáhę>Ę[­ë@ŒßՕ?ţßôÁł ‚K€‰ÓJ˙ó Ľٽ3Jq¤Ůäg'‰PiÉٚO˛őŹůúăżüaí¨ârŔ @)SOfVŘë˙ó ÄŔčŢşXJ„p;p|MkƒPh5p鼀 °Ăɚ0VBƒu A+ÖçœĐ­˙ó ÄĂů>¨ŘLŠqŇ†âUEó) +gϜ1¨÷—Ţj†`{˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó"ÄĆ Š˜L„p˙˙˙˙˙˙˙˙˙˙ŕ­S‘ľOIWĽ-*-Rh’ľZ퍖ńłřó1˙ó ÄÉń­˜KDp:€Y•Đž‚ ~–ďáŔ”Ä°0`âŽ,ς,xآ>ť>Őë˙ó ÄĚ ¨ůQ(¤ě,Ô6žýŽľ| ĂȘq;Żş.pVEd‹&Lç˝#ąp˙ó ÄÎœ‚˜˜hĎűs熊’ŐM˝`Ěđ0˜C>ä(– ¤8dœgA횤ę +Ż˙ó"Ē `ž¤Ř8ýş‰Zěćˇs €@ (mĆřîěüI6˘AçŃŮĂďŤ\Z_ţü˙ó Č žš¸LNLt0Ű‘ŞŠ:€Ń€-€Č K5^ś\O@‚-+ˇÝySţżËöŰď˙ó ĎŘÂŹ¸L +Lú ňľ€˙ŔG6Ń Čĺü–VTJŸ}ďé5‘ťýEZŽÔž˝^˙ó đ ž­LŽL€Ŕ#Ŕ& ěšE;ŚŠi8Ľ€´ŁtŮ5O2Ý"5 +–×˙RÝĚÖí Â0˙ó"ē PÂĄXNNL/Jy/ĄŠ_~Ú67[¸¨lH”UWfgQĹCU€xÉĐhy3BŮpg˙ó ĕ™˛XKDp͍†Ą˘Śĺ¤AŐ1Ni˜ľ,ś­kvč"Ż@ÍZ˙˙˙˙˙˙˙˙˙˙ó ęŕćžXKDp˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ţÚ ×l>ŠŒR1Ť)ľÎVŮ_˙ó ĜŘÂĄ‘T8ĚĘgľwţ¤ŸŽ§˙ůđP0`0š(]é1ýOg\‚Œ $ I5˙ó"ğ|‚¨™˜ęŕ˙Ԟ#ťńHľ ĹŔŤZtˇ0Â&-9‘fşdáŕz}o­÷Ól˙ó ÄeáŢYÍ8ţ_wŕďHŔ Րő–‰lhďTN&h!€ىHóçŐm|˙˙ó ÄhčÖ˝¸KJpň~…żÂ` aŰŔś킯ł>’$‹xŃOuŸÓő7Œ.ß˙ó ÄkčÖĘX|pˇâN  @ŻF ^‡-­ƒâ ¨Â¤MÍ7˜_3N!ýŐëv° ˙ó"Än XÖą˜cNpaŤŔn +î$gź–dQ69\ŸM)ÂzéÂ?tW#íä:@€˙ó ÄpůÂX\ +q° +!/+^CZú8däQ÷)ę}-&ŔŰ˙A˙ÖÝ@€Š@+˙ó Äs ’ś8KÎLˆ¸Ňĺ +oX-vŠ$ˆç€Ţd ľ>Úř+ýőQţżć@ bŁ@?˙ó Äw ŽşXLDLá”Üࣾ8Ź2 Z—MíKťą•‰ďĺ' ˙ń@Ŕ˜ Ą˙ó Äy Ú˛8cDpŢ #Ö™I)YŔÝ{źä犰ť˙ËńţC€ +ÖK'äň™˙ó"Ä{ PÖŠ¸|JpáyÉ°Ëô¤Aólő)˙ďŽ˙č+Ôň`€ÄŔ/c‘ÁŔüĄÄ3˙ó Ä} Ö˛XbĘp.DęÄA<Ş ÔÍ|ď ++őM\w¨˙ň ŕFO€zbƒŠ FăâÉ˙ó ÄČÖś8cJpŁÂ9PBÉŁ%ł_W˙ŸÁţżxŚŕ#ôdV„4r#;$ˆ|aŔČ˙ó Ă ÖśřbÄp۲řÇǕůÍʝĐ%gëŕK@ŇDJP Úg¸'lyŤ÷~Í˙ó"Ą XÖŠ¸cJpĺĄŐú>ĘVŕ˙ĹH€Ŕ #~5N Č\ä°ę/)€ę37c{ś!ô˙ó Ć şXIÄq˝ÇRżoX€Ä p‹á&Btü‘(ÂAj.őa¤Mxľ~˙ó ĉŔÚśXINpnTWUŔ€(ŢăÝ H„ŽçJ†JĄýľĆąNŻ˛żź˙ó čČÖŽXZ„pőÝ}` s@˚)Ž"R VäŇ?žĚúŇ} Üő„Of° h˙ó ė ŞŽĂDL;zŒ•‚›ś:P–ÍÁҝ̾ś~Ţńęz?XŔŔj#H˙ó ę ŽŽ8{ÄLęEż Wź'ŚP> Ź5ő0(ŘGÖ*ő?ÝŹćłn#ĂŽk+N˙ó"ě Ž˛X{ÄLhlt4°]AîÖĄ~u†;šÉď›elž}’vďdŔkó÷łÓŔ3ŔůÄ˙ó ĞலXzÄLˇ'.Äk5#„~ĹY/îĄě„˙•vPb?Ôý  ‚hÁer˙ó ÄĄčĘŽ{DpžĚő˜§¨ÄńÄǓ +f…§őăáI˘Kě˙÷ů€ (3+Eb•,ű˙ó ĤpbšKŇ*`lɈvXÎ'–ł}*…D0kÔŸř“ő€Ŕ+€ŔůşčOé˙ó"ÄŠ €ÖœĆ q`/dŁ¤ÁĺÖ'żś^, •ô˙'üź6’´%C:ł;\>Ź2m´˙ó ÄŁŘÖÁzDpŒa0Žŕwď…ËfúŠ˙‹oőÔŕŔđoĄ7ć§tŐ_ ˆąw˙ó ÄŚ Ňş8{JpćˆĐŹB›ŐWԟň˙ă|8  Ž“bĽgtüž.č¨sÚíqş›˜˙ó Ĩ Ö°đ{Dp§ůëA`mmů‡<‡Ź=¨Ě.‡Â,čśk˜ÔA‚âĽŃ %Ľ›/˙ó"ÄŞřúžXKDp1$Ŕ˜ŚâF‚`qBRŮ\4˘ů,‚ů0TZĎĎ8đF \6ZS˙ó ÄŽřţž¸yÄp]Ě7­2Ú(Ň ý”8ÉôĘlż˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó Äąů˛8{Dp˙˙˙˙˙€€ >ŽŇĺ +—ĹLĺé?u127,ˆś˙ý¨-ŕbs˙ó Ä´đŽąńO8˙›n_čó6ŞąÁRLÄmg‰ôÚţ+i ŇEępŚƒß÷ĚË4˙ó"ġŒ‚œ™ @ +ť˙ͨţGäŔĐY Š(rѧX?˘eľ2Lú3ýŞ›•…év˙ó Äp 92šůĎ8ťÚˇéő‘Ä@€tƒd“Rb¸ŐIërž rş__\*_HĹ;ء˙ó Äj ćšX{JpdţL„`gŤ9ŽăœSŰ(=C06żV`X]´_űĺK}_ţ…\˙ó Äl žąX3ÔLČ€WBŹĎ$ŐmšPîe 9Á@y~Ů-˘_ż˙¨ß_ýŘpŔ˙ó"Äođ–ľřKÎLŕŘ36—Ž™FľŹčÖ +Yńýňqě胍˙ÓˇŐżę8H =`˙ó Äsń.ľřcNq Dfš#[{eˇ’äDá`0=aĎŚ7úĽ3É4ňĹlň“CŽţ˙ó Äv !.ľř{DqM‹IeB™´Í˙0r&Ř­>śXˆ€ 7(ƒ ›ź˛]FS˘Č˙ó Äxń.ąXKNqŘťŤőđgĐżň +Ű˙ኤ  =Op6˘ĘkaŸš( M7˙ó"Ä{é.Ž8|Vqů‹áTÚçŁő¸\č˛ G†TóGH^€Ü"éEżĐ|W˙ó Äc Ę83DpżůR÷~ H¤€ +ĐD5ŹÄ‘ß°ĎćH,AKĘÖůÎ~˙˙ó ÄfđÚšXdŽpđŹčß˙ +PÄ]@Ş9‘ľq`'É TËŠ˙Ňl\WQđă)˙˙ó ÄičÖšX4ŽpYŠ   +Á”IyTŃ3ƒ°žja˙MąX +˝żĺţż¨‹Tk˙ó"Äl )ž8cDp䀝ŔAz˜”č _2eĐT Żţw‰B¸Ĺ˙ ď˙őzˆ€Ź€˙ó Äo ÖžX4„pK€Ô‰ÔíAóĄůžŁE ĐÉĆLţŻŹüÎľcżAšp!˙ŇŁ(]˙ó Äs .ľř[Jqf0€ŮÁNcW¨Á’Bnŕ˜ĚD`đáÎFÎHšTěs1šŔŕ˙ó Äu *şX4ŠqĐyT"Y!dqXÓco‰˘š×{VPt`6zćťČŚîŻG˙ó"Äw 8ÖĆXIÄp%ĎŇ| ˆ>$”ĎeVO.ĺWEäGfýލ[—DjźSýąI70Œî4˙ó ÄzAFl×0s[iU`ĆPgË"(‰Ă“502ĚeÇŔîĄ˙ĘϏ fěĽ]ŸÉ˙ó Ä$ Ö˝XLŽp€p˜¸¤ml1’5îYR ̸ÔPz˙ń`NJÄý¸ŔţËđç;Á Ć˙ó Ä& žšXKĘLŔ@hťIĎ*­âË OĹz†ÚÚ5<#Zż¨ťSÓĄÚş€BÚ8m˙ó"Ä(Â¸KÎN€t惐Ŕ8`l͇q×}˝Ś/­?ÔE÷z˝´qż‡€p-ą!`˙ó Ä-Đţź¸Lp›­‡ŸĹOR.Đçz‹ÓnGÍ­?AŻzk.ďÖ.Ŕ}œŐĄiT6yV˙ó Ä0ř°cÎLź8+€rĘrFŐşiź ¨öŻńH‘/zŮĂ`᜖ŮŇׄk/Ćć˙ó Ä3 ž´X{ÄLë_tŇ´Ń珣×ÂŰSě˙QÚ­×ý@Ô ŕşŁÓíh˜Ęa˙ó Ä5ŘÂŹ0~ +LđL Í͐ގÓWşVŸ×Ńq‘*×}@Ąřňb7ˆ(áw^‚lŰ(˙ó"Ä8 XâŹ8dDpŰw¨~ôč3jĽ[đŢăĄ˙¨5“,gœ„ĂňłŽO‰y÷h2,uęű˙ó Ä:°ÂŹ0cĘN^rg>˛x1 ]šż¨rhA?Ă&Oؘiť›Ć24JŽYYÉwŽ/˙ó Ä>°ÂŹ(~N˙ŽĘż–t§—j‡b…’ +–;âoˇˇH=‰XĘŤŽťB#ď˙ó ÄB °f +L:Ţ~§űŞő€ U07´ľĽ$°6֎Í,Ţ!Wguˇ­O?ßńY2˙ó"ÄE HšŹX{ĘL÷ۡà `)“¸“ƒş$“DéŕRéŠ2[j{ʕr +OÔ×ţ7˙ó ÄGŘž°Ř{ÄLú€ź+QęŠdbĚJô˜$´ŒâŽŽ9á:ľź0فţsžO=áŕ˙ó ÄJ ú¨8{Jpdî>g*‡] ęÓáÚç ’5"Œîúaś‡j¨1żĐ|łŹŠőúŔ˙ó ÄL ž {ÄL ˛ř Š ž,ŁŐf“‰‚ĐÖ[×ĘňýGőjQř'ţd”Ž˙ó"ÄN P {ÄLn řBĹjđŠ{)ŸÝĎŚBpŚ#F˙ˆ¤¤ž“’Ţ °Y@Ž[2˙ó ÄPđţ°řZ„p™.@LÎpv0r‘8lHÇćnŔ:#ÎÔë7W騁ű_€Á|Œ˙ó ÄS ¨[ÄLt/ŇŹœúńŰózľĄ& +ăŁ?Aö +ş#ŻúŔŔƒżđ-‡Ÿ +€W˙ó ÄU šľxzDLjŻ´–¤Œó}ŘÎ4}Đ˝ÓÁżo2a˝ËR%A`Lr] U˙ó"ÄX ÂŹ{ +LńWž0;žwź.^;šßę­őú€`@ˇ`3Ňa`}Ž˘ ˆ† ;˙ó Ä[xţÁ¸aÄpˆx‹öwíVżQôŚA˙‡• #b6š™‚LÍC„ô ôDCĽ˙ó Ä` ˘¨x{ +L‹űB=ŠnĐtBŻeOý`ĆsˆĚŔů$l,‡š¤Xš.â!)ÍZ˙ó Äb ţľ¸iDpş2šM9Nńýň­ę6 + Ľ)ÝĘĄBJ‹• ‚bżf é˙ó"Äd ˘­¸zDLzƎĎč>ßä?Âŕŕ˜őM\ߚfxDj.2‚EÂP ú|Ô˙ó Äg (–¨{ÄLüú7řę•Î÷k|HŔĽ9˜‘{Â˙ţźďý4ük˙ó Äi °ČÁÎqG˙ŔŔ DâRÂ"Hh€VÜá…Ľ…Ăži⟯XGţÇ˙đ˙ó Äk  +˝˜ÁDpÖ´ŁŔÎ)[s—úă,€ˆĄĚĚ8xÜvŰ菷Lŕd ˜ŐŽŚ—{ŁÂę?Çß˙ó"Ä{é +¤ÁÄpęń>0p"đkŢsU5LÁ}Ž­ „ş +ôhkáŘßęŸţĐ˙ó Ä +‰ ČÎqHŽĺŸ9™ +„ƃ­ĄcŔ éó¨×ýŸŕ˙ĐoWŰéƒÁÚ@Č˙ó ÄoéÎXz„q 8řŤÍŐęŞĺPiÔÓc`ŠŠĘŻ~œQOä”waL6Ä +'x˙ó Är‰Â8|Dp…&ąĆ,pążFSF‹v§œŮo˙VßéŠÔý@ˆ 6€’-$˙ó"Äv Qš˜{DpŽîÄ̖ŰޘŁŔ\ĄŰý{~†+Đ-Ąoĺ@ÔP5_VkC…AŃdLá˙ó Äx¸Žž8|„Lň BĂ.=˛¤N‚¨LŸĄ&’YĄ*_5#Q7Ń7 \*˙ó Ä|Ů +Â8z„p>NŃ4˘ÇŃM5šÖ`ŻÍoľÔż˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ó ĐŽž9O˙˙˙˙˙€¨ O€Ţ^mf§Úb3uľĹ@?h4u—őÝś“˙čŰz˙ó"ăL‚¨™ů€ÚąéAâŠ@qôŠŔœ>Dčćhç]ö&Č+ Śg ˙ó ÄI !ÎYËŒxý>?l8­‰7P(2ăűן§ü3U:ÜzŽ° ˙ó ÄKđŽš˜LLp<’yjÁ{s‹€§' Yä_Ő^dŘ*˙˘úwůÚĐóň@˙ó ÄN ž8cĘLŔň?¤)á@čŽ&„đ°ď ’'ú꥓ŃĂŰţ+Á?Ô¤€ ‡x˙ó"ÄP Y¸Ř{DpŤęŇbŚ˙řĄá”đž'ęífž +âLŮŇű8lŕ>Œäö‘S°F˙ó ÄR¸Öľ{DpXýäŠ’6Ř?q7ë| ?5vün§ň×}@C˜î˘ë é\@Ű*Ć˙ó ÄVđŽž83ÄLČg€&|W8ž›Żąčlv•ţ.ÔńŢĘú€Ŕđž_UüvŢ*˝e˙ó ÄY ­p{ĘL 6x0Ş­ó¸śůƒ-­m†5şy>ŚÚűęł„Ź`–ľ˙ó"Ä[ ¨¨{ÎLhŢԁ–ş>†BNŇ(Múę2×Ď_ô/ń€ßţĄ`ˆ€LąŔ€Ŕš˙ó Ä^ ąš{Ęp梎TĆ#‰jFǨ9ŽĎľ=ú?ř˙ŠÜ2đ†¨Đ= Jë!zŠ%˙ó ÄV ÁcJp)ÖÄĐR`Ýz4Ç/§{îí\7őőâ€#°.jŠľ#ÂÔtĚ˙ó ÄXřćÎXCDp‘Ldń”’×KR‰E}-gˇˇń˘ßţ €…(`2Ć&đą<*ƒžjá˙ó"Ä[ Xćɸb„p(ĽĐE šňÍ( +)ňýnü+É0bßrózĹ2‘4Âm$ ˙ó Ä] °PKJq*ËEÉ +~%ŘďWóQżXŢ 0ˆô.ĽŇöNj˜Ś1KŠ1H§>˙ó Ä_ vľ¸fH…y‹WđŢĘOońżţ0ŕ^ +‡)x'+öĽŔ(¤#f6ąŸÔ Ę˙ó Äaŕć¸xZ„pţ7ě;ő?f¤ń€"źhŹô€" +.L` +ĽM[\ŠĹťĐŸE˙ó ÄdéŹxdq˙ŞĐWířîÁ¤mČžxDzŕ„]2™”‚´Ó5댊bń˙ƒ¸ý}u˙ó"Äg¨ŽŹXKĘLGoǀ‚\”KöĆŘ7* DˆF,*VSß㮝O/ZŠłĄo¨ýŤ}˙ó Älůľ˜J„q€@qKCš*‹RhI‡ŠIeŹőu:ę>–o”EżÚ uOą`Ŕ˙ó Äo ´XKJq<č*_É`×ϑľČEJUţŚîKžżöŤ}ůřĚB\ŕz˙ó ÄqČŽ°8zDLŚif(&fŞŚ†ěÝqú”ÝZř/Ąé˙¨ÚN(ĹU€N€? +N˙ó"Ät Ţľ˜KDp–öŕUš>Ą&KťˇŠRνqíýKÄ`€j@tĄc˙ó Äwůą˜bÄqÁQžžƒ(ˆQÓęĆJňuŠ‘÷ôx"ˇűqŔ€ +{I]#"Ţ˙ó Äz ć°XJÄp^G: Mę‘˙ŞXBw-äYÓ&Ŕ Zî;ŞÁ Öĉiĺ˙ó Ä|Řâ°ŘcDp0ŤÍ˙ĎĆ?ă?ł×AŐ9ŤŔä _-CńŽ‹ đĚh …P˙ó"Ä ą˜b„q_š˝Göąđß3˙íżîŠn%ŔťŹ6š#Ă^ö9\t„B0ČČů&ýV˙ó Ă8‚ľPcJ[ƒúżýŁŽžYoÁ@€HňŔÎźXtÎřÄýbäď_¤˙ó Ĉ ćÁ˜bpʍŔ.ÍßÓQ „œχ"´P2'đ08p˘ P6ˇ8ÍI>)˙ó Ċ ´Xbq˝›Ú˙äü~ĚÔ"( ㏠đћôĄúôĎďľŃÇárÎÓ­˙ó"ČŘć¸yÄqĹ­%Xt +A˘•ŚP!×â +1¸˛&œHĘx,çwœúâşÄ’ă7Ń ć~˙ó Đ Žą˜{L‚ĚC/>Ů÷ĄŢď^wÄ!ÚŔŢŞĘĺkű›˛Lƒś˙ó Ē ŢŹ8{Dp2 +ÁÓ3mŘŮĽ¸U|÷Ak~Tc¸Š“w€*Ŕ3†Ř×€Kô˙ó Ĕ°Ž°8[LŕČE˘†ŸÄwuˇ +űP_úŽţgßĎţ5€4Ž×*9%§ ›jŠÎ. ˙ó"Ę +I¤0{ĚrI”\*ß´b:ĆŁđżę-ţ;—§X,3ÜÍ;y/ŹV'WÚÁP89¤o˙ó Ċ HÚľpÁÎpń]ßÚ˙|ʆ?ĄooSřd <F×­ÉÓ6>@vrŮ8*aP›˙ó ă BŔŘzŠqřP‘ˆŠĂ˙@ŕ„űű:€‚(~Ľ§:Ĺ$ĹŔ†'TioˆŐ9˙ó ą¨Ö¸zŠp›“é@áC¨ŮîáŔƒ0ĂT/äŐŤ‚"SőĽ…ŔŠd(í⢍Ă˙ó"ĉ 0Ú°ÂÎpýjř*6‡€t'ďžV‘@†ž˜`)L$/ŸŠ=o¸ÁCß!ý˙ó Č ÖľđyÄpČňÎ6ëŔčg„¸<ÚhĆŤ+™?4MóDD¤ňeylëí~ióş˙ó ĎŕÚ´¸ÂŠpšřzdyP`ڃ™ń‚ë~Ź(,śLĎôaŕ€ ‚ÚszŚI×ÄO,r˙ó đĐŇ°°ÁÄpY`xELŞĚœŻřĚM‘÷vq@<Üȧ”>bh÷‘Lžý%˙ó"Ĕ @śŹ{ÎL}wř7\Ů?Š*#ż‰=ŮbŔ9@܍ň3ľn‚y]¤ K QŒ‹ˇo˙ó ėB­X{Ęqcü­ł˙‰˘ý˙ƒ1Éqpƒ ­˘Ă:›˘X9ádď0& ɡü㢚E˙ó ą śšP|Löţ‚ńíbŠë˙Ŕ `ěĚE>ŽŹť3 ÀqC3$ŹţU|˜řo˙ó ĉظ¸{ÄLę+'¨ôÖţ€#ö<śÄL{)đžƒ!č(Ť/Fâć×ül´Ő˙ó"Č ö˝x{Dp˙¨şvu€ +@3%Ň͓Գľ×qfÝăâű:CśŸ˛ZÇ˙ó ďŔÖ´°|rHäĂŔK@‡ +P§'1<#ŽIrbIB˜čŒżqŤď֖wŞ˙ó ē ÖąX{Dp–ÝëJŽo?í˘pyď.­'*Nč˘hI`‰?źë€ŔČ˙ó ĕ ţžz„pŰŹ Z"D)&&ÇKÍúÖcŠöúľ6ţ0§đ˘€Ŕ +Œ†ŕůŰ˙ó"ė X½˜{ÄL°f:2á<@ąbőktł.oĄcc˙A˙ý~°€Â-siŠ– Mţ^˙ó ę‰B­x{Úq`2‡ *ÎŽďělQÖÚţčţ?˙Ô{r` @>&Á⊛%NşE„˙ó Ä}É˝pKJq +či ˘]żCƒâ5w˝oúÂźPŔH`-d2 +ł@ŁńÁČÔ)˙ó Ā !Á˜KDp“Ťż¤FGÁś•…y/É +ÔŔpˇŮ‘ŮL~#˝‚’ŔJÁç/Ž˙ó"Ă Y"¸řcJpŹâĚôżň˘ă˙˙ń=`Ŕ!p>Ş6.$ +Ź-§˙ó ē +ŃBľ˜dNqú}CöLă‘YžoŁbýlSşt53AÄ"­ćBL'ŕ3ˆa˙ó Ă Ĺ°JŠpĺ9_:‡N˙0…˙ŃŞßŃFŠ˝ Ŕ`ĄâXƒc‡dÓX„ ǁDdąç˙ó"ą YšˆKJp.Š\ë^Öůơů2Ýűúi #N!H.Ń­H䁄kb™iSët^˙ó ć ž˝cÄLÔž +‘ş˜Ëwşţ ~ +Ś}xťž óç ‰˜â›˘kEYÇ^•˙ó ĉ ´KDp…ďŃőcŠő +Üűŕ6Xä_ËiŮBb†ny‡ržÓŠÁŽČ­˙ó ċ ÖšcDpSu"úł÷uSşj.hŕĘPą¸8ĚHô+ęfe.ŒÝ‡ýťƒ˙ó č ÖźxKDpmüýGÎő€¤4ź 1ědťňE(PŮAż#§9ŽÎ§Á[z°7Ű˙ó"ď @Ö°PcJpHů‚Łđ27 +!Xœ+yş%'-ÓŰŢľ*B @óđXžúÜÝ4RŽ˙ó Ē ÖɸIÄp-†VÂs†5ČtĹ`ŰĺS7j>ŽšG՝Đ'œ9$˘őť¤ "Ok˙ó Ĕ ˝˜KDp€`¤xžŐÍ{CSGo/NťŠ5oŐ­VMŁlý|ÔÁA’€ČÍ˙ó ĖŕŇ˝Z„p+ŽK:@„%iK¨ĺ7ů瓟/\ą˙toţŁPü 2Şl ˜*ë˙ó"긢´đcÄLŠ+ĺ“xˆťď^ţNł˛ĎN˝DŔGlä" € ZěÜm`Š[˙ó Ğ°‚¨(~Hĺމ˜ˆ.iŠž=úفŐéâT¨ťťb:@ĂB–"ů>›!ZĘې˙ó ĢůĆXJ„p`Š"(ŃĄUoiméÓ ş}[˙¨Ż@„Ü_¸ŕĽƒH4Ÿ0pC”V˙ó ÄĽů +š¸zÄpFBłżMŢłk)ßäg>šoţŁ€áŽĐ5ˆ˛(őT<*ŤĹ˙ó"Ĩ X˛¤P{ĘL”Q˝“5Óuť'›0xwĽý?•z(ô€€7 ‘Ü6lD¸r0ç˙ó ÄŞ ˛¤đ{ÄL h'"=ţ .Ż›߂ţƒ~ŸČ€Pź-TŹ4•Rňč¤pń˙ó ÄŹ ¤X{Dq˜ćd+ă3j]P^­¨oꃿ§ý@€K€›ť‘wxUÇS…@L”˙ó ÄŽ +°X{Dq>›ń‘n^°.˝D~ŕŰ%_‡€€ %48ˇ<­ +¨čđ¸8)(ĺ˙ó"Ä° +Čú˝¸{płžĺ’ŻHWĐOđOu{ž°‚ěráUF-(gąg@ˆ™'ŰĺY*Ő˙ó ÄŹ Úž8yÄp†÷Đ_ôńô?'ř7‚pG¤ížě„ě=E2(ćżČ¨óÜ/Ł˙ó ÄŽ °{Jpęţƒý4ţŔH¨W¸Ţď^ŠŔp1IžUÝPÚÓ§Qż˙ó Ä° Ňľxz„p¸ýDú€€ŠĐ;ZŚ4q%ŢÚJŚ˛i*šixüڊvPPÔŚ˙ó"IJ Öśz„pŁ¨ęšš: Ş×ŇŇŁrŔƒ +đŤĎ-U:;–#X‰:ąř4M—ä˙ó Äľ Bš˜z„qăÚŇá›^ܓú—ę˙XŔNFÚßYoŇÂfű<=Ts5m((Ő¸˙ó ĸŔÚ¨°{JpCő7řŃoGW“ÇăbźC>×ĹŢałĽŠ ‡ZHs2ĹgŻý{˙ó Äź Öľ˜aÄp†ż•ň^D€ ˜/‡X°đ{JqOřę7ˇ– đȀ €ąÄ3Í-nđtű?Y1Œ˛[M—ÎZ‚|7ô˙ó ÄŔŠBľXz„q/ň +×亀€@€KŔłŚ9čʁ×cGŽ1€1Ń6ú™Yţý˙‚oŕ˙ó ÄÄŘÖľ{DpފôhPš€ŘHmŹ°Q3ą2,MBnüh‡ç•Z\ŸÔđ^ˇ˙ó ÄÇ +yBŹř~qň=`Áh +pa˜ˇ€,šeĽ¤3 _šĽ|ë5lÉŔ˙Ćţ ý>î˙ó"ĸ (ÚĆXKDpÂ(đ'ĎŘbŘ°ĘŤYYEnҙOç}¨Fţ‡ţƒůw"ŔŔ˙ó Äť ÖžXdDpWc\Ž ÄG.Sc4ÇP.Íú;jAG?Ň+ůŕá{€˝-w˙ó Ä˝ úĆXKDp9ŔqŢu$&ëřČ‰oI'ű˙Rˇŕ›˙üŔ U 0ŘÁƒż°–˙ó Äż ÖÂXKDpZ­‰çč2š?"šÚ÷ˇŕÂ(ŢCŤČŔ  6ÜóŽ¤Ř3L˙ó"ÄÁ @Ňš¸D„pĽíů87{Ÿ…Ý”wţ¸qŰčëň@€ €*.œő˜Ś c7e¨˙ó Äĸھ¸KDpňÁů•óŽľŠ?ôüűŽťŹ€ +Ž“ˇ§ žp^TSfĐÁX˙ó ÄČxö˝dDq|ÖţĽĎŁÚCú†şŸČ¤€!K;Ą[HŽ@đBq´Ć䟢÷y˙Œ˙ó ÄÍŠ>ŇXZqŢh˙ă@žGŠ×ŰԀŔu@ź7r+ţř…9c‰™@w”sU^Ň˙A˙ó"ÄŃ HÚľ¸{Dp>ćĄ˙Űý˙‚ÁÂHk´5U⷇ΞJÓĐ +Šß~—˙圁ž˙ó ÄÓزľ¸zJL‚ţü•žh€0K@ęťUýDŹş“SbŚżu Ű-żę+˙ó ÄÖđÖą¸‹DpüWő˙şŔ€ ŕ6ŐH2RQcľ~fź1Ný\ľůĹMkŕWÓÇ{˙ó ÄŮđ˛Ž0zÎLűyWđŘŔ(Đ5 +Ío~şXyÄqRÝo満€¸ľ°Šž`ŠlLóŠ­1Čiťýnż\!üh†ŢŁ˙ó Ää +0Úš˜zDpźŸXŔ0ť~ĺf?ÚŐ”÷e“隆¤˙5$‘~ŁëŃ  =§/Œ˙ó Äâ ĘXcDp0ˇżúŔ„ÂGŔ˛UŚÎ¨föJĎ­lhß8ëŠéĆÁ˙˙ó"Ää (˛š¸{ĘL7‘˙&€1ŽzÄĄă¨V +K¨0>Š"…ŕ8N¤‡8ČnŚ€Äţ-ďPĄ˙ó Äç °Ňľ¸|ÎpßĆ?G‘ Š[@XŇÜ?ˇx=ńŘÄ0Ý;U&KŠeÓvHG‚˙ó Äç +Öą¸|NpüšęŔá×ŔM…Ňm7@ťœu"1ŔŠš›7c§2ŃĽ ţ_ŕ‡˙ó ÄĺčÚžX| +pö € #]n˘ĄÁÖ¸­…R9'¨p4¤a"ţYŮLë`8ë'—˙ó Äč +PÖŠÄÎpţ…úý`€"P +Kš'G<R­}ě:Ş˘dONĺŠc]TŠ¸üL˙ó"Äĺ 8ÚžXcDpKÄ]NäúŔ$*×HauA ËË^í†I !Âr9?5Í'Ţę ˇ-˙ó Äč +@ú°ř|ĘpÔţŽ € ŁurŞRT"°đYCÎćŠLxÔŮ~§]níÚę‚Őw˙ó Äć ŔöÂXcDpťÖÁhŮŕ:Opǘd;Iœ×`¸˜wř'W˛¤/_ľF?’ë˙ó Äć 0ÚÂX\„pň€ „&‘[vRÔŒ-Üj•VD„}Ć*ŞŁ&S$ŐÁĘüˇ˙ó"Äč +XÖ đ}pňüŻüs’:L”U\ÔTÓwžüúS +&ÜZöÂÇ+˜aXÎ8ô˙ó Äć ĐśŠ~JLůˇ÷ő€Ă‰ťŕŁt;Đź+¤)Ëlý‰joTłŒŠňűœkp%`(Œ˙ó Äĺ ˛œ(~LŐü>B°źzŃůŇňĘÍşM˙ËÝĐoŠ@ŃP‘Ŕ΀ÜUŁŰő˙ó Äç + Ö˛X|„p˛P9óşV[şşc”œFGßÝ@Ę`Ť@™f|[ZťčF˙ó"Äĺ˜˛ľ¸bÄL˙ţůs˘ŚN… ]^ő?ű˙;ęa@ƒç€óh5Ÿ§§˛AŸ]3˙ó Äę +¨ú”đ}pgóűĹ×č?‘ĺ͂ŘéňT91ĹSÁ´“ú%E&ţŘî˙ó Äć Ȗ”~LČěrS™Xű)ę÷ő€  cá@ÔÍLLůŘĚT‘SŘĐëŻŘÁÓ§˙ó Äĺ"ľ¸KŇpv@řěŢŁýoäŔ€ˆ-x"ň*ł ÎÝSâ$‘FŔCŕłVü)ٚ˙ó"ÄÓ –ľ¸CLŞĄŸýü‡Sůé ŁűC4Î áIĽđă)“ +foŠ•R ¸&ňnT˙ó ÄÖ ­JÚpŚ—ţƒ{:€€Bç€m<7|†Ô_Qˆ`ďœYÜCÚâŢJ˙ó ÄŮ ÚşXCDpŠÝÀÂŘŕ:Â`F+B Që,8˜řĘoúl’JNĐ ÷^ńd˛č˙ó ÄŰ śŠ°KÄLý[ý˙`€0ńŠ@N"Ń[Ćóž#<Áoťűě÷•úšpĹA˙ó"ÄÝ ÚŽXKJpˇ¨€@  V 4‘ĄE ‚@Ĺ`4řši%¨TňÉóƒt@,ÉôQóňボŚęThk˙ó ÄäؒŞXbÎLh[鎧ô„Ŕš€qÚHO­ęŽwcŇ +ô=?4 +őôjŽú˙ó"Äç +aBĽ¸KJqŸ +ý`€Šőu0֊,zHđ IH0 +KA‰Ôę8ß1@L¤>Ÿœ7˙ó Äĺp’¤ČKÎNW‘Ťw&Ł)ŔuĂş9˛ŹĘb  ZŐţőŠÓ + +ď捽 ˙ó Äę +ĐöĽLŽp˙ĺ}Ý@¨°2đ .ÉŔžĽE˘ŁE%,†H°şoç[ľĐ ˙-ň˙ů˙ó Äĺ ÎşxKJpG[ő„¤đ鉲š%ć>Š‡E8Ě.óR˛OÎÖŐŃ`MŠĺ˙ó"Äç +HĘŠ¸\ŽpßE/ňáŕ˜üś„:Ĺ.Fé$LdČ9bé,Ě­okç€ 5_—ň˙ó ÄĺŘśśXK +LŠE@ŕMŕ=8!¤2[Uˇ%’'Aŕ& tÓe)K@;üĎ*Zţ˙ó Äč +8ʜ¸MpKý €&¸Z<%m•‰˜O‡A#̐Z}ŰN¨qWâO˙ó Äć Řö˛XJÎpÖëeú¤BY€\hĚR&AÂI–Ql@¸Ń –izpŻů…ű +˙ó"Äĺ öŽ8LNp?đ{g:€“ +şHĘC°|ć˛V>΂J,hFuő%ôaoęw”Ęż˙ó Äč +`ĘĄ¸\Žp^É ą°.җƒ6(ĽĆn?’j!Ŕ(|ŒRdšŻĚ”'ďňŢPö˙ó Äĺ ʜČdŽp!3­ý˝`çI>…Ć‘›ÔUyŽń@m~ľ­ľ~6~#ÓwM˙ó Äç XĘŠ¸LŽpu?“L!Պ’őłž¸EP€ +‘°ť +Ho áuÎľŚEŠę6˙ó"Äč +HÎŞ8KDpů|—Č×ůŇJk˛°oŻŇÜx‹‡ujdˆ‘wűŽů”ţ˘šŢŽ˙ó Äć ĐöśX4Äp§˙Pb€ÁԎŠ +*Gc3G4$‚Ó€˝SŃ_ă} ˙ó Äé + ÚŒ}p‡ô{š€ŹÉąÉ›ç/2™h @x#$$<ăöťŠA ¤˙ó Äĺ蜼˜KĘL`o’˙"ÔĎŔœÍČgP,+Ĩ‹¨ź/€. ÍËËFóe& îŸU˙ó Äč +XúMpč6?đmP€ĽŔGU–Ő}‹Ś°×–C:´ŁĹsýSĘň,Ĺ˙ŕ˙ó"Äĺ @ö˜¸dŠp†H˙Á˙ĂŔ +€HĚČ-‚z×%sÜ š˘źÍžQ§ô/‚j˙ó Äč + ţ”đMpäë +|"ŔŐUlhTÇŞůĒTŕ H™é +ă˙%Ç˙˙č?řţ˙ó Äć  Ú”Řdp\Ÿ[úzŔĆiN€Ľ +ą€$Ľ–4ňC@űŤs7d¤ţ¤řő˙ó Äć ¸ÚĄ˜LJp˙š@€­ÄĎNíŤa’6P^ő…ęëaó-3ć6¨ś˝Ş›ú +ę?˙ó"Äć čúŠLDpČםˆŔ8PčđĽĚ(靪F5~˘{T“6äŘTf@NŹď=}´˙ó Äć ŘúxdDp­čę˙PŔ (Ž˙Ď[nŕĹBů2č Ď&Ÿ2ł]ýM˙ó Äĺ ڝLÄpŕű¨€k€o­BNĹR,e˜Čpđb%@…˝;ľs&VÓ)˙ĆđŁ˙ó Äč +Pΐ¸^DpQÔÂW.8‘Yd ăXş0ľřŞĐ[a“q󌤹ź÷IúŐ˙ó Äĺ úŠ¸3Dp—L,€ó'˝ěăv@`s,3ŕˆŕńbŽĐî@“š ’aš­b0˙ó"Äç Đʌ}pG35EuÓ~i~˜żř˙w€8śŸiť,Xhm˜ˆóÇEĐ$ +"˙ó Äç 趍X~L N:ş˙I$fůŸď˙éʀ€¨çaĎ3Ů ĺKœÝAHž "E˙ó Äć °ú‘˜dÄpËouuňŞw;źŸ_†@‚€€ \h–ŃĆT™dÝöŔW/ľ˙ó Äć  Î”řdpž´ţ„ú×îÚ倂Ȓ€ěÝĺŔDŠÖ~b3€ĺRó;QżÓ˙ó"Äć +¨Ęˆ~Ls‹oÔWđcy>°ŃPd;…‚°^”lł3,\=î§ú1?íö…˙ó Ä× Öš¸3JpÉŐ* 'd… GˇĂ03„)€ĺÖ pćŤyĎyI˜Ëz˙ó ÄŮ  Čeq˙–÷Ů.€ ĚÓLá˝2ŕŇHŃő|*efśqžš…Ëú=˙ó ÄŰ ŽĄ˜NNL:Ÿm@´mçäGŻĆŞĺ °‡ᗡ@¨á€=Đ|áSŘÔŕ żÔ˙ó"ÄÝ PŇĽ¸LÄpĎ=ĺ uěPƒN6É!Np ّ@uˆĄ™iˇœ.šţŠÂz˙ó Äß ÚŠ¸4Äp”Z˝‰.倀š1yQ@jË8AĐĘ-ëü’…ţ‚ţ=˙ó ÄăxÚŠ˜KDp?ÓÁ¸€ŞpŽ5÷Ł{ˤóĽŁä ÄcP>ęç_źa×z—˙ó Äč +8֌X}pW”z“É€„0\Ô04ţâ°ë"5ö)"ď÷űC˙ŕޏţÜ˙ó"Äć ˜Ö™˜dNpş€.ęW 3—Ą+ő#ĚK‹Ŕ„$'ǓŢP?ÚeŃ}Zţ˙ó Äç Čֈ}pÜMš˙Œ`Ŕ +0o!g÷ šŽŐĐG旑ůcőŔżÇőOí•˙ó Äć +ĘşXKDp¨†đ^6RžđH Đ#M“ + +p˝ G>ÜáîóÇ _ÔSăˇţ˙ó ÄäéŽXCDq7Ńó—řÖBÇ`ćřkřą0ͤDúŹ Č9Ć&ˆ{žúQ΀_A˙ó"Äç +HĘĄ¸dÎpßř‹ämëjm…ąˇ8 +\˝Ă—ʢ́O¨(S­ź•A‘7ÍźçŽ˙ó Äĺy +˛X3DqˆŔĂęq0aÄĐÔ+‘{VÓÇ,Îé €6tÇ÷Š1wżäW%ˆű˙ó Äę +áB•˜dŠq˙鐀ʀ¤œĄ–\° +Ťiƒ°Çրmäé$ˇÜĹţ¸UőTŐ˙ó Äĺpʝ˜LÄpŻţţř'€łă§Î=Dz$37`¤HKÁ€ú˘@v ĘĐ?Ţ`Oýý˙ó"Äę B™¸{JqŻőTî.€íĐCd3‰ă )°ÔČőJ ‰ßœŐ˘lĄ˙…5ó˙ó Äć Ŕö¸|Ęp%Őáî°Ý<ŠěanTŇFĐ5DPÂÄ>Iżœo˜Ä?ńźÝŃ˙ó Äć ŕ–ˆ~PL+€€Nç+žO8ěťľyv" +ŕ Č(‚–&Ň=ňÄ"'Ąü˙ó Äĺ ’”~LLiÄ{Š‘ęĚI#7łZ|„yš +eŁvĂ°E”ŃźĚ÷ŹÍ€ő˙ó"Äč +!Ľ¸LÄq$ÝK|•@ +ăŔŠŇ8#ŞĹŒȝ‚ĂÂsv%’ŠKwŸ˙űĄ˙ó Äç řʔ|ĘpĂőˆ•ü ďśP0€ +Ŕ2ŽFęk†Že!ž4Ŕ…–kFŽ‹ű˙ó Äć ŕΝ¸ep–‡‰ţ¤ú‚š€„(ŇÁ’ŚÔaÚAđ˘HńiűÔˇřöb˙ó ÄĺČʘX\p~ą\Ńż˙ƒ€ śîŽˆŮ`0ŠÎ“%y.ľ dá“{öX˝(kő˙ó"Äč +€ĆŒř} +pAÔţ€ ]ö +žő€óÉč˛} Ń@ě -Ó䉭ň`xţͨĹ˙ó Äć ˜ĘŒP|Žpß˙Ć;č€C?pƒ.[sQYĂ ŠE€öšŞˇćLú3ĺA˙á˙ó Äć ¸Ę(~pŕĂÜ5§­d>#ˇ^bœOcüÇĽľ˛Ç>w˙˙76h_ý5T˙ó ÄŢŔÎĽdĘpŻ˙ʡÖŔŔăp0ž…褸ř™0`Á•dfóŸš9>ŘÔ´˙ó"Äâ A +ŞX3DqţÜgsú2œŔţGbn +:Pě]š~M.4ÔÝş–>Ľ'˙í<-˙ó Äĺ Δđe +pë.Ű/ý€5فťŹŸę4!:ź'BŒ8ňĄ˘bDÝC€‡-˙ó Äč +A +•˜dĘpOő˜¨DÎß˙)ţ Ö˜Ő`pfGŁş!‚ +I&×h|UZŰ˝P˙ó Äć Î˜ŕ\Ęrżňz˛…ý˛@°°&Ţ!°äő\ĺ-VQ4Ŕ()žÚíE‘Fú˙ó"Äę +ůŒP~NpĺľüĚ68"|˝Ëž„tÜLO8č5ŠdD‰kÖë]Śüßj˙˙ó Äć ‘¸KDpńœHZ ŠŔĄëŔ ™YTSúyuňuŠŒdi"č/J¸öôz˙ó Äć xÖ X^ pŠüŐ˜­€ľ8ńÁT˜>QMüCj$š3]Ô`}o ¨Lߥ>˙ó ÄŰ`Ň 4Îp÷Ť˙ůYPßžĎa­fHsMÉ+Dić)€n0ĹőŞĐë󘼚˙ó"Äŕ Öą¸LŠpéś<§^fÖ@\ĹĚëh@nŁĘHY‚đK›5˙3týĘÝ˙ó ÄăřÖžxKDpż˙đ€€(umPŤÂę—2H*Ŕ×3 iŻ­ş1\1ßӘ˙ó Äć Ů"ĽM +pľ7řp ( DĎ`j  ŠôłřbŔ<\-ŕ°K–ýb0‡ňş˙ó Äĺ ÖşXcDpýF˙ţ +€ź@@˘€] ôm84rI›WšűW™˙ó Äç đΕMpć˙+‡@€ +ćĘíQ>"@ˆŢ™\rýőŔK§‹ĽĹŠżĆă€Ď˙ó Äć Ń•LÄq˙ĐęXŔdXšŁ•\š*ŕ¨Ô‰§çb‰ŻŚÄ÷?•˙˙˙ó"Äĺ 9 +¸LÄqůu€AÇs—÷.r€"` ‘ŽŮptB˝Y +Šď˘=ŸpJśľ}ÝE˙ó Äč +I +‘¸LĘq@7ż&ˇ}`Ę€ËŰ% Đ˝WʗŚ3ĚÁŠÍ­6;Ń|ő ž˙ó Äĺ ƌđM +pW:ŰžV€ v1)˛6ŽgšĺŰ2‚9•źîŢÍ+něTŕ&;˙ó Äç +ʄxE +pňJ˙P`=Üń›Dřž*ŇšÉ"ć h bNŠ1ŤŁşţrţ•ŐH +˙ó"Äĺ @ʌđ|ÄpQwü…Ŕh@ÇËŃ=ƒFß{ůň˘ Ÿă=ľťĂ˙ç°[ĘTĹ˙ó Äč `Αp~q?ĺăŔ@ÓvšÄ;“N"Úęt Ŕ +"xO§Ů+ßíý×:˙ó Äá ’ŒđNŽL<}˙Ź`&€¨¨ˆVޏŰri›œ°m ˘ä8‹˛.Ę×é(c˙C˙ó Ä㠒‘¸NLÔW˙‹OŔ7@OlXýNĚń Ârx’őbni¸¨kľżăżő\OŮ˙ó"Äĺ (’€(fÔL]ž:ÝűÔ`րő%"Uˆ^ĹC¸éx¨ dQZ‘vţ`ćů§˙ó Äč +P֍dĘpW*ˇ˙‚@ +#ŮZŒ/°Ţ6Ŕ–łąŚz8PČÇ•{žě^îż4YĎ­˙ó Äĺ ’¸NNLÄż šIĄÁô„k’!Sj߇ 8’^ŮŠ?]î?­~ąĽ(G˙ó Äç đډ¸ep˙ż˙`Q’W€!C$ňč´Ĺʒ§yaź*÷FdÚ-=ć;~˙ó"Äć á +|ŘMqßč¨üHg¤/S§éeˇ­Ţ}Ć5 žsŠüiŰtĐŹ{bP˙ó Äć ¸Ę˘XzÄp@ä@Ŕ5›Ů×\ŃĆ4uMŽŠX82 i2A&Uţfá˙řŻ˙ó Äć ᑸMq˙ńŽčJLÝf&™›óÎŇg•BD + yolĎOŔHAßJ2˙ó ÄĺřŽt~„LĺÝMX +@]ß6˛<Ü qÄý:S$Ÿ!óĄc˜DJ$°ńm˙ó"Äč +ĄxxNŠq"ńvÝňeëœúŔđٚ5#F¸(ŽW‡y?<ńƒí=żšŐ‹¸˙ó Äĺ°’lN„LŻé(9Ň\Ů 88UĎeSŹ­jő(XI‡/ +톩rYD¸jě˙ó Äé +ŽpPfJLźëöU`ŕ06ÜĄ*Ŕ%AŽE@Ŕđ#B(B%tv_–~uŇŔ˙ó Äç Š +t} +sˆgóPČ *Ó$#Íbf׳~umz*yA˛Ź5úŢ?—˙Üp˙ó"Äç +’tx~JLZ˙ó"Ää (Ž‰4ĘLĹg\šňÜŽł8€żŞĚW•`bśĐŘ@HĐ8éˆ + `™¸›˙ó Äç čÖtPfÔq5Ďí–?Fý}¨ßœú@4@cÁŔ#DĐ/ŘxýpžE”NÍg˙ó ÄćđÖtfTsţŁF5Et\Éú3śĐŁNƒD‘bWťJĄäYˇƒŽ,j,?ř˜˙ó Äé + Úh(~Úpbz •F˝äż?ô€ śĐXVŃ­ r‹nÄZÇHXâFťásmF˙ó"Äĺ +ŽžXĆL>ź§mhú˙@ đšĂů`•[EvI+!˝\ÖĄc +Úˇżz…IŤuů˙ó ÄŘࢀČ~MGűž ˙p& GjnP°•ÄœáŃđ˝›Ř˙Ôţfví˘°)˙ó ÄŰ ֍¸LpQϕ@°´ÉšĂgA@t_ĽQůA’­Î9ü–ďŚOĂÓłM¸ĎŽžÖwéý"m=P›†°žÔŠ†˙ó Äć h~|8NNHh΄•o;Îé™ŐBw§-ŃźćßČÁ”jB#XĽ›–ščO‡˙ó Äç ŔÂpfNLˆx““žţLˆŚĎÉ3o-gţí(t€“^RŢ Ě +‰Ť˙ó Äç +Ât¸~ŽL%Ç;ŢűŤüߧČŇÚ,@Šß€6ßbíŸĘ–\eH„IӌŇ+˙ó"Äĺ8ޅ˜Ćqֈ€şŠnřŽh­ę{n +‚ $˘§W Fo~[› jě,aARww<˙ó ÄĐŘrPN HżUĄŔƒŻ#éĎq7č€ćĐ(&š­Azô Äł()O.˝ž9ŸŃ`˙ó ÄÓ ’ˆXNNLĚô9űůŕ@-  XĂd+Ť<ăý+ ëgG­Ÿ çöľC(s˙ó ÄŐ Ş„XNLHBx?Tn-ɒL !é2fĆuž,˜&č1­?LIĽt$üqĎîŻ˙ó Ä×ř„XNŽL‰˜đ&cgďţé˙€ńŢtQŸ˜ŽjßńE൝=í%ŢkĆ˙ó"ÄÚ Xv„řNNHNŠËŻŽ>ÝF˙@Ŕ *0'íÍÂ~1”ĽÉžoŘe•Ż ?̡°>L˙ó ÄÜ ’xXNTLšâŰԛ䀀P xKO7Ô"¤Ž/ěúe˛J´óPa2NM˙ó Äß`žp(NšMřő˙ňEz ÍľP3ćÎT¨íbŤ!Üe(á˛m‡ @Č|×-ô˙ó Äähr}NHž!ů ČĆ$ +eb¨ĐźVƒTÔ_RHź'%ô + .‹Ý?Ŕ˙ó"Äé +ž|Č~^LŸ˙/gţQ€‡ jŮY ÷źůU8OˇŽő…ŠWM×bH@ÚiÄCü˘˙ó ÄÝ螈fLYČŔĎ•°s Čçâ]ŕąOP2ÜץyŔÎĺ˜:\°ÔŮŻäł˙ó Äŕ žŒX{ÔLćŠ_ }  H›GřÍŘť0\Y\(¸ÄáV7źlé ,ďÄ˙ó Äâ žx{ÔL˙/ň„źtfë֝­¨DŔĂŁńá)”‹ľ˜qnçŤ]‚šížĄ˙ó"Ää ŽŒx{ÔLöíÖsä3),4YÄë"€ďNCłˇ90j>ýĆN}Nř›˝˙ó Äç +îˆx{Nq§ Ŕżň,G\8éŕԖ BÍQ-6ŤŽ!ÔV Ÿ/î‹A˙ó ÄĺȎˆ8{ÔLnëęrŽŔ šlť¤Ö'ńÄ^ĐŃŘĘĆyˇ%~ť{¸Š‹Lu°w˙ó Äč +Xž„x~Lž_ž1Ȩ€žŕUĘ +śÇ^Ťâ°.Ax‰hËAJZ¸°&‚˝đţá˙ó"Äĺ žˆx{ÔL&ÚH+Ň °ÉŔ;đ;˜GěŕG7 ŇN.š’BąŒÔâŸü˙ó Äč +Pžt(ĆLVÂR¤ŔwH1jęŒÇkÉ”uL +Át$Ę+śG˝Żcăľ +âޙ˙ó ÄĺřŽ”X{ĘLýŃHĽ Ŕ÷D÷.dŹŔŚ€„đW†˛œú‰†™­ş‚xvH4ĺ˙j˙ó Äč +¸{ÄLŠÔčłŮ@˙CSj.Ú3—Š–ஐp€h˘H‡îXUÖěađż â_˙ó"Äć đŒ8ĆLĺĹŹRĘ@° MUÍŘťXÉ°•˘EuŻ_ŐĄx›÷˜{ĘsîŐŕĆÜ&ŮűţŒm€Dč8d1ů……Ź:B¸Ň+Ś{I54ĺUӃo˙ó ÄŐ˜^ (N*ŰÁ>nůP!ˆŹČŮŁ…íĆIą X>óJtŃdi˜Ű[ŕßx‡˙ó ÄŮ žŠKÄLfŽwę%€%Ó(ÉFuś‚ëUČr‚q +Ěx›PSœw3-Z÷ˇ?ď˙ó ÄŰń \ No newline at end of file Index: mad-xess/songs/Moonlight-16.mp3 =================================================================== --- mad-xess/songs/Moonlight-16.mp3 (nonexistent) +++ mad-xess/songs/Moonlight-16.mp3 (revision 1765) @@ -0,0 +1,2719 @@ +˙ó ÄHŔ˙ó Ä'H˙ó ÄNH˙ó"ÄuH˙ó ĝH˙ó ÄÄH˙ó ÄëH…§˝îýÝÝŢŮ0OLbˆ˙ó"Ä˙H ÉŁnˆÉŰŐ +\$Š= +`ÂädčöŃˇŞ @Ő Ë˙ó Ä˙Hš1ŕ0¸ŒÄ đŠA”ÎǤqPŹí°iJLu2bĚJxöËĚ +.p4˙ó Ä˙H–`đXĂŔĺA@čЍFź Ą +,9âě‹%@˙ó Ä˙HÂŕ&ÄhP2(ÁźŚ`bDŽ(&‚;\0ĄHs„!Ĺ@Nj˙ó"Ä˙HŔn€ŕ ĺÂvƒ–9B@Ă +@„(D¨Ř€`0´˙ó Ä˙HO€2b˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙1”w6˙ó Ä˙Y=ŒFHB2<6ՃKÁfďٚ99ŸËźlş`ľA‚Ž5:lbŕ_ ˙ó Äč/Üœ @A?ÜI”xz@ą0Ý>•gϢ™@“%ĚTʢJ&\Y.R ‘ˆe˙ó"ÄS<‚@›h%ąŠďĚĎőW˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ţĽ]ˆč ˙ó Ä +8zxŘR0t\7 zŽR€]ĎnŠá`GHíĹń§ż,ĺ=ˇ]ďüN<5]Ľ˙ó Ä ŽŒ(ĆN +=ÂZ,928ŇKNE@×ŸÖ aěĎˆŽÄ†'Ą|[Ű@€-˙ó Ä ֝ÂÄq +źtŒ6ë€Ă/´ŃŸŁřRl7°üĘż­ň˙˙/Ź€żm–˙ó"Ä؊œxÂÄL™ŞS4Řȓ'˜“ƒ[|¨z4¨¸'ýTz“Óe`˜ eľˆu¸‚\˙ó Ä + ֐X{Dp{ťŚĽTSdA[0“ĆřԕGůüă˙ý8˙ú@_‡âĐîIńl›˙ó Ä ŔҜxÁÄpzƆÄŕ(H šĎśŠ”?˙ĚŘX‡ýé4ѝÄҏŽBŠ\Ó°˙ó ĸŠ„(ĂÎNSđšB\:ŁćÁ“˜můDŠ˝n,‘Fź%ëĄZŔa ;Ő˙ó"Ä ֐xĂDpU2T̢ó§´8Ţo˙ ˙ú@ +đ +ľ^ÔĘ6́׾T\íPˆÝĺŢü˙ó ĨŠ”PĂÄNŁf™Eŕ†­ŇňŻT¸­†ěŻ/&f +tüĽŐÝ Ž|˛TV +Ş +˙ó Äř†”xĂÄHx'z,ľ ˙ҍś7BjľÚë!)Ń$=ý…Á™í"~ŰÄ~ ś˙ó ÄđŠŒxËÄLťV˝_Ź ĺ uD¸´#KřB)Ţşxp\Ĺ\ĆžQBĎQhśˇij˙ó"Ä! Hv„XĂĘH;gž´€]€sŇ},šŮ¤ď‡“ +tĂ_™d~[+ăC7 œĘ¨˙ó Ä#°˘”xĂ +LO˙Půä3lŮ$ł˜P°_ˆ ýă:Üşě{×}ˇô€K@˙ó Ä'ˆn˜xKĘ(jw7j‰lć’dg‚ đŃŇIűSÔ=íŞřMUJn;„đ˙ó Ä+ˆ˘ŒxĂÄLVĐ,8?ĘŹ• ‰ ˛°üĎ9 ­ĺ×:F+>€ł +DžCn}m˙ó"Ä/ nˆxfV(?SĐĂ´#p˙7rÂ*=ž*‚Úm†\ĎęÔł !pL â„í$˙ó Ä2¸ŽPĂNPdÓtSÓÉjü}gÔR”ë +ď˙•€ +Ś +?X=t™u‰“ŢŽ<˙ó Ä6ŔnPf *s -xż‘mĘżżßđľ˙ňŕ?˙ß‹ňHŘ٧óˆć14 (Ҕx„pRŸŘ‹ýťEúрs‘§G°Ód + AóčÎ{Nýö{^‹$ß˙ó ÄAvx{HMumţR ü˝! +!„w-ěĂčSŒ_2iAŽSˆT˙L€č˙ó ÄE š”xĂŇLmJ ˙’RQÎĎ-ą{K÷]?„Ő×ăGî´~łˇźłŠf…h˙ó ÄG°Ö˜Pz„r m“qX˜Â†Ÿ… ‹9‚Ź}g~*Žp7áÔ39GŃÔ˙Ž˙ó"ÄKŔz”PĂĘJđŮŐ㠕cƒœđ,4ˇ~| ?ąE?Ť’r’žć-Ş[@s3ďýWQ˙ó ÄP¸~xĂĘHĘN7•Ű“fAGÓĽźÇ¸2ÁĆń€I@C óɓᝄĽż˙ó ÄTŔ~˜x{ĘHTť´ TFŻţ­HvôŁ˙d Gń +Ě2ƒ2‰r!D.ŮĚ2ƒĚĐ˙ó ÄX˜zxËÖHcSkéËęiým˙ý!Ż˙ęŰ@1řS‡p {œö6ëa +vá™ń˙ó"Ä\ 8ŠxƒĚL”Č؋ډƒ‚Î~V°ş-ěO +,ăŘš¤đFBÔ@ú‰°Jţ˙ó Ä_֔ĂDrvÔ;[–™t˙wŽ áořŤœ1LĆĽĹ>$bhŞě”|śA¸”˙ó Äf Šx{ÄL„:ý6PíśŃV×z^ şˆa,CŔI7ŻČřk;Š’$Ҥ=˙ó Äh ~xĂĐHO­Ď}%ÎĺöšAéšî#Řj=\ŚŃNč—ă}úţçÂßô˙ó ÄjxŽ˜x{DL  + &2`I?‰m@°ÔO}y9_ˆ`şüšîݜoü˙ó"ÄoŘzx{ÄHKá,oNKÂ' M˛22ą<3’)Sű TíŢźăP"ýř€ƒ˙ó Äs z€PËŇH“Ŕo +ydđ­{tÇm03C šÜňú™ő$Ŕ’çZW ‹˙ó ÄunˆPKĚ+„Âđé +‚e„;Ÿ>OÍlśäńHчďg•ýĄ FPéŁźÚ˙ó Äyř~xPÎHô3"•˛ßË6–Ć€™Šó´(DPűÍó`ü šě‡NČBřőM˙ó"Ä|đ–˜xKJLźą`ž­˙— É÷ +ů#%Qjޤ ĘYăĎlxxR)$9ETœF˙ó Ā –ŒPĂĚL™S×Mű=EaÉůVűIő ?ÜĆBÓ߅’$ĄľŕV›Ľ‚ ×%H˙ó ĂŘnšXN (ÂĹńf¨ Fůo € ť t€Hž’‘zH%ĽŒ;Œ85}yĺ™˙ó ąČś”PĂÄN–óĺšp˘•Ň€đŘŔ€äxił•8QGškd ˘T +Z.ÓůŠa˙ó"Ĉ°˛„PÓÎN +~qmn €vŔ +V—żˇéŕÖ¨ Qˆ-I R +Ťß8Čß 2r^Ăe˙ó čpŽ|(ËÎL^ćzŔ]€+ˆw`ĐŠq6I:Í8˜8zpˆäwřÁ§~Ťš[€˙ó Ē n•¸KÔ(đĘ(™qgMş š‰™ˆ{%^Ř#,킖ÁĺčGmâőJš +€˙ó Ĕŕn€PfZ(ś€˜#WRBŒƒm=ľŻ°ăÂ&â—: KHAő)ý $É@T˙ó"ė P’‘ÂÄL^ÔD[x€\›6Ź5C\ÔńŢž€ÚŢPÍŇ=uźŔŠhíę5Ć˙ó ę˜rx{ĘI=Qbƒ*b/¸Ç”rZ!{Öţ8FÖř˙Ţ{]NÍ ˝°ÎČĘš1˙ó ĝ r|PËĘHaČA3xŐĽgdb NlSFUř$Ú^xZŹŐ ŤřŠ˛Žˆź§Z +6˙ó Ä  j¸N(d›=SĐBžWŸˇÁ~ç×5ŽAďn€?˙Ęړ†9b‘! +q*Z˙ó"Ģ蒈x{ÄL`aD<ýxŃ—vƸäFÁč×čZ=* 5ćá,8ƒ™]z-Ľ˙ó ÄŚ ’„xĂÎLŹŽnö?đČ=h­ě#ꔮXyQ HĽє"řzFŮţŽ"\(D˙ó Ĩ nˆxf(͸M]†g+9 r9ŽBέ$€Á@DÝę>ÓŁ}zWč˙ó ÄŞČڐxz„qt‰á‡ę—WĐšŽ" +†t„$śÂŹTÍŽĂx8‘S)ň{ˇ˙ó"Ä­ @n”x{Î(XÚĎŚ— Ëh”Ňfwy:[°‘Ň˝T|8@ŠÄôj–×ü+ŻĐŻQw˙ó Ä°€’| Ć +NŚí đËęŹX9ĎYq‹r’‡zRăIŃ°˜:č<ŞW"°˙ó ÄľŔrtĂÎH +°L´FHę0ąxKRœDč”Qę ¨6Óéż_i +ceý€˙ó Äšřjˆx{Ö(?ü\ Źd ´€íMn_@ČIŐą8WĽg.zĂŒœëšÂŕ  ˙ó"Äź 0n„x~(ÉB, +ÍlHuSžŠQi€‚ŕB÷H~!—’ŐEűV€vŔ 1D˙ó Äż ž„xĂÄL/ÎŐ".ÜŹ-˝´ˇTĂ,édřW_ÍĹĐRˇÔíš*ĹĄ$ĘŤ˙ó ÄÁŕr|PĂ +HV@!SŘTŠGĘëö˘(°I:L˙Í[łł”€üšĄl¸A@ˇ˙ó ÄÄ nxPĆ(//ZĎłÜFPĐ9â‚řŐ˝oŻRe +Ď8Ǥ™ ľÜęx!i0s4˙ó"ÄĆ Xj‘[(lTąaMÖ +óńJüŐ˛)ţXęk}X€´$uÉě`ô' +´Â ˙ó ÄČřnxP~(Ô)Y â$á†ÁŽ˘Ě"‰Ĺ^š9 €- Bl;ƒźĚ%dJ4ŞŹŒF˙ó ÄË ˘{Lˆ6ń.ÝdMâw%ĄöÉĄ}`€˙đ;U'˜ţcąrh€j쇢W˙ó ÄÍ rp(ÎH3ĐśŻoRş $犍ő€€6ŔNńőžö2[ĽÁiäř2Â˙ó"ÄĎđƈPyÄr´Eőz‚~đäd5f”@đ +CsĘ=@žB„Š˙Á͉­Żƒä_¨ťlź‰3ęôˋgä˙ó ÄÖ r¸{H'ô€¨ +Ńş^p2c°…fšŮÂĎS‰ëf$šđK:ĄŢ!U +:˙ó ÄŘ j{Ň(šX–ëo^ 8kŽ,Đ1ĺĺK$ÉOíÁPœ}_*]gČ­˙ó"ÄÚ Hś¸{L§Ęúü dp„Ó–ÂT6é +!Í&ęWNăÝâ#ńe`Ď-x˙ó ÄÜ ~ĂĘHDÝ˝ îŘ ­.?ă~ixÄ\ęěW7+1ŇŻXńXó*Y˙ó ÄßXz€PĂĚH´Đ°Kh›­ĘqőCÓQ㣠ó΄n%’ŽXGÁáŘAĘ˙ó ÄäČ~‘¸ĂH•TD…€€ŔÔś…`쩒:E˝K Ą؆ fDxO&ŕ“¤ů˙ó"Äç +`~pPÎH™QÍߤđ ¤’§îO‘‡¸+(Ë6ş9zFť†ü´ĺŐúď˙ó Äĺ n€x~T(8a˘Î ü ˛ŠŸTŠ%IţĎ0&Ą~R">9ś—RדoCšán˙ó Äç Ř~xPĆ +H×5nókŔ%›`7n˝>¤pœŒg&ˇ!ÄGźŻŤÂA•"đx˙ó Äć ŕJf$CeÝH€ť`2Çcň˙ă ČäŒę?͔߲ž~Ŕ}*˙ó"Äĺ Hz„xĂÄHa-ŹĄ +ž ¤nŹ˝Ęžq#a+b rbZV@Đ´$ő۟ÝzĘőO˙ó Äç đz}ËĐHš'˝ Ĺx"FZg PY˛`1ł‚ŞÜŕcB$0^ż°PŠ*2éł˙ó Äć °~|PËĚHxź…¨ĆÚ$Ń +…i„; 5źHŒwi.~‡ą×ÚoĆ=Ű>˙ó Äć Ŕ~xPĆHšÝ˙@œ}T#Š¤ˆŒŰoÍHdŠłJńíJđ<˙gĄ­MCŃ˙ó Äć ¨z¸ĂÄHtc{S'­ V‰Î˛™{ôŞ%šJjœ-7âFé[/ýľ˝Łô'řK˙ó"Äć + ҍ¸z„phi]€P†M čM6Ť´} šlŠ0BQڕD­R-÷Q|&Ź5ýÚ˙ó Äĺ ˛€XËÄLĎâ} áű‹­yĘRPĘá D;QAnš.úíόŽ˘5ƒ˙ Ę=˙ó Äç đśpÖN˙śdŹŔ!@sóeç:`…)§ˆĄšu,Ź +WůCbüçf @9‘´r=6˙ó Äć ¨˛pÎNĂÔCX-qâŹ*DÄŇdœĹĐwť°Ö)žgőpŇa(/I¨Ď˙ó"Äć Ŕ˛hÖMáčŁ@ 5+„ +ň`" "Se2YS–DĘUrćިeÇŃŐWĽ˙ó Äç ŕś|XËÄLƒßşIŠśq:wĘÜşˆb$؀‹†Â‰érłáčŚö}ź"c’>˙ó Äć X˛lÖ +L™vĚLڐ€ ĄžUk"¨’AAěiŮhŠ%"ů’Kĺk7§ř˙ó Äç p˛t0ĂÄL=%\倳 +Ç/Śü™XÓЎâÄ،Žo jÎjßďą;9ڌĘyÉś˙ó"Äč čśdÖLĐNŻłö,Î oËX혇˝†1—RžR +Ş˛6„,D3°AL˙ó Äč čśl0ËÄL> ł­` /fb˛bŚb[Ź@bIˇú˙ó"Äĺ H˛yĂL2@¤€}zvŮâŠ÷Ž‘ČálÖƂD0á}á?q>[‘¨Ú^˙ó Äç đ˛pPËÄLC[1_HÜÄđXm|/ÂĄN0ˇë௖ŘÂœ°Ć<ô…âő˙ó Äć ˜zlÎ HŐű€üÝ2v-Ł ´&@ŚŁä2(ŚXŤmp@oažÜz  7ŁŽŁ˙ó Äć ŕzt(Ć HçÎ펝 đ ië˛úç4 ŰĽó pŹ$VDiUŸŤż@OFW°Ľ˙ó"Äĺ P˘„đĂÄLMŮz@ŞĂq–“|™ŞĘ 2ÂFô“aC~˛Řüĺˇo¨aĎlí˙ó ÄçŘbt¨Ć"*ôłz€˙ ftĎŁĹżńôź‰ĄŒâČ +ťÂĆy}ľ5:*ƉÔń*˙ó Äę +¨Š|XËÄLů &;mB?ŕƒ0˘źćf2ĘÍeFŕt]ŢĺŞ8őž-hłă˙ó Äć `ŽlĆLfoiGYX)m.ĐŔ˛âęĆÁć[z +rˇĘŕΌ×–ç‘ČîN0ƒć˙ó"Äç +XžtPÎ +L…Ó˜™Ó@)_ěĄ˜ Ą §`8#˛IľC…ÖU›˙wűě,Ÿ4…˛˙ó ÄĺТ|PĂĘLŸ')Ýő€đ5Ű*Śţ‰udő!ÁC)é*fUOúz/ ‹h˨O˙ó Äč О|PĂÄNG耚ŕ?”ÍrT,|XĺH† ¸TÁŢp.ýUüÁšGőżęAVŠb˙ó"Äç +(^„x{Ö(‚ąGjO˙É*GCŸ’-¸ŔMxľËt(‹•§úN-˙ó Äć ¨r€xĂÎHą™ĘŔđ-Xé˕Á\žÚ.š0Ŕ2ydԄď6;ú[˙ cۊ˙ó Äć Ȣl(ÖLiAń ¨5Ëč9#WLŽĹÁÄ5,Ü +v\űH.}EÂėëřŤć˙ó ÄĺŔž|đĂÄLqN•€)mąŢˇ鬝€%ČŁaľš„/Ćs˙„;0yC´­TsÇ˙ó"Äé +°â}¸Ę„pú:ŞËâ€.ŇĆŃŘ´ŻřdÓS,›•hÁ„úH×ŘۏU]˙ó Äć @˘ˆđzÄNďR€< +Á˜śî`ôĐBĂĄJ2TYÂRwŠ`í~遏Š3…ď+:’˙ó Äč ĐҐxyÄp_w¨=ś;„ŰÉ!đ ŘŻĘĚKŘóÇ˙ŽÄyŁęëuƒ—Š( +˙ó Äç ˜Ň‘¸z„p×íŤŒB;m?˝ŠfᢜßG˘@ˇd>JŹź°­ęr˙ó"Äç ˆž|ËĘNVpŞýP€ý°•ěiřŚIôrꗯ(kXŸôč~ŞM[Fňű7˙ó Äč +X˛€xĂÎLK´śÚ€9-¨ŢŐJąHčPYšL8äHQ᨝Ô‚™¨1˛ë˙ó ÄĺČ~p(ÎIŔßđ˜č…čΕęÄ}¨Jő÷üř×ĐœXĆ!˙ó Äč °žxPĆLAaĺ-PFR^dX¸.Ś§' 3­O eř~@ř8‡ƒgSsőŽ˙ó"Äč +Pžˆx{ÄL–ٳҤGV“Ě(StVŘS +äü@KŘ°eNąMĐ)j|ŚÍ˙ó Äć ¸v„xĂÔHE[řŕ€ßđ0>€Dŕg@ŃfĄ2TS—¤g…FqÎPDń 8Ą˙ó Äć ОŽ8{ÎLäŞíŔ ś€3ÂU7”UŔDAq§°ůŔŽQ.G^5ĽS˛ýMlÝ˙ó Äĺˆvt(ÎHoΨŔR€7*ŻI“ąŤ Ď‡RěđS~´87ŻQőaJ/Vl˙ó"Äé +ˆ˘‰¸{ÄLĎqS´€ Ŕ9XzHĚŢđ3Ł…ßbá)űőĐř8‚žśŻ˙ó Äć 8~t(ÎJú@€ŔĘÝXîrގhҊݞ7ädn™MÁ˛üĆŐ7AXN˙ó Äč ŕvhĆJPŢę€6¤,˙b1â‡ÎŔjdľąa~RĆő”đf‚ś$„:Ż˙ó Äç Ř^¸KÎ(7š 2šhME{&évŒďEŠš)Ç=‘˛¸¸_öę8đžPţé˙ó"Äć °Ö¸ĂDpß6_Scp뼎˛’&ƒ]E_ĘTŐbĂN5u +/äE–ą~’˙ó Äç +Ž¸ĂÄL¨ÎpňŁ€  +Xf&EđâĈAf0€V +×ŐđguMe˙˙ó Äĺ ś„đËÄLiŢ €.HĽő\žGĺăÇLf‘‡+ԝőYŚöÝÂÁˆÍܝüS˙ó Äç в–XĂLŘ.÷ýÂv~MFćĆ + )*‰ěľ’xĆÔ¨iĆP`ӝ6Źĺ˙ó Äć ˛x ËÎOź˙Hż UˆöĄq^- ;ŸĆňǃ¨Ń#ŤuzŤŻŸő+ţ#ęÎ4rR˙ó"Äč +H˛p(ÎNČËފ˙ tŇćă JčšIŒ‚@mj„Ü,Ě°؜\Áš/.5˙ó Äć Đ~p0ÖH’‡őßŇü š—¤4ěvT çW”°  Ň´ä/UƛOˆƒ•žŸá˙ó Äĺ ˛€ř{ÄLÍc͡X&ęKYŐF55ÎЁ–/PÂF„ ¨dnűďý1ůÓż˙ó Äç +ś}˜ĂĘLOWĹ—ę&eYB`‘ Ő˜&ŮFČĆI0=E´]âO¨l#"w0˙ó"Äĺč~lÖHPm×=´€ťśŒöž€Ą‚T㣥9_ÚU\î'‚-aßđťáęă/G˙ó Äé +ڀPzÄr)żv EÉ u-ÄŹˆK|N†Ő2OUE rěřiĹĽdDj˙ó Äç řrpPĂÎHŘôЍ`>ĺ×Őó6˝„ *´˝ę÷š1‚°ě”¨ŚŸ!ˇ+âţĆ˙ó Äć ¨śtPËĘLV˝``]śěÁ`‡¨“ +t"”VŠ/ĚMëňr? H|,L™G˙ó"Äć +’l(ĂŇL?QoŁP—ĚFŸf¸f\˘Ŕűo]ÂŹü/ Ľ¤/FčbĄŕnՕ Őž…˙ó Äć ˆrp(ĂĘJĽżă@í°VďTă!°[ËčÂâ寔~:üáŔ‚9†\ÄZç˙ó Äć `’hÎL9q`_˙×`r„|Ŕv§ra:a0~$Mˇ<ňÉIóË€K!˙ó Äç +r|xĂĘH7ŒyŠŔ_˙ćH×óđ8!h¤ýHś"ćŠ~râb!B–°đ\˙ó"ÄĺŘnp(Ć*Žóú€=śˇŽ—*‰0„”’Í~ ĚŔîĄ6âĂş÷<5{dE,*˙ó Äé +(’„x{L„YÖk˙śfŚ­%Üů3˜SácÉÇŻ;á>ƒËÁzĐç-I2+(V˙ó Äç +r`ÖHÓęEh 9m čźÉkˆ(Œ­\@ŚtŁ•‰EZj˝đš’Iö˙ó ÄĺŕŽŒxyÄL¸Ň`ł­Ę€[hWÉú%tš +ťTádŠ>‚›‡y>^„˙ó"Äč +nˆx{Ę([ľ˙ÖÂUë +-TČa‡I–Ł_xQ4&o(ฅƗœ j(˙ó ÄĺĐrŒxzDH`ڀ]˙¸–PŸ!Şř} ÖE¨…Ă + ď Ëʋi“(Yşk˙ó Äč ¸v„xzJHi)ç“]˙_5Á&a˘ôŁ›P×z¨˙Ę7r}RÔť8ZËĽďx˙ó Äč +rˆxĂ +H˘ł€vÜp=w.áiČ€˘ńXעPł›űkĐńQŕą~ćLÚeŃ ˙ó"Äç +HŽ|xĂÄLĹęţí ˘-QĽ`)ĚĐQ ;=ÎMęöW‰vŔ~aÍá´ŚIŹ˙ó Äĺ˜r„xĂHgémh˙ +IŸŠ534aĆŁëŇ@yŰG‹ćÓŁs‰_ö•Š8ŚG˙ó Äé +r„PĂJžˇŃ] -NȞ)ŐoUaś„e@ä,J+{SjŽó“[Îßȝ*Ő<˙ó Äç Ŕr„x{DHĄWĺeš$ęš;ËĄę7€o˜$ł´+Ç(ĂRćżů‡U6ŽŻ˙ó"Äç ¸śˆx{ÄLÖäô3ô€đ +L)đÁő|ȓ#d% ÜGň +Ešâ$D)˙ó Äč ؚ‘¸bĐLm +”€–€5%Ö` +FxB˛9ŠÎྨF6żĹ,hĹ%œŐ Cm¸ ˙ó Äç Ŕ~|P{ĘJJî!‰ťËĄ Ttoó řË'Í (Â%š>ϕË"ä…Ď˙ó Äç Ř~tPĂĚHźŔ‡˘€ä€ ¸FdŒ5ĆČZ +W!ËPLjŸ\o]¸šăýŇ0˙ó"Äć ¸zp(Î J$ÖŢÍđ +śjC\Z“ƒuC”<2uĂbâéŻyM¸fŁÇĄŽ>ˆM˙ó Äç +˘xx~L‹ĹŇ ›˙Ŕ9~ŠäěŹĂžTÇՔثŸVîXĹÓ9Î8á-ŕ˝˙ó Äĺ ˘xPÄLÍE@€­´ +& š"hâN~]ŐQjˇŹĐLF\ZG:ú“ŞÇ˙ó Äč řZ¸KŘ$ÁťWĂ €-˙đ+¤BÔĘŐđČ;š!í1‡Őôq GĄ˙ó"Äç ŕrh(ÎHö'Vü +Ň4­ˇÓŠ‚ˆĘţ(áYLż’—~7Dmݞż}J<˙ó Äç ؞}{ÄL×?u7— í˙ˇZ“L——BäÝÎyŻé€Ű GJPęŃÔq˙ó Äć 8rxPĆ +H­Ůi€Ŕ +śĐ1ť,‘ńÖnčܐq•QmWŃbző„5™ě˝ž˙ó Äč ˜~’XcÄH Ü5şˇh¨ +YˆIiZ’hÚŔ ,¸Nŕů=*ˇNłŸ†ř›U˙ó"Äč +˜^}N(lęˇŕk8äBŁ;| 6z¸óa¨FI9iz…Z…CkČňź8[8áC˙ó Äĺ r‘¸zDHešva°ŔŰ0Ą­wô­/ˆ`lŒZX_˜'ĎŐ|8ë÷3o=˙ó Äç +~pPĆ H_] Ă +ŃŞěYŽˇp冞 +„Ec¸Öv+8m#ˇżEđ„ţ­ó˙ó Äć `˘x{Lˇ˙ŐPŔŸđÉA@iŕ_&aŻVďžvDhOĘ6¤ĎůZß°Ř˙ó"Äç +@ś‰¸ËÄLDűíÜâ䀍0Ç}ąËWŹ V1x ăŠ[WŠ2ÎcʄXTú9:_Ă˙ó ÄćŔr€PËĐJŁ=4ü °UçqŽł(20CTBFžvQPç +ëľś@żÚĽ…?˙ó Äę +ŕr„XĆH•`äu Ŕ%˙đ ŔSĄlÄ QŇÜďĺ­BBŠˆżO,艡“w]ä˙ó ÄĺŘś‘¸ĘDLľí´ +ą—ŽťyQ Á8â,´˙ Ě'UZ×Ŕü@_ăXl˜d,˙ó"Äč +(˛tPÖLǚ]_Ŕ˙đ‰19˜…3Pďq¸Ž'•z ¤Ł@˙ó Äç +n‰¸N(´(wW  +)"ÔĚŮŮtĄĄ(IĹ(.ƒĹšÍXĆá=Çč˘Ô•ý +m˙ó ÄĺřrlŢH*żč?– 5§Žłŕă̝…ů"”ŠĽUÉjŽńC; + +^äĂJŮş˙ó Äč +0žtPÓÎLĺWý €Ľ´ DĆ +ŇÜńŚ‡bR‹zUŠą3ćY‚Wř6¸+˙ó ÄćČn‘¸3Ę(˛ƒŠ‹M~€Ŕ%Ř`4ţîn•°ƒŔ´ ÉeÎs +ítňvŤç’&[˙ó"Äé +Ŕ’}ËĘLŮ˙¤„‹m´ +ˇȊąEÄ]ŽA!Z€¨]žJłCH•'Ľ3n(€˙ó Äć °n¸6(ŤŢş=~Č(0+ł^w€ ś€ťc ENŠô{zóŸ:ÚřÎOÇč˙ó Äć @’p(ËÎN,=łtxGĚ <ś 0—äÄÇAŕ8—ĆűÖ)— +m˘×ƒ#Œ˙ó Äč ŘvtPËĚHň/!sŢuŠ €¨ +eÓK‡mż1ÄC3u˘ľŔ-x˝ö M¨˙ó"Äç +`’ĂLß8âŮU˝ř0pN Ź8’lĘFřł6HSćmC˜>ŮËň(ĺN˙ó Äĺ°’‘¸zDL%w_ń€żŔ/—€˘O`ąˇ@ä×tÓ,Î7ŮŐ^Tňţz–ˇ„˙ó Äé Ŕ˘•{ŇL^h¸M¤ČpB|ě8ŞSXä3¨ć˛Qő?ţý-˙Đí9˙ó ÄÝ蒶X{LD~´jđQŽćyQ•ˇîXlIî”˧ŤÓFڈ1ŽľJ5őŹ˙ó"Äŕ (ž¨x{M߅ÂŃń ř1ŒĐĐ*´ÂóÓ+Ĺ08Tą`ĄźĐ‘Îg˙ó Ä㠖ĽĂÎL żŹđn•ŕë>` +v–ož0jŕĆk>ŽĹ+("V‡Ěů…ů˙ó Äć Řş¤x{ĘLŁą5 ¸ +ŐFĐáa=Ž4jTo­ÎJDH˘ć˛tEľ8ŻţˆoRą˙ó Äĺ ˛ŹxzNL›Q_Pí}+s˛Ótü8■Ďi9š,q’Ýľ*Š<.Ť}¨˙ó"Äč +ΤxzFp("–ÎúŔ­¸(H ÷Fś8:7&‹éě`nđúใŐu/ď,˙ó Äč +XšœxĂÚLÇgŠřďIJŇWüÁYöë^Hč/§"Ň7G?đĎěvż +ő˙ó ÄĺđʨxyDpڝśXýp +ęěĚŁ,ÜăI Ĺ˘v"ü5 Rý÷Íw_á˙ó Äč +šœXĂÎLŰçügWŹŔ ŕ‡üËi›Ár‡ěDanO"`ßËŠ6­1^^Î˙˙ó"Äç čΠxzÄpËtU ďŹ+˙/Ĺ41ąČ’˘¨Ó-Š j÷!ԚZpТ÷žúĎüÎb˙ó Äç +–˜XĆ +L +˘ýŁţ€î +ٌV cöeäŠg8p†ňJ<ĆÂ!ƒv“ü”Î˙ó Äĺ ˛Ľ{L9džîbáH >n  ě€=Ąó2˘ßĺLLÝFßžcuźT˙ó Äč ŔĘ xÂDpŹťˇꀿŕ +Y—”yÓofTApˆ .’ŚÉP +™­ÄË?Á%˙ó"Äč +pʔX{ÄpőOB–?Ź-8+ĹHä,ŃńƒUĄ łŽ ŕ~ň´‹ş4ˇĘ55˙ó Äć °–˜8ĂĐL'ޏm€˙ŕ +żd‹ e) tfŽÜžœĘÔ×g"˘Cę+Dƒ'˙ó Äć ಜx{ÚLîô  +Df{ +audBçz=%ź,%fŸ +đFđž˝ňĆK˙ó Äĺ ˛ĽĂÎLu˙JިŤ€îHĘDĚ­@dF—ŽfC^şE5"áßíđLĘ% +™jěë˙ó"Äç +~˜X{ŢH­ +3ß!O˙dN6 +! Çb^Ei6[PKóO~˛§Žza˙ó Äç đÖĄÂÄpÖéˇÔ3˜”đč1F5Lh`hÜúö˛hPŚŁĂüdPdƒrT‘Ť˙ó Äć Ȳ x{LSjeˀhÄ ióÄE‚˝l`¨ÓčëxRĹqňA HԂő˙ó ÄĺČ^­{Ö(2S÷zMů;€ćgĘŇűáłk`ą “Ě”lŽĎ6łvTáý˙ó"Äč +0˛”P{ÖN$¨ ëŔő @Ëąü‡ţ„œ4Šźy)Š4Ćׇœ¸5?8˙ó Äç +˛œxĂÚL{ŹÄÍ€@Źü€¤’×î@°´@¨ kś(GBË,CFP–ďAČ˙ó Äć x–¤xbÎLűľ˙ BvÜĚs´Ą .é]v'ˆÖçÄ 1y—÷–ü:Tň˙ó Äç Ȗˆ(ÎMu˝nŻČŔ ´5r"ô5q¸ľJ °J/‚G”Äv‘ß… +‡žĺ5˙ó"Äć + š”x{ÚLŇHŹőë¤+h; +pÄLHo"8ZΔ*đŒĂąrw–ŔS¸z:t{„˙ó Ä倚 xÂĘL¨ˇĽĘv°ˇA 'l9bZËŕqú7śTżŠÚń'Ž“fßľI–¤˙ó Äę +Čfx{Ţ(+°ŽB@$0š’Ä@ rYĘŕŘbĽuœÂ š4űƒ?ŢăŐF˙ó ÄĺȖ˜xĂ +LžĹŽĄş€đ +ÄaâjřC!ydȔŮ°ąŸń!i€ šH˙ó"Äč +Hš˜x{ÔLĄŸ*’ľz@_řČzÍśS(@Ž'ěB¸ń0Ů rŃx$óО„˙ó Äć °š™¸Ă +Lťô€˜ÜTŻXŃ˝Şě#ĄĹsá˙+$eO4 ľ#Ą%Lü¤uĐôŒ)˙ó Äć ŕfx{Ř(ˇĐ +öŔx¤hdŤ_¨V j&Ő˛ŞÖŘš‹äŒ”|ĹźŮrĽÎň˙ó Äĺ0–”@ĂÎNw×GĄ Ŕ?`˘ĐŃBâYKb˘1 ”Ξ:XŽVŢß 1Ěýˇ˙ó"Äë ˛”x{ĐLinĀveŃ GœFľ‰d@Őz>Ş?A°CP‹ŃÍÁ6˙ó Äć ЎPËÎLć˙ÖzÉPŔ +Đ]"噎ĂtÁşZ%,‘M㊧€ź2Lpťý\˙ó ÄĺČz¤xzFHŠŚţ@ařĘg2¸¨• )h&ž,f5+_nÔŔľ:‡Wţč1x‹Ő˙ó Äč x’Œ ĆO NW$Qh+Ĺtĺ/l­%‘Đę—ÜĘÖů¸pzY nH˙ó"Äé +Xn”X~(j/úŚ3\0-É4S eĽ°ÎˏąetwV02řŘWř&‡Š˙ó Äç ˆš™˜ĂLÔďô€˘ °\¤˘‘Š6šüŽźČ.ÇT NˆŽ +đ "ţßAgž˙ó Äç + š„0ĆL-§Żú@;hŔ3 ęâEqô +AT6¤Ž) +gŽ5Ńâ,ͧů3˙ó Äĺ ’PĂM*úçԀklŠć‡ +ĽÔGŞ Ş Á…‡K”Y˜ s_R#ä˙ó Äç đ–˜x{ÖL5ž(Ń@=śś“4QŢÎĚÔŘ>8ż9 +¤†4‘î`A˙ó"Äć +–xĂL;<ł~Í`šˇ0ƒŘý’9 ś‡ƒňF8ŰƏˇŤƒхŐ<ĽĚ˙ó Äĺ –œx{L›Ĺó +5‚_Ăő{1“‚ [DW˝r\Ö Ćg%d65[­ŠŻÂŽ˙ó Äç ĐzˆPĂŇJ˘Ĺ#˙ŹĚ +LżEc•—9Ž‹,BÖýJ$ˇ/U +\Ρ1Ň=ăőĆÎ˙ó Äć ¸’x{LkmJ4ßý@- Ů­‰H”y4,ʼn†•ťY쩞%)G D"!˙ó"Äć č~šXzĚH(żh­  ul­Ç€™Ä \E™ oŠľ†U ˝;gs€ÜˆÇjt;(˙ó Äć Ř~”x{HĹ)˝°ČŮ, ~XgŒ’&ľ“¤˛1… ąőŚ€DĘ˙ó Äĺř’x{LSPÍ°/ă˜ŰXý] <°BÚĂŽzí†jŠ¨ČŻĚwbĂ˙ó Äč +0j€P~( Ő]`đKoÄŞN7ăUS ź * D"Ôć X:XW˙ó"Äć +n€PĆ(O˘#L‚€=°ÔŁŽ„Ôä X§‰Ú\“:ރnŒ)|Ľ7^ ´i˙ó Äĺ š‘{ĆLk΍î@ h0m€śą&* Ň×xYĄa•H°Ćtœă’¨Ë‰˙ó Äç + nˆxĂŢ(.ËŞ m-™Ű`5Š¨3o wąÓľ€1Ŕ¨*•§"lű˨¨ň˙ó Äĺ¨~”x{HP+˝•€Í˙WŚš…D]ʒŸ,?5‰žśBň˛jóD˙ó"Äé +XšŒxzPL{ý=)-˙…ź[Eš$ľź‚ʍ)Ó +¨Â0ž‘pťÜ׹—˙ó Äç Ж€PĂL™čJ@­śŔťJœœăÁ.˘0ž#=ƒ2ižĐĺč„LvP˝cŽ0˙ó Äć ¨zŒx{ HjM›ţ €´#żCŐ ä˜n"2é%WŠaŕ§nCăIŚ§j1ÜÎ˙ó Äć @nŒx{Ň(‚ď*dŚ0 +ł˜şľS6/vč{¨ËeW=@1WtΔăg Ępˇ˙ó"Äč +Hn„x~ (üg3~€ˇ5Zç˜`Έű§8Ń[üčV +ŢPÝ,Š˙ó Äć €Ž”xzDLέ#@/żűBóGˆ /ëÂfӎ7#+ŸÍ˙ ôc(Ţdӈ1%˙ó Äç Z”x{Ň$ńfŚęŔó¤™y%ŇöČR7 źŠrÖH^^ˇMţ‰BƒxÓ/Ď@˙ó Äç ŕ^Œx{Ř(J˙Ԁ>üý€ĹoC“#㲪÷’•Ę+&żx¨ÔçP‚Ң;˙ó"Äć + š‘¸{ĘLۙ|ܖúŔ+EVbK]ëhđ’vť-–1§ ›R;çě(×ʝ´žHŹ°˙ó Äĺ šŒPĂĐLłţ€hSˆŇE`–“ ÄŢŽ ]ŸŰׄ}4Qľu߈˙ó Äç –•¸ĂÄLÎ҇}Հí~ŕ–™ráămăŮË8ŒÄV9˙päaŃÜÄ=–˙ó Äç +˛”xĂÄLtҧ¤mFU yH PKŔ4YFBŞ„ž‰V6Ÿ×(J Ëëyx˙ó"Äĺř’”PĂÄN5zö} O˙›8–v‹|JüÄ‰ŻÄŚaŇ+Ll˙ó Äé +–™{ÄLÝG]Šü +Î32!CL˝'aôđ;™=JV8fDúăÍR€]Ę0ÇŘÔ˙ó Äĺ ~xĂĚHĄjBÔ~üFŇŔÖ L-Rhšš ?˜?aÎýGćÎZQ˙ó Äç +˛Œx{ĘLí@hRˇŠ +_–śŹ˘tˆF†4€O 'YeŘ,Ľü:VŕAi˙ó"Äĺ 0’XĂĘLq&÷ÝÖO˙ĺ<Őí„Ŕ/o‚ŚH–Ů&ýđƒq§÷ˆœXŤĄÉ˙ó Äč +˛Œx{ÄL¨S]z€ 3JIŽ“[‘w)vœÂ$Ř3r€tëH‚˙ó Äć €^œxN (U`Ȁťđ.ăť’¨j:äÄęŔçŹŘj§Z2É1…ëţŻđ˙ó Äç + ž€PĆLażĹuć4TźăÉĚ%ł(ZsX7Âš&ËF#üvF×mŹ"<żˆ˙ó"Ä娒˜PzÄNů_vnž<Ÿq(S@ˤEcP}c^~–mőˆMáaŤřƒ˝˙ó Äę +x~ˆxĂÖHz‹zú€ € xń +‹ľ~YáHxmO;xZ[ŘmÎ×]v?ć˙ó Äç +š”x{ÄLţ‚ž[¨  †2™n8<ƒ|SŒ?áEÉ-‘ľ•äFüŤTŹ˙ó Äĺ蒙¸{ĆLüżă˙0€€ť:˝XM^öäřŃ)(ir }ŽţD PrˇŃÉč˙ó"Äč +ˆÖ¸ÂÄp0UřśI€]ŔW¤Ţwňm†TKË.A2Çŕn=śă 1TĘâť˙ó Äĺ ’ĂĘLkőp€/ü J§Џށ, +Ą +Ŕi‘Á$?˜Oiőq4% â1˙ó Äç ĐśŒXĆ +L(v ü L‘jC¤°Ź›xT!AÉĎIŸfđJŢhłş˙ó Äć °śˆ8ÓĘL˘lm+pÂÄ$ŃľžC…y3KOw`‡ +qÖąb +đ6ţě< ˙ó"Äć ŕֈ8ĂÄqË?Đď =˙1ObçˆđW€×°rŻ$VŰˆş\J +oʃýŕU ť˙ó Äć Ȳ™¸zĘL=őRWNۢl"-‘@Ł 'ŘƒPĆ*ćűbt!q@lkřá˙ó ÄĺಜxÂÄMĹů‹wuMř8\Ąaś3‚čx°÷Łt¨k|—Łęáˆ{Ÿz˙ó Äč +–™zJLš€wü cŤ ĺŮj¸˝čL˝íÍA_H6e@U ˙ó"Äćđ˛”PzÄN9÷˛ÍÚ@-˙j'ř€}VJ¤ŚűvW "ýĽáŤ +ŠĎU@ýAô˙ó Äę +pzŒxĂŇH}ú¨ŔĆÜ­ &ĺ`ލź2ˇđÚڏMސ[Éč ° O˙ó Äć ؎˜x{ÄLęʘĆSX E1ĎPAA~ݏâ?Z_]ąTO0 €Ÿ–śőő0G˙ó"Äĺ XrĂŇHŸÝë×čŕnž6L|Kń‡IňTŽD:°•š’oŢ™<šYÍŽ˙ó Äç +~„PĂŇH=Gž €°đ˛ˆiA塄HĺpPŤŽ…Ŕ)™Ó{Őx“A×MÚ[˙ó ÄĺČv”XzJH?ëw €;`;>FI‚@!ŸB7˧Ġ‰PŔ{ţƒZĹ*_9X]Ľ˙ó Äč ĐΐXzÄqn +^űˆh+śŐpż‚ˇÍPbĐa8ťHĽ›uŠ‚‚ěľj%âě˙ó"Äç +(˘xÎLë7)z4€ ,ÄŇ*™Â4z‹ 挦ŰXŔw?ě„Q‘Ä”őĺŞ˙ó Äć €vˆ8{ŇHoő€ü>í UHżƒEUĄß6đ˜€ć…şŢWaąĘ*œÚüŸ”0iŇ˙ó Äç ¸zˆXĂŘH¨Ńé€@RÉi@q ;O9q Xœ°ŤăCĘŇÂS=3§)Ś×ß^Ž˙ó Äç °žˆ8ĂÎL[˙Ut€0ĆŘžÂ8!ăôë^‚ĄˆKŻÚÁ{Ę!M/ç”˙ó"Äç +@nŒŘ{Ř(Zwř°Ŕ’€2ŠÚcň‡ýŔޝ°2 ľ[/UxˆĺŘĄě`w;I˙ó Äć ˆś”x{ +L÷_X€ ۸äŽU4á3ŕ×× Š5Đ7GĘ]Ô.=.PßÖSg˙ó Äć žŒđ{N˙ľŁ@€“5 +¸ŹÂ4@R%Ăř8áž¨ńůó!AŽQĚpţn˙ó Äč ŔžŒĂÎN¨FŁÝXĐŔ 5†¨y"”ą˜ž”MA%ŤQ`-ě ö˛˙ó"Äč +Hž„¸ĂŇL?ˇő€, +QäŤâ+T”VŚ‚ć/4m–a7ƒÚ@bˆ˝AŒ§–˙ó Äć (˘Œ{ÖN?=Ö°â1řŐw]˙sNs\Ľ/NšVEpK™‰ŐIZ߄˙ó Äč +(ś‘¸ĂÎLuÖúŔ€żüÔÚÉ8YˆA=ZU(~€şŚ3ůšÁ8ÇŐˇÁ†˙ó Äć ˘”řĂLÖˇň?Ö € cq'Ś˘ˇ­}%ŔuŽľĐÄHšě\îˆI—˙ó"Äć + ž•¸{Lä+;Š +ŀ€9I˜fĐĄšmś‡˜\[ôŸH&4üű}AAi<ě[H˙ó ÄĺČv™¸ĂHŽŔ}ÉLŞTúŹłX•źśšöą Ž¤;Ć!3)--çĽrÉ}‘3…˙ó Äč ř~„PĂÎHÄMą@ +˙ŕ|Ý,Hű:"Řn€ƒÜƒť jčż  Mu0ÝüF{¸˙ó Äç Đ~xĂĆH#ł˝ @@ ădśv•[W}šSmrRËŕĽécš}ĆdAP˙ó"Äć ¸˛™{LM˙ô0žTđ.Ń֍ÄŮsĚN’‰¸…ń*ƒ™X՝Ů$”†AB‹?n-˙ó Äç +ž€8ÎLžťş€Ŕ +ŠcČo‘t> ŔNŠcĆÚ}ąHąűŕ(;ŕwoW˘Ž˙ó Äĺ(jŒđf*ę"8€””ŐXEćo8L¨Ä×0‰Ł–Ćk|&X˙)đEźĽYßKó˙ó Äë +˜zˆXĂÎHůěLţ° +Ű˝wJϋnaö#Ď—)źâd–"ÜÔ˛ú?­˛'˙ó"Äç +(žXĂÄL +‹˛łţ ŘŠ¨ÖÚ((Ĺ1-÷s*¨3”ü°{$% ˜ŐçÍä´Ž˙ó Äć °˘€PĆL ź +vřҲ7Pć6ÂŃÝ3pš e‡ŃTVź#ЙÁ˘ňÔ&÷·˙ó Äć Pž€ËĘLŻ°ýJó@Ě .㔑”ঠ˜ObĽô>✪ëđX*o +„]âW‰˙ó Äç p˛„P{ĘM*ţ° 3•Mj^ÖĄáĺđ$Î5"ź— +ĺˆ[´ş[˝Śţ]˙ó"Äč + ’ŒřËĚL˛v%¤ W~ocibľĄ€>†lŻî¸ˆž)˜DG*ˇ_{kf˛ú˙ó Äç + z„PËŘHDŢĹlĐ  WţzţžXĐĄŽ˛b˙…da°ŽÔvQŢ=%°Ű7˙ó Äĺ`~„ ĆJő€ ť`™ęŤÓ_ŕÔ8TŐ„L˜ËŇÁ= üăł)źě2jL˙ó Äę +ŘzxPÖH…ŤhzŽr €m +ů +Ÿ¤’áa>‘Ń + +ŻŇ.âŸŃ]Ł˙ó"Äĺ ~ˆPËŇHĺOvň@Ě &: Ň! Rái/˒5?Ž’0#učC„T˙ó Äč đŠ|(ĂŢNoő#r€ +ĺ•ÍPÁÖŻłů!Ÿ•šć‚î’˙ó"Äé +ŕz¸{ĐHM?n€đ-C˜ŽBŔuĄ +Âr6F"Œá˘¨çĺb;-űI(Ԋ9˙ó Äć ˜ś•¸zDLW˛ž°óe]̤A˛EU\Śđk:Ž3ťQýäďx`ľő9PŹ˙ó"Äć +–Œx{ÎL}䍿ô+niůĄMŚŽó +ë oŠt#¸V?Ę š +eîˆY˙ó Äć ŘҐxĂÄpYťH€Ř;ČJťœ˘Bœb`ˇX(L˅•š›čŁně卖ż˙ó ÄĺЖˆPĂĘLq3h€­´ +V‹dɢbşŻCişˇ #äjŸXÜ ‚ńżŤGŔ•C˙ó Äč +Xv|PÎHűz1thŔś€5^mԘeŞ8[ Iˆnž†Ž)ć—[đx1:ĹĎ˙ó"Äĺ ˘•ÂÄLguI6"< Š &k‚y¤yÚ2ć–BᵙA#ń)6Ćl㇟w˙ó Äč +Hś€PĂĘLç}míT{ď)cYă™)š“Đ+˘Ź˝7`ŒĚą?IKŸ°_‘˙ó Äĺ蚀(ÎLë6€ śĐ73 ŔןrP“ .Áv Ďńîj˙ó"Äč +v…¸ĂĐHߘůîD€ĐŐą!‘@°J´žź)#InľUgSEÂá@x,‘;ő˙ó Äĺ袄PËĘLÇŢw‰€€.­Ü‹ÄäsPáŤ1˜Ä9Ęę7œ6óÔѕ +ÁĆŒ˙ó Äč +8˘•¸zÄLř÷ßŃý`‚Đt2¸ľŮ ­k•3h3ľäÂaŤxĺŞ ž˙ň]˙ó Äć ˜–•¸{ĚLBGérŔ;W‘8•î™EC÷•2âçó#ZšŹ|ƒ'ůżäž˙ó"Äć 8–ŒĂÖLčy]gEŔ€ÚýŔQZK#)4ŰĺN^€ ő˘—•™˙Íţ+ő˙ó Äé +0˛Œ8ÓÚL(ż""€Ą•@ňŚŢHâJBËYق EĹś&'1‚~ŠU?–˙ó Äç вŒ¸ĂÔLóܙ}@Ě{ó.őg":ô¤˜+î‘FĄ185‰#2ŽkŹ^Kćgý˙ó Äć زŒ¸ÎLaş˙҃đŠrvÔŻkĐ҆ Řk˛ƒ¤Ř%]9’)Ö6!řWű•˙ó"Äĺ Pś¸ËÎLQŐz€ ~ƒ$9Ć+;㏔J_:yb€Ź×œHő'ç˙ýţô˙ó Äç +ś„ĂÔLŤĐ‡Ô‚@É\‘űƒ^şŹbŔÁnQ{–X;5űhœ<Ďă§˙˙ó ÄĺřڕxÂÄp-Ô$ę} Ť3n´EҕąĂ˜ą˜Łř2 G:~{řhá\40ßAƒ˙ó Äč +˛„8ËÔLŞ|żš@;(ŽŢIŒ0' Ü#p7g)…wM ůdGŠĆh<ź˙ó"Äć řֈ¸ĂDpy%?žô€€>›qć›HΞľk2xá( +ŰŘť7¸)/Ż –U|I˙ó Äć `ţŒ°ĂJr˙/âną Ŕ Ř,˝čî‰Â`2y?Îö Ď};°QĄSžlÚ3˙ó Äç řú•˜Â„půĄŔßđ7@ 4Ś +DŃő]ŤŽ Şƒóš0ÁÖ¤ÉďŮ:˙ó Äć Řś„¸ĂÎLŽW÷×ĐMó˝Ui€ ŽŘđ$œ#É\  +´Ö‹Äí™JÂĚ(˙ó"Äĺ @ś„0ĂÔLҗIXiř2€ š§ů~0ąݗr;J•ť0dÄT„Ú5ęŐű/˙ó Äč +ś|ËÚLýP9°ŽzŁF6öâňúJ¨2š&j Ă\…s +~ƒ‚˙ó Äć Řś€ĐĂÔLźćäŘ  âŰ~UŔ#B†ĺČŔ•°Š–' >>‰gpűľ3|ČŁ÷ł˙ó ÄĺؖĄ¸bFM>[@­~’ž~A2%Ââ’éŔZąi$Ź9ě(bŞË_+ÓoŢŮ˙ó"Äč śŠ¸ÂRLLnZu ÷oŹö}Ą…ĆŸ4‘Fâ +cÎȍZŒôď-ô—“˙ó Äส¨PzFMf|ˇŐ@śo˛Vžî˛ÓŕęĎ˙ó Äć ¨†¨XĂHÂB÷ŚÄ€91]řY€Ĺ\*Ą+04ä¤=L4ĽĄ˛¤ RîQň˙ó Äć Č~¤XÂPHߊvŽŕe‹ĆţŠĐŽ ˇ)#ą¤ů }NfV:*köxŻ–ź˙ó Äĺ ś­{ +L=ş2  š +|"‚|‡™yNŽ€–ŹGđ#]Ňߊ@›­j?]^ë0ľŽ­Z–=Ďü9‹,éa”˙ó Äĺ ś¨xcÄL%ëă@11ˇt2˜˘­2PÝQ€TŽIŮ.wpËŐ, @´p?cĹ˙ó Äé ŕz x{ĘHýÔŻŹËłŠšŻ’ăŞČ +ë´Č„YŽ=5dt ™4ˆd˜Œ˙ó Äč +PvœX{ŇHţRej@ˇŕž’(](ŕR¨ úešqŽm †‚d 9ř>çń+Š˙ó"Äĺ XŽĽ¸{ÄLTáÚm2 +Ř˜cş‘ül4_cN4r҉p€ĆEŘC3ŢD§,ő˙ó Äç đś˜xcĆLX­Šőí}ĆěîC2Ů{ôEbm#N¤#XŠÔŐ✘řʌtj}Ç˙ó Äć PިxcĆLCü7%^° 7}šľ>,” Kœńß"„ + A˙U ’ +u˙ó Äç đśŒ(ĂÎLgôƢÍjŽÉs(W L@I5šŞLŮsŁśĽŇ¨šŮš¸%[í˙ó"Äć ¨’„ÎLާéęmęâ6Ź:W,F8AˈŢ¨[ÜқË4¨Ĺ3/ôU˙ó Äç ¸ŽœXĂÄLÚPçe} ¤cĆë'sŚiÚ¸€¨ †ÄntĂÝEFMĄËS%Ď +é+ě˙ó Äç Čz”8ĂĆHĺ@ +ZÔĽž’ˆŠÔ“}C<„Š“łĆpë€ÂXę(ÚüĐ]%˙ó Äć ஔXĂÄLgĘ܀ˇŕb‡˘É^˜­ +Xz/…,¸1Ül!j3+Ÿ™˝ZÁŞB˙ó"Äĺ ~Œ(ËĚJ¤čF…€˙>š_°7‹hś†öCŽWyÖząšN˝Ë +ŇŒ;˙ó Äč +–„ÎLJŮéîŕgŚ}:ţAš=ĹSŞ-ÂZĘjHää5ăYQžŃCŰĘ`¤˙ó Äç +˛”x{ÄLň­§(€ ŰđtČ°zą÷€ý ł™Z +Ę8úľ%čţ&-OÓ>”˙ó Äĺr”XĂĚH5¤šŤś¨ +” +|ş€'xşl)fţĽ2ů֟ uΏ°fűCv˙ó"Äé + śŒ0ĂÄNxŐXŽ€ €ŕU*ЗŒň´v3ž­)Dźqś×$Őâ¸Ă'ŕî˙ó Äč +ś”XzÄLA{4ˇž°°Řőî"`% 9|)ė×͢ ­¸ß˘ §b˙ó Äć @śœxzDL#Q• +î},3? +`n>(éřsëŽŕŮí#áy†ábšcm˙ý}˙ó Äč řŽXĂ +L,VűiqęŔžŕ{źŽŔ+AŞ+\ˇ(”ž|9śnąÂa—‚;Š‚˙ó"Äç +0˛¸bJLš +ŹAżŕ~éC˛K°INÜőź˙źnp•2\bl˛B™[˙ó Äć  ś8cÄLzěž4ˇcIŠ`‡°Ýyäěl0ďĹjÂAů}úś—n?œ]Ś˙ó Äć ŕz8{ŇHŽ“Y đ ŠŁĐ*ňL +íý1„S˝xŠ&áoMžÁ˛^ľ[äK˙ó Äĺ ś x{ +L˙ąös¨Ý ˛ţŽ>˘bAERI$ŚŽĎíń¸ńśr›Ž)¤uô”Ň˙ó"Äč +˛˜XËĚLŽxˇƒÉ)˙ŢXĐŔŢ^Vc¤Ŕ–Bab‰9ć ÉWTuŕżh>lŁ”§°˙ó ÄĺđŽœX{ÄLş6>ŐŔłť°đÂ\ř[GGgt˙qç^ÔĄDÁŽDrs7ŁAs˙ó Äč +0’˜XËÄLňďZęöŔn˛9ŹPl\]đ07Çl<\ΘwOe Ë<z™˙ó Äć `~˜X{ĚHăě-ő€önbjE|uLAF(`J}f˘ĘOĘĆ ľuFŚ_¨¤w˙ó Äç đŽŒPÎLLšńpöŕ|/nÄ,^őLĹ"čžÂę#žK?7:=ţ‘ţLm4Ó˙ó"Äć Ŕ’€ÎLŰPśo(3éŢąĺ4ŞüIŞŃŒ:"¨‚OéżiÓý +̑Ą~\˙ó Äç Čś x{DLÍëťCҀr€3vHeÄXÉ!ÄÁ[iUţŠ•č‹(ő2oVďT˙ó Äć Ŕś”8ĆLčşúG°Ú]*ƒáĚb¨ŔvbŚ‹n!ƒ0ŽŤ¸$óů˙ó Äć Č֔XzDpPľéËVI¸ŐŻ*ÁĚh ˘ŒŽ*RŃâjŻ=íŞů˙G.Ž–ô˙ó"Äĺ Ž”XĂÄL"ŒÔ\ˆ°< /Édr’óŤƒhÖ\ŁB˘ŚşŔs˛Ú§3p˝XĽ9 é ˙ó Äč i˜Xzp=Ǹ[ęŔşp&pĚ]MđŐ("ĚF~ŠíFlă´ž +8žA˙ó Äé +yXÂDp}M۟ öwtę82„>Ѩ;=Müĺđ˘5ęý핈´7ŹS­Š˙ó Äć ś™¸{ÄL8Ĺ%Ó đ7R ˝8UBnY‚n,âIź†ÉBQiž\ÝŚ}JŸ[˙ó"Äć ¸~œx{HĎń[Fĺ€üv‚rŰlîI€2Ź-PÉŐÇŕznIţtý ëK:T˙ó Äç čҜxzDpQÝXťRůËRŠJˇ+ĺUEŽ-ĹĆÔfĺ[–Ú´ÚM‘}‹ýť˙ó Äć Ŕ~ŒPĆHî=ÍűÔ÷ŕ}6ę)şŸ$…N1ŕ,˛¤Pƒ‹W9eźŻ(ČšĹM˙ó Äć °z˜{ŇH>űրŰŒ1ťKD8˙×Ä*™#xlcInFĽjş„הzűĆu˙ó"Äć ˜z˜XĂŇH?/Ö°€żŔ׀tœ?Ë.đq¤˜t™ŞđCÝ ˛÷ç|ƒ˙ó Äç Đ~ˆĂŇHî-XŔŸđ4ţÝ3" %։•*…׸7ę<ŹŐtői›Ő˘_BĎ˙ó Äć h~PĂHgöëü3U#’ź5؉Ř8ŻĄ9YŕËΈ¤°NEGžQ_ÁˇŚoĐĹo˙ó Äç ř–ˆ0ĂŇLjŤÂyäÖ÷yC\Zn€ +Šƒ—°„śčč˝FĐG +ń§ő˙rŻpdň2nŠ`ăƒ4eœD_ŻěöővŸ“w˙ó Äč +PśŒ8ËĘL,ŤRŔŕdźŻ”ŠÁĽ•Q0Ÿ,ś„KWQćRMÁ”/=ăšĆ˙ó Äĺ ˛‘ËÄM7hš ŢU`€ +Đ!E°¨ĂęXŤń:ÔůŘó ƒdŽ,“?#0ťK:ˇ˙ó"Äç +z…PËŇH܊ş€ŔŸđ(ÉćY`T`gôż—<˝#Cn F"´oŃătOĘ˙ó Äç +–„0ÎLĚ_-˛€.l§]ď+–3Č Š0 +2TLŤ]\´ż #–ńŇ}/˙ó Äĺř˛ĂĘLôëˇý ˆŻřfTâ“+čPbŔ*H#Ǘ™”ŒŘe™HÓ ëŸ¨˙ó Äč řţ™˜zÄpťÝŰe@€—š†sýNŘĽ™řuąÄ¸˛ŸŹ+­‹Â1:¨M˙ó"Äç +XŽ˜ĂÎL$#؆î) @€Ľ@”$œ„ÚźóZŁ‹Ľá%ˆCć”0˙ó Äĺ n•˜(äg“Ľ@ŻŕcqLădQHtnQU ¨-­?ăBŽ ŁŁuhĹ˙ó Äç ಙ¸{ +LWě!Ťý`đb‚:Pp<†KŹW7ëśőviŹ ,BŠ>ŕ˙ó Äć ˜ś•˜{L ¨÷ ô\؂U…•E…Ţ l%•ĘĚż@ăćč‡ ÄU;ótž˙ó"Äć Ж˘8zJLŸyý^Ž 1¸š˘”T€mNŤ=B# ť2š@ŰÜ}Ę$•>\˙ó Äć +0’Ľ{ĚLžyżHđě‰Eä ;ěÔŠ•Pi0ăB\ĺSAyşŔ‚hŔ\E_Ü˙ó Ää ’ązDLčýÝYP€ +󨂈ŕŠeƒsĐLÔŕ…eƒ çuFrCĽ˙ó Äć ؎ŹXĂĘL +uMä@˙Ę!‹IřÔ~€'Žcň<=[w>rd%WAőĚqź˙ó"Äĺ @–ŹX{ĆLöyŤý`ďkB.n¨ą\]ˆ ŕ$ +űž”ď´žÚĹ%ţ˙ó Äč + Ž¨xĂĚL_)đśŔY´?œŃŕüt•”żE$ŽÜYł˘™ÇGGŚ'ه˙ó Äć h–°xĂĆLôŤGÔ=€:S ďaI2Fę8°ŤDítŻÎŤA¸yUW{´™˙ó Äç + Ž¤xĂĚL*őů›č+°ÁŽ +12‘ +ÂúÎ7\XLYUyÜ +Îë˙ó"Äĺ PŽŹx{Lţđ’Ó„őv = Ĺ:„/ÍW‰cGcEŚb!­€…Œ%Ȇ0ć ˙ó Äç ؎Źx{ĆL-Ą ¸śú NŽľ2ąDĐPĹQ4gÝô + ç•ĘÄ%‰Eg˙ó Äć ¨Î¨Xy„pŇŁú@ @ł%Ŕ‡‹!6^H|ŔćtaÍVŐ­ô+Q[rł‚˙ó Äć xŽ¨X{ÄL7Öö.áPčV@×H“ŕ\Gy8hU5R}^żé$âú@‚@!Ÿ˙ó Äç 誨x{ÎMœŠ ü,Âr ‹)71F6Ří<œ%ČľźëPŠ€ľń”ĺúCé˙ó"Äć +ś¨x{ÄLÉ•Ő§  +TLœ”#‰DľęţjŁ•x¨_’ňű‡…:ËŤO˙ó ÄĺŘj­{(—wŁ*żŕxŕęD”+°ôr7‘” j’Öëë˜s›žˇV&ň˙ó Äč Đz¤x{ĚHós˙ Ŕ5tHcpP'YcľƒĐTb`¸2ˇçD0iřęc€.ť˙ó Äç + † xĂĚHRđ>°m|pIŽż`%ŚM˘›\•e]эš1řîę"‘˙zU˙ó"ÄĺŘj¤X{Ň(Ťv ŔĐ Ä +ŞÂ'ľe¨Ü {( ÔFáÍ9wÁj¨‹[áFé’>‰˙ó Äé +pŽœ{ĚNÂ7 \ + +@”öO€: űÁ?Á’Ě”s&˛0ĂŘɃÎS510l˙ó Äć ¸†œ8ĂŇH>wNiŤřpÄÉvUšsŔ‘ňâ´¤lŠg!'ÔÁúd!Ďĺ˙ó Äć ¸Ž¤X{ĚLoju€)@ě6(˘k¸  +ŹO“Łí $p62çöž‘-Ľí™”˙ó"Äćř‚ Ă Jk#›gă@řŘVŤš˛ąęčGD0XŐ2zűćŔesƒu}ÝžˆĄ˙ó Äę ŕz˜ĂĚJ n)Xđa´ †hЂ}$šü§UŁ˘Š(Í°W +S‡qp8eŢ˙ó Äé +p†˜8ĂĚHy*ƒE\ž0ˆlÇ@Ȑ†‘hĆ"űŹ˙şĐňĹ“¸ď/˙ó Äć Řv˜8{ŇH÷úŔ?řÜw+ś™9Uĺ¤BĺÉá>ĂýůnjhJ{fę§é˙’˙ó"Äĺ Ž¨x{LSśZ0˙}”‰R”öIčŇĺĘHy Ÿh(lľc[ľ–źë˙ó Äč +šœxĂĚLQĘj9pÜu. 5´}ÔŁ +fĎTš[n{ž˜ţÓۂ‰ ‡˙ó Äć hÂŹxzÄL˝ţîX!řđVŠ:'鍽9ˇž–MĂoĐkęUą§Ę= zżú˙ó Äç ˜z X{ÄH˙Ł[đL/pČ,meAĚZŤâ3Fá/¤Ő|r‘VŚÄRšĚ옚˙ó"Äç Ž˜x{ĚL´őżŤÔŞđäI+&ąźTZ¸\š>7kWłŠ4ŚÓ-Ňdٔ;˙ó Äč °Â¤x{L(ˇő;¨˝°}n˛ř“Y“Á1Ń[÷‘rsMiň)R›ĺíľ*źŁM˙ó Äč +Ś¨X{ LůŞ?ÝÔŠ89'G)F7UĘ\ÉŸű +QďXcJp’Ż”“Ł˙ó Äç °~¨x~Hœ'âžDŢŔzŕA͘„‰ŒEiZ¨Wĺ’GÝE~zô{[@Q˙ó"Äç +9¨xÂDq';Éű6Ř :řěŽWŸ§RuŔŘN¨˛bţý;ŹPŕŮ´LÎ˙ó Äć x~¨x~H§Ńä@Đ zƒń ƒŹTŽS´Ąv8\Ô1›ł‰ëäů8Š• i0˙ó Äç đ~¤x~HäóÉ˙úŔ8๢…1ľĚˆ\$5…#žÉ`Ů_ŕ=pH02Úy˙ó Äć ¸Î¨xÂÄpŇ~HĚvń 7ÁD)4W‘!!JĚp09Ň6ÜŰGP‹Ň˜Ŕ˙ó"Äć °z¤x~Hžy>HđńVŘ9ËZ°˝EUŐnF ĚĹŐœż1Mž:ďű“˙˙ó Äç + ‚ X{ŘH–Łúܕš0ŕš>'ăCCZˆĽsŽ žPĄ#Uę؅mż5˙ó Äĺ ˘¨x{ĆLGň^Îz $(l>$EŃ9Všœ˙şźÝ2Tk2QélŰ2˙ó Äç +‚˜X{ÖH§eoër5Óŕ~^×KŠ+éRˆ°Ę-ĹŽ äś"LĂ7CÓ˙ó"Äĺ (j¤x~(›íý@ŠŻöŽĺ!(đű*6mźŹ-îĚż"`˜źżžs˜ég˙ó Äč +(z”X{ÖHJ­ýŘ ˝oő:ůTd~)Q‰ăđXEĹ$ƒpĆ+œć8šŁ˙ó Äć ČΜxÂDpú˙Ô +öžŇĄ†D)#tĺtw-^DŚUťĺ +bô­˙šn”˙ó Äĺ ʤxzpĺ­üżúŔÜxĹŮFKˆƒţRVČ3$.VˇŔŹ0Ź˘ˇ˙F˙ó"Äç +HŽ˜X~Lś[­ý`<˙ôóDRœ‡ą6é*3gΙ÷ł7ŕ‡s•78Gđx˙ó Äĺ rœX{Hoë|Iő+hýěˆ0‘è]čtäsśyŕ*2ďôqĄ6Œó ŃĎ˙ó Äç + ŽœxĂĚLň`+xűżî f—*gהŠäž$Až{ţmcť2ŸţS7ţZŸ˙ó ÄĺřΨxyDpO‡{í°¨čXd:H@ +ČŃŁŽ›Yyłˇ]ŻXżţ\ŃrÍh˙ó"Äč +ŽœxËĚL‹~oOűúŔŕ×)‚¨âĽ8ÜzżŢ&ąŚ‹cX@(Œ1•;ř™nÉ ˙ó Äĺ ŽœX{ĚLhy5ç,fź…'Ü!Ëžr'•[Œż9ďÚĄąS XÇܤˇń˙ó Äç +Ś xĂÄLqçÝH¸üqYŞG˜•#~›ć).cÔö§IŽ(ŽżŁ+î-$‹ë˘‚˙ó Äĺؒ xĂĘLŚ˙ęuţŁ€ŃřáTÜTŘŹ;MÉPłYŤ2#Ľů¸VSöaW‹˙ó"Äč + îœxÂDq +<ä /řá(“'ĄčD +Öă6R. +Ąđ_-”= 9Tˇ v˙ĎQ +˙ó Äç +Ž”xĆLD­oV  hőZŹşTĄę”đ?ŁŤ&ŒęŹ˙”Đ(ěŁU˙˙ó Äĺ0z¤8{ĘHńÇ&éwŕ—ˆ˘É8qC¸ô` ŮTŠ ]}E'4GČ˙đb˙ó Äë +¨’xĆL™whŒ˜€ԕéYCbË-ˆŁËŕ0œXpŃ_ýňH,JäÓ§o˙ó"Äç +HňĂÄq9Βô€=ŕ~ĚŔĚ$ IF#ւ;b╠+Š1ź +=çă`ÁÓÜń"Ź˙ó Äĺ ’¤x{FLM?Ž°đ?ߗyPî§ÉžćŔŽUX;ý"í…Îýß9kaťĚďöš˙ó Äç ŕΜxyÄp*ş{ęwŕ|9˘FˆR2áD”AMťfMš_­[˛Ř yz^ů4[Ë˙ó Äć Ŕ˜x{ÎL,ăżúŔś|˛‹ęńD_P–÷˘¸äˁlŞ‹ď_× ^Âó˛íÜ˙ó Äć ¨âĽzpŞÜĹc€á-ž~ ¨ÇkxߣL…\É­ýřňˆé‚~#žx’\˙ó"Äć ˆ’˜8ĂĐLbGý@&Ŕ~Ŕ~‰ŃBAtĘvC FQš én;­ŔďKXƒŔÓ˝ u˙ó Äç  z X{ĚH5?ÓXŔ>ĽĆŔ܉ć°ÇsŃE>…ŚˆvfĎQŽĽ0&ĘŘ˙ó Äç +ŽŒĆL~YcZR €˘űa§P'NŠU›č‘{Ř°űCťŘ1Ÿ +¸¸Ż*˙ó Äć ЎœX{ĚLˇ5?Ѐ +߁ŕ2˛§ĐÄ 3•Ią2™ÇF&ôŕ+CC˘D&ÎxHŠ˙ó"Äĺ P–œX{ĚLë7íZ€÷%KI„ŚP˛\ĽşL#;”ż řéď>đËš0U>Ě0/˙ó Äç X’œ0cĆNĘÝ@oŕ~‹V …@ŠdTÁUՐMŠşq™0 Ů‘Áă +Ë-ÔË˙ó Äč č~”X{ĚHŽEú Ösž Čbé\Č⤼ˇ+¸ ź“őŢ 8Őđ--5Ÿ4˙ó Äç + ž™¸ĂÄLÎt”“1˝@€z€Ę1DŘ`Cި_k>”ʇŤËŒ8 qśŠyúĐ˙ó"Äĺ 8~”ř{ĘHä2Ó4y ŚR„~**uœŚ(źÔlQ{8łá6A” +zK˙ó Äč Đzœř{ĆHíoœňŔ@)đH@Q`y"<3üîaoPţWő3‡,s4Iüv˙ó Äç hŽŒ0ĂĚL7Čů€@܉żQú߲ˆÍˆ‰ÄŻĽŽbÜ5T›,{ +ےˆt¸˙ó Äč Đ~˜X{ÄHr +öˇČů€ đ>K–śRnľňĹ\ÎŇę#Ť>×хŤćFzˇ˙ó"Äç +X‚Œ8~ HŠnę€ ´•Š(‡ŔKů cć(˘ŰőnçT'-ď˜U‹L|#5Mýú˙ó Äĺ ž˜{ĆL—9#âŔ6ŕÝ[ě*ĺâŽ{œžŘŐ/{ŤűA̚ Vü?Rč˙ó Äç +˘˜X~ +L]OęsňŒBX„ŒÖ Ľƒ’…saW'bgŐ¸9r‹hžîrÖíw˙ó Äĺ ˘Š˜NLU(ţ˘Ô€fŕ“Ę VФ +i +3$JŽVŽÚĘD\)˙Őt˙ó"Äç +`‚¸ĆVH­Oę05 psÄŕ +ȄM9P6$ÝuęZşN!FfĺC„Źüü˙ó ÄĺŔŚ đ~L—ň´o‘ŕjävAŘ%äTÖJ’ÁÐÓÓ¨ +Ě´0ôi<çúm˙ó Äé + Ę˜řĂÄpíÁdV-~ŽSQ´°: ńŞv4a! 'ęRlů/™Ţúâ˙ó Äĺ žĽ˜fLrő'Ü]VËČŔDF I[’’xC4Ď=W“bŁ}Ş"s +ö„ä0ł˙ó"Äč + ~~Hň×UWŇş%gM*Ü<Z¨ń’Ĺfbž˘™&ŮÔ’Gßő˙ó ÄĺŔŚĽ˜\DLÄej  B%ĽĆô†Í—Q@–—;QTxW­Dz˛ýW˙ó Äé +€Âˆ~LSR)r€€ ”ړ'­LäPĄBf/ ˜ą›Ö°¨ą{Öˆť‹’ŕĐ˙ó Äć ŕň˜XĂDpá.Ҹaa€ÄŽ IÂĄČ5‰ŕâÔ­°’CŻĂişîsR:Rݧö—˙ó"ÄĺČΘXzÄpmĎ/ʀpÔ#ńČ'Â\’ŇHř‡ľ0žgŰ3Y˜ňUö‰”1˙ó Äé +~ˆĆ^Hg/ˇ¤ł(“02ŽĆuˇâ¤ŚWƒ4¨ĚĆüŻƒ„V•#žÍ˙ó Äĺ ΔX{DpßúŔ¨ a|¨Ă}LAJw|ÎrNtćRž/ăí{ü2ąPŚw˙ó Äč +fŒ8f(őÍľĆ˙}` a29PhńČAŸ´BĂ +˜ÜÜ]šuy1ŔWFŃ•˙ó"Äć + ’ř{ÄLę>Îv€ aű :ŰWIŐŢĆůBDɂe(xqjő}q×ěRăÚ>pŻ#˙ó Äĺ ŽŒ~Lr4z€¨t$ć^Žóą*0°FÁؓqT4|]+É÷*ŕ-…ýM˙ó Äç °j˜Č{Ţ(,v°h)‘ÚłRcšnäƒ/o“zĎŤ…ž˜?‰÷Jĺîó˙ó Äç PŞ°P{NÔV[$?°Ř´2öyŠ8ů1ŢYä´ž“™UrR>ƒ1)n˙ó"Äč +˜â¨X{Äpś¨sŁ=uIVuí€ĚžœŇä6ьŸ čÚ"Źč焣H˙ó Äĺ ž¤X{ +LeŔŽ| ™%!Œç¨éW( 6YŘ$gPCI +xíťëŞŞYô˙ó Äç zœ0ĂŘJî՟ę +żčA`šĚŇ˙˜ł˝žšŹ*co+äďŕôţlzí˙ó Äç  ~¨xzVH•ô€ü4ÜJb‘N ’Gj čŽj^5 ÁŤ(ô.Œ˙ü&'˙&˜˙ó"Äç +`âœxĂÄpůę˙d‚㹲ýmČěHúƒć‡R[?¸ł˙Ěń˙÷ßo˙ó Äĺ –ŹxzFLŐž†Txč=‡ X VĄ eëźÎ—F¸dłˆŁčѲŠ÷ŸJ˙ó Äç ФxĂLtˇ\>AÜďFä(€×H˝rAZŁ6 +E;Čzzíž;üłĎ˙ó Äć ŔjœX{Ţ(”Ó˝ú@ăäD)ŒyJ—˛)K)ô˘čĐ(‚ťďÓçí2EŐ˛˙ó"Äć HΤxzÄpPżPŹ…čŠ; Šjbź(˘<-ęü0á1Yhf’ —ÁÜe‚1z˙ó Äč €âœzÄrgĽ"7~žÖ}š’őJ.’œX^™`šÇ!'ůüţÔÁřuË˙ó Äé +8úœxzÄpz`›óTNŰWš, ܋´]`h˝ĺĺ|­Óz°,ľú^Z˙ó Äç – xc +Lô,żŢł`Ŕƒ í +âđ—Hf’­˜Ůa eHFŰŽ¤|3’łA˙ó"Äé +Т”{ĚLŇł•ężîŇ`Đš 8¤Â\rĂC_ÇUiOśuŮŕô[Ó&\O˝3Š(7˙ó Äĺčâ zDpÄU‘%|Ą|[xĐJƒ”ÎC*cČT^ńS-`† ÍĆŠn×Çâţp˙ó Äč ŚœP{ĆN­íW˘f €?l%ĆĆůBŽJľ6­Ěýëçj‹IF†ĆżiK5ŸUČ˙ó Äč Řâ¤XzDpť茘Á BɌ™ĂAň­N殄ŘG.Nâ(ŤœŽÝ‰A¸Ż”—I˙ó Äç +vœĂH”‘2l˙X€ h–ž$9†"ÝăĚH<,ZFdˇ“°üň~˙ó"Äĺ @– 8{LĺnMďŠ ź<ĽUÉiŒ˛wĚĹlhq×^vŃĄuC?—)Ę{Ͳ˙ó Äč ŔŽ˜{ÄLpăG¤€ hĘÁ• Ý +yT60έg}–§:ŽŐň#yASoF˙ó Äč +`Ž˜8ĂĘL…#BŔü0 +ˆqš#• k¨™6_ééPóQyrväđ”Đ‘E ˙ó Äĺ@^ĽřcŇ(Kޝ €řËň R@Ďi$‡$FőüĂŞ¤=°IîÁtsQ‰k˙ó"Äë (ސ{ĘLЗÔi€lQpaV-Á3ëĚĐ0ví]:¤…ÄšpmÂJĎńd˙ó Äć ˜j™XSŇ(ă +“Đ€Ŕ}˝šSmh2ěÉ{8łŮ^Io[Ŗ˙ó Äć p˘”{ÄN‰Á˝@°NÔ|œE8Ü/hą×#Mťđě?Ę?ƒS’Ą čÎF—˙ó Äç –•X{ÄLą\“ĚÉUłš Súnčú˙ó Äç Řr˘8zLH ůá72Ŕ.îꆣË.a/Ü4K7ł +\‚$w\ß˙+Éú +˙ó"Äć +ޘ~LňĂ˝ú€wäVĆŞ5 +óPžM&fMq䲰͖רó3ůu×ô˙ó Äĺ°z”ĆZJDy;X°Œkk‚Š”×ƒČ¸Žr]eC7Œ†ŹÇ&níšoMš˙ó Äé +Ą˜XÂDq+ßʀ°.|‘FŁk°ŞF1&&PĐoubŸč‰ őucřßÖK˙óç˙ó Ä帪˛XLDLeo żúŔ5bJř5w +Ÿ:Ń$mΤc3d ŕ# +ÔôtŻňěŇţ˙ó"Äé +¸Ę˜xĂJpƒú€€b€ݖƔEť?ëUĎ@°éh9Űb*3ƒ}÷ňkÍŢWý7˙ó Äć PÎĽ˜Âpôä đ +ň‚ëRXŰól…˜QŹ•$ŘÜܨ .´÷Yď˝A=ż˙ó Äç + ʔ{DpAŔŔAŻp)*˝rk!CݜčdKIŔĄ´ŚPç_yۊkËłĄ˙A˙ó Äĺ Ž”X~L˙Üb;šÉ +h!)†ą„#ˆd0,FԋnÜg +\ŚĄ‹›;†ÝGzéť˙ó"Äç +PΌđĂÄpĹ{úF;XŔSŢĆŐčn„G%€ĚÎÒÔGśóß>Š×ďz´ă~˙ó ÄĺÎ”(ËDrƒů'’ĹŔňŞĎQač(ŽB¸G2D*fy?!/Eů@ÁIţ^ćńâH˙ó Äé +ˆĘĂĘp•’ô€1”űxÚ",AQÁĆĄgTÖ¤+Lź@Ľ'ůˆ>ĹM˙ó ÄĺĘ˜PĂDq+§É¤Ŕ†3 ČPd%oÉ›ˇ’k8ë8™Íœ•dF˙ó"Äé +Ŕö™¸zÄpž;ßÖ ŐŔyW”„°Ń%Ľ(jeA–(nMTŒ&ŢżNOĽßN˙ó Äć ¨Ž”xfLČů ÷ŹÜĆűĄ)YăO(ŒMĚťr†‘:÷ƒŠ7ƒŞş +AŠÁD˙ó Äć ĐĘŚXbpvsÝkţ:ńYl…ś…Źˇĺ̓Ą\bpĸeœÍ?žgA? ˙ó Äĺ zŒ~HţżČ¨Éä€"ë ‰K@{7éaîŸYҞVB[ +ICęzœu˙ó"Äç đzP{ŘHo?ßÖ  QŻáşD¸´ ]–Ä=g^ŕßŕ3…žÜú&ş$I˙A˙ó Äç ŕž•¸~L[\ľ}0ŚĘĎRô$ĺJšhž˝˛K,Ś†lŞ‘|]ń%*m=ť˙ó Äć °ŞX{ĆLßĘýhP!^ĺëz 3ŽrŹń=cž ‚'R ßč6ŰĂ⹎Ĺe˙ó Äć €–PĆLŢ#öˇÖü>ß QŚČâ@Iš^"•đMEցÇ˙ţŢ˙oí˙ë{×˙ó"Äç +HΔxĂDpE öŔ~žDÔQz].>Đn<ŕ€ŁRńnockáďŸ?ëSč}\˙ó Äĺ v{ĆH“Ëhĺr“Yt§5 _ +ýBÂ>¨˘(Ňöź>t˝APß˙ó Äç ŘΜx{p¨úT Ŕ€/ +>šá°M؂N-†śT˛Ĺb˝ŹK‘ ě)Ń˙ó Äć ¨ž”x{ÄLŠ‰řŸĹސ€v ˛+CDĆâ …ÍjóőŽůAbűGeswMÚĘ˙ó"Äć ř˘”x{ĘLßÖ°+hŮۉ!Užg¸'ČuáašËĐ`@Ţ?ÎwůZŔ"ɛ˙ó Äć˜Î˜zDrő¸že €E¸Š3ŰłG"R)ͅ.ŕnŚ†0ë[˙˛śŠç|N˙ó Äę +Hö”XzÄp#áś}@€D´ nNI>[…Šý +ůRmQNMtţ¸<ődč@ÂÍG˝Ĺ˙ó Äç řʔxzDpü]TIŁę10yʨ/ČÚ(ţ aş"č™E‰ÓĐé%~˘*űĽ˙ó"Äć + ˘‘¸{ÄLbňd€ö4oxý R‡"¤i+>B{vć“*˙ţ<˛dďľ˙ó Äĺ vĄ{ H<Ë~І7fk<í,€)cĄŒ‘Ÿt< Š*!ArčççT‰D:˙ó Äé +XҔx{Äp˙iP<ÜŒ]čjéD%xTFŁş^y ɍf;?HYĹH ýE=˙ó Äć ˜zĂĐHn}ő ůt;?Ťl +çœ5$¨QE&@`dcn\ÇČÔuq=_˙ó"Äć +˘•ĂÄLtöú@˙őöXˆR$ + ăبZ”ĆD)łŠs–ţ+ü?›ţ˘´˙ó Äć ˘”xĂĘL̋ô0n€€žŕ$¸*Wă¸˜9b }ŹŢMćVśĘš|LHS$ž˙ó Äć ¸r”x{ŇH’`€ś€?çěԍˇ2)äĎՙäÁ2(óƒ-­i'DŢÝźők“˙ó Äć zŒĂŘJƒs.Ž¤đşŮd-ŞÔj.:Łô!ŔčŽAĆŠxŹ|"J)7ĘţAO™˙ó Äč ȞˆPĆ +L„ěMq +Ŕđťľaź˘ÉŠ‚@ăĺ Œ8]ĽŸLa싗ʓ˙ó"Äç ТˆPĂĘLňňZŕfŔ$šăŒNEęšr,j•WŘ4a +…¨şl>#˙ó Äç + ʜxzÄpą•ťĐ€|3 ˛é1: +'ePU4‚€Ó…eqŤpŇ:Q´zćy`ľü˙ó Äĺ˜˘Ą{ÄLqŻ@Fv^%Ž†L%†śşDu`ďě4xÓíÝ\?ĐÖ}Ą˘Jń•t˙ó Äé +pƕ¸ĂDpđcěŻÔ€8Zz—ĺíé(ÁŐpšŠÎ¨Ęˆ R‹XühFçŤ$̛˙ó"Äć ІˆPĂŘHŒCçóúđŕŕo’ŹâĎrw¨Q…ëűn8‘IjĘ˙ó"Äç +`֙¸zÄpűŕÄť} +h5o‚çaě|˜ýĄCŁńÍĐf‚˘N/Ü#ĐŕŤ˙ó Äĺ蒙ĂL+zzŔ 0ÓęźŘ3đʓÔ)‘ił4X$íd°v×/Qx‘‚îaFśĄ˙ó Äč +@Š¸{ +LŻrîm`żއżCůş*€¸]‚ţSO ´;˙ó ÄĺŘV¤x[Ě$ЧŁoր  0Ż +#íJJAŠ80FéƒV´P P¤ľ!ěƒÇ *˙˙ó Äč +(’ŒPĂĚL1c”ę@ l‚32Čř+Ť?+ĹÔ.˝”—úî}d(ISž‚˙ó Äć ŕ’œx{ÄLÝw*°€đ1UřC]RameňĎاš†2uÁĹ7ŞJ˙ó"Äĺ¸Zœx{Ň$ńQˆRQĚ×i21äodCÄôŰŮúpĎăäů84L\ćb×JB ˙ó Äę + †ŒxĂŇHĄ¸V€śŘ*čÍ+Ü +‘PŹÁiđ%ŒG|MZŤ>\(óÁ^Ůńy˙ó Äć ¸z˜x{HňKm}ZŔŔ߀' Dă÷:żdě9E➙•Ť4)WŘĽ…˙ó Äć Đz•zVHkŠ€€ŽŔ#hŃqR‚ÄśM=z‰*˞˜×KÂ'ġÁ`íߗ˙ó Äĺ bŚXc(ü›WdŔ›>Or‘ú(Tšgb"g7'Ó +śYHő1kT x˙ó"Äç +Hž¸{LŻÔűfÖ +%~¤“,vĚ­^ + Ru‚čҲ*¸nň\ëłÍ*jKĆ{˙ó Äĺřz„ĂŢIJ]­ý €:łÄ9ŕՊ=ÁŒą4:îPiIsށ‰Śş†[z˙ó Äč +Pf™{Ň(Žâܤ˜€€/E{bĘTđ%“˛ş¸~*ƒCqńf]ńĄ*Š6Ë˙ó ÄĺȒĽ¸a„L-âŠ~€ ł•đŢŐOAďX˜ˇÚľR݆ýü€kÉ#Řї[˙ó"Äč +0–•{M5=GřxV2°l7ΠǁŹq–LŞUŐ`Ű̆– 5˙ó Äç řj™¸{Ň(|>{†€€!€_š”]˘FiĺęyĆXɤ¨^á†?Vŕ˙ó Äć ˜ŽœXĂLžW¨aXfůčëą2EvĘ +´3­ +-ˇĄÍ&ڒŮâ6ͫ鎑˙ó Äć ¨Ş™xzJLŽž[ů`€đ 593Š×eJHT?%t‚łgĹăśFÄAŮ%<˙ó"Äć  ÎĽ¸yNp`ďwl§˛°Đđ +i$|FTšÓ?×SpÝô'鏽ˆéŒ˙ó Äç đŽŒ8ĂÎLwЀ‡ř?CĘłÉÍ$Ś!âBnçUŠŒYsÝX‘\ąŽěč˙ó Äć ĐŽX{LZÔÚşŔ"~n%LˆL§= 6ěË Î2 +k.§j‘n’×n‘çę%;™ˆ%oÖÎ˙ó Äć ¨žŒXĂĆL°W|v OťC&`-†BHŸ'ÄŇÇR"Ŕ"qV&q¸>Híg^Ţ˙ó Äć (ސX{ÄLĘ@° +ôŃÄíŤIa*=´äq¤Ý^"¨hžnŽßmÄłţĽÔ˙.˙ó Äč °žX{ÄLÚŤ~˘ť-K¸ĹX>HľÉq՜Šh(dąxVQś ŕýŮjK”˙ó"Äč +Xʐ8ĂÄpS”ë:€ü3IOrh(ŃŽs0‡:ÁqÂ&ŞÍŮ­…éÚě%Ä#\Y—˙ó Äć ¨Î„ĂÄrφ~€ůĹ)Á /Ó`.8ÓN +˘Ň¸ˆgAŠŢĹKOUű[nË˙ó Äć ྐX{LVţ+e Ŕ?ŕ(0-%š +—`Ľ‚}dݨʠçŇ q™ń +űŸmdČ˙ó Äĺčjˆ0{Ř(˝äş?ŕ|ľŤ Â:HĽ.Â퇂ł…Š”zU“>măęŰ~€é˙ó"Äč +€Î„PĂÄqáę˝ü˙ ˜Œ@i¤˜W +2ú‡ťđY1Š ćŤ6ä„O yÔr˙ó Äć P˘X{LĘśŔ~Ś ÂŞćä°14ëßÎ;Sń!ŒśÓ܃ŚtťŠčßĘ:Ř˙ó Äç  zzLJŤ"öśä€9˙řMBFƒf9PŕCŹ”w‰ĂF?~ŻQ Ô#žÂ˙ó Äç +ʄ0ĂpôÜËý@€íVs° âŕ<ÓNhá=5™ ŮŽg +´iÉ!•P˙ó"Äĺ z„ĆHšÝ?”9ô€¸áâ‚ aČq¤cŢŒÝ\ޏ–Mpöłq}ĺ9_Ě˙ó Äč +`ʐXzÄqX€ŘÝ5ŃŹ§ň*(Ňm`=˜•ĄJ‘b‡°"łţĺ4YG˙ó Äĺ€j0kŇ*Ü_CW€/ŕ~ŢÂLŔÄ&ă&p.Ú:J!XĐYU˝QŤç<˙ó Äę +ČʌXĂp Ӛ/ŕgk•ó|7_÷´ŹnŹH`7MA%L>XŞ3ŤoqĘŠ•ÎĆE-Č)րϐŸ,˙ó Äĺ ’”{ +Lú+Łý@/ŕg-u.%Ş0°b\ęŚlrQˆéňb%|$hw?î˙ó Äé + ŽŒ8ĂĚLm´˝jňË ˛@L„'➌”@TfěK„ËËňÎi˙ó"Äĺ @Ž˜XzDLĄ;–´ůˆ(PŃS0×Č grg †{4Ş˙PIYS‹ď˙ó Äč +8jX~(t:ÄjúA°űŔĆ BƒŔŁ~€ťŽ’`áš&—CR…Ę]ß˙ó Äć ¸Î˜XzÄpë@?ŕ{ˇŐG +ń1 +äúĄŚbB´éC€B@ŔM‚ŠqÔńt¤˙ó Äć ˜ŞˆĂĆN›Ô@-e¨&^t­%ú^Ăé ĺ w1E5ŹU +¸ß¤S€¤ˆ‰˙ó"Äć €f”X{Ň(–sˇ˜ FvÇV0ľé–-B´‘^étŁ)őŚălŢlGž$T!˙ó Äč ¸r0{ JŠœBÄô€ A@łęS\Ô%HWĄƒ=/EG†é‰-ž ¤<<˙ó Äč +P’Œ8ĂĘLž€/ŕjnő;€ˇˆl#)˘äM0•ă‹ąhˇ â"—vĘJ˙ó Äĺ¨v˜x{HnÚ×֔÷bUЙL[L'뢹OÄc„ŇŐFĂG’'Âď; †˙ó Äé +r”X{ĆHárúŸűďŤ$;$´ĺCqÔč{pä7˝bS1á!vřÇ˙ó"Äç +0vŒXĂĚHjvö(¨w÷hhQ)Оŕ8$–TXˆ ěDtÄ°Ş­Ž‘üĄn˙ó Äć ČŞ€0ËĆL#ęúŔPđz`n3ńHBZG¨TzŚmZRâ(Uć/‹Îc#˙ó Äĺ r”x{ŇHń3yî€sË¤‰ŰĹS,¤ČZQ)ŇŐíB—šHdŐîaĘ?˙ó Äé +8ŽXĂ +L+Ężú@}h6<“ö_tQPćGŒ-`äŐ<.€Y’ráˆ}˙ó"Äç +ŠŒĂĚL3qŽďě@/ŕyą”Bý¸‘!` +ƒGâ=—ťŠŃç =•eÎp˙ó Äć °Ś”Ă +LçAö6° n’9 ˛˜Ŕ€,Ds”ƒÉ:ĚYuŕvˆŇ+ď-˙ó Äć ŞĂLíäžÍ@00ôÖ +$XK•1DT*‡€lŁ™ř¤ÖąOěv#˛ˇ˙ó Äć  Ś”x{L?ę+•pżu˛řL AáđPLë5Uؖ}ހEGpŃýÝ˙ó"Äć ŞĂL-EŃ´­ĘŔ3Ä 6ń1lV+§#€ŕ XW[“­/KĽë5˙ó Äç 覌XĂLj˜Żŕ}@ ťƒSľô$[—œÝ¸CRŁŁ2¤N4ĹkB*šÚťţ˙ó Äć  Ś”XyŽLĄş@? ů(X+E I–í&ůXäŢŹx1#XúS)n Ël˝ďß{˙ó Äć ˜ŽŒX{ +L§Ęő€Ă?ö¸ä´K0GŚŠ˝– O +7@…+e×ętÉßtS˙ó"Äć ŔʈPzDpşf˝NN€VŔԚk‘‚ŁH‰lŢ8a5`,0Wc3šŕ"użœ˙ó Äç +Ž”xbÄLňŸH€ `>hŘL +ą&âڕ2ű0 DMĚńۚ,ł-ţ`L'Ę˙ó Äć ŘʉzDpúcKzŔaűˇüHžD˛‹KľŮMŤ‘8vč$SËü—T´˙ó ÄĺĐʌPÂÄrŻőĆű˙ý`€GƒĆŇC˛şgC ýN\hdRÚľ y ‘Ž>˙ó"Äč ČŞ„(ĂĚNż˙Ŕ }ČôyaÖ" -Š%Ä|SůLČ+-qÔŠ’¨•]ę+‰W˙ó Äč +`ʐxzÄpíńW+]Ŕ_đ;[ŢsŠĹŃm2ľYËTdHš;ÓăŇdŢ­úŠ˙ó ÄĺčvXĂ Hç,ý € ß§Y”a3WLOłVPÉv7Ż=Vśĺ—Ôő˙ó Äč +š‘¸{ÄL/ń MŒR€7¨iő–Ŕ-ňÚ ´-•JĐ×\9>RĽN2˜Öx*~7I~˙ó"Äć +Ś€0ĂĐLšňŕ€6ŕ +DÇr˙Ŕu MăHm‘Äě˙Wűlĺßb3çFhFęă˙ó Äĺ°j•N)„÷R +î`ř;‚F~ƒôT†…8\ŒŰx%ŰĹ.žîËô™;??˙ó Äé +˜ŽˆXĂĘLI`Áş°€¸=ÓĽ……xo‚e) +r˘äşĚć•K6˙ý&ôň˙ó Äĺ ʝ¸zptš[ hkę‚(=†fӅgpî U2śL2"tăOşfJus˙ó"Äç +PʕzDpď‰ ŸŻŇ.ü N`ÇÂűiŔěZłSĺü ĽG­Ä‰Úl­b¨˙ó Äĺ˜‚˜(ĂŇHĄ´ý`źŹ Ä0őf`BBu ؎Háęܡ•.ßOŮ9,Ó{˙ó Äé +8ÎąÁ„pťŠĽ´ďŕXô.ĺŮD­nđÁ4\š8'˛™TâŐܞżůËwkdL˙ó Äç + Ö¨XzDp¸ÓöT  +ťJ +Œî"ö’˛ۗť)6/ámŹÖŐZVţ˙ó"Äĺ XÖą¸zDqrl˛,Ůř­ä€ş*҉‹+ޘ d˜ă÷ŠˇřţJWÂS?˙ó Äç ř~¤xĂHş˜­G€Ir9\9ƒŹ˘:í2dbŽ=/ëńkă—F˙ó Äć ˜bącĆ(,œç#+ř$cˇ%Ŕ÷Ŕ?źpűf=ĄÝÚ7üIĺÖ8âĘOi˙ó Äć ˆŇ¤PzÄrB„€ŕVx(Qr]ńQh Šš°áۨ.ŠŤY̔÷ŽZ`˙ó"Äć ¸†¤XzLHűÝ+ęB-° #ŕšš‡ÁV Ň-0JŠŒMĄĆ¨ń*Ş˙ó Äç ¸ś˜PĂÎN="Ń2f@ĺQ”UmLů:‚ďˆŮ9?‹‹:>x´Nc‹ˇě?şŐ˙ó Äç ŘÖ¤xzp?Xü +$„IŃÜ`ƒpÉQă°FĚ$:Ľ×9¸—öu‰×˙—­X˙ó Äć Ў x{ L:ÁV_¤ +żJg€| ž€Ĺ9”QŠ +݉şń˜–ĘŠý`射˙ó"Äĺ Hn¤x{Ě({ţ-P9*G ŽĂóü<ňú˘Ę$6ô”ѽ׍ Üűpr•ŸCŻ˙ó Äç Њ˜XĂ LKiĎËĐ)żŚâĚĘbžĄH5Y*•ç%Ľié=ý“:IJ}˙ó Äć Ў xzDL(ąÚ5€Á°ˇ*Jˇ#\â˝óő„TG4Á¸Müë˙\Ýäf~\Č˙ó Ä娊” ĂĚNŰ5€0 cj`Žt—XŇrAĐă ŐQŸ#‘5č ňRź<˙ó"Äé +p֘P{rEAVz˝đ +$VËłŁš&`´)Ɖő$ +)…‘c˝AÓRŃçx˙ó Äç h^ xcŇ(ŽO=€ ”Éšćs˝beTTĺq2ţŽ(˝ÖžĎŽN‹ź@Ő˙ó Äč +8’œxĂL&Ľ€~üO“‘ŽĹ ś““ čLp‚B΃¨ ů‘öŤyŞHú˜˙ó Äć Čnœx{Ě(QčÝ˝`ť˙(ô„>´eiMŔ7ł‡üOń p¸ĺ4’ƝAŹ ˙ó"ÄĺČj¨x{Ň(łâ1"?éđ +ÓC)˘ËËlŸâ†G÷Ç`ŞĚČÖs‰ĘH{{ ‚Ÿq˙ó Äé +˜rPĂÖH$Ňŕ/¤í°ˇŤQ./˛ŕđş˜ESŐ06Ű °Š +˙ó Äĺ žœP{ĘL纀Y˙ŻĚŁp/h ƒ›•LËΗíF9ÂꐍpHJ ö4ă˙ó Äč ˆś¤x{ĘLËä(ž ˇ¨q)WƒpĎh™ÂÎŽ@',EÜ+?Z&++ńD1Ł˙ó Äč +śĽzDL׊€€…´ ¤’ĺń"Vř¨ˇjT [Ő,ő<\SžĆ?*Ë\Un`˙ó"Äć +r¤xĂ +HŐ'uč!˙Ż0“ˇ`Ě#ę䥾\SâöÍBI†ýĹ<šÜϔt˙ó Äć °ŠPĂÄLŽő€.ö€łc@Á_qý‹&ŞkÁ˜\eń\“ę(Ś‹82(,ÝK˙ó Äć –¨xzJLĹHüť= łđŽ,Ŕř^b"HĺŇĽsŃ1EQúaESĺçOr–˙ó Äč + v¤x{ĆHVęŔ€>†}DᄭiŽŁĂťš¨ł{&•áD}‚Â#š[YĎë˙ó"Äć n˜0{Ě*/żÓ1 G›¤&ögŠŰœnąYšŽ‹¨źŠOÓ,˜Dô˘y1C/†ň˙ó Äé +€v™ĂŇHŻą7šH€@Ý$źtV?D<€Ą’%P58VČbu‚ƒt÷˙Än˙ó Äć Hrœx{ Hü™Ç꿯\Ájs?E@š9Ěů\œ(ę˝ßvÜRA”1ůwĘ˙ó Äç ˆŠ„ ËÔNĺBO[×R€€żüeőˆ˛$Aw6śă€:÷W@XîJZAZţk§˙ó"Äç Pv˜P{ĆJSXI°€EŔ +/čćfö­4ĘÎÇB+â=ĹN0Ôoő˙ó Äé ŠĂĚNܞôü:űłfxŸ)•02 ěÍÜd߼–šĹ9džĐőő?Ż˙ó Äě (nx~(‹€˙´“ĐĂ䤠­ÔČ°z ľh8Gű:´˛šm­˙¤'z˜˙ó Äć ŔžĽ¸bLLMS“v¤NiZŇͲ§|űtF°ö&Ůđ-A@Qč ćqo˙ó"Äć +š˜x{ÎLRľ}š€€ đ(ht4MĘcIÚćrűŢ˝\ďyÂ`„é5œ;ń˙ó Äĺ ŇĄzpgöý@Ş +"Ú"0–Ż¸‹+!ÚE•1„2w0ĎĂ A…#yŢŞ˙ó Äç ˆ˘™zJLĺs?P˙DöĆđ†P“@#Ź†ěĆ8boä09ąúš{¨ąŠ˙ó Äç ~˜zRJƒŐ-ö͓€˙Ŕť¨Ĺ&ÂĚ\tńĄď0 pgˇ“}HeOÍ҇Ë˙ó"Äé +€Ö˜xÂÄpf*†}U€=°°'‹˘ÂgÂĎBiOqőˆŻüőÂhďč#¤ň́˙ó Äç +ž”x{ĘL]w\`< ­ ™Ú.XÇd::*ëfF‡żËŚć8ő ŠD˜Ÿ ˙ó Äĺ ZĄ¸KŘ$—Ü{jŔĄ˙0ÄŒ€:uĽ§"ĄaJĚL;Ç S‹Ďăśšž‘ż&ç˙ó Äç ¨‚ŒP{ÄJ‹-G†Ý@˘íŹ F"ĐÇ€´‰l% +Iz§€]ş|Ą>Ł˙Š$Ă^˙ó"Äç +@z”xcH˜o.6ž€€<7#ž†S8C IăOC˘1[ *´RAüçŁ@˙ó Äć ˆ˘žXbDL€ +÷đ7EˇJ Ř|eQČŘŐ“ƒP¨G‰ríĽĺ´zâ[úOč6­˙ó Äć h˘”x{ĘL{Ž°Y@ü<›?¤ \ ĂY +Tkk9}Ů&ž3Fl_Ÿ?ţ!Vt˙ó Äç О„P~ +L +÷րGü$}BĚ„ŒD-*M˘‹áHgú“ţ‹*¸˙ó"Äć č~”xcĚHgmžn1°ĘŔ b Ćç "}¨|3Ţ­}ŃîEÖÄ˙Қ˙ó Äć ˆ˘„~Ma>° +p —§ó 4—ÎamY™|đ&""ŻHץ'ÝM÷ő˙JŰ˙ó Äćhz”{ĐJwÚ + +śÎ0L8 ˛”ƒy× DŠ‰ťň :•>OĹ=`˙ó Äë +Ř杸z„pF]ŰuŤQçŔb4#ks>y’Ňşm=’|á…}ZT)él˙ó"Äć 8ʘ{Är{ŤôcŇ/˙+ݙĐVDA$bQĄF/ځY:Bţ”ÓÚč+äČ +˙ó Äé +€â™zÄp •ÎR˙-ĐQ;zŹ +#9(ăÁK‘]•‹™öó×???úÖćfj˙ó Äć `â˜xzÄpŘ'ěš €´ +ÁVĹxba2HۗEe]“ČÎT’nř;BC2ż)ń˙ó Äç  ö”xzÄp€ŽđçÖśĂn:ŐÔ,+)óëÎuâQKl=ý6t?ú˙ó"Äç +n”x{Đ(˝ŠHÖŃhĐü†ó•œ5W! BÓV‘}rđTý_a˙RˆR‰9˙ó Äç řž˜x{Lu€ü¸ĐĘf ĂÜjÂ6ŕŘŢ\°ţÝöý ´OőXű˙ó Äć xv˜x{ŇH\FՀ?˙Čń^轜ăÍę¤íi‘p-,đôż|3ΌĎúž”˙ó Äç Řö˜xzÄp§pT›ý€SţÄŹLŻÜOv’e~ˇ +rŠiˇŸ×BĹŽ Ű+ ˙ó"Äć ř˘{ÎLë.Ş)B€€~Ű{ +ëoŚƒôé"şBóó˝-Šó37çřÂ˙ó Äć ŕnx{Ň(žH  oŔaRˇŸôa0ňX"Ź„đşĘąhUš3Jˇü${F˙ó Äĺˆr”P{JjR€€&ŔĆœˇZ¸=J™l¨pnĐۈËĘ…OîLŕJ§Sě<|˙ó Äé +˘ŒP{ÎNs╥Ŕűđ/Ę%ďÝź#DPüX6ś€[˝çg˙IŹíĺ<Ę˙ó"Äç +ö˜xzp jR9*€ü +хĆÓĘrAchÍŹvÖżúhĘŁŠů84°í˙ó Äć Т˘X{ĘL4‹őА€ü0×F]ĘĚš;=Vd‚'uuzŔgťŸ—§Ĺšt]˙ó Äĺ°˘ŚX{ +L×uV˙hD™EŮÔ"ą +šB€0(ԞĂ~ë +Ý.óË80¸¤˙ó Äé + ~šX{HjH¸Š@˙•ŮďĎŐČďHÜ{q3‹Pí´‰seú/ÄJ˝b˙ó"Äç Ř~‘ĂÎHˆýT€Ŕ›`.Ř揚Ő”ŠŒz őq›;ß(h}ËYe…zuĎ)……˙ó Äç +~•¸Ă Hę_ő$O˙`HeƒŻÂ÷](Á ˜{şÚżË—éފ죠ÔŘ.€˙ó Äć  ~™{ĚHăž*ŻX€đ>nu7jŽ)˜8`ËdŔn†XÄHLšDě$˙ó Äć 8~”đ{ĐJ8WŰuő€öŔJxGĂ9#“ƒENŔâ’ěpô~:°ťN}őÖhłK˙ó Äč +Pn”xKĚ(4ŰYľ ¨ +83haůhTŘçU•‰“îŮ×??ýIŕü˙ó"Äĺ şP{ÄN~>}@Űh +'m…ÂcQw,ˇ6“Œ82NďÁz‡p…ŔáŇ˙ó Äč đv‘¸{ĚHŕĺS= +čRKoë_‡áĐ´Ół,Ő!ĎVČ]Z}KÔ*L‹{Ž˙ó Äç čʘxbÄpű¨÷–Ň°€´óX=ô,Ą›zA•-Đᄡ9Żo#áqöńR|˙ó Äć Č~„đĂŘHďDe`öżŽ Š¸Ţ[€.ƒő…Çu$]źŽžö¤6,Ě?Əí>˙ó"Äĺ XZXc$@‚nʀ 5%+$âƒ> +g㌿Á‘Äą×BǙśŚbp.;ňíŃ˙ó Äç ¨Ę„P{Dsa˝l@đš-kňՍ”( ŇtĽ}˜xÜpž“4fď$.|Œ_Í˙ó Äç ؒ”x{ÄLpśjŮ ü‚*1+š)lA ÷ţ(‡˛3ŕf­…Âd",Ë9˙ó Äć ඐxËÎLąkrëüŹű"ą¨7gLš‰ťLřR V´P‰…‡‰=tç˙ó"Äĺ ŽřĂĘL쌡,€Űđ/Dä/ěn$€đŠISmŔ$Á4pô ˇ˘Kt8ńÍÇ˙ó Äč ȲXĂĘLuťŹ€7ü 7°˛ťÂřţ• +=Bj‚ô˜˝Gr§xf{yÝë# ˆ˙ó Äç 蒄ËÎNźqßë+včk2,'ލ@dĂó3˜6^ůWkhí¸5ĽoBÍI˙ó Äć  ŽˆPĂĐLxe? =˙ËŔűK`…ö2:—hů›ď„˘ ż§Ÿ¤^Gřż7¨÷L•?˙ó"Äć +~€PĂÎHěÄů;݀€ś€3Šu'ĺŇąe7ŻÎďĐ8Jź×Ę;ťú§˙ó Äć 0v€PËÎHř €¤€}ăŒ>M”ť˜rOfBL˛ČmŐၨ÷Čr8z˙ó Äč °~‘¸ĂH_CŰŮú€Ân;äî]1{EFĚs“v6Ň{2„m×ôô]*_˙ó Äč +֙z„păký !mŚ[Iţ!,ÁÚCSźVć!Q¸tČë䔃WbO0Gâ˙ó"Äç °ŽŒxĂL~D ue@3 ôéĹ0…œĺ°Jł„VΞ;ĐŔŃ6ŕOżÂ‘Çúr.˙ó Äč +Hjx^)Şý`ŠśśPÍz'N…é€l#–ˆôH#“š™üŠ™gýyźţŔŚŐ˙ó Äĺ ڍ¸ÁÄpŠć*  0 ¨‡ŽŹşmdđĂ ‡§G€é|çŚM q瘞˙ó Äç +’„XĂĆL™mŔAżˇÝGÁ˝)Ô]Žt0†ß?AáůËŮ:+Ą°ˆúŘICŃ˙ó"Äĺ Ž‰ĂĐLmś— 1śľ¸ŸŽqq6őG#Z^dŹşďKN¨Ěţ§jř,Ec˙ó Äč +XžŒx{ĆLßí¤ü P O˜?m‰aTĘ˛ś%‹źQŇ5QćŠ*4ËzÔoX˙ó Äĺ ˘„P{ÎLnA=@%1¤[Žl%T’!3–B9 ~ťŒ1ç ŞďQ¨‚ŇĎŔô˙ó Äç °Îx{Dp¨T$Ńůq?ݍ¸ƒŕŠÔąYôԖ‰îŻŽ8ö÷Ššâ˙ó"Äç ˘|(ĂÎNPĄńŤÖ€ Ŕ(Ů ‘0 ^˛ö)1ęůf”Ł€>\vţN5˙ó Äč °~”x{ HÍ,Ÿ@Ŕ˙đ${ +ĽÎhÁuŹŇé…Vgŕ6:T‚‹1ä˙ó Äč °žx{ +Lg=~hI˙všxMŐқń˘&Î ;`ŃM3}ÓQüßfRRDh˙ó Äč Ř~|PĂŇHfťUĎř"˜Ľ+PĄbŽú ŁýaH`ˆÂ(ÖÎČF*( +Żţĺ˙ó"Äç đ‚t(ĆHB˘Ůßë€ŰŚŠˆ8EÚÔĂšPhŠ–ÓĘc•G´żŘ 9­UtgşÉ˙ó Äç řvˆx{ŇHœE7T  H¤ `ĎJŔY¨ƒť¤`÷+ČŘU~thqĎsĎĘâ˙ó Äć h’„đ{ĐL‚ŹOřđ€ śˇ—$`HQ‡š,HœŽž ]ÜŁâX›ˇD&-S˙ó Äç đr•¸zJHő­w)Ţ ůšQ-šBLü4´ž=ݏŐzpFŹ5˘ŽąQ4ůY˙ó"Äć Xú”xyÄpAŻţí`€Çü–#ó‘´|&ؤ” +Ô`^‡}F1űë?ýYFa˙ó Äč +ŽpĂÖL˘rOßv €1šŁiÍ +ÔpŕtH@Žúźg%×Ęów{´_˙ó Äç řvˆř{H­‘ż­Uő~ŘcüM%2\>ň‹DLYÜď1‡ú6;pr´˙ó Äć  z|8{ŘHQÚúŔ€śéÜH1– YŹ86i€EĄalŚń żĆ%äć°Dř˙ó"Äć ŕ–ˆřzNLƒŽ– ‡Đ€ŔDż€)*šdţˆfmYuÖŚúƒÝ•šŻÔ5Gž˙ó Äć `žxËÖMúŔ€đ$zŻCUf‰˜LĘ'‡%Uá^ _ĺ¤FxÝüOŠEj´˙ó Äç + ҕzp1‹ĄK¨đ Ÿ Âx9™9¢Úl“Rĺáaľ_s†ŇÔPYĹ˙ó Äĺčz€đĂŇHë?Ú°€îFRý Kň0ł–‹°•APŞ9F:„˝‹ý2]ԕ–"Ř˙ó"Äč ТŒPÂJNŒOÓW%t€8YŠw嗵v` ŞÁ‹e +¨{eÂč´yxRŠĚnź˙ó Äč +`z„ř{HW_Ѐ­Ř +ĘůCĎk¤6„mŃ6*đďötBJÚô8žvłŹ˙ó Ä吞™¸zDLVˇwň .ÇnŚZX(É +§ ˆ3Âҕ/‘ĘźĽÁ|J`˛ ¤˛‰˙ó Äé +pž‘¸{LQdńüJ€ƒ”ąć™ +¸Žˆ|”›—” +8ŕt‡BÉŚ9ŠńP˙ó"Äć `n€P~R(€Fü ’›ôIŻ­­•˛5ŮŕPM‚9Á +ĄŚwŃź_ό‘jJ“˙ó Äč +H˘t¨ĂĐLŃ:ęy°řyíIŐÂĽ8J$”•„,ĎwF„`˛ çˆ-GYJ¨š˙ó Äĺř˘„ĐĂ +LyŸš€€ íˆq”LˇvFBuú űoniŠ K#;ëŸ!Ă?|>˙ó Äč +žÂNLÜwč¨0SŃ;ͲňQŁ ’c”.=nłBŹ´2”¸aL&5/˙ó Äć ؞t(ËÖM ďüh€B˙Ŕě33˘ŒĚ(X"ÇꚖŁëłmSŔtŮ˙ ˙ó"Äĺ ž€ Ë +O>żW耝§TbS´ˆĚˆŃŠ/ů˝"‘w +™ßˆ!z“Ť˙ó Äě `˘‰ĂL)äş@đ %˛†„ă.ŘIBƒ +,.ˇş#e¸]cÍđŞR-˙ó Äĺ žtÓĚMGč7ʀ€€)ŞN:2‡˝…$˜"bŽM)*ŻŤŔ•5Dű +jš˙ó Äç °’‰PĂĚLůR_j@€°Ŕ˝ą]ŠJŐA„ŤFł‘;䌚KŞ-ËűÄ˙ó"Äç 蒄PÖL‹OĄ•"„€Q@xŹR ŕ”đE̔ŮĎe‚4/VĘł_+O˙ó Äç +śšXĂÄLâôś̚Cˆ15AĂöóĘg)ć/ĹN¨ Ű.Š,{˙ó Äć nŒřf(j_ôŔ˙đ2žä|2Őđ{šz–ČŠ*=öĘĚfч>ŠnĽjŠ˙ó Äć Čś€PÓĘLS-'U*í nźËţóʛ 0TĽesjtϸ ůŸĘČájČ(üF&Î˙ó"Äĺ PŽ„đËĚLă‘V×˙@€í€q˘@éˆ1ŢYëVcŔéZk¤­őŞŠrřö˙ó Äç +ŽšXÂĆL>?ĺ@€0ƖMć 'q„gôƒz ľňWĺ3>Ÿ8í dßP˙ó Äĺ n’XN(ayżH€śĐ7reÓ{ć`2i ­r’:ƒŰÔe`ˇVřßÚ ›˙ó Äç ˆjŒxN(Cœ5ţ° ć;I&˜ČC8 +óŇbl1 + +e…á‰$ŽË˙ó"Äç +֕¸z„péSRÎŞ@€N@ŁS›sŚŃ:?Ç +űŸĹ“Hi›Äé˘dľß˙ó Äç 莈XĂĘLé„?ĂŔ˙ƜĺŒßÖ:S$ő™ZÉ=3 ż–ÜăMŕŃë}˙ó Äć Pn’X6( =‚ĎüH0ČȗoŔ˜Ď1tPŹ™ +jWxqUƒĺIŠFuŃĘ˙ó Äç č~xČĂŇH˙¸Q}lőˆŘło€¨’HĘ—ĐůđNŔĹ–i2Óžˆ¤˙„ü˙ó"Äć ඉ¸ÂĘLff5(1…2MFn!ÂNYJ°y@íŐ!ŒˇĆ‡+üîşů÷ž­ŕÄ˙Á˙ó Äć r„xĂH˙‹ú€q-€őn€äŞm4Œ-˝č:`w™ËŹTϛżĺŞ^ž­ţ˙ó Äć ŘşšX{Lŕ‡őžňŔŔńŞ[ՓŹ.#hżą…qŹbŠZ=\ßWž˙˙X˙ó Äĺ +0⼐zJqŔ߻րJvö+8Ď^gŠ¤ˆ#Ž|ŠĆ>­ĎűǛýú˙ó"Äă HâşX`Špƒ~ʀŔ ŕ55Łń&PÄĂŇ-–ÜńŞ™âuq~Ć^_9˙ó ÄĺpâžXHŠpä~˙, ”řĄŔ~°>×bHá +p V9J&ős6˜ţţ_ű ˙ó Äę +‘”đ{Äpoţ)ń(c.>nČ:§+WŤ~ Řńnyú´ŢşĄŒ†Ş'œ•âîőóoߎú‘Ş+"œž,Ü˙ó Äĺ¸Ţ™¸yÄpâÝč FŽ7b;dQŠ` Ľ ƒ*Ź-…Ísfţl÷?.´ú”~}G˙ó Äé +y:Œ8{NqzŽuYR;,. ů2˘ĺ1É~ÓÎÄfϟd÷y8(§_ß˙ó Äć °â|ĂÎsÇüR^ € OâE‘‰ŹŮĄn1€V_Mٞç^­dҝźň˙ó"Äć +╘zp˛_~šP ľÖcLÕP*`ĄP%"ł:d!]¸b9…U˝–˙ó Äĺ¸âŽXJ„qž ßr˝ ‚ Ź¸ď¸—öH,wŤ-ł2´#$Ď8˛Xć˙ÓÁ7âI˙ó Äé +śŒđĂĘNAž€T÷ĘڙK›fhE΂ž5}& =Ĺq+UŖ;ĺG7č2i˙ó Äč ČâxzĘpďPYÄ̉^č_yo4DyÍŔśĐĽLŮ-OóU×˙˙ó†'˙ó"Äç +`ş€0ÎLÉ ďż˝@Q?őĘ")Ɛ§Ç +›l,ťučߨću˙v'Ť~ş7K˙ó Äĺ ˛ř{ÄLőűáq`€z`3gîՐĂŇcE@ĨóKęyżĺcx6ý0˙ó Äç +ś€PËÄL_!¤ŔVp*Áé˛,ÍDŽCQ¤t&)šó†ĘłŸż˙ÂĎő.3˙ó Äĺŕć”ř„p˙AýĚP€ +v€$y“ŃŇap +şĐBĘś&\w0"Óěeđcś˙ó"Äč +HşŒx{ÄLłę]rb`´ +Z},-Ž´ĄŤ°9„Č(ś3)§$V÷źBœ\߼=˙ó Äć ˜âxÂĘpGˇbž€`mŽtčŃ řŚ=h%„U9Ńjǧ̅šä˙Cfń0lD˙ó Äć ِxzÄqŐńčŔşp,ń<źé Š°_Ó8ڎ{ř>ž-ya˙ó"Äç +A’Xz„pĎÝJ€ěîW Űą$‚@ą'CG5‘EFԆuňe +‡ąŻć˙ˆž’7˙ó Äć ¨śˆxNL5K9H€2;vl‘*…Dxd‰Ëœ Ć +؃Ľí’ ď2V‹9gę˙ó Äć Á™¸yÄpôŽ~ú€.ĐŰśaůn¤Ť°WHőóîÜôń)Ű_Pő8ˇůý +˙ó Äć Ŕś˜{ÎL/É_éŔ›đ.ÓHe´öy!–›=A|¨AÍ/˜2Č~ˆNNŸöă˙ó"Äć +֐ř„pŘI’řćÖź4SůňÓs‰%„ľKĄáţéEÝÄ˙*Ęč‰˙ó Äĺřś¸ĂL^EŤßč€đđe‚ČŹS§ƒř[ÄP’PŽNa`ΐŻFçä{E’žĂ9˛˙ó Äč 貑˜ĂÎLKĄ ˙ ŔáMöóP.ô5 +śęđąčZ?N‰‰2Ę˙ó Äç +~„ŘĂÖH +}Ń€f°ÖՍ׈ŐŹYL8$čËb‚3étËö¨eőC?˙ó"Äĺ @ś˜ĂÎLű… ü˙”ŔšŞ%em™ësÁf?ƒąŘWa}˘YGyz˜ÔYÝĺ˙â˙ó Äč +8╸ÁÄpŸłË€Ą¤ŻŘ6î˜3ژÁ*Ú4ƒä´|_'şśţÔţá˙ó Äć ŔśˆP{ĐL ߣá°ŔJ*ĺnrˆ‚•Ä6Ĺ ‡ Ôh$ىe­ŸOčý\˙ó Äć +°âœČ{s@ +˙§˙p vg€Ťf ëâ**ӋaړâÚrŘéŠŐO?ý\@˙ó"Ä⨲Š[ĆL +űü°€ ŹXŽWě•q Ü¤’ŞMGtܜƒ,Jjsu>u‹Ű×ý˙ó Äç +♐z +pbAż9âPĐ<ź¤WFVŐÄĂ´Q™+`ÍT!¤ĽŻŠŃőň?ý˙ó Äć ˆâ˜yÎpůß(€"Ź'Łł°ĽŞ'Zs(8ôIǛ$Ą4•2­iWn—Ě˙ó Äć  ć•xzĘp˙ô +űü°ôÁ˙ţč€âჁ c™żć!~xŐÍŹsPćNÁ‚˙ó"Äć +!>™˜yÎq€¸%ńĐţŢ!—ÖE°UD„ó9Ľ­c˜ckFdËŁÎľˆw€Ŕ˙ó ÄĺčâŞXyÎp¨TĎV>ĎJ›4¨ő&q0ÍśĽ‘ľ˘Îr–ŢlŽbɒj˙˙ó Äč +`âXĂJpÇůď.Ś +ćĹKÝ0ź$‘ˇŢČÁfnk’ „sč†d.[˙-iZŠ˙ó Äĺř❘yÄp;_˙ +ű˝€Îp%•Ŕ6ćĺĹK$€hĹR\W0BößZË˝×˙ó"Äč +hâŒřĂJpăýţXŢ Ľ +”{Téđđ?ú­Ę˛†Çź}ŤoFşju7'5ŃěÔ˙ó Äć ŕşĘX†Lú÷úŔŤUÂp‰ü40éń‰ß”‰šĚů_7ŰÜű~˙ë*˙ó ÄĺȊ¨KĚL÷z ހevFžä_ 7j$E‡×˘A˜-ó8Š_˝Đ/­nßţ˙ó Äě +ř⊘Ăp47ĘňŔÝŐ^H0~žąál{ĚÂŔr”â•óříô§G2˘Öƒ˙ó"Äç +Xâ¤PÂĘp°1ţ˙Xß 5PFrB˜UpăՏ†Á¤…ĎSÇÇôŽ­ZTo˙ó Äĺđ⽸aÄpř7őłĐ¨ŐÇ:FŽŠ(ćMOԐ÷ŁAü€ó4ĎŮý­ŮţąŁ˙ó Äč +Pâ¤PÂÄpżáo÷y`c°L3rä{I&ŘJQZŽĽ]W+™Áˇü˙ó ÄĺĐâ´xzNpÔ˙XPĘé܈ ´xđQ'zĆ%dxD†RâŤŮËĄ­ů_ő˙ó"Äč +Xâ¨XzĘpa ď˙Žˆ&ţœ:t’Uë|ŃşJ‘ĄJ5ččŽWÓ=Ďş˙`ąß˙ó Äć hâ´xy„pGÀŤ_Ż˜;ÚĂfVz ĚşÄ@L˜$1{OüdÝ^‡J—?ű˙ó Äç řâ¤PzDpF?ö˙Ç@€AcLž+YԪܔ'+ ąěˆÓ˙DB´”:°:ţ‘˙ó Äć ŕâ¤xzJpߨ€˘Ô 7Ą +Œy'Ľ`^: 0¤LęFSXőCĎűŸ…Mw„˙ó"ÄĺčâľyÄpţŁzßĺŔ€gđ2ýZ,W˛AXCa*œ@ł UZżç8d_F‚˙ó Äé +‰:œ8ÂÎqüo - fŤÂ"ö9đ_‡ś fĄ[Ńr•j:˙ó Äé +PzœX~HluÔ|˜€í` š@/rDkDŒaäh+›\$Z¨›0fČ˙ůd6ĚQ ‡˙ó Äć Ŕ^”ř~X(]Mę?Ňź +ž˛…Óyę4L‚ŤáŠÓa^rÍ PĆ<9ŠčΧĺh˙ó"Äć ඐX~L'­ňďôŔ–€,Ęí?!öšS…¨y(şaöĄtdȟ˙މu–˙ó Äć Řś”X~Lę?Ä~€ ŕ;V—ľáŇEˇƒfsPťĽŁëú1š§1!&MG˙ó Äĺ zĄ˜cVHöú@:€ +ąxóu†Ţĺ:+˛ňÁX]ńÂúDWe°Av˙?„¤š˙ó Äç čʑÁÄpR➡z€€€]×;ŰąCdjÁ4bÓoýéTtœ|`".˙ó"Äć ¸ćzÄpo­Ţ€ˆœ¸ .›UŻY_ĐŢ&06 +6XĘBuá}‰z´łÓZ> +˝˙ó Äç Čś”P~LýFˇżÔ€ +4XęĐđ>CĺTí6éŮÚ×1š$ĄŚí­—c˙ó Äć  Ţ¸z +p9^úÝéť )•äŠěw—Vđq—UpLéÎ)$Ůá?§r"C˙ó Äć PŢ đyĘrB‹?Qž€@Ŕł6Áľ]÷LCPÁ<˛ŒP"^úKmSQ˙ó"Äç +PŽŒ8ĂĚLü˙€@€ł€2ŽeB,Ź\$™ČXÓGUĐB=^ŻŞß˙ eô<ţ+˙ó Äĺŕ†Š¸{ÄH폞˘€˘  +śźOľ†ůÜzČe7  §FGmHËŽWH{˙ó Äč +8â™zDp~§y €Ŕ/źŞôÂěCGč5‰šłR÷z e´h]Q›Ö•x˙ó Äć Ŕś”ř~L,G ŔWŽX …†Ĺť‚‚Ďg@JŔ€” Řaő/?“&˙ó"Äć + ć˜xzpF ß(ďHíĎęŠd‘?Ea<[1›•D}#šH;×ívô쌪˙ó Äĺŕ⥸yDp“Ě%ż¸ đ ˝SVq?S˝e!6ş. +JPY‘rgTŁ+iÇ˙ó Äč +¸zq’ßP$“áJˇâDBčŒNúƒ–‘>ŞÇU⅛$ÝmsLťlź=îłU˙ó Äć ඕ{ĆL{7_gđ€Đ +ÓFY& +r iCË +](łĘýÖH+äQÂSǐ˙ó"Äĺ ˛•{ÄLl'ň Ŕ ›2ß"ł,ö\‰c3”r`€ç–$ý˘“¨ŽWXËÁ˙ó Äé +hn€¨~(wĐu> $ XŹpdjh9TUCRđk¨1jňôRŽe–Œ¨˙ó Äć ŕޙzDqńëä@€€ˇ0ĚŢnäŃoRˆÂpfźMşyĹĄ'÷˙žtdwR˙ó Äĺč^”P{Ň(B­ţ?Ôŕi~™TŇ3RŐ‘Œ2ĹBô1üUOţÎy˙ó"Äč +ˆŢ„0ĂÄqö‚~Që¨ŕ0őˆtĚ6›G1%CśC-g +ŽÝáˇÜˆ!Äüćš+e˙ó Äĺ ˛ŒPĂĘLô€G÷ŕY‚)ç_!z żŢ;a‰*ňÝ/˙-ŮĘş Đ_”z˙ó Äç + ♸zpXßÔź9~YeIC`Z!e%偍S:2ea>ÄýVÂżž›Ś­ľœ|˙ó Äĺ ş™cĘL?&Ä{ä@'v,0ú1ˇ ¨\#ŘJâ ÚĽI%`Ü ëřÔPé˙ó"Äç +8晸zDp\}ëú€Ř ăÓďFpô}äh ź?ôö:čçuw˙8áş]˙ó Äć ŘâŒXzqh +Uéš`Ŕ7v6Ęh_–~”ƒŃpH ÔđtÜ÷aDP^AD´8ńÎ ź˙ó Äĺˆ˛Œ({ÄN˜´ł ŽÜ™Šrh˘4đ“…Íšó +ŁYS‰E|.Z^˙ó Äé řâ˜Xy„p‡“%˙Ź %N°—Ł2 Iä Œ‡+RĎ&‚ŒÖÔa"˙éœ)0D˙ó"Äč +PʌÂDrˇËä€ĺ´ &¸ó֍ź1ĺŽB€đžąl8ëcÄŤÁJçE‚˙ó Äć PŽ˜xzÄL‹dżdŔđ&Ÿweˆfú(ň.‹9E“ÁnŻ1303ÓS/L˙ý1é˙ó Äç +Š•ĂLŁř–ݨę¤.íÌţ”@&Ŝ’;śŞžf•@v‹x¨Ä:úš˙ó ÄĺčrˆĂÄHăĆ>œ€ $’)MçÝŚ…hŠ\"Ö|ᖢ/Ó5J-GŤ$JŤ3˙ó"Äč +0˛„PĂĘL•;ѨĽfU9-šĘaj‡Á!¤zćœlĽďTč"œĐĹig<˘˙ó Äç PŞ„({ĆN]ŒŰrŔ ŮeĚfńš U‰˛Ľœ­ďĂ*ęŔűÁKd˙ó Äč +(ş‘ĂÄLŠ +ßS¨€@ w€6¤xáĽLF`ˇ*Ůևvfç†4ę­Ł +šˇQ˙ó Äć °Î€ÂDp!ÚŻH1†ž'YąCĚ&&”Ó.Ł(ŤŔÁÜ"w3ę%ë0˘*’˙ó Äć ¨˛€0{ĐLŹý_@Ív2ŠádŕD +z€ƒÉ/Ý$ô2?cĎgÇČT¸Áu ř˙ó"Äć pn„8~(ĂŐść ’€@ŐŰ3$g°pŁ +8!/*; ‡ +nšŽÇxî,Ä4˙ó Äč +˛ˆX{ĆL„ +Tő€ŕ  žťWa‰ŕ;{qërůŞ"‰ŠŐTĘAKNšd™z˙ó Äć Ŕž€0{ÄLżŕŔĎ*ĺ:R +v_˜@ %‚íÍ<Čő!~f[Żů†Ö­4é˙ó Äć ¨Ę•¸x„pŻ6›lɀťvt5küz&ő#YR)Ž?,F*Pę,’§–uë!¸%˙ó"Äć hv|(ĂŘJÉýőĚ +Áă˝ś :í‰ŘňlÇŻë—íAüĚK?1c<ÔږB˙ó Äč +8ΐxyŠpň1g˙Ź€­üžšÔÔŇËnB/ĚÖ_޽Ƞéo śďţľśIÁ%˙ó Äć ČƝ¸zp͝?О€Ä×`2Ľos’,#´<q-ĄůG<ĎF=ţîš-‚˙ó ÄĺŘʐPËDq}MÉŔ Ó`9*˜–eGLŇš+(`ŸŒč +­ ĄœÖM„¤˙ó"Äč +ˆĘ‘¸zĆpëíÖ3̀€č  +˜(ŽFŤŚăň +KŠM=˙S+­ÓÖ}Ε@S˙ó ÄĺČʔxÉÄpWöÖ 2ˆťmŇn5‚Śa¤¨RÔAęςu*Řa™-ŐӖ3˙˙ó Äč +0~€PĆH†ăÓř&€×2­eÍr§x0 u@˙`'pœ2ˇHI´ćVez˙ó Äć ŕʑÂÄp-`˜ŐťčK(䗟ŤtžŹéô!sťDGóPÁy"&óšÔşî9Ű0ç˙ó"Äĺ @ƙ¸yDp˛ËJ`‹E Ŕ^Ř*ŻĂE&Âěš ů’ř[@A­÷śŽˇTÝUx˙ó Äč +HƑ¸Â„p-č€5Ř”ËŢ~ÓĺLP”eä_đ'Ú‹Í_Óâ:üËJDöWňć˙ó Äĺř^N()ČľČŔŘ- ľăÄ"!@j&đŕ@ĺGŁD‚"VÉÔü˙ó Äč +@Ęt(ÓÄqÂĚ +Äá e™%,# CÂŞƒPŁĂ ç&ž§j°ŞŒ +DžŒş˙ó"Äć +ƕ¸zp RNŽęŔ64cO7¤ÖSŔęqtP?\ŚžÁŁyÖˇdĐňaę˙ó Äć ŘʈxÂĆp9S˝) p%:_Ř1Ä-Ľ¸Ä6@~°B3ŻˇřýŮQă¤Ű˙ó ÄĺŔʝ¸yDpô?‚vš€\0‚ŠXőqiÁéú4AÄ-w1SO4XzőäĄPÁ+‰‘<˙ó Äé +ˆĆ‘ÂĆpwȀ*íäŻŇŔA)îEĘ\ś(˙J‚ži›:ĄsăÔňŹ¤R-˙ó"ÄĺŘʝ¸x„pXłű:րĺ´Î ę8BCš†ŮĘÜç­Ôö‹ŰdČó˛?Ą(u4˙ó Äé +Pž€PĆLŁŇĎUž K¸­Ň°CęÄÜEýUżÉ3§Ëň/ÂĘů7(A…G˙ó Äć ˆś”x{ÄLW}r@ hrZFă0˜ą ĘÎ ‚~–iř‚MœĽg{bŚ•h˛ďrË˙ó Äć Đތxy„p}Çj—ť€đ +üš‡FȟHCHĘ’ľżr4Ş&&¸Üab{˙ó"Äĺ Z„(Ć&Źď¤€ .MujÄE‰]?Ň@bS-üŠ 8•†ťkŠmkKkÝ[˙ó Äč ř^ˆxĆ(ۖ€ť`5 gŇcÓâV&Q^Q4ü?.QqmŞ­ş9+-IÜ˙ó Äç + ś‘{ÄLҡ™éäbđ #XFŔ\ˆ0˜؉Y]/‘Ę8ˇŃˇ˙ó Äĺ ž”x{L†—âíŇ đĺöˇ@<Â;!Y¤ Ŕy|ĐZňXP!G?”Rr*˙ó"Äç +XŚˆxĂĆM0!Ş€Š°k?. +éŔ¤"ëDŽh—şIÎD$F•üőbĚÄŞq˙ó Äĺ°b„PĂŢ(šŞ*c} pĆ ¸nɗh‘ŽDţßzČŰ.Ô0qźPÓ˙ó Äé Ŕś„đĂÄNˆů +üˆËœŞdƒŞ°$p&†×q˛S­żVZ• l#Ęč˙ó Äé +€ś¸ĂĘLHÁŒ]Đ°`Ť’čŰ,•’€1­˛ĺ•ŽD"x¨ń?;ç˙Äţ]˙ó"Äć ¸˘„X{ĘL˙ÖŸÚޟr—÷ŕô$ăźëf +gsUʔ:)eř˜Ţű˙˙vXYDő˙ó Äç ŕz€PĂŇHq§X퀀ťŽK K-’8žĹ ‰‰˙ŠÚ(,2ßĂ +”ż[Z§˙ó Äć Ř~Œx{ĚH +oŠ"€€ ŞO?w•+ĐÜĘLލbóĄSj8Űu´şĹ?ů†'×˙ó Äĺ ŠŒPĂLőšr.”áX “!ˆq/ +hJ§J.Ú°‰›u Ŕţ€zŇę"Úíˆśs”x1Ö­ÍőTÔÜî˙ó Äé +pΌřzDp +’éŇ0ţď4›m™r’™4MCžw$řâúÉ30˘:‰Ťj݈žˇ˙ó Äć p΄8ÂÄp‘%Čý :€•y:m$ô'@€" +ŠI÷ߎ߁I„óGô^Hí˙ó Äç °’ŒxÂFL(ěű1äŔ€ÎÄÍJš°@ŒŔ&Aҋ‰F#V­~TńĐJň˙ó Äç +΍¸y„p?ܐÜ Bp'$Éd :!bţžěäFX`łĺô<Ćoy˙ó"Äć °^™¸KĚ(2‹Čý˝ :¨]+işJQ!˜ĺ뤡ýĆYÍŻi­ƒTťň^ł˙ó Äç ¸˘•˜{ĆLśŻŻH(m˛Ů–w<ěží ™E2O9t‹˙S8]_śî ň‚ŁíV˙ó Äç Řz€ĆZHUO˙żŠ h4ޘĘŮ!•e•k­/Ę͈Ö4)Kp +ÂşÇď˙ó Äć Đzˆ8~šH­D#ő€ôp0ť.xuœË2LňYً#YAŘQ2t(jM-Lkě˙ó"Äĺ ŞžX|Lt7‚ţ€/ľK]]ž}98†ˆ4iQk9ž‘Pg+15—Ó˛Ű[˙ó Äč +r„P~žH-śGü€ŔÄ2ŹVž +@łÁ:‰§u,Ůj[BŢéĄÜ˙ó Äć hŽŒxĆ +Lę˜şPÁYdq%ô"h-Ÿ;ęZý\<&n5Y4ŻŽ'ß˙ˇ˙ó Äç +ŚˆxĆDLŁ Žř&ú€.ĘńB +ŽM;ň/MšŽ…˝nPíĺĐö•îu˝ČŸ  šb ‚bC˙ó ÄĺŔŽ™¸|FLˇýŮ69äŔ$’@3Ś×)jý<'bžWŒĽ[™b˘ÍU˙a€ůš˙ó"Äé +ĐĆxPĂÄpĘÚîşđ× +k\ţÇG˙ŠťŹůŠŻ#×~a€ż!*Td’oćr3ĐXÜĽ˙ó Äĺ˜r„(ĆJŸúĹb˙mY_ű + +˙Ԉ\=•č^Ąťˆ5ă4_sž㈠k]˙ó Äé +˜Ž•¸{ĆLv_Z Ş 7ß倀°  Pžq™ˆ„Óň¨d<;*x˜ĎŠóď˙˙ó ÄĺĐ~¸cĘH)Áż­ţTŔš„ŽÂŻ˛"é^‰ĺqČŚ‹#10ŁŢůȝźĄ˙ó"Äč +â˛XaLpöKýŢ Ŕ˘¸T0Ż\ßa|3ž ŃLśEÎ÷‹3Węśq˝v˜ßđ˙ó Äĺ 0â­¸zpżţü\ €ąŽgť +Q'ĐMÁ|?UPźk(b÷ÔĆĚoMŞ˙đ˙ó ÄŰřâ ÂJpo÷úŔŠŤÔ,ŇÚĂî@Ľř}I0 +V’ř˘xŮ~˙Hיf´q˙ó ÄŢ â¨đyĘpŘ3ç=@ŔŔ11đ13ŠdœÖŒPÇ €ščxM´pgôuoúŘB[˙ó"ÄŕđâŽ8aÄpÔwÄŕ€€0Ő +v˙é8 +†XŠĘ}aᧄ$jgśużĐŸřX˙ó Ää ⊘zŽpďżĐ€&eV ĺy<4Ěŕ:‰Dœçց4R_O:ŢÔŔ„'ř˙ó Äć Ů>Ś8{Jq1˝g˝@Œ5„ľťÝRČ<–q2˘ŢRö˜sŮ™ âžű?ߎŒ˙ó Äĺ âŞPz„p'ř¤;îňŕ€@1BP<.şÁţővcĄö´\ŮĄ–F0n ÷dĽ˙áŰţ˙ó"Äç +Xâ˜HÂÎpŁzč&÷ P]Źâw´˜9˛c Rš˘™„8œVb•VĚţ'_˙ó ÄĺŘ⹸aÎpé4;ç˝@  +]Ě'%WVÉđIš +2EÔ1/OčŸń;ŸúL˙ó Äč ¸â™ĂJpőœő€@Ŕ…@ϓ­[ÓZTđ&Ť_tIŹůlŒj×p ľűnPwüD˙ó Äč +â˜č{DpţŸűâŔHŹ+]uöććđu˘ą˘#şÔ&iíœ;űĐ7ţ˙ó"Äć +â”đĂNp03ďňŔ€ ’ČiŘÁ§Äę!|8DJtçM­ĚyiíçKíá˙˙ó Äĺ ⼸yÄppC}ţ°€đł +üŤ3ž˘äJ€Řy ɍAҘ"9~ú`żî˙ó Äč +XâˆÄpoťĘˆ(V­DÝŤWvŰJU$QŻ<á,W+Ź÷ťúš0iž4˙ó Äĺ âŞXzŽpu÷ާz@ŔumŔ´Ş×¸’Ť†ůJ$ĄÚ€Ţ5Łśó +kdŽŁy;…˙ó"Äç +Y:‘xÂĘq2ß뀅¨pgAm…€ę +äAźŽŽhŠQ ń•üŠtCăm˙ô˙ó Äĺ ♘ŠpÎ+ꀝŔÓ3jŁ­IgŽ‘b z:˘XĄą_ĺ +ËJďă˙ó Äç ŕâ•đĂDpínňŕ Ü´6ö~ăfŐR썠Á {Á+ú +΂YŤyľ‚˙ó Äć ˆâXzpâ€@u°Ý$ŞÂ/Nś[öB8ÖĚs1aaóČMPůďÎôŒ ˙ó"Äć +âœřÂÄp…˙č?ďő 퀫čtó+–FŚsѡc: +ţ˝Š:Żýc}˙ó Äĺ â˛XyJpoő€€ ÜĚۅíědĽŞTTŠZѐ „ľœB1ЅłGďhP­×ý˙ó Äč +ž8yÄpýŢT€D2Îťb§+­›’]ÍĐK5ÍxÍ~3?ęźźŁQ˙˙ó Äç Řâ˘XyJp­ž§jq`€_Îܚ{äşĺ(ŽŹ LuŤ{ćo$fäÖ˙ó"Äć `ćŞXx„páż—Ŕ‡¸çěĹ,¸Ú}ˆŽĚ +‚BâéĚ[FqĹuă[Ëđ‡×Ô˙ó Äč +H═ +p~ěMŢłŔ€zÎ|GűqĘLFŸąíT ÜŐ2óë˙+ăŃŕ›ÓÔt˙ó ÄĺČâ˛XxŠpűˇT, •$Ľ÷:…'݇ŹȒíĽ@2Ľ!şŇŠ?wřź˛0' ˙ó Äč đ∰ÁĘroQíĺŔbj¤r#“@ÔŹ{R&eԒUjˇˆ§i9ˆďŘýĐ{˙ó"Äç +`♘zÎpŒœýô€  1ějž%ś˙¤ *ňV&bmĚ46ôoAŕŰÓ˙ó Äĺ â˘yJpÁň@Ŕ€jlŠWMĎÉ9FΧAŘ7 +Rš”Ď?nŢJ…ˇˇ˙ó Äč +Xć‘X +paŻv§zŔ€™Ě„ŘÜď +´n ńhRw, zźŔt™'םăáo˙ó Äĺř⥘zp[ëú@@>~ÔŹ˝˘@‹éŤ +íQĽÔŕśiŽ˛Üű6UëĹĎţWóc˙ó Äč +ćŒPÂÄpáuäńŽŔŠ`xÚ׼š ŞđÜ\ bAŠYꘙg<üWďퟣ˙ó"Äć +ćXÂÄpŻ_B›ZŕÔ˙ţŋ ¨vž<‚řžN–=^ QĐx!˙ó Äĺ ćŚXyÄpë}u€küšĄîtn6ŔIś„h2H~ scšÍv‘ő6kŐŕ˝˙ó Äç +╘{JpGě%ý€@ 0jĹkR8bq +ŁÝ­e׳œp1¨EkŠľůj˙ó Äĺ ś˘XzĘLΓÉIŔ@Cπ2¸‰WšÜ bńUŤVH{Áż‰G}żĎ,jŔÁ˙ó"Äç +8â€ĂĘqƒ$ňRJäŔ€`äŐ$+U˜şššSZÇ_ę>ŠÖ9 +BUd]Ť\˙ó Äć Đޕ˜zÄpĂ´č€@Đi\Ş)Sw O$,+ dŒCCJM$ÚŢ[ţĚ­8É˙ó Äĺ śÂXCLĹ÷F:…€Ŕ€j`nÓňšťE"† +Řá_F.Eş™ŻÍ´u˙ó Äç +âĂDpńąşVŕťî˛őłJsšaewŰÂÚďu@‚ A! Ÿz˙ó"Äĺ H˛™˜~DLa~.€XÖ[*ٞŁ hƒ fŁeš $,ŕŠ÷Ľ˙ĚédŠ4×8]ő˙ó Äç +şĄ¸{ÎLúŔŽ01Utyžm0MƟˆěÂţ ÁAşűřgMt9]K˙ó Äĺ şČĆJLż…~ßPúĐ":óĎӍ¸!€ŤÖPëÜţĄAfĆłżę;ú`ţ˙ó Äč +HΑ˜ĂDpýƒ}g˝`Ŕ€z.ÁÉzI´Z8wŞ +Ł™”[P(gížßô/ďâŸ˙ó"Äĺ 8晘„pýżč8 €~źŕú ƒ$ŮpD/€ë~¨2nŻúôOęÜcűřP˙ó Äč ¸ćžX`ÄpoŃđŕ€BŹŚQ†Šť"ŕcbŤÔ"NńÚĐĚqnúď…_űÍ÷˙ó Äč +ć ČzJpî÷úŔŔ —ëŔËö]}t‚…<;vĺΌd Ş×ß9ý™Ś{ęâ˙ó Äć ¨â­¸z +po­ž Ŕ€¨ ŠT÷|–BŹ +ŕ2ś?`ëŕŐŕ¨ćŁď8ÎK棥˙ó"Äć +âĂĘpďă~€Ŕ@,&8lwľ2Ţň +{‚_e“-:"†róÇYÍB)؛Ţ˙ó Äĺů:­˜yÎqŸú˙‹€ěđ"V“0ĽsQä(𖎩}ř“ŻýŽťúvOö÷—P˙ó Äč čć˜XzĘpĺĆ˙cÓƒĺ+řšHĐhs˜ˆ‚f-MYů9Ĺ-XŚś¸ăË­_˙ó Äç +âž0ÂĘp”i-żůP€aŔ&”UJݍPIšP‘Ś 0LŢŮĘIôZQ˙ó"Äĺ â˛XyÎpżŕ˙ú˙ŕŔ Â1šóP(4&Œ9?i8*[şŐ‰ęţŢŻ˙ ë˙ó Äč + 杸zĘp=ĺŔ*lŕ1`D1xźŃÜźŰÚ Óë‚—śT<Ŕ×óşŠ{oŁ˙ó Äć Á°zDqF?ö˙ɀ"űÚŔĄƒCXŸ Ł + ŹN'ť‰†e”ć\ďíQ•ŻŁ˙ó Äć €â¨Č{ rF=G}` +C /lHć'K“ÁT‰‰ ŽJ&?h‚˘¤Ş×9ňż;˙˙ó"ÄßčâŹzĘp˙żţŔ8°Ë›‘‰9yjŞ…`ł%r“ť‡Ň7ż Ýjżü˙ó Äă :˝¸b„qß9ĺ€Àuß/Ú5¤gŇńDj zămœYˇo"o?üßô˙ó ÄĺĐâ°PbĘp˙…&â0ľg–Ş…ŇŘ˙ +Zxch)hŔfg4Łjœˇ­1ďü¨w˙ó Äč +I:š¸bqŠţP&P ą%H?H5‰¤TŠÂ“ÉďbŻ]ä÷˝üdß3Ěo˙ó"Äĺ XâĘXINpé4;Ö{ˀ‚gUë8oţ_ßđO˙ó Äć y: zŽq÷zŔP +ˇXmYç$ dô +m+IŠ¸TĚľ U¤ä.Ţţażŕ˙ó Äç Čâ˜(ÂÎpŸÔwĹˆ }$ÄŁŁUežźÚˆĚA(×S …™ËCSuęp˙ó Äć Đâ Xz +pÄ˙?ďňŕP +A—îNžĂoŁŽóĽăPŻfÔćE•ŽŒ÷í/ŤÁ˙ó"Äĺ @âŹXzĘpëgŹ'şPęZÍżDŁ%…>#‰9 ƒä7ińüt]Ľ#ˆę÷ ˙Ü˙ó Äč +XŢĄ˜zĘp`˙üwԀKJňş&á”äŕŮg,1–†*¸&hąkˆćűi'…ż˙ó ÄĺĐâ (ĂDpŕ‡ů˙.Š€uřhřŠ2¨ťEL‡hě3“Ĺ~űEY=ď]Ń|ŁŻ˙ó Äč +â˜8ĂDpú˙ŠůPč?YśüJ Áápabz2ęO}C‹ďŽ˙ó Äć ¸â ř„pOŞáż€”n€ +$âÝH™$ŮP” 0#eű,×Ɠ +íç–üŐc˙ó Äć áœXzÄpĂ˙ň + +3ŰlÎó3nŠL,XŠ(c‡Ń=Śł[gKëă˙ó"Äĺ XâœĂJpő +űü ÉŔezOěт'™‘.ÜRcx8Îc^mzyńŻĺű÷+˙˙ó Äç Đâ XĂJpÔżŔ@ŔÍՀ:"v*eŹż +ČĐSJ< Žgˆ•`r}G‰ĽZőGŻ˙ó Äć ˆâœXzĘpœě47čŘ +Ä°l{‰JJ|‰°š¤Štj@mJ™î#Ě$9Á-YyW?ŃÁ>nĄ˙ó"Äĺ Ľzq›ý‚żßĺŔ¸ˇqüáŤ1ŤiœTD­ëÄ|°hÓmo*émÍú+Á˙ó Äč čâ8ĂJpżÎyP€—–Őƒ´%2Î"c8TđpÜDÍňĽÍüÖÍó˙ق˙ó Äç Řâ˜XĂÄpŻŤ!đ`” j!FčZiĐAq@s_N5 +Ů áyĄ9 áj?§7 ďü˙ó Äĺřâ¨xyÎp3ďő€Ý€|“°ýç" d$¨ŕ+bY1ˆÄäFrÜšţ´éź|ˇôĂ˙ó Äč +0â”Xz +p˛5žň€€ v­Dą\ŕrš”H“pÍçˆKQŚ?°ÍŸüý|˙ó Äć ¨â”PÂpkĐ3îň €NP4żB˛Pŕ̀$¨ Ɩq Ńí[řš˙äĐţż˙ó"Äć Ŕâ8Špú +÷úŔ€Şp!Šă'ړ B„LĚ°Šař‚˛=]¨ż¨˝˙ôéę˙đ˙ó Äç ŕâŒÂÄpC}őś Ňŕf#3-ËÚčZĹ~,ĹsDHüĂGgGĐ˝ţ­Ż¨˙đM˙ó Äć HâŒ(ĂJp˙ˇ¨ż@€ƒgĐ IĺéB%"RStŹT ä‹žéŃÍýuWčţvŽ -˙ó Äç Řâ”XŽp¨˙Ź€šĘ[˘"đBŮEćŰĐ>;ĚE!ŐyRłŽnク§Œ÷˙ó"Äć +╘zĘp +o_›˙"4ß’Łá°ő w’š E a˜* ˆ"ĆGľ?˙…˙ó Äĺ ⼸bÄpöôo`AKeKú›‡Ă#G[“09 ŞĺVĆ8ëqĺÖÚ 2p§˙ó Äç +â˜ŘzÄpőő€€šž_\Ćb t‚‡ĺâ)˜'ő…óőľ9gđtĐ3í˙˙ó Äć á>˜Xz„q?˙÷ń +áđśt\~+čĆmvI< T%q%/ô?˙ƒúˇü˙ó"Äĺ 0âŠaÎpú6z@G&yó:źDŞK`ě+ÝĽřĆN˙´Tn7ýc}˙ó Äč +A:™˜{Jq~_üD€)Ź ›˛“((q*wj +Ąř.÷(ŘńćżZ˝|i˙­˙ó Äć á>8{Jq÷˙Ä@Œ aŽ’Aqˆmˇ<§Cž†&Üݭ坍ćœW°˙ř˙ó Äĺ â xaJpű3Űiąĺ™č4¤a-ŒŤťŠ\2ŕ\b|Vؘă­ßŠ<ŤúÔ7˙ó"Äç +a:™˜bJq˙o_ˆ§@fěËŐWcaŞŁ* +~:ůœ<“…pÚ +gČ%Ë{ő ‚˙ó Äĺ â0zÄpţŁýž €ρڥ"$7ě“Kg 3D&ż¸żő5ťŻë䟢°¤˙ó Äç ą:˜xb +q?ďč.d;UP˜^´&D ¤7I $>šgĺę\I˙ĹΤđ…>˙ó Äç +:•˜yĘqś?îň …­°$śOÇ´s1ń’K0ŰňŤâęNů.d ŕ„'˙ó"Äĺ @â”XzÄpÍ`cěţ €’`;\TEĚĂ´ ÄM + •Ŕʸěç<ś•4“Wń^a)ö˙ó Äč +>”xyĘq°7űü¸€cź śĺ_B„ädäˆ]’† eĺr*:ŽŁ^ţN Ä˙ó Äć  â„ÂÄpÁžÚŮĺ@ @먣›ŰŸÍÂ$ŞŰr”ÔxŻâ[řş‡ř~€ýŚ˙ó Äć °â˜řzNpł;ęÁëŔiŞÉ4}1WČäč 8áŮaÓ?Ayľď†ĐâŢ7ë˙ó"Äć ŘâœxbĘpŘ"ßip Œ’•ăÁ§IęŔĄ/­y§l´;ńâä”í[i˙ó Äć  âĄzp*­ŢXŔ‘đ1+ƒ† Éđ“wb7SĘ +v&ŽŇÚ÷‡ňŽżę˙ó Äć ¨â¸z„p7ţßހ€ŔŰđ6×v*#î"ků*gH=“Ňshä(KŻŠş…Őýń˙ó Äć Đâ™{DpĄ™?ĺŔŔ îňΓ•H–Će +]k š.rĽ“ŰIϤ˙ăx'˙¨˙ó"Äĺ @â˘8zpޞ˘˝%Tđú’č¤ű–ţW9ç6`ě0'pJŰĎw?šFyçţOCř˙ó Äč + â”XzĘp/ţ7­Ţ Ŕť`,™„Ňp„ÖÉHnČ +gîi}&Şióx˘~” ˙ó Äć °śˆ0ĂÎLő;ÖŔ,™”ůŞ,ŮąÝp–Ç!!uŽe­ÇÂÝ)ůďňŢqdý˙ó Äć Ń:¸z„qžž‰íŠň¤č„ˆL?€I(F‡†Ç¤?? Ś×óű'ú˙ó"Äĺ P⥸zŠpŐłÔw)>éŐÁ ™>Ś!†'ąÄ3ŽjďýSÄǸ@w§˙ó Äç ů™˜{DpŰŤěx˜ŔŕTě݄ˆ`úŠčćŒm‚yv%6 ku˝ M?ßřcž˙ó Äć ŕ∰ĂÄp7ƒ˙Á0Ŕ§@Ë*8c9du] ĺ‚Lˇ°€c)uÁBb8řƒgö˙ó Äĺř⥸aĘp˙fßꀹ€ú +YěëĐłCĘőś­P`x{ŁŰŹ´-ü#íáß˙ó Äč +`捘{Npôx'űˇV€IŔŒěź8ŰŚsFčJĎeĘŚ¸!uŠ~™iřšćnŢ˙ó"Äĺ 8âx{Jp˙ł‚—Ŕf~Łö˘a4关!a7瀘pŻ§*@ĺ/Ę +ąý_ů\˙ó Äč +):x{Jqäb– ťřŁŸüč\a˘/Œ-ŕ đ–6éÔąoŰRuţđC˙ó Äć ¨âp{ÄqĺŢҟ$ N_Ŕťc +ŰĽ5xęa>Ń@™Ł@ËéÇŘűi3cy?Ň˙ó Äć ŔڕxÂpaőżŹŔ*nw!ÇŤă2†bkihFœ@>˙–%öVÇđßĺ˙ó"Äć řâ•xĘÄp ú['š1PŔkđ ł+_ůůäÁT0ÇM6ƒfžŽF¨ôä˙ó Äć Ŕޑ˜ÂÄpˇzŔ -č~ŻDW ÓÖ˛va…ĺ1„ +ԚłŘ˙łësčŢŸW˙ó Äć ¸â™˜ÁÄp¨nç~á€Ŕ +jŕŕ"¨Ďĺu4g,P čNĎ*f)bó4ĺo˙ó Äć ¸ćž8ÁÄpMŞ?} h5VĽŮˆu&‰0íŻ%=¸#ß)dői•úţßşRođéŤ{ˇ˙ó"Äć pćžXyÄpő¨ůţ°Ŕ—đ!)#8Z4@™Ą]č HzžUÍowj/—ú<˙ó Äč +`敘ÁÄpűÖŕ :€€ Ŕ‘z­!Â!++ľœÂ`ěöęď^ţt9ýˆŔ˙ó ÄĺТĄ¸z„LÇŰý@€8Ă:VńWĄ5ČluZ̨Bn–n¤L0+ŘN'嫔ŤM)˙ó Äč +XćŒxĂJpô§-íŰůť<śšyře—F + ÖkČE- +bţŻîĎŒÂâE˙ó"Äĺ X晘yÄpęΆ"ţgţPƒ€ĐĚđöÓ ‚âčE6œo"귏X™¨Ä_gC˙ó Äç ŕâˆĂÄrRW˙PQň˙Đ8€1–˨f›Ĺęä Í*˙ˊv+Ëůżüžz˙ó Äć Đ♸yÄpˇ žl€5v@ŕ;eYÔłúR“˘•Łű¤ĽżüŘĚ +”˝Gý×˙˙ó Äĺ â˘XyDp +wř°‰+~â˛wá +š‹çK Ÿ8žZi9Óüޢ˙Ő˙ń˙ó"Äç +`şˆČĂĐLM¨ý†„0Ü-őxľ†ZcŔݢűX“ˡOSe‰$ ?ç×˙ó Äĺ "¤({qóOp›âÉ8~°ˆLkˇ¨Ëf€:Ó@ĹR­uŒÂ-ÔĄ)ňčśâ˙ó ÄßŔž˝KÔLH„?nţ›ĆKYĆmWË +%éţ’É&'Ľř~< +"4v}eFŢd{Ő˙ó Äă°žš¸cÔLňCâ?‰€ĽÜB3>BHĹwJ´ěźOAâtc8xÝEOë˙Ŕţî˙ó"Äç čž ÎZNoýFH(~Ą˜’ŽnĆ!˜ +Pb‘ąDňh]JZ•–îDů?ř˙ó Äç Á¨XÂÄqڙFř„§1q Ô ěZ §|gN/1ÜŞ„5D*Ÿˇ˜ţf˙Ŕ˙ó Äç + ž¤PĆL˙ô_ý„Ý9°äĽ€7î48ěˁhɄ N–uh…@žÎô–ţ˙€˙ó Ä娎°X|”Lz§¸˙Ŕ$uç‹0 ăŮ=^(“cşldÓťœ=á_˙ó"Äé +ž˜(ĆZL˙?ţ¤˙Ô`€:|¨K#Ě,%q}@ GI‡ćG[A˜m˝ z?ţOGę˙ó Äć ¤8ĂDqOȀ1¨Ěقň>,Ůîaq>Â1'łő’†şę ż„›˙ő˙ó Äç đî¤XĂDpúůp;šŇqŘxrŤÝšEa4` +ŘŐjjî +ďŕ"Ffóţă'ü˙ó Äć Áœ ÂÄq ˙¨—¨>ú×_Őö) Rž<¤‰/9ęY˙äH +[ƍʳ˙ó"Äć Čîœ0ÂÄrˇů>ě‡ÔĆ7Uó¤ś@ŞN…ĘęÂĽ Ŕł%<Ěł˙ćBƒUč$ř¤ńÖ˙ó Äć á PĂDq˙!ńЇşÍ"oęŮI6¤CU 4‚HžI‹Šp;ó†ú_ř˙ó ÄĺČî¨Xz„púÎfţ€áşĘîXĆ +Ł/˜A(Ĺ(}–+n{ŁçţÁ;b˛ţOü˙ó Äč ŕî”ĘĘr†z‰|¸ŔĹŔ‰(Ňh%ŇڂşP’Ő‡ă AÚ`flí(y†Oů˙ó"Äç +8îËĘpýÍ˝ü˜Ŕ?Lö _B†L`ÍŃ:t íH)–”ż˙4:n:E¸ŢS˙ó Äć ¸ž ¸ĆLrÜOę;6ŠŸ†fžVYfœř +3<Ä°Ăě/łĚ‹‹ë:KřD_Ó˙ó Äć ¸ž˜ĆNç˙Ő?řŕ‡ ăŚVrżäĄÇ+Íb۟ŽtŸřfK&47Äĺ5˙ó Äć  žœ8ĊLąčů¨Œ‚\Ť hœ„ˆ#ŠˇÚéW4ő_rg>ö>i*eEÝ?˙ó"Äć 螘ĆLüŽv4Č€ üc$‘‚*n*łAŠň ’\ężuvç˙Yç˙ó Äć ¨î 8ĂDpIü˜@řBŃÂ(C…$˜+ČŞ@,V4'9ˆ}ŃRCő ˙â1…#˙ó Äć €Ž˜ĆNğ1ol!ŃOâ T`xŻ#Ń4BŔÔMMë>Xľ˝EžQk˙ó Äç +!”ĂJq˙O?h&ů€ČОŸ‰á5Nö—'ąsŻYZÖ<$‰ ţ ëŠ˙ó"Äĺ Ž 8Ć +Lľ~#Ŕ3jş—BŘZb?¨!l~<”Ę‚'ŐŽT{ăáßĂ7ţm­Ť˙ó Äč +Hž”~L"§ýP‘9~(OŃŚ.Ä4F'd°*œZՒ_ř3‡V§ü8ÚżĺÖ˙ó Äĺ螤X|Lk; Fů 2~ô'H¨4,eA3 +ćŻLTt +ˇŐçЍÂݢcÝ˙ó Äč Čž˜8|ŠLžő|˘XÎ~#â tů,,}˛°ŘľŒRešwb&ŤÂ€žAb~˙ó"Äç +`î˜XĂDpUOęwQFZ†[(Qƒ-CMV(˜âwexMFrZvśŚxXK~*­˙ó Äĺ Ž x{ÄLůeyOQ0ö]’GpŔKŠxŽf€™S?Â{lkţ"š +ó6ĄO˙ó Äč +)˜XÂÄqěő€ú‰őşma—AëŔßńj–]°^ľeG +ŸäuŒctJgř_QO˙ó Äć ŕîœXzÄpß÷˙ă€`=ĹóˆËDžes26ŠĺîÎęWÚňî†Uc|Düw˙ó Äĺ ˘şXJJLr|çŠÇĐL"„„ç+ÍS¤ ZIťr†ĺËQn/4Á¤Z oˆ=NŇ˙ó"Äç + – P~JL§ňŁ`Fu’ž ‡ZÝ~Á´qUi„ťî#%ÄűXŹl×ř;˙Á6ŸŰ˙ó Äć ŕŽ˜~Lńţ*°7U[!ĹyĺÎsč›z]Š™“–łý +îł.=?Ë&ż´Ţ˙ó Äĺ ž 0~DLƒř‘Ţ€$3 É?Ř{ŤbJzlƒz)ŮĆ-uS¨çFŔ­ĆÔý˙ó Äç +”ĂĘqô;¨TĆë¤3Ň°I YŻR6(€;ÄĹĚĘ „˛ĺ‡Ť7öż˙ó"Äĺ H˘œ~JLďţ/áĐoţĽŽĂśąd¸¸TLĐŞRĄëŁ|¸@ë“őOďűc˙ó Äç ˜Ž˜~ +NŤY.Ä!Ž(’âžĘpœKß$ORÁ˝ťkŢ|ŐžŠÉg/Ś˙ó Äç ¸ň˜ĂÄrţ‡zL+dEň“Ż2ˆ(Eđ"Ô,2IĄŕQ•É!˘XÜ;v˙ó Äç ŕΘĂÄpýąüżĘ€„1šAöMdhh˛ˇb̈]sŒZüłAľ?gÇđ˙ó"Äć pŽ”~ +L~ßž+Éý ăŔj‹  0 +›¤¨WźÎˇä„ÍňÔ?œÔę/˙ó Äč + îËDrĺ~XCš’–QLXD“ĹŞÝQ$BkOaZͧWöv…Nń×Ű˝˙ó Äć ČʘÂÄp=Mů”h 8fŽžE nľe"i‚ž,Ě5Äń‚u°Źyy›e_č˙ó Äĺ v˜0~Hż§ĺ_P#–’ć#6ŽkŢ`p’1fÂĺňŻÔł7çz .fĄţQń˙ó"Äç +ΌĂDpŮ{ú>‡VZŕ~L^ZŘĆ&jNű—iĐŹ =Qv ˛jćo?Ű˙ó Äć ŘΌĂÄpő˙âę*Ť +MŠdIC@ÎFát•dbaXűpT3¸őOrî‰˙ó Äĺđ–¤X[ÄL_//ô€´zÓ}وVš3şŢˇŔTK$t˙šC‚WŠýGŐxĚ˙ó Äč +@’˜~LĽüŻÄ€ĄţźĽPYâËRî’ÄM28zJŘsó˘ďO ˙á_˙z˙ó"Äć ¨v˜8ËÚH‹ŠŇż@SžôÎbJČ8ć,¨84…€!Č—™1†ĹlN†ßö_BÇ˙ó Äç řŽ”ĆLœ°ťţę€ Wúŕâo=…˜ČRŔyůq_–LÍřĐĘhţyN•ž–˙ó Äć šœXÉÄpľţC]y.ŲąTó›1KŽQZľ[—¨1NÄĹüxáeh˙ó Äć Č~”PÄVH_‰~&Ö| cľ' +4pDŮ{(Ä% €n[&銒%Ź 8ađ ßs˙ó"Äĺ X~”8ÎHҡŤ.˙$ +ĺ4'¸p!C7'’0'-ćŕ¨7?ŕO‚_“†[˙ó Äç ¨Î”0ÂDr ž]ţ!ăWŰčô…đ†‰_W)”š†O˝5ŘěH—…•}Y˙ó Äç +–˜xÄNLuŐĄţDł`okÉϐŚxłUÔu šŕŽ™’Ä‹Ź%˜ĽČ7‚_˙ó Äĺதx{ĘLŕÎ/‰€-ĄĐÉ{f¨čŠ'ő_bŞÍÍúF‚řc’…ő˙ó"Äč +8Ž˜xĂÎLŃĽţ€ kňš0w ŃâĽ8А5XŰÉÄ +ݝ˘Ôđ OQËV˙ó Äç řŽ”XÄJLf‡ř„ňöćĹŕĄÁ:ÂS‡…5^ +&œšXąĺŠ˝#§Â—ĆĺŢÂ˙ó Äć Ŕžœx{ÄL÷Ööt€_váĂŘ톾DqOÜ÷x‰÷äQ˝~Qf”:QW˙ó Äć °vXĆ +H?KüL čöĂ '­yÀ~Ë:P -‡ĺ8A\ô°TÎ ă4Ę˙ó"Äć +ƐXĂDp•šŮGů 4ć mńCŞ— ^xoŹ‚Ć)3˝’ŘßŔҜĐď>˙ó Äĺ஠x{ÄLˇt?%€@=sóůŇíŕăÔbŚ†fůˆ/7ü2 ř—áĆ;•J–˙ó Äč +0–œx{ĘLî—ú@˙¨ęÁ’ŽÇš'Œ@ÓđzČËxDĄŻĆ›ŻSŇ'u´˙ó Äć ȎœxĂĘL¨Ýgâj€˙@}šéőÂ<˜Ľ°e<ĽÇ ZńMĽJ“yWřë×íQ +˙ó"Äĺ 8–¤x{ÄLĄUV€ +áţÚHT1"7ĹÄ}'íeQ÷ŕ€ă¤ÂŰĆ}+qܲ˙ó Äč + Ž”xÄ +LĽÓ• źŕËW1 +ŃÎ)œ]l +”Í…lcB`nPŤ+o3zs°Ő˙ó Äć Ȏ˜xĂÎLü˘čBĂ ŕ}×Aé͜8m~CđęŽL-Öł?‘[ˆü&9 m˙ó Äĺ – x{ÄLçÉ)@×ŔzŸŹů„+€1¨„ů•É@}i,šŇ4[Ÿ•˙ƒ~íŢŰ˙ó"Äç +v˜PĂĘJ™ő˘×{őÝŞ8ŰSPĹO‹:¤(ˇŕ´Ş>/Ô|ŁęĽ+˙ó Äć `֜Xz„pw§Ë€ÄzdŻŹÚ‹Ś(w)Œ>:9úvÚöll~f‚s59šMk˙ó Äç ŔŽ”0ĂĘN"Qn˙¤ zFÂ`Ü%`€đ—Aj Ýo5*2éy‰go"˙ó Äç +Θx{Dp^`(˙ĺxd)Í^9 +Aëňœ×Ěx +ÍJ%ňőŒ‘˙ó"Äĺ ’”XĂĘLEÝ錀D"Űđ>Őós +z q˝TOĄqą@Űĺů„_ +^›Ć‹˙ó Äč +a”XzÄpüçQ°‡x¨UUőŚdąŕŇ튖,1j€§1Ëz™áCÁc˙ó Äĺ ~˜řĂÄHTť¨ )=î>´5çg~: ŇÎe§H~cž€ŕŞ˙A7ôčéŚő˙ó Äç đŽxĆLç:ƒ€:í™:ŘYcMM™FĚp"W$l6›1yÂDš/ůĂ3q˙ó"Äć ˜nŒX{Ň(úmQýnô€ß ńôú„ä‹‚WŤuíçk čśÓ{҆űńž˙‹ßÓ˙ó Äç + Žœx{ĘLę, AŽ%Ć46Čp6ÍĂj´GH–HĐöž’7PŽšmGc_y™W˙ó Äĺ Îľ¸yĘpŹv‹ú>€8˝¨p€°* +‰„c”r”ď=ď/m~éţž őœËß˙ó Äç čИÁÄpŐę 4<ƒě⊭O…Áüád +AS9ž=š vśoĂ9nŃ/˙ó Äć ˜Î xÂpb€–€N„ƒĘ 8IŠiÔÎ[.s-goťŠŻÇóˆK +˙ó"Äć +ΘxĂDpÓF—I€˜úäĺlSŸ*ÂǑR*†!q`#1Š0/ÄT,ţŰöŁ˙˙ó Ä帞œPfJNƒaMHHő—›`.%Ľ\I}Słęu +zśĽ˛ĄxNÄŠü˙ó Äé + ~„0~^H¸ç7@ŤŤĘjĹj˛~3XŐ3‚¤ŔľAÇR͛ kŕş:hŇÁ˙ó ÄĺřŽœxN +LnUţDę e‹Ÿ3肸lrČ`´Â(†Ů‰ŇrAIZ)?swćo˙ó"Äč +Žˆ~Néź߯ĺ€;mŕŕoq`)š1ŽŒáǘ˙2ˇSxw,ԁU?˙ó Äç ČŚXfDL— 9vôíÎźq‡ŐÄŞK “ˆQŰ'´ rÚwňˇđ}fŃŻţ˙ó Äć €î˜xzÄpUű ¨ë‘ěŚ !°œ“ř0‰|ŹbkĘcfĚś.ůěŤÖe˙ó Äç Đ~”xNHH(§őżŇIhńJꅈƒś'˛ŽŁŮŞš‹…Mhýě{1ŻćőŮ˙ó"Äć °Ć”x{DpłźßiÔ5Rô›Bp•m +3ń@>˜ŽůÁýeĺČť?c”ËH˙ó Äç +ƌXËDpíe,Ě +­ŰSŠ}`Ô}”LšZOŚŁ(čăŕA‰Ç4Đ°bŤ˙˙ó ÄĺđŽ”x{ÄLőŻWgč5żč€˜Ě\ˇ řŤČĺ¸çx‚­ÁÉ16îBç˙ţüĘö˙ó Äč +(ƐxÂÄpŇŻ˙AžsʀdŠCşÝŔĺ +‘$OˆŰc +Ę îҍ˜Ă˝y|ý˙ó"Äć ř~„P{ÚHÁ +ęw–€@y0˒”'Ä2CĹúa&F†{Bş÷Eţío˙‚˙ó Äć ຤xKĚLďő€ő° J5 ĺ`~~¨`swą!ťżĚśwwş™Sävú˙ó Äĺ Xć˜{ +p8P;×ôŔŁÎ˜16ÇŁšČs¨Ú3śˇĚčQ˝ŃŰŰÁ˙ó Ä޸â ÁŠqAťÔ;řfFÉ,…”€R8đk#VšŠ÷g:„ŁyŠŠíŤ˙ó"Äâ H⹘y„pˆ=Gý`€)T Dt3MŇÖ6œ#‘‚i’’9IéĎP2(ʞB˙ó Ää âĆXIDpűx/ßčI!ö' U>ÁĚŞeUţýżśRŽRu3R…đ˙ó Äç ˆâŠzÄp§ůĎP&ˆÓ9˛']řr^ä*ş0$ăÓ`Łœ°ˆ6eçqŻgŽžF˙ó Äç +âąaŽp˙pĄţŁž°;ŔIŽ“ ­aÍtTŞY…0ř "ď´ÓŤ˛˝üÓű˙ó"Äĺ 8âÂx`Žp…űý@Ę n_:D…°×wŠŚ@X_ĂźŔÓĚŚ0}ŮîͧŢßß˙ó Äč +â¨pzÎpŕ‡űü°ń zŸę›0Ć;C˝mš”yËIÔ ˇúţţĹč_čŚô-Ü˙ó Äć Ŕâ­zp, ýŢTŔŔťŕ<ęâüńÜ=0/šéPhň Š1<‹‡œrĺ[ú˙ó Äć hâŹxaŠp¸đŠŢ –˛6&Âv˘ƒŔ™%Ó,ĽP1.=Ű̍üî˙†Dk˙ó"Äç +`âœPÂJpx?ţ?ßéˆ;wŚŚx/+—Jr@ZÇRHB_Sš2ůßpů=˙˙ó Äĺ âŹxyJpřo­ţ€Ňŕxf ‚yŁ#2&CXŽA‰ŘČŧ“„îj#ž^éřżú˙ó Äç čâœXÂDp˙ˇőÀ/Ŕ.őˆpŽfxŒ§ŕ}'Ń58x4ŢL‡†Ň[šrŤHŢ˙ó Äć °â¤XyŽpoúŽŮ fĂLB†R•*Š~]$V†P¨¤Ž(PPŚrúţőĎáCď˙ó"Äć ŕ⹸yNpżaŁţ˙@`.ÉWh˝RŁg­}UÖŇ +řŻ†ě"~Śœkňv9˜5:§˙ó Äć Ŕâ˜zÄp‚˙Ïň@€1°AX‰+‡vvab%qM„đ‰ig3Ż{Ţ7‚ořW˙ó Äć  â¤řzJpř„ŽUáŤźp,Šěű€˘ěÔ˝"Ç ZÉś‡Ď~~pvźţdţ˙ó Äć É: XzÄqÁݜˆÓv vŔĎrü“ˆŹŹđŠłŞ + rĂŁm˝4œĆTŽăż˙ó"Äĺřâ¤đzqL"ď,&|Ô­ĄúäŻÎń“3d#ŔöaWťúçш0Š+âö ˙ó Äé +hâ˜Xz +p űšńtK°n'4F˛Bń¸]Ôyܨ†vŐÓvŁ_Áýęc|˙ó Äć ŘćĂp€)HÝ,ý@€Ŕ.Nƒo +:wÔÉW"c.ŢĘcYWB*p‹|kŁ˙˙ó Äć ¨âœxyŠp÷ ăŔjłaaĎÔăÔ1@˙0XOwm^­üŠ2SíŃΡĐ˙ó"Äć + ”xÂÄpƒm'wŁEŔÚ¤(FçAKeđlZ;jż"¨g,°=!Źď˙ó Äĺ薜xcLt]ĺŔďŕeHđ3šIȄl–9OxÄűl¸ŽžyHrŤÍ EYź­˙ó Äč Č^˜xƒĚ(˙A˝gľ€€ĺ€eO9ť3t…FCXO•ŮSq›)ńÔŤs˙-güú˙ó Äç +˛œx{ +L˝úí b!ę&Çý”‘@”ŃXŒWÜ;F .ӈś^ö-ţ ?˙ó Äĺđ˛œđĂ +L$Ś‚'ś°€”ż€1 źˆ{űśš8¨Ó +8xfB´j†§éĂý˙ó"Äč +˜ś”XËÎLś‚é÷ŕjn äŘŁ”`Hk¨Ĺg +Ľ8ł űî2W÷'ö?˝şĐ˙ó Äĺ n™x~ (ˇĆMÄ)Ŕ*ě˘)…Zędü Ă'Ó@I••ŠŇo5ĆWđŠýóź˙ó Äç +â˜XÂÄp3ŠmPďŕfɒäŚé +‚u +ˆŢ$(Qq§ş”>î2żDFü#ů6„˙ó Äĺ ćÂÄpÂO‰ŠE‚ŕ1úŕcxނJuľf;=jenl§8­ů™­ă˙ó"Äç +`â”XzÄp> ŸŕeYđg9Ղ0•âí‘ ńNŞ ž€c6Ď˙ü˙ßޏ}>˙ó Äĺ 漸yDp$tĚĄ€™›p4줧aşŐ–Ď]1‚WáĚČaŢö#ßîÝ.¸˙ó Äč +AœXÁÄp!/]uˆ Ŕ;‹9Ő Ţ8Ą@9Ń"Yüěpé~ţׂÄzC"˙ó Äć ¨Ţ™˜yÄp›ş €¤¸ B`+Ç*ПŠS„|78­a7yVb˝_B+TW‡˙ó"Äć +ޔXyÄp;Nœžwu@paBZĄ6-d3&Ůä¨Ě‹ĺů&†őÔoÝžQ˙ó Äĺ`śŒ(ĂĘNíH€§ř€řۆ=Jű‘¤8˛PK•€#3¤ ŐŸ™˙3>žŻď÷đ˙ó Äę +ĄXÂDpĎžçĄÝŔc JčqbÔ}Ś+Ză<É0RMč|ą> +|aň˙ó Äć Č楸a„pšoFé-D€ +´ˆ9-ÄMý¤ę}nyč(ůö¤ü=˙đę•'ß˙ó"Äĺ ’˜đ{ÄLĎę>˝`€ś€1†Ć5hhIż1)Đ2ť­ŠgwżŒ] žŒČČŮP m˙ó Äč +X˛™{ÄLŐž˝ )&ľ”ĚBf˙‰űqS +V–L=‹/_¤ö?˙Ídćúř˙ó Ä娜 xcÄL7ŮşÔ­ŕcI”#d ł)ÄHلŹŃĐTYűĹ'ó¤ůý˙‘ez7ť˙ó Äé +Xúž8zÄpě ôˇÓ¨€ŽŘ +U¨\aÔżG#jľ.EŔ°?vAžQßő|orů˙ó"Äć +ş”XĂÄLüdłŃ€€,  =|…Ü_BkiƒdUĺŰV“Qńž’\ ă§ôçꃜ˙ó Äĺ ćœřzÄpVéW‹”îŕbFőRě^6ä°aPW^4¸_Të]¸ +WGëuńşň˙ó Äç ˜ś¸{ĘL[3ź¸ěŕ{ľŁ%< ˛Œ¨+폘#eöŒ“óšý˙ţńźÍę˙ó Äç řâ”xzDp6ÍóG%ŔKŰ%ăŔúĐřŽ-`¨†}ş–uVR䆗љô +˙ó"Äć đޔXzDp/îĐí f@ykAł6;„Śm_źĽ=•ťŒ-‚\t¤ŮÚ¨vDő6˙ó Äć ˜âyÄpą+îő€ŔƒÇSâěŽ4‡ó˛Ą%9y—؆Šň ;?Błh˙ó Äć Ŕś•{ĘL'żĐ† +‚@%NcôEÍî[ӁĹ:ť˜@ řÁčMöA˙ó Äć ¨˛˜X{ĘLM›ŞŇ‹ŕzńčś `pôŸŒÓŐĘ ŒŠÉâՈ IBbJňÜŐ)ĺ˙ó"Äć + â”XzDp>›­Dj@€—`*´Ź•Í;˜Š¸­nńˇowmJFĄÇśo—¨/˙ó Ä帜Ľ¸ZÄLő7Ԁšŕ:á>e/—ś×§kń“' ş°/aZ E놏ń´âú”G˙ó Äé +ˆrŒX~Hű ÚôĐŔťđ!VrĄ7qŔ¨'ŸÎŽvŠ5t'öş§S‰÷˙ó Äĺř˘•{ÄLœulŐ@ +˙9<•iJđV*<–<+‘Ó›žŚ˙ŸúŢ'×Ýň¸˙ó"Äč řśˆ0{ĘLC•4b5źľq^Ä˝]'[D!5úlKK)ť[/żÂČńúŻ˛5Ü˙ó Äč +P˛ŒXcÎL-¤÷ŕmĂdƒTœ"/ ĺŇŐ=:GwýqżŮu?žšˇ¤’˙ó Äĺř˛¸cÄL§śÖŔmš +†3Š†D{ń”şm<ÜŞžJęL¤ĘLYýY-/CŽžĚ˙ó Äč +8數zpZQŰP  ˙n<'#ŻXŠM!äƒŃŸ*žV?˙öż°ţĎĂ˙ó"Äć 躙¸bDLN:‘Ď Ŕ4ţľámÁ)ç9aU”ÁđDŁ]1ÇŘŻ^‘ Úş<-˙ší[˙ó Äć ˆŢ˜řZDp…°îČŕďŕQ˘š űOÓř°O(ŢŤ‡Gëbă>,žĎUá5Ü#Ĺ˙ó Äć 0ޘPzDrŹmŮ€ €hë‘(¤ńZć/‡Ľ5J_RűíJ`ŽWqţîŻ~Ą˙ó Äč Ŕś”X[LŒji ••âK˛čÎXX_ąSÂTŁ/= + ĆĘ!H‡_g˙ó"Äč + şŒX{ÄLőĄ*€¨ĘXiIžÍÇ۸Wđ"6?So`T•Ćƒ0ĚR:ÚZ˘E˙ó Äç °â”xbDpďoůĎ>  ÓÖÉŹĘŤ>Ć­ +Ő{;[˝]^Í6q>¨$ţąGßÇ˙ó Äç ŕ–|ĂŇLůÂy€/`7YőLĘ K(8˜&”ęĽ÷(Pl\u!ů@@‡Î(ĆSŠ˙ó Äć ȲXcLo:OţDh9xV? +Ś‘ˆ9…B­ľׂĐă<ž0ߐEŽqŮ˙ó"Äĺ ˛ř{Ly<˙Ѐ Ű•˜ {ՌY¸pdDlčN¨ +[Čhv;ófÎňÂ˙ó Äč ř֙zDqEëÉz@ +˜ +şŽŻé¨]­źĹé2"ÎL¨ć&•Á?ůżĂ˙‰˙ó Äç + ˛ŒĐËÖL)܇ő€äŔo㩙VÎ +e´ é4´Ć/ɌĽŐ Ë(ĄërŻň?˙ó Äĺ ˛”8ĂĘLńvä>  +,*¸îŔWëÁ÷ +ń€ćŰją˙*h9P0Ô˙ó"Äç +0˛ŘĂÎLä÷‰–­jŹy.ç§*ˤɊ$Bhć +&’ë&ü:fł˙ćgüř˙ó Äć xrŒËŇJŔ7Vmň`ä~ŕŐ% +áRŘ"¤–G­ëz덛^á 8ĐÄoňž˙ó Äç Đś”řÂNLŇZuü +Ül(‰ˇł/P2Hţ— ”Á8`xŚŚ ŠĂŢĆ˝ž˙ó Äć ˆÚ8ÂÄp<ë!Ôh™hëɆ:óËŹ“%P”˘Ü˜['•ĄD…ŠŁ|Bݝ˙ó Äć ŘڐXĂDpyň—Kş°'Ľ}Hó7ÔŁ +â†SÔÍ}l 0ľ*/…Ć-ęzçˇ˙ó"Äĺ 8nˆ8~(ş°€Qżđ3 źĆ‘GœJţ…uŹŕ:ÂÇ[ĺŐú—ĚzťŁw˙ó Äč +X֌XÂDpAűnҀvŔ á:pH˝ˇƒŹ5LÜć8b ă UÉô,üÜ^si˙ó Äĺ ’ŒXĂĘLVí‡ôN€€řýG—ëé´G*qZ…Q.%‹Î1)0@Ľ­Ľyacš˙ó Äç +˛„PĘNLý'Ť0‹yž´Ctż‡ŔÄŽGZÇFž˘Pć;ř.ń"ŚŰ˙ó"Äć + śŒxĂ +L˝†ƒßúX,UżŒ˙Ź›tÚu䟿8í’ú(çkĘk,Ŕ0tńUsÁ˙ó Äĺ –”XÂNLJŸŐÖ5żÂżň`ű€UÉÁ)ĘŤÄţD°˜z) ͘őÔZÝ_(˙ó Äé +8ţ™¸yDp‡× o˙ţ +Ŕ€; Š(z°ęđAQtđÓ:Í%–BőuŸ˙aTř˙ó Äç +Ş•zFM#˙Ť˙ƒp€ë€1y +v1v@7č9¤K\g€=,ň?úta{Ł˙ó"Äĺ ʔČĂpńŸńŚ˙ œčůťĎ +7ź¸6›GWrÎŔRŹĆćn9_đTv˙ó ÄÜđĆŹ{Ęp˙ë˙Ŕ"?8ŠxIIÉ"§Q…çLAĹ\ś'ůX”×÷q×˙?˙ó Äß Ę°PÂĘpř/úŔŽhUdŽ+`d Űł%żm ┑Ԝ+5ë:š˝ô]ĚŞ˙ó Äá ˝yÄqH#˙ŻüŔ€$°1¨y›ĘXŤx +cüËΨ#ąBÝHÄ˙žq˙Á˙ó"Äă !˝ÁÄq?ü/ ň‚X4íźłUÄjsC+Mş!DôWÎĽç.T—rłŽ˙ó Äć Áš¸z +q˙W˙RŔĚ°Ń´†5†-db™âc2$÷`vĺOMˇŰ +Fkx'˙˙ó Äć Ů°xÂÄqW˙Q€Ş¨í=JĂąÖ=7€ěÝjĽ]" +!&y4ŽwR‘őmD—ř˙ó Äĺ ƨ(ĂÄpcŤ˙¨Ŕ€9ŕL-kąč#‘:(Ć*°I˜„ÇxßţÝń˙ó"Äç +YŹxĂDqŸř˙ř`Ü&I—ÉŇWÇrÇŇUëaƒü—WĐfŠŢD˙˙ó Äĺ¨Ę°đz„pęoőś–ݸŒ—ÝíżÁR˝Ťi+' +HjxЛE$nœ ˙ó Äé +™¨xĂNqŸţ˙ę6°r€H:d#)xpEuV_يSIš‚[Ósż¤Żú§GÍ˙ó Äĺ °xz„qđOéô p$lELϘ8¸ÇĎŹĐô¸9ŁüŽ˙‚?Ť^˙ó"Äç +¤xĂDqőÁ?§ĐLđĺ&M™ˆÄ—Ů>X,b 6PÁŕFžY”Žĺ˝8'˙ó Äć i­zŠq˙Aőš<đ7D‰J'ĚXQ„íŃHf7 {ř+wß)_ť•ÖŐJŕ˙ó Äç ą¨PzÄs“ý×@(,hR„$×ĹËj8u<á5Rɡ.r;ŇŁęĎ˙ó Äç +!¤x„pü3 ř˝+X5I +Z*ˇXă!˜zT<é!lwű“˙Ş~ţĆžĹ˙ó"Äĺ HĘ­zDpÓÁ7‘/_Ż$ÂéNH+Îs&‚¨([’rÇb™+~Źô”7Řá˙ó Äć ˜ňŹxyDpUžg‘˝§Q2¤ňË2–ĄP`i,`jBĆr…s\ŻŁ´'Á˙ó Äć ¨ň¨xy„p˙˙ žˇÇb´‘=‰ŘHaŚAŁŇG¨kž_~ďĆ!ąČHÔ]˙ó"Äć +ň xzDpżÁ:y7PŸ5SŠRčśvÍ'–!F’ǃŘoȍÂOwe$ĺ˙ó Äĺ ʤxzDp¨ŢĎú@;hŔDŽˆĺ ńzBÎ8ĽŔ[BŠměýžĎÝX•EŽ˙ó Äč řĆ xyÄpş‰˙ţ°ťÇR +IÚťOÝŮşŽ…‡QŕÜ…óÎUöí?{-Y˙ó Äç Ŕ’œx{ÎL,ţŁz€üšIY‚$eĂ-!°ĚeBŐ§ŒĂXEgQŞďk•ř˙ó"Äç đň xyÄp‡§Ôođ^°€ś  `– ™lˇţd brŠú +ÁŮEťfńjýŠífÓ ˙ó Äç řň xzp˙ë?'Ů2b@0•— ËŠI]~ÁoáQűiťű‚gä0"$•˙ó Äć Ę xzpüÚëŔA’5˘ŕĹ!xŽtMĆ”˘Úý9̄űŢ˝Žżť˙ó Äć ŕî xzDp|ş€}x ›ÔĄyQi´IćD(2i‘@—wÉ\|˝šŰTNű˙ó"Äĺ PΜxzDp¨§ę)Đ€Ą>a~qCN­’2Žh,Ě,N°wÂěßůkýĘţŁ~˙ó Äç + î”PzÄpŁxŁżPŔ6źő×°ý"×B̊—\“”Ď&őŻűßŇHjP˝˙ó Äĺ îŠaŠpËwë nž‘ľ”ŔP xzÄqË9ž€ ‡ťĄ´ť8Kڔ'7&˘ ™Čc&ŠŤ>‰ľýzŸ˙ó"Äć ŮB­zÄqŻý?łŇ:ÍÁ]/ä)ĘA⸌[Š2Ŕ§ŐžŐĚßFĆ +‚<˙ó Äć Š +ŽXb„pZsęü˜ s­ŔdáPʀ‘¨œvm‡ŃQ +0jmUë(Vaý‹$ Îí˙ó Äć iB¨x{Dqôe˙&>ŽȞݹg@1 +tŸÁAÇ +cE/ű"|_ˑÚ9/ő˙ó Äç +BŞXcDqű}Ÿ˙`€ +rŰ@ÉRŇúqtf6QűjNÓţiQÎ}(šGeQ˙ó"Äĺ ˘Š8zĘLoęüŞv\$Z–ĎVDF‡ŔW´ Qě‰{˛T Ÿí ´^_ý˙ó Äč +9 +°{JpžG˙Q€€!ú‘.Ř) +„ÁXyőŰ$Ř˙sŒÂCý|6?q?˙ó Äć ¨˘ x{ĘLFŻĐö2.X—iăĹ(NůÉ`Pšů(Ms߁…é_Ş÷Ôs:oƒ˙ó Äć x˘ŞXbĘL'’ËHů€O +€Źf!˛P~Däě< ĹUçIĎoÍÁ¸†“ÁŽ˙ó"Äç +aB xzĘqh˝ż×O>˙屇Ž]ľöŽxŒ’â×ěĹœčb`î:|üíŤ˙ó Äĺ袲XbNL IGőŔ$Ë`>šíIŠJbě@瞢ôŽugGQ JŤ[(ăě˙ó Äč +QB x{Dq_ŻŸú€¨wô™üÜL=L8č Ľ{—Lƒ €CĐojŒO“˙ó ÄĺřŞŽxZJLňYŠwH€Ŕ­l˘+ECĂŢ*äP 6ňľfůŃĐĂjůq›˙ó"Äč +`ʜxzÄpĹź–YÉô€+Xę¸N䡊ŘCGŞEU)’ +ÖR°¨Ş=›%AÍíö˙ó Äć Ŕ˘ŚXbĆLşVD€ X—Š!oM˛‚ +.Ä*,†J–š”­'/ĘßĂ.eţ +˙ó Äć ‰ xyÄpü“*qĚfBŒMŸƒ)aĚžŸ`Ł(–~Ętf} ‡08ꞏđ˙ó Äć ŚĽ¸zJLLâŢÝt€@ ľ+Bq”}a> cqđÜ0–0ÖźúřÖB¸fę +Ţ˙ó"Äć Ȣ˜x{L îÖÜ|„ćAÎd łIÍ4?Ăą᠜Ž#bîbĺkJuşŢ\J˙ó Äć Ȣ™˜zÄLüŽţGç +^$Ş- +TGˆő Â4ł˜­aÚťň,{šŻŰż˙ó Äĺđ˘œřzĘLŐŁˇţ´Säˇ/Œ €éN9ăáĂS+ç{ű +<Őťď÷ëçuŁ˙ó Äč + ʔřzÄp‰˙şŸH€cŘJ5 Ě$ţŠ9 ţ§ŃâĄ}¸ż>=Ž‚˙ó"Äć +˘ˆX{ÄLŸîO“–Ŕy׎aĽMÖ§ ú\:ôGÁËßÝĹÂqřŰŹf˙–(í˙ó Ä帞P{ÄLnëűßrŔ7yÚ&;ĆĄ­źźzÂşü%§˘Îć7 Śh¨đk{u]˙ó Äé +`ʌX{Dp9&r` Ě +Čg‹ŸŠ˜™'™„PĚ@XíDóx˘1u:o"ÍšQ•´5ŒÚ´ˆč_¨Ëѕ˙ó Äć О”X{ĐLżsý`€ľÜ&˘ľYBX™LNx„ ó—ČŁăYmJ‰FđjŽ˙ó Äĺ z„(ĂŘHK)n‰ŔĎ`2ŁI°eěř˜ôő¨ĺIÂ5ˇşČf“cˆ’%˙ó"Äç +Hjˆ8{Ţ(?BU2F.Xs*T4ßD8_ą˙Qŕ™řŞ˙ó Äç +~‘KH[ž“żX€żđAiH´ľĄQޑyŸę7(kňŁĆHń)É˙ó ÄĺjĄ¸JF(ĘOł ď +áJjN$ +‰¨lĹcHŹ¸"Œ’‰Iľ–˘ŻDŃŃ˙˙ó Äé +iBxbÄqAý?ôŔ Žŕ8> HIѡ"9Lhˆ"pËФȜ`$Ž|˙ó"Äć ńBšXIÄqˇŚ˘ŻWzŔ˛€9ł(ËáleÂÉZáó$MH2DĂ˙Ř0œi÷$q˙ó Äć ˜Ę™¸IÄpŚľ ć“e<τ-GPŒq9c˜OQĘĺ2n‰nŤ;ow˙ó Äć ¨Î•¸b„p‘tďÚ°ŔßđHe aH Ç ‘‘Ěƒg X( +ś'?@g˙ó Äć p˘™¸2JL)]¤€pĺÚxuƒŚp ˆCWZő‘żăđĂä^‚wˇ^ŕc˙ó"Äç ČʀP{Dpže S¨Ăyő€Ŕ"_€>bťIvŹř€Ă$5‰”Ő!ŠŸüŃŠť˙ó Äç +j‰¸KÖ(řrş[/<š@°śŻßf:ÝÝřĄŻd¸şŤl”Ź~eyťZŽ +_˙ó Äĺ j‘¸c(ˢřîôö|ăO1öáq÷2^ěR¸•ŐŢ}Cʢúwńň˙ó Äç + ΄x{Dp×ˇÖ ´ +ĚeŚž­,ş4ď˙Ś^ĺă˙ó Äă ś PĂĘLýżŐCu€Fík%jŽ×őŘ4.öĂš;r´šôȀëęÝYř˙ó"Äĺř˛¨XĂÄLísş5áŘ-›TvX†AJu(éw˙ó Äé +Μ8ËDp&Ţý@´ÖÄ-ĽśDŰĄ“"g36˘^5íőÇÓúCQĎőOî˙ó Äç â¤XÁÄpÝž|~ĄŕŔ;(BĘb(kÔú…ű. Mtłź]LČ­†zƒ3^Ąď˙ó Äç đś˜XĂĘLoŘ ÷mč^˛†rĘŤčĹŇô晋 +ęĘ]Cď˙údëäůń˙ó"Äć +ú XÂDpľŠš €žŕbϟűKľŠ@g{ťL×/O´×2łŒ…gĽňÓďŹ˙ó Äĺ ˛ XĂ +L'őƀŘ bEÝiŒ~ëŇ;:LRćĆU +œăó–ŮÚĆќĎî˙ó Äç ˜rœ0ĂJAG˙b€˙{ÖˇŞ?­ĺK€CąřX+’ƒ” Q˛‚âţŽG ?Í˙ó Äç +֐ĘÄpˆÖ(űV`ůFF$ĹĆ…S¨H.ŰϜós+}9ĚřŞ˙ó"Äĺ vŒËÖH2Äľ ˙)JŹ°ęH9+ K‰0M¤ăý:V}e2¸ŔŇú?ˇQ|¤;˙ó Äč ˜Ö˜XÂDp|—ăˇi˛$Ěb ˆçpŁô!R1łM¨S/…‹˝Y}ŰJ9ę˙ó Äč Čr”XĂĚHrF­ bž™]B}ŚĽFĂ(úDšâę$ż|? úüÝű˙ó Äç Đv8ËŇH3‘4čáVT›=_-YąĘݑ +Žý•Š‹DŠíÝ)—fX˙ó"Äć ¸Ö˜XÁÄp‚ŠÖšÉ€öŔm˛ąk˝źŽ”r¨jţnEš°9<Łßóňúšm˙ó Äç ˜n0~*@ĺ×r€2UŞu]< +Ŕâ\yúXЍĺ¸ó‚şcđPÓýo]˙ó Äç ˛œxĂĘLŁzwŕ Ř "CrŚ"Kŕ˜…JHY}QG‘ká…N-żÔĐéüç˙ó Äç ˆv”XĂĘHY‡¨)hŕ„‘š‰Ů~cNŘźRôŐpś×čÁ9ÍZˇAĺĈ˙ó"Äç +@śXĂĘLŽôX+Pm¤ď‚q9ôĚ>X…ćôӂ=äς?ߪU=0˙ó Äć ˆr”0ĂĘJƒ7™e@ť˙/ˆť´SĂŹx|~ľ5ş~şc󿍴.ę,´g˙ó Äć r˜XĂHH)żmQF¤¸J护-‰Ď +fŘóÂ߃΄hu~ĎŃúƒŞx?ǖ˙ó Äć xŠŒĂŇM[tŤP9żÄ ŠÄÄh\o’Xćuý9%­ńk×îNŠĐؕ˙ó"Äç đ†”8{ÖHmŃűbÖ ÷ŕY„PE5/Á) i6ŢÓ.ËĽ} E˙—ÁőeNœ˙ó Äç ¨˘”xĂLt,źx'ę8-aŸĘׄxWÇü*FJ;âŁ1pźůżÔm–ˇóŽ§˙ó Äç +śx{Leoeú€;˙ đöđUd>(@ؗ.+—<<€pUiwTŁçpa)0˙ó Äĺh˛ xzDL>Éž8äbŘ ľ>™‘V‰D’Â2ÖW+X|Ę\ťú"°čBńœš˙ó Äę +hś”xĂL6h- &€™ś˘ĹTŒq’p\U˙QŽjÚĆ_űř= xí˙ó"Äç +0ΔxzÄp{u öŔdÂ]ɖÚeâĚB18šŠŃíG=Aó?Üuë[üö=+ ˙ó Äć ¨˛”X{L?[”ĘTÍ˙ľŒÉ +śäđ¨|Ťíˆš§uzˆ´Ôvĺč%œłgý˙ó Äć †€0ĂŇHżbŔ €`Ň›pßĘJ š2›IŚő”zRĚö^6a+C—ßĹ˙ó Äć °^”xcĆ(2UŸý`í ThŃűŤÚ^ÝiÇK‚ŠÁ}§Ř{Ď +ś w}Ž}Iă˙ó"Äć + ˛„XĂÄL˝‹ßjlÔ° ˙ŕ ńœ(¨HX +x˛+‹ŁéôöĎsŁ)<Mŕ˙ó ÄĺŔ˛”xzDLüü€ +ěvň™šś Ź`…Ë ‡ëŸz0ór`ÉŞcZťŐfĽ„sK}D˙ó Äé + vŒXĂHs83ä ˜Á0-_°ř§âĄÓD8ńęŘ\로p jň‘;Żä˙ó ÄĺĐśœxzDL=ü‡‘+Ů*ź–ŻÉ4(2´ËFˇď¸&H5vĐ 9ůXŔ˙äţ˙ó"Äč +X˛„XĂÄLΟ§Œ +Ü +Ŕ €éŁPěƒFf;+s‹0 +TËóž-CÜ3˙/˙ó Äć ඈXĂĘLő{kŹ]ę}nźÚ”ś3’h`­Áś#<zyçęC~CţGďă˙ó Äĺ躊bL-ëÝ&2‹Ŕ<iˇŸČČbă˝çX鰛†#üĹ󢋧đ_á?˙ó Äč +PژX{pÓüC*Ÿ¨§čZ[Ŕ61tŹŔĹr´Œyr⋉gFӉ|íü˙ó"Äĺ @˛”8ËÎLű}('ý`ˇj šÜŠźrJŤy1i:Ůaííléĺ7Ę˙Á}đű÷˙ó Äč +˛ŒÓÔL~° ź  Şüa˜Pŕˇl‰6QDq‡DK&<žyKřOđlŐú˙ó Äç đţ8ĂDpÖĄˇCŔS¨8d‘X +ńKƒI0ŕšňU4fo°˙Ć]OĂÝ^î˙ó Äć x˛ËÔLóĄQă€öŔ{ŐŕýOPÇŔjÔŠĐS¨=˘Ô›+<Ľ|/ř?[ĄO˙ó"Äç +0ţ„ÓDpřsƒtă@̀c1|ç'bP@RŔœŹ€‡Ç`RŸ:[›oŽ?YîŸ˙ó Äć ŘúˆËDp0@’ˇÝ(ŽFeŃűDz§ÔĚ }dS¨ +đt?ÁSžÁ!5Őe˙ó Äç +öXĂDpŮD€Lü0z#ˇX’g,Đ–Ëq÷äÔřů–¸í‚ˆe˛–r˝˙ó Äĺ؎ˆXËLwrlDfPcob‰.ěLŇśm6ćĚa(O1a&ÂĚ9Ńsł˛Ů˙ó Äč +`ú„ĂDpey/*ű̀˙ŕ} źĄpăGăő#ŞaĽĺ[!<˙şwňü<>ěŸ˙ó"Äĺ HڐXĂDpĎE@ŽŕuڋÂČa!BH +\Ż$A>ú 'Ż'¤ţŁüß +Ś÷g˙ó Äç ’Œ0ĂĐLĄâ’,áô2Ĺ4+ŕ2)řě?§4ÄLEŮňłěÝLżĺůrˇÜ˙ó Äç °ŽœX{ÄL̀)żz>Š –Ź„ Ź´n‡i` +żŹ‘°˘Őş â,´Ód˙ó Äç řzˆĆH—ÔĄ)ÔśŔA].j´ çňŠŔôŔ7C˜…„Î#8ů&OĘëZ˙ó"Äć +rxĂĘH´¨yôVú€m epƒťŔ{8X$ɲŠUţ’qkôÉpb›ÖŹöy˙ó ÄĺĘœXz„pWôčżŕjéoFŰĺ\!Ą;]˛ §?)¨•ÎçjYľ’˙ó Äé +xţX{Dpcôä€Y&Žť˜s¤:B&)Ďq–äĺ˙d_‰Ă˟ŃÓż˙ó Äć (ö”0ĂDr—ěMU3ĐüÖą]|várEŠÄ°vŒŤČ‹úĽĚăäöřSn^˙ó"Äč +HŠ”x{L WhŔΰě>ałbű–ęjéÜ#Uś>šNÁ>*9ęˆ4rYÚt‹8Fj˙ó Äć ĐrX{HK}vBŔöŔy ßԂش/ v‹Âm5Ćă/’ăŸďo?ŸúŁĎl˙ó Äć ŔúXzÄpŚGó +´&7Ł0y"§*ÚÎ*îZR/-ż/—~ŤâŮĚčp˙ó Äć `ú”8yÄpă)döDöŔyţbŠ%Ě:ŽÚ}â3™Ňă\Qĺ벜ěžXD˙ó Äç +úŒ8{Dp“A4žĘŔöŔxilA&(lÇ䪐íĘ刯ŁwČţ(Ý ˙˘y˙ó ÄĺČrŘ{ĚH5]ĂívťÇsB–ŮŠn{éKŰ< +ă ÝĐ^§ +ń’7΃˙ó"Äč آŒ8{ÄLƒ51Zí}ŮÜ噸Č%maŕ…,{¨yěvˆŻĚżţ㾨˙ó Äč +úxzÄp˝@Ÿ¨žŔ~q֏FÎ@N”ě։ň49Htˆ÷ĺ‘1˙öP}ü'ř˙ó Äć °†ˆ8~HŸNĺ_P3ńËYKפu˘ąXHË ˝&]ً+ąŒ@LŹ×Ťy_˙ó Äć ĐrŒX{ÖHO_Ź2¨Pá÷$˙(gpCc•°Ě+*ƒögQa‡ŽO…+ż¸Î_¨ŕ˙ó"Äĺ P˛ŒX{ĘL‘šŽ\ŠŽgîr%K"2)tÉÚ´-A:ԌŽ6#GąBWnŽm>ńŰő˙ó Äć €úX„pÝô‹TL +ŒmîŢÝe‡Œ™ę.%Ňßç4h…ÄŤ’˙p0Jáëĺ˙ó Äç +քĂÄp1W˜âŔ€Űđ0żŰßX_!FĂI@MO +ƒůW1řĂ~WÉá÷š˙ó"Äĺ (ŽŒ8ËĘL +­Ś üpż +JaÎgR3+% j3NëŇ`¨I8)ßhb~­——{˙ó Äč +`ք8ĂDp…dÖ˙ +jĽ°îTD•pN>fŒÚŕ<`ĹŽóţ{“ňę‰É$žR˙ó Äĺ śŒXĂÄL +ƒuóőP°íLŒđçAaqČHD˜”Z&ô‚ +ć]”řc YÜ˙ó Äč +0úř„p‚5”Óň€AşĐ3…}(X$”œ˝„VËVd˛:źŃýtăůž"•˙ó"Äć Đrx0ÎHœÝčĂ@řŤž˜Ŕć÷AMwšŚE6+ e ×ÖyAĂ%Dďö˙ó Äć ¨ö•¸yÄpő˙ÁśA@źŢ6`€QŽAßúŤčł>kěXˇŁ‡a?ű}ť˙ó Äć 8rPĂĘJtô€ë +MÎ^ŽO+•Ť•ůëÍ4oXŽĚ +7>xJ/ôuB˙ó Äč (žPĂŇLušĎÔꞐ=vqĂxkDĄ…vÓîźÁ#žc>ÝFçĎgs•˙ó"Äâ ’ˆ~ +L;w Čw+8Ăż5R?âŒ“ˆK*ąEcÚOCYáąšÄQż‹ň˙ó Äĺ ÎĄ¸{Dp?‡w Ź7Şz4ť7QR@ +fÉń’Ü…ŃŞżKĚÔwĘiĂ~˛J˙ó Äç +"”˜{Dp­x¨_fƙW5ݒ~BέAä’UEŇÜéD–óŸ+˙ľ_T˙ó Äĺ ţ”xz„p˙ÎŞ,Ĺ .\ŽŘś&ňŽ P’†EŤ…˜źő C|žP%Š—ˆ˙ó"Äç +`žˆPĂÎL˙É˙†Ů€€÷ËđÂL#ě䩄}.…D] reH‘ű.‹˙Żţ +ˇ˙ó ÄĺŔŽ˜x{ÄLÔTouqěŔŮbÓ4, +Sü*-~Ś eEĄżVNKâ¤8—F4˙ó Äé +0öˆpĂDr¨ˆ˙o ŸĚLh§5Jž P<;PŔÓĘŞq˙Ľ/Ý˙ó ÄçŔrŒĂĐJąJ*׼`ü3KŮĐ/UGfJ´Ź)ýT +¨°ĹrŁ‚ÎąńĹ Q˙ó"Äë A&Œ˜ĂDp›jü<ŚG +5IůŠt„#ܨ‚ĐŃwŞB˙ÁÉ^‚żőGi߸;­˙ó Äć ĐöŒx{DpZß.˙÷ՆĂ)BÂMÄ5úăĺ“tˆĘn‚TăžpŠźJ˙ó Äĺ°ú”xyÄp˘E˛:PmľĆ™PsŸ§á"fbHĽ]˛Ö5C‘ÔZőkPî˙ó Äé °žŒx{ÎL'Ĺ25?żR§ +Q +slđWˆŢupçđk•ĄNúžĆň˙ó"Äé +ŘöŒxyÄpoŰՑĐ˙őŹCŇĄšÉlB() ”hPœMů…_äşv˙÷ĐCęvf˙ó Äĺ€vŒ{ÔJ†u&_&śkQHˇ'ÎV*@>ľVdo{Ó&ňÁÍĘ=G˙œĽ¨˙ó Äę +8úŒzÄrĄ÷âŕ!÷É|\J,\Á:T0PqY0OIhÄ‹_ŻöĐčPĄé%˙ó Äč +8žxzĘLŹ‹TwÚŢrœy:([ĘL"×H)FaŸÂÄëţĆęŽŰë˙ó"Äć ŔžŒx{ÎL obRśŸ‡ÂjÉłÁX<äŘJĺ XšڞÇsú¤ŁX*˙ó Äç ¸ž€P{ÔLľVď!ľ@€§ü _XœĂ[Éť1Vč 3 °ül1˘ŻšŤŤř?ŸÉ˙ó Äç ů +zp÷!Ԁ)ˇ•šĎăÂŁ‘Œ€ŞÚ’żąŤŤŠ0]üexíę?˙ó Äć XŠx{ÎL*Ş…ŸaPm6QP&Ľzč!îÉ Źźjţœí—9&"ü˘GęÉ ˙ó"Äç xžxzÄLîŢ>ꀀ%´ ž‡#˜ˇ›kÂÖŠ|ECü+h?CÇS™ňőxvĺ˙ó Äé +8öŒxzÄpejJü +Ú=ă8Äb†‡¤üŘj¨Ü4՜ŠěC.×%˙ó Äç +žŒxzÎLŽŔZ î”' ŔÎŞ(ÔXą`ŒCĆ~P‰?’÷ŠçŤaČR˙ó Äĺ ڕyÄpŃ$UšŔC߀%śţUGЀ„_Éߑ§C”/ÇŹ< đ}˙ó"Äç +`˘Œx{ĘLˇP 3ţĆ^îîMIń'FšFő’ Ęĺîq+3ţDp}ŚňƒĂĺ˙ó Äĺ ž|0{ÎLJ#.ő€ŔŞŮˇaüƒÜŁÇŽ¸|TEľPbúË­8"3ţ1y˙ó Äç +ž…{ÎL^ 'ɀOőęra‘ĆZüIO ě'ÄĘ ~÷Ň/ÁˆÁoůĽÖž–˙ó ÄĺXrPzJJóܗÔő€/˙{łń +6věb.4hďO7ŁýŽ› áŠ˙Ńč÷˙ó Äę +І€X{ĐHzX]€Úđ—Ý°Ňa1*ÂoĂŠőť ډv4ĹQhdß9Óó˙ó"Ä帢¸bLoON‚đýTąv‘ĚŒ¤ ŹY ó9›cI~ş§‰˙7ň‹˙ó Äę ÖŞXyŽpˇMşŞÜěšő|Ť€-{#2v ĚB°=Œ˜šřwMŐÎHőĹ˙ó Ää ’¸ĂLˇň +ŃH@7OE†W¨bp_UHřŚŚXäf~*~°a +u ˙ó Äć ȒŒĆLdz¤ü×ց 4?R[=’€’ +ƒä"-Ŕ\M˒“bô´U=ĺ ˙ó"Äĺ XŽŒËÔLôńońďÉ ;řF{…ůéęă‡0Qü÷ą@Íé6!4öůŻˇR>Ž˙ó Äç  śˆ¸ËÚLT䖰Ŕpe´÷nC°%đcËb˛n:L¤‘ěGłˇY‚]ý˙˙ó Äç ţ°ĂDrĹ}ŸČ€­Ŕ•ăě8Ηx bô˜‰( ˜ö×NcĹ՚ˇTíýżßř˙ó Äç čţˆËDpcó7rHŔ +°nVŐ,Rœb/$Ųà 'v|iuˇv +úű˙S˙ó"Äć řś|ËÚLϤ€Ź˘őܢĎüh 3)AŘJćűy‘ËŃßĺ[é˙ʏż˙ó Äć Čڄ¸ÄŠpŽ˙oăZ€€;řňůgœ0Ččow7Ď+o\IÂÇŞő™żöń ě˙ó Äĺ śš8ÂNLŤy€€ +hË¨îŁtjTŕiŠeˆJTA/ŽëśÁPڝÔѲ{˙ó Äč +`öŒX„pČ\4üŕnÎzŮ@íRˆ’1÷áůaÎ÷ü¨nCůÜß)ŕ‚Ş˙ó ÄĺŕnŒX{Ú(ž(ś1cRd=„Ć­WmbxăŻí•Ďý[×Q˙ó"Äč +y*ˆXz„qšnMuG˙o{¸Ő8–‹Ľ=„’UéŮůăŸ˙ůŞOôxűř˙ó Äć `ڔxyÄpw_B”€ Űi ŇrčBá…IP"Ćz2…ÖN5X<˙›ćô+­č˙ó Äç + ś„XĂÔL˛ˇKŰÖ¤~[P49ŹĹ,_B„Ť*Ë +6¤EľËŠKTtňŻž[˙ó Äĺđ˛Œx{ÎLBŽˇ9P€1ŘŤ:ŽâE3¤‚˝ )ËĚCjKMž˜Öü?ˇ—Ůń˙ó"Äč +8śˆXĂÔLűn1ťKH 5z_MyKYĽ8Ś!Dx“7jqxÚŠ˙ʄ™k3ݡo˙ó Äç ˆśŒx{ÎLą@˙ŕk)ü­@÷˘!# ůL€gä?Ł/ËĚą(8˙ó Äç ¸ö”xa„pËaŔ DăYä¨Dŕ +g1RV˜q.ěëł‚ćČŁ1ˆlsrľíĐ˙ó Äç řśř{L}Ü_Ůo@ü x…Ěç‚ъ ˛ÜC],Ÿ•Řtđô´@˙ó"Äć ¨Š€XĂÎLĽ€“]ˆđ5‹ŰZ C‚v +ąIŘ܄ +,łaâÄČXúŮXˇ;újžo˙ó Äç čֈřzÄq^"Ž˘ŕöŔk!ž=Ňu}ˆőŽzë:Z-uĘůĄŁ˙^o0łgÄ*˙ó ÄćŘv€ĂŇJjĐńÍ]žŔY÷ÜSy$ÖЄMV4¨žR +,—ęü4Ć7ŸÇűů˙ó Äé + ˛ŒXÂJLŠý`ávüŹYK! ¨~N3 ˘ĽÚ(ŕôϨjŻ;u'ŽÄÓ¤*{˙ó"Äç +(ś|8ĂÔLHćßb€"˙+[žö…&.ťę;ŰÚA8Jů]÷üüž˙ânŢ +× ˙ó Äć €rŒ8{ +HŔ ü ľÂóŰ J—“, Đŕ] 3掯ţ?÷Ĺýcľ'ż˙ó Äç ŘÚxËDpJ +|ŕ&Ü +´ˇľ’˙†ĽĂ&—7/[)„Şe÷:üľ˝'żĘ“m#˙ó Äć ŘśˆX{ÎLę'ůĺ€6ŔaM9űc‘˘1˜†@˙ä€n4_Ž\ÁwpD÷˙ó"ÄĺزˆX{ +LŃÔńP \Ŕf˝—hĄ:‡lG”D^_ƒj íפ[ żQý¤ł˙ó Äé +˛„0Ă +Ną" €"ˇŕ?ŹT1 +1 OŹ…+1Ŕ“¤çŐ +n´mť/ęO˙ó Äç pöŒřzÄq_ŹÓž=@,ŕů}‹mĆ%/ +ą>Łź Ą§ˆ€˝F˙şůYڇó#ˇ˙ó Äč Řö„8z„q¤i-Ř ĽwóĽkPgn)™ą\ +QgĎ +‹ŐšW–Î恖á˙ó"Äç +Hú„8ĂDqÍiƒŢ ČýŠ ŐadLĚčZščŁżĘ˜"6ťÝ›CVččůnŁnt˙ó ÄĺŕžˆXÂÄLťž…€ +” ŞČq—0gf2ț]ٟ>b˛ř`ŢW„ăżsô?Šn˙ó Äč +˛€X{ĘLuąýf@ +šPńŐgŃňźUŒ-G6`“ĘQœNĎüÎ=ĺý˙ó Äć Ř֌řz„p,ہ•Ó›ƒ‚˝śŕ*w2jJ3‚‚Fýe$›ŽnŢßČYÁ>˙ó Äĺ öŒ8ÁÄpú§ŰPçŕ_ }ÍÁ‰H.G’ť@yƒńőƒçń˙Ç˝”X›˙ó"Äč + Ž„8ËL”ł4€€ţ[}s;‚âB1\ĐLF”č2Ľž¤ńžgE˝‹{˙ó Äç ˆśx0ĂÎLZË2ŕ +u;ƒ„Y“Ń@ĐP‘¤ĄżŹhĐ˜ôÄC( +Gä|ž…ť˙ó Äç +ś€8ĂÎLľ@ Ř °¸<ť}¨a>I&…ű‹Ĺě$e&^ üˇüNŘ7ˇŢŽţć˙ó Äĺřś|8ĂLKÍ)l,ŔO~‘ +Ÿ‰´TÄkh˝D ,Çą^„×Öş“Çűf2śĐ˙ó"Äč čö„{DpѤŠ€ź0˜“ÄąÓ$ien¨y4˘Q˙„|/ˇřÚAzŘ˙ó Äč ˆÖˆXyÄp6™¤€żŕ^ž÷ETn/ŽAŻ¸†"ćöŁŕ…ŐÍÇč?8!kœ^˙ó Äč Ř֍˜yÄpŇłš*żŕAŁ5lŢę¤nĺ̋s˜ ¨Ó*w¨ťáÁňy>řîÓ˙ó Äç ˛|0{ÎN撓ž6v€ƒŔhŞ„ÔB@`8ö­&ÎóXI%ŸűÄËůD2Ł˛ú˙ó"Äé +xú|8zĘp6d€nŘš]ŠU ‘hňź›…ÍmJV¸AĚß cĎËîeŽ:˙ó Äç ö˜yÄp˝Ž´Cô€Âaጠ3!ɋ)ˆ¨­NůÉů\Սn­Áa{|0-EiB˙ó Äç ˆÖ|{Dr󟤀߁đ™~2 +×ÂEł§"ß +şřŸ/@Qt +G˙(˙ó Äç Ŕś„XzÄL ąAňŔ/ř˜.÷u•Džçtzäf• +×?z,Ź$Đ˙¸eMÓÄ˙ó"Äç +PڄXzÄqV 4 <öŢ2cʓĽĄKŚŔ› ¨˛!Ă0Eţđ-‹ž§čÖ˙ó Ä吊x°cÎLĎŢw¤đJĎ +D’˝6b3AO8„)5]OńŠôŽ+Î_˙ó Äé +ˆć‰JÄp¨ßďP˜;ř&Šž=4,ąüS $JK%âPšŻ„H؟ÖÂâ˙ó Äĺđž„ĂLN\äMčX+°č+#úÔ Bĺ°4ă'ÚǨ›płőDët˙ó"Äč +X’œř{ÎL Ţë)+řÁŽśFĘögĄR€ŒŮó?ʓ>ýGů”f”j˙ó Äć `‚ xĂ +H6Z°;°‰3ë s5]ô‘¸‰ťbâś @.S'‹ëëvŐ˙ó Äç đ–œxĂĘLę”_xQ2ƒGÁ@‡höÍSBĺ¤×vöŸ÷ŻáĐírţHL™Ď˙ó Äć °śœxĂĘLçę‘OřĆiÄ؝itŘ%ŕ˛hźöĚĘMBěë(JĂĂÂ΃ug˙ó"Äć 肠xĂÎHeo¨ödĆSă‚ÎQeç:&ŠČşX˘9™^[EçŕbZP1°ďÖ˙ó Äć @– xzĘLĘf–)ż(ÁB#ŞąĄTęőT =ÁMuL8ŸőËĐ =¨;¨Ż•u˙ó Äč  ˛¤xÂĘLşŒrRžśü +•ľ0˛?€Ľc3}@‚;;?0>,‰„‡q­Ďk˙ó Äč ř†œxĂH?é7š1űĚG @ßÄ1Ľ}™ĺsŻď‹T3s†ňÂgQ˙ň˙ó"Äç €–œxĂĐL§{–˙éÉĽŽ…iżšÔT eR˛žô˙ľçƒ#ę?ŁĄ’Ö˙ó Äé °Ž x{LžYÇkü ‡ŘĆ٨jĐ!ČPĆJ.ÓY‹J˙é Q Ž‘݉u1 +•˙ó Äé ř–˜XĂLz€~  h4•%”~Żd‰.„bŃ4‹ŽĘ>_4Kb„ĎgVÂO˙ó Äč +`˛˜xĂĘLMe7.€°v +))_ ~Y‚˜!Ąt“h’m㛨:yăhP˝Lóó˙ó"Äĺ @–{ +LˆS^€ˆ +ˆ 4^˛OČHpí[=]aݞ˙ß5ˆŠ0=łň˙ó Äč xš”0{ÔNF§ëH řC`@ۋ™Ĺ‰ËÂL—mdŹ/?ú—@Ąb˘˙ü?+;˙ó Äé +@š”xĂĘLÔ˛( vqGŠŕŽmľHf‡tvfZĹÍ­lc}…ĎSőśşßÎéM˙ó Äç  ž”P{ÎNu…04ő@!vDlR˘œ¤ŐtŔyB)W3fmMšů EGΈË˙˙ó"Äç ŕ~ŒxĂHC+Š§Ž +EÁ˛ + q@ĄœYXۤËEqţťĐXěAîqăÇ˙ó Äç  ‚xĂÔH5%•œú€÷ŕb<"˘š`ěL7“°~~) •áYnCAHa-čô˙ó Äç ¨žŒP{ĘNż +‘€~˝(áş:ŘĘHŕxíąÓx<žÓW ˙ç/ť­aČľ‡S˙ó Äç €ś”x{ĘL"E/Í{˙ĽQÇf™ňĽ\O“LŘ­°‹Š"MŁ?ăy‰BĎaő˙ó"Äč +X†xĂŇHĎ ąkü +Żą8ăÎZŒśl–ÁÔ/Ź™ˆÖœĹąŽ?€r˘MöD˙ń˙ó Äć  –”x{ÎLŻjŰÜ°ü(řąŁđűN‹őÓ~I6ÍTnżňĐ<0>âăľ §˙ó Äć Đśx{ÎLâŐë-•F] ĉ=›k'č€Ő~¸ßů…ƒüː.u1%3ógsá˙ó ÄĺH~œXzĘHĆ{°.ü ŠAѤZ6=’Kt˙d+‡jůzŠo-ŽWîĹ˙ó"Äę +ˆćPzsóĺŸc€ ż˜ŚŞ$ %X͆k˘žCϐ! Â:!Ú',2ÁW4˙ó Äç €śœxyĘLÄÇwŇĺJ$Awq`M(ů˘UŻWĄIZôůś?lőĘo˙hVI˙ó Äč + ˛ˆPĂĐLS)m”e#R[”S7M +H˜ž +§_ŒQnń Ȭٍ_ó‚„Ő +˙ó Äć €˛ŒPĂĘLVe@Ŕßđ,5ŤˆĆëýXN qOŒ}š†~!­#^UĄ¤˙ó"Äç  –„(ĂÖNâÎśęWśĂDZ œ ˇĽ”Ç˝=$7ŸÁ~1JôŤî˙Ť)Ś¨W˙ó Äč +Ž™zJMĹĄĆtVMř-˘#e÷ת`NO[‘őÝÔő+ƒSSŚ +ĂOśö˙ó Äć ¸v˜xzĘHƒˆ đ +í8m/úŃč)‚˝ÖşŤl–?ëëUt"óÇîiÔ˙ó Äć @†ŒX{HŞŮSPŒC-żŁj +YۨiÚă˙ LĘqrŹÉkF¤EŃ<‹˙ó Äč +X†ˆxĂÖH˙ů!gwô€ € +Đ™Éui °Ň…Ęo-ٕ‚Íޡf H5޸“˙ó"Äĺ P’¸aJLuŻšz@í {3OŤk:v6т´ ÇßM2jŔďף}ǨL~ ¨U˙ó Äç ř֐xzDpžŸ¨ᒍŤš?‘L`X)ąRcbRőzšQcŽŽżŽő>‰˙ó Äć pž˜xzJLörŔ+ż+%`¤‡gĺv‰ŠÓ_ŻIe°#Óü şfó?Ď7ő˙ó Äç ¸˛PĂÎLĆş}ő€+pŻƒ5öĎ'Â`*b9Ő`íU`˙§îŘSÇËĘ˙HŰr˙ó"Äç +H˛ŒXËĐLŽ¨żŕbݛúX¤ţŚFg4ňŕa2Ą2餟ҹC}ôüôÚźw“ZŽ˙ó Äĺ ’8ĂĘLűžO¨;ś1ƒŰÂ[ ‚ÁbąîIł4Kl᳄'=ź@C<ľ°˙ó Äç pśXËLłĽż¤K˙'šMcň$7GđEŠ úőţ t?ăRćçÍV8I:˙ó Äč +˛Œ0ËNۜĺ$˘Đ ˙Ú+ŠVœŠ™TehÂŚAż`2řXÄ;éů‘Ţđ˙ó"Äć +֔xÂÄp™YĚgX˙ĎEeŽĄěLŻ4*A|%ގ>Q•žfűĎ^V‹eÖ˙ó Äĺ°ś˜xĂ +L+ÇVğ˙˘ Ź#X„ŕhÉ:ß Ţl›kňżB¨B˜]1˙ó Äé +0úXÂÄpR}Éؐ-˙§ÁÇU&e”řĚQtxfń˙5ţtlÄčĎÔ˙ó Äç °Ş”x{ĘLţĂ@ +˙IŕôŔ”„ł@€’9ĆÔZ; +~–Ÿ*x !=•Œ|ń´^Ş˙ó"Äç +8–˜x{L–=ĆČÁř‹´:Ž|Däí¤eSÔ'ÎŇÍá%RÁCźi řPą˙ó Äć ¨ś˜xÁŽLT]bŘ Ž +¤ř_>a TIů¸ YóEúçÂgVú1ĺ0ß˙JłŠ˙ó Äć ˆÚ˜xyÄpFnŽşŔmȐśd2G\; "t.a4v‹ťń ĘČK2Xká‡˙ó Äć ඔxzNL‰1 ×ţ† ˸4Ȇ]†(IŘĂ@Ô°˜ŽŠ;QÁ˙ó"ÄĺŘś˜xyŽLj—żţ p›sŚ7˙Ů) Ě˙ó"Äć + šŒx{ĐL|Ó˙Pđ0Â"Ń'Y (áuŠV$WÝśCŤ`¤Yžˇ˙đřbŚŠ’č˙ó Äĺ –˜xZJLożÉ㣘=Ö"|l@e36H‡ěâŘ?¤ %u(ă•ěW˙ó Äç +~x{ÔH—e-Źý/˙ŤÎwœľßmXVšÎă÷NńSĄa^ƒŤžÔÚß˙ó Äć ¨‚Œx{HwwXż +ą xEÔZż JaöîYłVLćUMœ'ˆ‹}9l뵉N˙ó"Äć ŕę”xyDp Ĺ{ŽŇ mką}8ťŤ/TüăŠĘ Z# (o‰aÔŕYFŃâÚߏ˙ó Äć (îzÄrő&çzý=˙Ę%0ĺXú„E7$ŽBőBže%bzÎÝU“˙ó Äč †{ÖJ.ęXż ă2* ‰łb˜€8bńBĹÎ\&Qę0čX1şyZ­Ą˙ó Äë žxzNMÖ× EpJ€Äŕj-4M8tUП#íĎůSP Xoˇ*őqa˙ó"Äĺ薜xzĘL”š¤˜hżŕpĐ#čtČ.H˛f‡H3Ěq/a°˛‘ u%Ëń垃˙ó Äé +8–ŒP{ÖNjNʒ +˙ž#ĺ`#Ţ7>Šéd- 2ÖŇ˙ó Äç ¸šXaŽL.6‘ˆ‘öŔiqŇAŇ^^9ÂzLE– ţčçHŸoRdĆĆšs˙ó Äç +~x{ĐH}DîE:€8t2lz&ŽŠęÓE ՅŐ{6`N"”ő'ó˙ó"Äć ˆę•bpe'K˙éĆZ@6EŠ+@ÂŁ§˘ź2Č,ŁrĄü­M"#Q=˙ó Äç +śxKLĺÇ(ź +ɘ7AŰČŁ!ZHié[`i4ŰŠ~x¤ +'™6÷˙ó Ä娂€({ŇJŇ÷ŕx$6ąĘç]:Á9Azq'†ů“WnCüܓʏeVń1Ŕţ,VQ˙ó Äé +€’x{LůI{żŒ +h>|]A‰Ü0ĹŁ‰%É|Š +jEô–$tLIë¨ĺ˙ó"Äć +~ˆX{ŇHN]˛ HC;IˆŸü9|˝°“ź}ľœmň/ŹËEż ŠV_Pť…˙ó Ä倲ˆxcMJJ6t€)h/ŠçjÓüÓ;ÎK(ÁĘTŠ{Nţ…v—d€“…B˙ó Äę +°šˆxcL{Ž;hwaíĂŻ% J1X?j¤Í>ř˙WrŚD2 łň„Ôwâ€˙ó Ä渖ŒPbNNË"ŹŘŠUn†cÍöĚp–’’ÍĐśń´¸n=dÚąĺ˙˙ó"Äę +H‚ˆX{HśÝ>í@ vöĹlŔU`G,Ԍ+Ń3†¸Žë‹5AÓQžQ˙RN\˙ó Äč ˜zxJDHďĹ؏HžŔraĹ㡍ś¤kî—NfŒEĄÎZř:>|˛šţÝ˙ó Äč +(~ˆxcHMz‘§¤ mŕÇžľhž4‡y„hÝsDD—r’CąJ> +•w ” —˙ó Äć `vˆx{HĐfŞ–Mí=÷íÂď͘-1ŁˇW3ŔRűy5Ú:ü@†ühö˛˙ó Äç +˛xĂ +LrĄď@ž€G˙uMň`gňK÷7ł’ +5˛˙Č#vn,ő'˙ó"Äĺ X’ŒXËŇLzżH;śm•äř/)éYŕ‘# rYĐóޡ= +§ŸŠkZk + aw˙ó Äç 貌xËÔLž¨cR˙ ÔĽ‘üGL`GŒwRW€ĽÝo'ui8At–`eÁ.ę˙ó Äć °vˆXÓĐH“M˙’HŻŘ“[Ž ńçqě˜2%âm(^š%ŢŘ˙Wý5éRv‚Ŕ˙ó Äć xvˆxĂÄH6ŢÇҀ-˙áů0;Eˆ5r¨fÜÇ<ýƒW}•yuJż:ţ +œ˙ó"Äç +`˛xĂĘLd{-Ôü 0é™V.´L(•‡ŮÜą+@ŠęćE!, Ťß”|A˙ó Äĺ¨n”xN(öú+°ĹŃvˆÖd~9ĎŐŠ%ÖÜ>ĺą˙&yÐć#ľťŢó˙ó Äé +8šŒxĂ +LđypŤśą÷SŽŁßœč6âáUN‚ŔbŇj6Đâŕüüe Eě˙ó Äç @šP{ĘN~ő­9Ëśńdľ(vj+ XHÉ,˘Ě"ňŚ}V­ťř@S¨HŸ˙ó"Äé +`֌xÂĆpMvxä€đz,ĘňW1fˆ  jƒýR˜‚ÄúqŐ^őťđČ]üąűB˙ó Äç xnxN(u­@€9—Ę܊K×DqŚ=ů'*g]Ôî7ë×tůýPwě˙ó Äč ’|PĂÎLHśĘEÂWťX „ôŒ9ˇM +-#Ú¤?} +JÚé>\ě¸l,1˙ó Äč ŕjˆxf(ľÚTd/˙ľĽ˛Ä5˝Š0LD…6buŚ)ÎüΞ+`a q˙ó"Äç ŕ’ˆxÂĆLťŇď. m'…ü˛ĚPşš%i.ŹŽťýŤ%KÇ7ˆO÷rέ˙“˙ó Äç ˆ–xÂĆL`ÓYŽ;EÚ+ ¸Qd!D*ÖŚZŒ Îj÷„uÚŇ ˙ó Äç +š„PĂĐL’˙XÇ(Ł†ĹŞţ ‡g%JPÇč2tâŢ?™Äm ˙ó Äĺh–ˆĆNú•¨ ˙˘Oiœ‘ +qƒźƒMÂjΧłXCŔ6RTuLÔ +j)˙ó"Äę +°‚Œx{ŇHJHşíœŘOżŻ÷|V÷Á$0őŕćr„ wî$ZľA´xúŰK˙ó Äç  ~”x{ÄH\Č9˙ ˛ôŢU4n ĆMƒJđ&đđŤ××Đ(tčďĄl˙qňD˙ó Äç + ‚„xĂŇHŽ÷¸°öŔjŒ{Zőś"I ÁÓ挼kSL;ŔíBO+žŇ%B˙ó Äĺ薌x|JLPźÔ“R€ +ΊXVdÄÖĘZH +‹™5ä3‚­żpÎŕ4¨!˙ó"Äč đćŒxyÄpěv~xQż0 +VŐ˘Ma>[€Gô×f„ŤžŮŮĂň"ů'~ă'KCp˙ó Äč +(†ˆxĆ +Hf¤)ŹH˙+ÍşPÚ­áš)ŸaߊçĚŐť„¤”{ůz]÷Ru˙ó Äć蚐xzÄLŤš`]śh\iťâť!#˘†„ÝQˇxMI-ął€'tśG÷'ń˙ó Äé +(–Œx{ÎLw—{ü×j€í  +C#>ŒYNçG4ÖʆyÂŢTöË˙ó"Äç +P†„XĂÎHŠÝĂ Ÿ)&Ńč,ĐÝK˛4ŠŐš“ˇ›ô`šÚ ˆÇ\\i&ż˙ó Äĺč’xPĆ M(§řĐż Á@6bD-Aˆ%E"kóFL-'ŕ&:[ŠjȎń${m˙ó Äč +(‚„x{ÎHuÖűé@K˙ś%bÎ۟őŔ¤és?‹#Ý˝ŸęwQśW ŽsF]˙ó Äć vx{HĐÉËnR;śœbŔ€č]ŕʀ\ÝŚcvmŚęŽŻátΡ íGëY˙ó"Äč +–ŒxĂĚLŠŤęN€÷ŕ†x’­ “ńýrç—/2÷_@ƒĹ×Őźđ[œá˙ó ÄĺȂ‘c +H¤ˇ;ż-T=ŮŰ(Ë_&ľßŃ Üjű%¤o_xŐXóë:˙ó Äč + ‚€P~H°Ńšj+ś‹“!t4@$ą-9y!öÁŢR€ďءĘ)g˙ó Äć €–ŒP{NäŮßSö $ŻĽaŞĽđ_!@ˇŃîӆřkÝó˙¨B<űĘ˝K˙ó"Äç +–x{ÄLÔćţúŔ?˙ég+M¨d 28JvU9)đ€űҚ˘ “ +Xń˙ó Äć  –ŒxKĘLwńdœë Ěhś% ŘbHN 5\¨Ýzjô :Š^­OăE˙ó Äć €˛•{ÄL*°[ż, +;yżqÔ^MĽ[em†łŔqńZ#9–[˜—˙ó Äç +˛Œx{ĘLP\`Z€€oŕ J[ÂҲŹ‚ŹŹŠgĄ.äž"Đw+´â˙ó"Äć đzˆxKĘHR…€)m칪¸-Om† Ň +(8ž2Ěč(’,Ž–öq+,˙ó Äć –„x{ÎL/Möújá[˘ J3RöIˆ™ŰŽĚâŒÜý.ᒡ­źaă¨˙ó Äć Ŕśxz +Lgnʀ)h‘—ľńÜ\ŔyÂ_ŁŐ˜5JöŘuŻχ•úÍ?,˙ó Äć `˛„x{ĘL'Ř\Ń­`_iÚďË$KU0S„ŚˆŇőśó]¤ÉÇĺćŘb]™éŮ˙ó"Äç +P’ˆx{ +LĽ*óţTä€YÉç rVcrkŚŹd(JlÁKŐĄ­q–Řöju$†ł˙ó ÄĺК•bĘL¨ŻĐŸčvŔ5ćţ.]Řš ŞľůÔ Đř8­‹ŃM‘îŇt Ń˙ó Äč +@ś„x{ +LťŰ{š`đ.F_J”ţˇ( Wânn‹2׏Ł˘¸mň-^ߨŔ(˙ó Äć Pvˆ0cĚJż˝zŔöŔe” ƒˇĘ@5ŸšĚ‚Nz‘Œ+ôńürä3~7‚W˙ó"Äç +’„x{ĐL‡^˘ŻŞ˘`Ř +|}âˆÎ9NúÍsŽŃě˘ 19EáC§ý ˙˙ó Äć pšxÖLÍ×v€%´ oJd˛ĽĆđɸ$űśÔžZ!-ęJŐńt]ľ—ń§˙ó Äç +˛€XËÄL+M—} hő'ň˜(ř'h˘m;i÷ˆ×ÇkxűPˆ9ßl‡ýń˙ó Äć ŘnˆXf +(Enyp˙ŕn˝g.)Čx€4x’şG+yI›Ÿ‚-˙=Ľ“Îh|É˙ó Äĺ ~|ËÖHť“y͉"hujW´Ĺé€ !Š‚GUÚÓ •z…‹Ţ;ÇÍ/¸˙ó"Äç +XʄXÂp˝)jŠ¤K˙\œä8ňĆhHN0+§î_Á9ľ:ź¨w:pŽTË^ý˙ó Äĺ nˆ8f(ŹÍ:@˙ŕW¤LJž°*;-m9nQ<áÖŹůFső ¨%P˙ó Äç ز…ĂÄLĽťTş‹˙íZšĚŤV°\!4Hđr] ď@€ivşmŤĎĄ˙ó Äć `˛„xĂĘLĆÇ;ú+hŽ}g5ĎŽŐ@gFÇŠXłËާ ‡ß)…=˘f(˙ó"Äç +8~ˆXĂ HŚ#ΗPÓxoW!„Xą­ÍŸË{œšń÷bA\ť0€˙ó Äć pš„xĂL F? üNî\>?°ăÍĹ!Ő6Ýn\”Ň„ŻzâšcľL¸™ď˝˙ó Äç °~xÂDHrTkNělôłčâ’÷ë z@Ŕ@O% +'˜šŻ5 8yŽ~ÁŽ˙ó Äç ĐnˆXKĘ(ŽéĐ(tăH"ĘÎ +ţţ¤ƒôŮúUÉň!°\đi1ŐJœÉ˙ó"Äć ¸śŒxzĘMßÄĹĽĎ*‚ěý° 5rĹZíZŢNźlX×Ń- ĐPy"Ć$işż1Ě˝U +‹!ŐCĎĚhĐÝ^Š#ţ˙ó ÄŕŔţ˜@Žs˙ăú€ + n9ž´VĽ[ š ś˘ô/Ëčcˇƒy°çGžĽú m˙ó"Ää ţ˜pz„qü,c˙R !Á…g;'EB*ČńT@hĽâ›řŤÚ47ߥ˙áĆ˙˙ó Äç ĐţŒ ÂÎrA> ˆ +מžĺ.Ix„”‹1ÖDö"7ŕT[ŮGę.˙PŤ˙ó Äć ˜ţŒ ÂJp˙Ąvň +ÝŐŐ~mH”T€oƔFQ\íƒcxńĐvЉÔC˙ó Äć ŕ֌PĘJp€ë=č"đ3–°%ń•0 +Ą¸ő0Ľ…[kB›)ň˙Á˙ó"Äĺ ţpyDp7ú‰5€Pept–#ă6…+˛>Ë9[ԛX˙Ü×#Ë + č_âw˙ó Äč +`ţˆXĘNpyß@ +ą)ŽJŮĺ’tlC Xő ÝŮ˙óĎ@÷ďí n­Ôßę˙ó ÄĺŕţŒ0ÁDp˙ú,>‘ĎÉ[bźĄ•püϜŕ‚˜ň _ýˇRÖt9őřeżČ?˙ó Äč +ˆ8Ępüƒů,> +ĘŢkÍŠK)DGFPA§R_ř;°gĺ/ë–ú‹ô˙ó"Äć +ڄPĘp˙ÂMâXŔ0ŮľŒ’ ăX+É7/Ż5~Ţâ×~‚űäWšgĽź˙ó Äć É•¸yDpť˝ţ%ÔgvŹţ3ˆŒ*`AĐ X÷X•çUůČ&ľ+ŃiÚ˙ó Äĺ誐x~ +LßđP€éÜ ŔY˛š^Ą!Ró0rSaĚ_Oń ű7äeOäŮ˙ó Äč Ń„PÂJp˘vW +7ŞŞK˝˙†„)H- ŹCY¨ĹÂ_LŻ°ÇÄ\&˘˙ó"Äç ů€ĘNr˙CŰřKéŕfĘ*:&ěOÇřť–b‹y…‰đƒÖ”ßçü[˙ó Äç čքPÂĘp¤Šľź€€Bźrá¸˝šFsҴц)̒Ádߕ[mJřž¤˙ƒ˙ó Äć ¸‚Œx~H˙ÄúÚĺŔ_ đ!)ŮŞţńčT ÁCTüĂôF÷-^8óhÚď˙ó Äć h֐XĂp9ĺýČPŻľb˜Heítç˜íˆUI=˜ŽŐëęšŐĺĚz ˙˙ó"Äç Ŕţ•zp‚ůîÔş%1”6ˇ(a +]LÓVÁŒ2ä‘)ăVMśŮ˙ ążçďSů˙ó Äč +@Ö|(ËĘpżÔ;z€P@šĚ7.–?:X'|8Óĺx'Âşb/ůRŃ őAü˙ó Äć ¨~ŒX{ĘHoř?Ÿő€`.Á°UUśƒCB@ś’?@rë掸etGčô˙ó Äć É‘Âp˙~Ş@ +AŽ…Í/9࡝ŒôÓˆőéÂçNÎf\‰Ô˙ó"Äĺ @–™¸zĚLţ%˙Šr@€B +0ălÎKPĐçúFşËĘ1 Tđ“#őĐR.sĂ˙ó Äč +@ҌxÂÄpßúőEYDĺYCOS‹#‘UT”Œ–DUĐ6AŐFW@ś˛ş‰ń˙Ăľš˙ó Äć Ö„(ĂÄrŕßŇ |܆ÄóȲT’ŽŢĂ=uI’h 1W;ĺť&ßoţ˙ó Äć ČҎXÂÄpôQBޤĐŔvĹL$ü'P‡~ˇ" uĹ +sqyĘ/žUUęO˙ó"Äĺ Pҕ¸zpř/óô€€ ťgoTźAJם¤ +šűU Đ'łâV”$9Çóž˙ó Äç +„X{ +pô€Ŕ/-ŻŁ.΄l’ ÄtܛťěşńĐËw{\ed8˝ţޅ_˙ó Äĺ –‘| +LTŕš 4‰™˛´:MjŠŃôWMĆžk‡¸XU×ćăî\mÉeňžQú˙ó Äç ¸ÖxĂÄpšęրěՍŚăi° s†’YqBŽ&őĘKϘúŤüżđ^˙ó"Äç +8քXÂÄpąŘąž• } 3Höš1n˜]n>ŁźA]cšxxűč|Nó…d›y˙ó Äć Č֌XzÄpö`Ďżë€Ŕ5^Î'Î’z—(˜ZÁŕ–Ł*ÂqKóóŚęú{âƒ˙ó ÄĺȆ„8{ÔHËĺ˙P´€+@ú–Šć jĎá!Tƒš(IÎF̨R_ ++Ě|yż˙ó Äč + –ˆx{ÄL•G^°Ě}ŽXúĂҕ‚ ÖRbÂŻD6ü°ž=˛řP†Ÿ /‡˙ó Äć 8Š€{ŇLo’Oŕžf Ŕ“`?)š“xQĹL=‚IÎ]$ĹKx:ă8çî?Ă˙ó"Äč + ֈ¸zÄpń8|,MŃr'“@ǀ¤ŠtŢ"čě›>›kä7Š\ßżü;śůq˙ó Äç +–ˆXËÎL‚Ë<2ł¤Vcţƌ€ô<é$vţĂŐĐ( +*‘áfVř“Ęż˙ó Äć ŔֈđĘJq¤ŃŠ¨áHΊ˘ś`ᨔ\P.0Č,rdźĄuÔŰţßđG°ˆđ˙ó Äć  ’ŽXÄLćť^\?W,G6^ĂËzŔ3@pÚ6Ä2ˇ˙­˙ňH’2€ŕ˙ó"Äć + քXŇÄps)ÎOˆ<żP|ů…]Ýú˜E‚L-ŠĄW°ŽM;)óŘFV´¤đV˙ó Äĺ莑¸Ă +Lîw[ý Đ@&…­‰\˛¸!آ™F;S˘XÂbOE +˙…˙ó Äč €ŇˆÂÄr˙Ô8€Ę(„ćf4Ë%ĺ ÎRŚ*ęńŹƒžjĚ0LÓú˙ó"Äç °ŇŒ0ÁÄr˙'ň ŔĘQş8FĹRÝM'cÔy*Íčűƒ$š˝Ń@9˙°˙ó Äč 0Ґ(ÂJpŒ[ÄŔ€Ę™ÓĺZÎî$# #5v˜,ʀÂržň“ŢaP¤oę˙ó ÄâŘŞ¨{ÔLˇüp€Îô +^’Y^ Ot‰rř!ôÁÝKtżĹŚ8ʔżđ˙ó Äĺřţľ¸aĘqjýţ € ţĘťZýHlqoŕž`BÍzľşa™üÔżÝB?äiş¸ţ˙ó"Äč + ÚĽ {Tp0›ţL€žŐś„Ó•P †˝”=<Ŕ˛>9â`ů×2ŚŔÇ˙ó Äç ŮĄĂNpü‡¨7Œ9nô +NěÉS„Éî}´‘>Q?ĺżĘ,=ľ)•v­ýa˙ó Äć X֝ ÂŽpÜŁ˙3ů€4aíŢĺŠÉ†D‘T7•†´ĄLđGŞĄ*Čżđ˙ó Äç pţš ”q‹˙ŀr51jÜÝ;éMÄ +"¤€€.Š) &FýűUĺH–W8€˙ó"Äč +`ţŕÂÎpźĎĽő€C háÓ˛ż†áţŕxkĐç.1šHO`Á›SwUM˙Í˙ó Äć xţ”ŕÂTq›ĘyP Ň +5.Ç`ś’ š˘rÄhuˇ•‘ }[B ą˙ó Äç pţ”ŕyÎrÄ˙@AŒŹ8.cŞdN +Ä\aSRœą0éëČŮMŻĺC*Ϙ-ţ˙ó Äč +aŒ@ÂZpB˙č_é@đ<3…ă óHßÝPN ë{:(źIžAťúáE~P'˙ó"Äĺ ţŚPŔĘqňóŢ,]|Úaa”,’“śÂJ0MWpjtňË%CůQËä^8óKóÁ˙ó Äč +HŇ (ÂNpÓ˙œßňŔ`u÷0ˆ#ᔐ’ě•4âDň?—Íüw­ú˙˙ó Äĺ ÖŹPbpŢ\Ŕ§Ą-ƒ°{ĐŘJ@QźĐÍ^&­˛ďl7ώ4oęHÁW˙ó Äç hÖąčbNp˙˙‹U¸HÜ+ꐀŻŠZÁ‡ž‘ +%ŠŢDg6"‘ú“ŕ˙ó"Äč +A¨0zÔp˙ńô€°:>s+pűrDôXŚ]/¤ďě,ą°ô˝ÔÜdoÔ0šŐ?˙ó Äç ¸ŇŹŘzNpáÔßHŔ!€ÉëśRT´S%–‹ÂH<9žH‡7™9$ +~ĄŸ¨îĄ˙ó Äç +ţ¤ŔzÎqŸń6˙Ář&GǍCŹ8üçÁԃ}eGĺYj˙}N^˙ó ÄĺđÖ˛zDp˙á_ýD đRКĺpčʕ&jfB W…>ĄőĹ˙ó"Äč +Hţ˜{ĘqČ˙ń Ŕ0Ě€îݨŢT74ĄCě0T™‡Ç×á„č˘ä¨ř˙ó Äć HÖ¤8zĘpoü‘Ŕ Ţ\9]€†ŁÁvÄh˝- +|Ąs›ßgoü,ď°ţ_ô˙ó Äç čŇ­˜{pßç éhI“ + ”î‰"r2kÓƒű¤ÎM¸hş˘t˙ó Äć ŔţŠzĘqţčň€Á‡@Éęą}–łđ#$°˝ íÚxřĄADžjnF†˛Ą˙ó"Äć +ţĽxÂÄqĚç†|ż¨‚đŽE*O!.ŽC2ý> +!Lƒm"Yeĺ͝ülϢ7F˙ó Äĺ ţ˛XyDq˙‚ůoP*†ăH§s R÷uHď>“˜<á=bŮwČźďEĎ˙ó Äç Á­˜zp˙oG¨Ąü ˇ&˜™j—I;?-€SGśŞ`ç2Ąň•ĺÔ­%I˙ó Äç ŔţŠ˜zDp˙ ňüŞ€  +¤Đ;P‡áĐ óÁĹD‡ŽQď⠐ł ä^ĄŠŸƒÁŹ˙ó"Äç +֜¸zÄpŰ GňԀ˜˙)73(P|Ş÷Ľ`ÖNé¤Ď÷“ͧŔďŤő/ü3˙ó Äć °†ĄxĂHźĺůŽmŁŇĚÉA…ÎvŒYH3Áˇˇ[1—ĎőKAű˙ó Äć ¨ÖĄXÂDpţçTő€(đ)őE)qüx@rp,;ĐÔě´j{ČżĽˇBJ˙ó Äć °Ö˜zÄpţţőŘ +@2zGŘT8ĆćíցŔáĘńL2Y‹đÉ~rň%÷˙ó"Äć ĐÖĽÂÄp˙„ů;ِŔcĆj°‡€˜K/ ] AŤ%˜ŠŞŃ/Ę=PGˇü3˙ó Äć Č֜8zDp˝Ţi`ü +LOÍDSŔ4NŁéšpx9ţń9güä'ç=“1˛7C˙Ă˙ó Äĺ Ҥxy„p˙áţ„ցŘç~"Čb#§‡ižk"q.ƒˆ=ż`%ď劊o7ü+đp˙ó Äç +ҘXÂDp§Ā…W˘@íŮ@%‘!śXĸ@ÂT— Ë™‡Tš÷ń¸§•z˙ó"Äĺ HŇ xzDpˆ˙„p´ ŰČŮi‚Ŕg::Žƒ†H!.{r +<×Z˘÷ůŠôęQ}˙ó Äç + ֔8ÂDpţ ˝~°ŕuÓEW¨ĘşËs;QA™ :ŰÔťý÷jw÷˙‡űŰ˙ó Äĺ ҜXz„pŒ?ŹF§›3ÄáĚ3‹˝jrŔĎAŠçű• …mZCŇy˙;יú˙ó Äç ů˜8ÂDp§›Áż ü `¸:=amHbÔĐƒX‰']ĄÄŘiMŒA”Gńß˙ó Äć Č֜Á„p ů‘¨˛…ěAœ~ŽIPƒD… \&¸Ěkvš&Çôč:r˙ó"Äĺ ֌ÂDsô˙ô€7%úň•*ôŞ™as€Až­=lhL1˘`~_ß˙ó Äč +`֐8ÂÄpא`ƒoŐĄknĄ`OúqÉ q@FÉ)B&KǍXižk*¤űy˙ó ÄĺđҜXy„p^O­@G\łEgÉĆA 1ŠŇň4‘ÝČő˜z'€;˜žGP(Ń˙ó Äč +0ֈĂÄrş—öăž@ 2äü˘ťV…íAOťô&b4‘cnĄX[+Ôt?+żS˙ó"Äć đŽ8Ă +Lúš8h :éŰĚK`“¨PGéaÎ;ŕŒüśśhôöčx¸3Ó˙l˙ó Äć Č֌0{pl˙6>Ŕ,0Ő‹„ń`r4ž¤‚<{lń#?íqOďkíŰţźˇ˙ó ÄĺŔâ (ÁŽqŐĺ_X,51ťł +W)\…Ň[Ť¤ —ŇcčôťŞEůýźŻ˙ó Äé +Xć˜8ÂNp‹ňğŹi+Ă/bS Y Ł +ýL͊ůŠ)Í/(}nxąŐT˙ó"Äć đâzNręĽ=™7ڀ ťł•m7'¸„MŔč$ŕfÎy&–Ú8žN˘§K˙ó Äć pâ”ÁÚrWä:€…ŕyZ)‘fMúpŔ0,4‡˝&hĄĽŁĚC(Ë „śĘvĎ˙ó Äç Ů +”zNslKťÔ ˇŞa)öR40ĂK 2uDôęH4KýEş°/˙ó Äć i +˜zNrÍĆ|ŻŽő€ƒŔnŢŽŹ °°,–Jç&ş˘šeÄ÷źŽ×;xAúŸT˙ó"Äç +8搠+rż“ćĹ@ÍŕUŸÝ‹Ŕ ‘… –˘<‰;Lmá‹ľmŁ(_T[ź˙ó Äć ๐{ÖLÎáK€€śŰi¨O$Šž/$Ř +t˝ňÖ:^ .&Ţ&ßĆ˙ó Äĺ š”ÂJL×+ěÜĽ ‡mvp˘„‚šÓŽí@!€M<˙ĺňŇ˙ó Äĺ š”XĂĘLţހ ëW3œĘ  ąU†É¨G^2âŠd˛`ťˆˇçˇ˙ó Äç Č”XbNL?Ą}śu€Bđoďŕ +ŕyj˜yąf&ء‘’düSą,=Uo­ĺ˙ó Äć Ȑř{ +LyńÖŔg;°‚—RŠK¸Ľ7´ś7I‡‰—ří/1ńcź%ův?˙ó"Äĺ @ćŒ0ÂDp‚ďo4ş°K8×[\Ž›œ‘ĄžŒäX–ń”ÄĹş}°3x&š˙ó Äč +Pž8{LÔ(Ő k_ƒpŹÂ ´ô%ĚÚŁś fŸBôß&0_ĐĐîč7Clá˙ó ÄĺŕœX{ÎLú,[˝ ~ç(‚„p7é8k̢`îř„ŒBŚÚán¤™ň$ę_ő˙ó Äč +HžŒ0{ÔL'ƒúWÖ8đćF ŔÄi$ŁťŠÔÓ'ą—o!„đ°^5_řŃ˙ó"Äĺ肜xcÎHŮGĄ; +üJóxqĘĽ „ăni6nfĄ'ďp<âI’SŠŸúˇ‚˙ó Äé +Ą”XzÄpůŃ\‘Z€Žx +âב‡DmWs÷D_ԋŽ 引ĄéÇj˙ó Äĺ°Ö¤xyÄpŘ +LJj'zşmÓÎo‡2˘Łĺ!uX‘9×jÄé_aˇ'Vđ{˙ó Äé +X֐XÂDpeP“şô,ť÷đÉYT`°*-Ň{˘†…{1öúž lň˘˙Eöö˙ó"Äć ¸ÖX{pč zŸŰ˛ _bú3˜‡X:łHRƆłŸ@yş”AœÄ÷˙ó Äç ¸Â˜x{ +LöđT°5Ú[Ż@A_в[ó aˆôUo4tß]3|~Ţř4LÎ(˙ó Äç +Ҕ8{pňzú@ Ř-.FÔÇasR‹QVčŞdŘń'Ýa‹ÎŢUŰŰ˙ó Äĺ~œXzPHÁ÷\Çkgŕ|Ćąœiˇ +´Íŕ†´>}9/ŕDyď +Á‰ó˙Ę*˙ó"Äě p֌8ĂpÜUMƛ2ĽŻ?Ćp3ażnń~T|€Ä˘°Ł˙ĽI”G†éˇƒ˙ó Äć ŕڐÂDp_7ü[œ_EÜ_€&ŐđPi­J™.5Ů@™\Y“ÎřsR‘l˙ó Äĺ ҌP{p‚ÂŔÚɀ4˜QĄ +3Ž8Ć$AbbĐ$ĆĄ›ô;ĽčúdoQü˙˙ó Äç Ж„ĂŘLđmë¤ € +Ç3Ó U˛’Ő}RA5v[Vłj:o˝5ŃżkYüW˙ó"Äć ŔҐ8zÄp‚÷đŻéą@ :ö§e “„ ›˛ ‰{3ß])üÁƒ[S€i†w†Ą +Ţ˙ó Äç Ŕ֔XzÄpGŃ U |59›tcŹŕBi3„łŢQYŘvÓVđźƒömčŸęƒyú˙ó Äç ¨Ň„ĂÄqż‚ú,ă€ŐbH˛Ÿ ˆ>ÖJâ0|A´Á–˛5ŇŚqQáxŃýVs˙ó Äç +†{HĂŢL]@ŕc.5I탅nŚŠ˘5FšäŠôĺüooř>‡ ­˙ó"Äĺ 0֌zÄpR…#(̀j˝r<˝šyVăá0’2]›y5%ěhď&ôĄî$¨˙ó Äč +Xք8ĂÄpý8gĐţ ÇŕJzbp8j$$"˜śLťtÍŮ@ÚXŁňŻžˇg§¨Z˙ó Äĺř~ˆ{ŇJ…08˘r™Ľ +\Ę:ĘťD˜č@ícŻ3@1ŰťšP < ˝TžV˙ó Äč +P֌XÂÄpźťˇÂ€JżŻÇĘäž°1ËeiţňEI' +〘q.St?—˙ó"Äĺ X–”X{ +LĆúČ!Ö(ť0疤ľl›&Ą(ԁyĂSn4ń°ÝîZčaΙżě˙ó Äç °Ö”XyÄp;¸Žý  éŢäJ.„T`ZÚŹaî‡QsŸj ˆÜ˙ĐĎ)˙ó Äç + †ˆXĂÖH Ń@Ű3cůŮĐ\! d͙ŠĚ‡Lę{E4…­ˇeĘíţ˙ó Äĺˆ–˜XbÎLO¸OîH a„”đbţXú•ŠŃ˙ó"Äć đ֔xz +pżĘůNĽ‡ˆ\ b—€˘˜ °(Tvť9TšŰeŔM_G‡nóÝ]˙ó Äć Ř֔xyÄpNRŔœ 54e´[™Áô{1Äč}řoó×ÚÎ1";ŰßH-Łôň˙ó ÄĺĐć PyNsŢCœ@ˆŃŃiăŔř‹j(Ť$ę…Í)艀Çýţ°Š~ţţź˙ó Äč +(â”zNpż—;2N„&p(œ÷“Ž%pV­)Ú巇zBhżşŠÔ_Łë>ęv˙ó"Äć °â0z +rÎeú@0¨.’bę‘xˆőĆ^0Ć3nŔĂÎ?sÍlľô¤yÁc:ő˙ó Äç +ć”Xy”pžW´˜œżEćŔŁ2R–)WŰďŮs”-Î?áCƒk)Ń.žOdö˙ó ÄĺО”8bJL•>ˇ %@Ü /šUw(BŻGl8_  +sČ 4o_łc~˙ó Äč čâ8zNpźw¨ŽŕmľÎTAk‰+œÓ1ű&!¨R°żÜ]ŰĹúňşĺě˙ó"Äç +`ćŒzNpRˆ78‘ëŒŕKiśA'`,Dŕš8]ůn÷/Żń…Ł+uëâM–˙ó Äĺ –”ŘcÎLrý­k +€ĐeŚ 9”‡‚E°l nCýƒX0GŸ €Á+Vńťjg*˙ó Äç ¨âˆÂÎpGT¨Ü€uҍŮF6Ďŕ +2hČ՗‘2˛ +çwÝ@b-—ŞŻŕÝ˙ó Äç +–Œ8{ÎLqkż¤Ÿ /5Ú`Óą*Œ+_Jf#în´ <Đ1Ż*c˙*CÜ˙ó"ÄĺđćŒPyĘpçĽJn € +ätğ<â;˘„EŃxŔÉd +4ôÖo‹đi˙ӝ˙ó Äé +€şŒX{ÎLŹő`vüъľˇ4Ş<ÉďŕÎ[f3¸óĂÎÁ1őˇ3É˙ó Äć ȖŒX{Lu:EwzŔď}ĹÉÚh@.ŃĆuƚ:ƒ¸sŔAuă<ţÝÎŰ ˙ó ÄĺčŒX{Lľą`ŢŔVYŽréF¨:Bńń‰|îĐ-'ţŔZˆeaËćţŕ†đ˙ó"Äč +0şX{Lt‰žóIčŕžá’¨(ÂڅÔĚ;v×]ëůŔśç?„ö´yH˙ó Äç Čž˜PzNN7([ +ÖŽŔuúਙ•Ó挃=Ÿ+qŸ}BHÝ0d•´ĘÔę˙ó Äć Xę”0zÄr´SYÔ°Űa<Fœ#­eV%;×tgÜ +Hšů@0LWÉŰBÚj˙ó Äç +”ř{Ltł’!×ŕb#š‚U|ܙ‡CöĚ\•Ç7üSŘ:}ĹÎĕ˙ó"ÄĺŘî XyDpŻO‘–Č€ŸbGu\‘O +‡Í‚“oĺÝáďĺ‡ńĐţUŸ;#“˙ó Äé +€ŇXzÄpÍâ@Ďŕučň•'ô´Ŕeľ'>MéH7ý +›wé}GóôOß˙ó Äć ¸žŒP~L‹ws՝°€ťđ5-R(ĐáEâHž-wÜĐ(çă…ú‹ňŐ–r˙ó Äć ŕš”X{ÔL +ló ×ŕuęE†#Eň œh—8sŢܪ˝¸ą#Á‚oń>ÜÂ˙ó"Äĺ @š0{ÔLÄ)8nŚL ˇ-h N¨”ěSAG+ü Çřž:Çđ˙ó Äč +H‚”X{ŇHoSu€ŁŘ +É3a˜Ľm s(Ăľ†kűřríˇĽEŁ,¨âgKTz˙ó Äĺ –˜X{ÎLSID˝} óđ2Q<'0 +—— +™s™Éĺ’ţ+řQjĆ?ç˙ó Äç + ţ”XzDqńŸuú€ ?Sšb9˜uRÂß˙ĆýÜ]‡ +_ć˙ř×Ň˙ó"Äĺ šĽ¸ZNLësâußŕvřg9nT}°kÄŐnöŃĎš +^ď+; +Rűđ˙ó Äč +H–X{ÔL܂ÖkV€żPăšu!Ů\„Fań\{›Ç+BŰĎäŕţ$xç(˙ó Äĺ ֙¸JpӎV> +D–[!ú“á„d‡Č‰Ůş(*ţƒť írŻľ˙ó Äç +’•{ÎLőęŢ!¤€/ŸÎ´•[Ď'Ő/łŸ'”ő°­Ô*/ę/ÓşYÉ˙ó"Äĺ Pҝ¸bĘpNxíůčX€nlĂk €)¤8›Ź5 ą>űćX~šéR˘ž@M˛K˙ó Äç +ş”xcĐLmi˘ôę +ŠEŞ"P‚D…Ô+‹’tgÝĺ0ČĚŮúH •úR•˙ó Äć ŕ֔XzÄp¨Ę7W ĺ IIä>^<˛Â ZVóËü7Qţú –CĘ úálü†˙ó Äĺ ֘xaDpr9ÓnM€€ĽŔ˝ŕÝ^“(cŘéI + ËyLoňŃw‰´ߣx˙ó"Äç +0Ň|(ĂĘp&„BŔ6ľE‚Żb-Ă‘Ę ]ś ˛ëřľˇÝÁÓČđÄ_㳒ű˙ó Äć °š˜řJÎL_*żĺŔJ˙¤HS€đ(v`ňgčßóDŻű@ŚŻéf;AłňűxZ˙ó Äć Ŕ–•˜KÔLťU&+0ĂYI2ŔS:œ‰]ˇŸw`ţ´¸DÏBČŐ/Qř˙ó Äć °’€0ĂÖLŽfϨЏ +*˜QMÓÔW‘ĘpC ÁTÓfňĹAw“ďL„E˙ó"Äć +–ŒXcÖLő~Ÿ˝Ô%>†#Ň°q˘2˛A,ďuOŹTúů7Ş˙˙0؅Şh˙ó Äĺ ֕zp ŕŚ[ŇqĐFú,ĹP8Dr‡ăĹZŞ?úÁQ;yŇrĎP …˙ü˙ó Äç Жx{ĐLNA™€\ÔŚŽ˝j +gá-ȧÓ* +HŐŚœcԞ5ˆç~ho˙˙ó Äć ¸ŇxJDp› ĽşŔ YjAű)Tp%]IÍj +mčžJ\Đ +.VuC‡ƒżĄ˙ó"Äć 薌x{ÎLŸäżÚ˜|× ÍX?ÓĂֆJYšžŻÜĎێ—?+΁™nO˙ó Äć ČքPzÄpůo/Ój€×[´,pJ–FŃŃt㍽ + î›Ň}˙ţO˙ó Äĺđâ”0br{Žô€e4+şQŕv˛öR5”ôššoaž—ĚňŞ„Áľ^š˙ó Äě +ŕ҈x{ĘpOf‰Ş*Ý"•J´]G$Év6ČÜŻ\>+gM4Mňĺ ú”g_˙ó Äç Đć™xÂNp˙•öj40€řl‹w3`bŽ4Ią˛!ŸăBáĘS@Œľ?–˙ó"Äć đâŒ8zNpéO†%ŇĂŕay—1T˘A ‘W˛ő+ÚÚj˙ "<ć]”¨çG˙ó Äć ĐćřzÔpˇu%ŔĂŕcƌÁ¨ +E—™<űď…S o¨˜ŕAŢĺńŢ˙ó Äĺ âřyÎpŻźËë áT[‹RAH`‚i‹e´Ł÷)Ě&K×P6$=Ëi +Ąwĺź˙ó Äç Кˆ{ÔLĺeć4Ń1a6%ář…˜j¨Šƒl?ăyŞ\Nj?é­čn˙ó"Äć đâ„{rüżáśô€€Ž…ŽŸ*ÄÇľV,™2iŸSĄbŤâŸ7”˙ó Äć ¸šXzNL-ďęÇŕvűˇśšę ŰCŁŐˇâ}xlĚŽĄ“Ť^có÷BŢě˙ó Äć PšŒX{L˛]ž&ŐŔeKŹťŽQúk iĄ#IÔčîdÝŽœ3Ä˝7˙ó Äç ŔžŒXcĘLA^ŁýhŔ@÷fŽPęáą) + +‚r4Žl‚ .öŔŰř"P˙ó"Äç +ž„0{NP†$€XśN#Bd}@ +Ń´|QĺĐGŕb‚ý˜HpţŞSţăĘč˙ó Äć É +ˆzÄp—ŠZ–=°KĎ´°‹%°••ńc/VńYŁjč w‘ˆD /“˙ó Äĺ žŒř{LAęŽG"Ëáă„b$‚h˛~šbuďJ´Čž”çĎyĄXW{Ú˙ó Äč +8žˆX{LŃe^…‚°ď—ń÷yĆMwšßú˝ŁŰřՋąhmچ•Ş Ť§˘t˙ó"Äć +ž„X{ +LL˛¸IeJˆńW÷‹xŢS +ŮŚîj…@! KŐn +ű~Łżň ¤€D˙ó Äĺ ćXyÄp´€Ú3&ԟPŕԓŠ@Ygë¨Í§œď8'˙Qżú€Ë9=n˙ó Äç ¸şŒxbĘLžfă<?qřK¤œ[ňš@†Žúƒç˙ +?Îú@Ź&ű+Öv˙ó Äç ŔâxaĘpŚě!c5ŻáŽ +kúš˜"Ίć;¨3ţ*oůpÍşŒƒ%@˙ó"Äçů˜x{qšBîMÔóÄÔÁMZuCŒ˙ Ž˙Q€GÎĺR +ŞŤáş;´˙ó ÄÓ Y&œĂÔq8tŤ˙?SŃśČ$˙Ô*OţX7šň:Ŕ˝´€+.ăđŻ–ŘTî˙ó ÄČ ţš°aÎq2g¤[ós”Hođ€żˆŔ5.rU|Ÿí…šŐďóÜ%7ńK,M˙ó ÄʐҨ zÎrčkŁ,˙ÂsÉžyMf$˘2éŮ' aű3Ԃš8ţ5{=ő˙ó"ÄÎ ţ­¨zÎqnżóB1ů`€1ę÷‡řMNz8¨C1Z1Đ_‹?Ç Ő3T}˙ó ÄŃŘţŠYĘqţĄ‰ţ*÷ +¨Ş.ôÄŽJŐęţ@ŸH.fúY÷Yťňż÷Đt0ßÜ˙ó ÄÔđţĄzÎq ›ú—ú@1Î÷[+P™ŽÂšP:rórűF‹Ž Šý/~Pk˙‰˙ó Ä×P֜@zÎp?ԓÔóxՎ”Ţ Ů˜=RéĹ‹˜’Ü€,č~]żŁňżóD˙ó"ÄÜáœ@zr°í?#ň FŞHi^ŕ݀á,ÄʏŐŕv2đE!žŻYI?é‡˙ó Äŕŕţ˜ ÂNsŐŤúú€ď† "`‹‚ő'Ł e\ÜŔţÔţţ˙ó Äăř֙ˆyTp˘Ě8VcJU°d̄ĎuŽI1™ŰK~(=¸>}Gľœ&˙ ˙ó Äć ѐ ÂNp’OúÍ=@€ +[¨^24SAtšqý>^pyÁ*Žö/ęÝCOý˙ó"Äĺ 8ţ”(Á”p…ăţEÝ@€‡J5ŁŚaĹâŔÂY4tt +'*ÇbŠ§ŐE?á˙ó Äč +HÂNpřđXR!h;ÇpŰ`/äŽWkĚ%y‡k6ĂŻÚIüŸ:˙ó Äć ĐţŒÁÚpň?‰ĘýYĽ‚X„"_“3ł¤„x}âƇĚÓŘ"†ýĐ\ýü˙ó Äĺš”0yĘq;Ä 7đÍ'REß|l8 čM§Ë]˛c2^ő}wԃňwŹĂüĘ §˙ó"Äé +˜ţˆ ĘÚrÇţ?ĐPMážA (˜—4`r]Â(Š5<Ŕ9§ŐXÓ9qUŒë#˙˙ó Äć Řţ8zÎpNůĎ@ՑǗ„—ŹĘ­a=šíŁo,ńÓdęŸíÂys“ŐjvýŻ˙ó ÄĺŕţĄ°yĘqÁGüď  †Ľ-‹đň^/Ş‘’2ľ|ŠËn}„Ç?ŕŘź ůuĐ˙ó Äč +H֐ {Äp˙ôĺţ€€@áß=cÁ6HŠ]ž +Ş# +Íť<,ż×důAs˜RłŢ˙ó"Äĺ ֐ÂpkĘzŔŔâČý˝,s%qތE&=¤xIúÇÓt*‹Tžß˙ó Äč ůŒ@ĂÄră[ý}`„Ü”Ďpßĺo‰ _YCď܇˜çâ˘Č‹gC×ţW˙˙ó Äç čҌhĂNpA~°PpkVŤAn#źäŮ[!Œźeg=Ť +K~A.Î\dť+œôp×ú˙ó Äć °Úˆ ĂĘpř÷Ź@ÎTŚŚ”Šç…S,€SbŘËOóŕŠćĎ?“ÖşMÔ˙ó"Äć +!ˆH{ÎpßâM˙ëŔF00ĆÍgŒ0špž›˛Ąóš‹ÇEí•cž‡*A?đ˙ó ÄĺІ•|–Hô@ˆžŃ +âuZ_n@ÂE˘ĄŢLˇă5WT[´=˙Í˙ó Äč +Xţ‘°{Jpőő€`X|Î9ź]vŸKńR.Y#äĎqč.yś/t¤j:€Á˙ó ÄĺŕţŞxyÄp?üoX€ź +0ƆÜe=B BĎěłäؗţ™őýŢů$<ţ$˙ó"Äč +0ţpÂÎpßń~YoŹ€…iLşĺ;ÍY˘ă˜ô¨ÍĎăŃŁęB:n¤ě;YŽD˙ó Äç +ţŒhÂĘp˙áÝç˝ `M0lÜťQśĄ>DÁ•Cł¸řZn@:üšÂ0r‘@żŕ˙ó Äć  ţ¸yÄpßîô€€Đ.ňüÓĂ×)yX†›0F)/AgŤŰ .keuX/ü˙ó Äć ˆţ•zŽpA˙Đ_退9ŔŹď;Šąrs“Á~/ó;m&ŽŢ[˙Óݟ)ľ@` +˙ó Äć hţp„pÎ˙ážţ”€¤ ܝ_śYč…Cá–":Ő¤rߗœŰí˙ő7üí˙ó"Äç +0ţ•zĘpQn€€đşľ–†‹ŁÚš‚Q=ô‰Oý%cS坕„#<ˇˇŻ!Ú˙ó Äć Řҕ°Â„p‘@8č´´E!ŤJ2Ź}0 ”z:Ŕô'n{ +ó†° .lţčř˙ó Äĺ Ҕxz„pßę\Šý@Ŕ( Z$†­J†–ŘřiŐmYŐŃwŠVcĺź"tĺ˙ó Äç +¸ÂŠp,ĘęfčŕÁcÁ$Áî#ŒˆŤ]Ăŕí%+cŽ°|ę”@;+˙ó"Äć +ҢXzDp˙?zÔB'8uyQń\Ů!;X},/ůFaw^~˙őç~˙ó Äĺ ćśXaŠpű} +I¨ žpŕ։cfíg FőA/%˘XŠo˙ź?–TŢU@äë˙ó Äé Ŕâ•XzNpô|oo € Í­*”Ë ÂA݌ÎŢ%óź]˘üWŞô'˙ '˘˘˙ó Äé +˜ţ•˜yÎpQnŞ_ŰĔ;âđ:+Á#N¸Ő+÷ž6ůMNKúˆÚÂ=?¨0C˙ó"Äĺ @–˜cÎLČ-AĘÖ'k ]3*ˆ!‡–ŞŞ0Pą>Ýr—Ž‚°™˙Âď˙ó Äč +8ć„zÎpĺ=@ƒŔ…–YŇłˇ äV'=gƒo8ëzőľŞ{Ľˇ­O“BQżÍ ˙ó Äć ą&–yÎpwü×Ô€ŇŔĹŤŞ—ř ŐC–Ú‰ňD T¤ZźiÔšJ(Ŕ +-ý˙ó Äć ¸âpzDpŰňţ €á* źWGŒŚ=_9ĂîéP+‰uUsťYvȁ7˙˙ó"Äć +şřcĐL&-ţ¤@Ć^°ĄL*•†hĘ):Úb°Ű5ÔŞ*HX +ŢV¨/—ú‚6˙ó Äĺ +ŞœfL˙Žz€Đ3†ŻW§Ôz&§dĢ&ë4dt5­(óěˇ÷Œ˙ó Ää˜Ö°(bpřŒ—™Ścmš×Ţ-X€Öçn,XLË{űWĹŃśdˇDŕÁžŚę†˙ó Äč řţ  {Úrßň—¨€05;Ađ%¨fĚ,{ևČkiPŤsĽ1PÄÚ)‡˜T&˙ó"Äç ŕţœ {TpńśŸRŢ a¢¨ĽcƒČ tĄ?˛ŮDu Ї̂×9EŸę˙ó Äç ˜ţĄ{Tqoô*7š•Á˜Ć!T +Řšc‡xdˆ ;I|ĎÜ7|Ę8ŕ9f§¨-˙ó Äç ţ˜ {Trőů/P F݊Ieť´3íˆKöÂ㊠+ ô+8Ł=N1÷˙˙ó Äç 0֝€ÁÎp ˙Šgő„Ě45iŽçdăY‚ĚŔ#œÄ(ř‡0ƒ&ΚÂ(Żř˙ó"Äé +0ţ zÚry_PŕĺđđĘúAr…Ý™”1łśLœn”ŞżžůçéPLĽĹv9 ˙ó Äč +`ţ™ ÁÎp7ü'öy€ČôŠîëlĘľâZ3^œú•[{)™ +ƒŠý–śŃ Fţĺ˙ó ÄĺřţĽ°bqÇ˙ž@¤ P‘…ń1UłÂCŽâw*…Š˝Ę­čţ׎ňĽ¨x€o˙ó Äč +(ţ@ÁŽpđłŽě…řçą7nbQ‘ß&”Ö—§8Šměy–'{Ö{ZA˙ó"Äć +ţ™°Ŕ”pé˙Ëî÷ú@ ŕ`^WŽŚW‰)ĺRśifÚÚÇ`â=ŰOňŞŮTŸ˙ó Äĺ¨Ö đyDpđ(€@Itpy5FćĆiJ 0i&•F<ŤĘܤ.Dč_ˍځ.˙ó Äé + Ňą{ +pŸ˜_ý€"ŕ;|Pˇ&ĄŹ1m? ƒl +tҎ Ť—~˙˙ó Äĺ ţŹČ{Zq˙Aý`!ňˆů ĚaýI÷V năN•‰ŕř@bCđF¸ń.ÝűĎG˙ó"Äč +pţ­˜zNqŃ@żâ†ňŔ…Ăp3ĎEXŃg`RĄ˜|uź™›•´˙űĎB\Ě˙ó Äć ĐŇŹŕÁšp˙ ú0;ĺ(φyúGŽŐUăE˘Ŕ2Yrmuţžz Ë‚ ú˙ó ÄĺřÖ˝˜y„pâ_ý€0€ +Žŕbôy ˘ ˛ëłČńxžl‡ţÜĆg_§ž="Ö@˙ó Äč +HţŹ¸ÂNq÷˙?–€ež$çNh„źm]dš&Ď$V64ń1{UćUe˘˙ó"Äĺ ţ˝¸xÄp‚˙†o@€†!¨Čń š9”S*óÄG,V&F—Ű.™GťŻĂ?á˙ó Äč +XÖ¤0ÂDp6˙App> +ŕőÔA`Ôóßaˇ ÉKÁň„lÖUĂźËţř€gč˙ó Äĺ Ö°HzJp˙Ŕ_ýEƒvbe†ŠPtłľ/"ÖĆčĄruŹX§˛ŸŽœW˙ó Äç Čţ¨zDsüú05A$!KvŽŚKC ‚Ţ œ7 +€†ÉŻŹĚŽóţ?‘¨'˙ó"Äć ŔÖŹ8zNpüIżĐ]P X +|ré†ě4´&‚€r¤Śż¸¨}ůçOŻúƒ˙ó Äç ¨ÖŠ`ÂÄpwżÉ€€ €ÎŚo´$¤yŚ‹Ŕ}¤gq2^Á?$°9;bB—Ĺ˙ó Äç  ţŹĐÂĘsźŤüç“!Á羅‹ÎŰ̇)؜}{M*Ňq×Čv+œV‘TˇĎÄ9˝Ł˙ó Äç +ţ ÂÄqxŽ˙ 󾐂€ď›@ Ľo^Jľ=<"D!:Mq[eTŚ§şžuu/F˙ó"Äĺ Ö¤ ĂDpü˜fô€[×yěđ„”áj.Ě.":Q•QEęüBˇEýđí˙ó Äč + ţ ĂĘsĺÔ=`:śŘ>&@$Ë I +vâńíeš}Ą<ƒßęށő_˙•˙ó Äć HҤ8zDp˙ă_Ň 4őGč5ý„Z™ŚúňCžŽm­ţ™ŐsŻÔż˙ó Äç +ŞĽxĂ +LÄ?őP[•;¤TQMW˛Ő5BtAC1.łĺ–SŸ-Ăłř?ř˙ó"Äć + Ň 0ĂÄpf˙Ş˙`Ŕ `(Ľ°€Ŕs\ŚáZÂœ +š/9ŒĘŃ +›ţ˙ó Äĺ ÖŹ°zDpź§¨,2n”dú—1v¤žšĽ›’áCabSętLőľ_"ö2"|˙ó Äç ŘÖ¨zÄpˇđߕő€1ěŒ­.DbxpÂĆDL7)ťiˇ_•ü9šř˙đ˙ó Äć y¤zĘpŸz€€ěLˇ +ž},+ńVçbu;jSŐţ\ 8Ž&-ĽşŽ|9˙ó Äç č֜Ă +rčŁSTx;X +hÄńTłqx0€•ŮeůóĚ(ňĽšUş˙Ăť˙ó"Äć +!* {DqΊŮŔ1üŠă°Ytš*Đ.uVPŚ&ę*G%ľš\í5žĚľŹÓ +˙ó ÄĺđÖą¸yÄpţ^NX uő(šPů‡ˆŘ CąkMIźĺ[ćÔŰď™főę˙ó Äč +HŽ”ĂÖLӈ˙„ú€ OŔ°ţ&°"Ž\ě‚ôp‰ą•”ŐÁ!pmÔZŒ_–w˙ó Äĺ Ö­˜y„pQß(|,’lť›Źöގe\5šfŹzňÇH_¤ďäWđořßü˙ó"Äç ¸Ž ¸{ÎL]ÄuPzWđDěţGŒë0‰ű"MŁŁ3°CP!}¸:uwţ˙ó Äč  ŇœzÄrţč€.€>#ínźHA­k5‹…’ĹĂŰ ÚšÄFŻň{‡3}˙ó Äč +(ÖĽ˜{DpđJí@€¸R]ŰT éóQżÂ\ÍK5@ą:Ív÷“ę˙ó Äć Đ֜X{Äpňż€‚~ďǞ´“oá„LZYńô€˝šěu#`)śŸŃÚŇćĚ—Ý™˜ŢZ˙ó Äĺđ˛¨8Ă +Lb‹Śš0ŔNâs‘Úśł”ŽIc#OŃXgÖvÎě&L-qżań˙ó Äč +ҜÂÄpžCßëžŕngq(.A%ĐYWWœű\ř"˙˛˛ß°űü!ú”C'˙ó"Äć +֜ĂÄpę(?[ţ}`ŒvÉ8'Ňf€ŇŎӯfĐ]ĺs˝€QAF”7“Ä˙ó Äĺ Ҥ¸ĂDpczý€ťňë.ŐGˇ؁čJ‰ăŇ.Ë]aIłÚ}qöčń‰˝˙ó Äč ČśĽ˜zJL8‡ĺŔ÷ŕuü¨q3d |2Ś˘,I !äžc(QůIx?Éý°K˙ó Äç + ú¤Ř{pÔ}Šç´€l¸ůäd<bŃÖBÝ + Ź‚„Hçë(Ý˙ó"Äĺ @śŠ˜Ă +L(`‚Ÿ¤5x„!‚¸Đ Ą…‰ĂfŠŁ†×mľĚâ…çPŹ”dýČ3ýŇ˙ó Äč +X֜XÂDpŽÎĎXŔŔŰ`9˛=AŚ‡(83,>˛1ˆ#(í&4HKsŃcĄ'hĺ˙ó Äĺ ˛œX{ĘL6|^ p/Pđ´sœŽŐfJ1ëčŹ$ČüF"ěÚŮô3{˙ó Äç вœřĂĘLđďéč.€€ndč¨D´Šg/ąťł= O형Ö‚ĺ÷8#˙ó"Äć ČÖ¤Xy„pŞúˇQŔó N<Ž(•ÁÁQ14¤‘)–öŕĐ „dž''çýN ś˙ó Äć p˛Š˜bLś~ ŠżՈ@Ë"ąä%]ĐšĎ$YŤ`uX.Ţp0˙QüîmQG4˙ó Äç ¨˛ (zVNúvéŽü +Ä +‚$§`8é‰LÜyŔ‰ÄQ•ƒzÔ€€˘˙ó Äç  ‚š¸zPH•MţŔť!Ő´DIĽfÓĐň32ˆ30ĂOšĐWŒ†;˙ó"Äç +`ęŹxzDp˛F ŒV°9ţč8tŮ2§Ű ŠÖœ™aӍŒm +Ď=œ—•ŰŔyž˙ó Äĺ ęľy„qp}Żäˆ­őˆ‡ŽÓo Á´˛•O¨> +)]tAOŒ@˙ó Äç `ś´PbNNĺ3Ԁĺŕe€÷$ĹIŹĆôčd + ¤­ÂŻ‰Ń˛‡7ă# íŘÓń˙ó Äč + Ę´xy„pWÎS÷T)GÎĽŠVgi$qC‰;FE´čśe:žŇ2őĐć˙ó"Äć  ššYŠLšDuĐďx)N43á[đĆĹ.fŸ‰2ÉŞ˙đÓßžg?D,˙ó Äç ŕÚľ¸xÄpŹN°ďŕm¨ţřĐ#•‘ /Ą-‘¨ý5łlj.ýw}_ىŇ,˙ó Äć Đę´xJDpŤÖĎú€€”=Ňb4Š@šFťť>ílĎŏˆ`Ś"BmĐ ˙ó Äĺ ˛šzJLÔMY0pÄ>AŘ⏣:ňş–ŒČ͗âEë— ţ‚ş1z(˙ó"Äç +8–ŹXzRL†é<í !9Ÿ€4ŻžLŠV,Š…ivFľĚé}k΢lń3Bę°Â˙ó Äć ¸Ę°xzÄp˜˘ŞŔ?pW„ +&q°cdÁGĘ1Nj2؂”ćĄGż S‚ ˙ó Äć `ŇŹXzDp%z:ß €ŰđiéeŰlńJç.6yîÝ‘pŠI ĘhC˙ó Äç +ʨXzDpÉÉôOƒţP$íŕuÉ$a´)i‚î‰wŚ)ş÷2űb&.E/RS*˙ó"Äĺ šą¸{ +Lp]üˆöz˘˘œXWÇxř†ˇŒlú/ˇě aÜ˙V.œŐ˙ó Äč +@ҨxzpXl)ȀĎŕxÇ°Ě#iĺÖApLĘŁ™‰ja|"H€@ëA>Uü˙ó Äć ‚¤({ŘHý,ˇWf°ŠśátŠh‰ÓĄştK^ŻżDSźśCxyŮýżĘ˙ó Äć °Ş°xy†L:­ôĹgQ˘‹2ć[ Ş@ + ) ֍ƪł, +ĺ|,bY°k˙ó"Äć + ިř{ÎMňlłż$ fŘ-Žpá9mŠ’íž˝œ[Éł?˙uÔ"u14ß˙ó ÄĺčÖľy„p é~ˇiOaę(.ÎôźąŃä0ýRSEBßądc- Uí&Ä?8ď˙ó Äč +Ö¤Xy†pŐŻŁXŻx 0Š>\śţŐ‚fLŮŰ6vŁ×DëŢuݨ#ţŢ˙ó Äć தXzNLZZLŽŔxgh4ťgaŕŻL´ľ +V&A`TÄ kŢAó ß}[˙ó Äĺ š¨x{LXL˘5?Hŕ Tô‰Řąx+$BQRc‚aň`řę2N–8>ÚB'˙ó"Äç ř–œXĂLvŽ•uĄÔnŔ eMŃMŁq÷&yč‘Š2ŁŸĘ—‰ë<˙ó Äç +ŇŠzDpœă} ÷ŕcK°ô’JCˆĘő‰œéä0>”Msă$÷,˝žř<¨oÍéĄ˙ó Äć hš¤X{L˛š}ŠéďväHÄÜE#;B+ /q­S +Ňž÷~ÂÖ˙ó Äç °Ö¤XzDpk'Á7ôínžPŻfĺveÁA9wötÉŽšEÜdgúO÷c{˙ó"Äç +(Ň XzÄp!óPfR€î}X“ožżPˆíN~y30’ŕCĐÖ.fś˙ęůP?˙ó Äć Ȃ 8zRHóŒÝ€*ř”M3ł‘VxŔ´p\¸>ڸ坚ŃĹm5Ůůçľ˙ó Äĺ †­zPHËzşĎň íně•ÜąM¨‰…'/ +˜vă=•j{§Ď5ř˙ó Äç +ҤXzNpÜşM €żŔâĹ’™ˆ-fTÉą +d9¨˝”SŰűŘ˝Ćô†ű˙ó"Äć Ȗ¤X{LÁ ii0ü§8ő¤Ő+ƒź€Sv6!ÚŞ°"cQďĘ]˜EՕĘ˙ó Äć ŕÚ¨XÂJp?ÉŐ@€űŔůlMĄSŃcP€’›–ÓĹlœadœžD}ţžz—ď7˙ó Äĺ ÚŹXÂDpÚwwz€Ŕ"DČaš5V0™^5éŞ˙ó Äć °˛œĂÎLňŇ +€ +ŮQ6ĄĘaO0Ž+‘2€ţ ˆ’ X YYFSŁ“ú˙ó Äć ˜r¤Ř{HüFé +œ!‚* –cÔĂňUŁĺ‚O¤{Őy ŠgiÓg‘˙ó Äć ¸ś XĂLőםȣ} ˘V(=Ó3šQ]ŘQOű:3!ŔšŒ[,Č +˙ó"Äć ಠXĂLӜP-nľ"0Âeí™Ę +F(€*qR…U˜lŔꕐŻ˙ó Äć  ś¤X{Lú‰ň îx&Ŕv31%„'ƒăTጰ:‘›ĂÄQ [ĐsóŠ˙ó Äć 8ś¤XĂ +L’đ×Hä3ŒTJ™eŔĄ4Ĺ\} ’MЎŐ;ŠŘŸQŮsć˙ó Äč + ڜ8ÂÄp’’áőÓą C Á‘˘Đ1Đüҕ(§1Ľnŕc,oŁk +t˝*ÝJ˙ó"Äć ˆśœ8{LœÝ÷pôZöí˜0,mł“aúďđ„"€ű<­/œ… •ďÁŠ<˙ó Äç 趔XĂÄLćd¨.ÖďO”O薆˙…;ü€@ę€>Ł_Ƃ­ ĂîŁĐŠî˙ó Äć ˜˛œXÂDLP›úăď,ĄĽ"ńƒż×ţ4€H ,¸đĹ;}ÝÂŔ2™.‘ă”ĺ˙ó Äć h˛œX{L­ˇŒ˙Šu>4L,˙_ůRŔ€°>Wš^Ą}ąČ˛9* dŠXŔ +˙ó"Äç €˛ 0zJNbm×Rn ÝüŸo’ŔҀ= 9.âV((Y蹼43uăŠ˙ó ÄépΘ0ĂŘq‰ŕ†˙V˙Q€??‘-Ź$\`(…˝ÇxZžö°śę?ő‡źČ˙ýGř˙ó ÄÖ hĘÁÂĘpc;ţ€€€@ Ęńî×LŒ­Ěâ<átLc˜Íú’˙A×DđĄ˙ó Ä× ɸyJqßë˙QŔ.¨ň›ľ +ş—eEK!éh”l× ‡¤˛ęIâ8!…9‚ď˙ó"ÄŮ YÍŘyÎq7ú˙Đ`:čö˝–lŽK•ŕá5čĚIş’Po&†™ŢAWn1œ’xPď˙ó ÄŰŔĘźđz„pőô‡@ŘŹ›’Eb0îbńĄĘa #+ĄşŚGă™NfÂ˙ó ÄßŃɸyÄq‡Ť˙ ŕ¨é‡JT-ÍąčŻ 7vlł&ŔŐş6Íůčâţč)B'˙ó ÄâŕĘ´(ĂĘpßë˙A€œXň™˘a>˘Ż€ë`\Ď,}7LÚłŽ˝f”_QÎÁ˙ó"Äĺ YĆXyĘqDŤ`›Űä¨â ˘Ę$v˛rƒĽ0ĄŃM[˛oĽžíŐÇU~ ˙ó Äç á¸x„q˝>@€ŀ>ĺŘBŠ<°œŠHůű;ŹÖä7qmóů!ýD˛jI”˙ó Äć y¸x{JqŽŘ&ôů€2â€čéœđYd|M­žzϋ`šĎ:’U_ĺß ÜŢ˙ó Äç +!¸˜ŠŠqoż¨řňš!QˇÍ’ĆSŚý0˝‰SżĐw÷öc)}[˙ó Äč +0ň¤x +qýô:čí*Á|Ě#5éaĚިÄ,~Pňy4v@bü˙ó Äć ŘʤxÂp Ż$ ˜{•Čl ‘Â' +čŘÄŕÂ9ŕק2ő=íî3…_˙ó Äĺ öąy„pŢGĐ› QŽ0$&CÎ(@Hžqd¸nőŕG +-›˙Uüü}TřÖ˙ó"Äç đΨxzp˙˙r@ŘżdřĹ؈T„t F°ĺ„ŘŞý…ż(멊Ş)˙ó Äç + ö¨xzÄpßŕŸĐ/hh‡ÂąiPîŕ XöP|‘Ä# +’ý җMQČ` + ˙ó Äĺ ňľ¸zpţ1ü? 펣ÝZ臾 vŒ7`’Śň*vtőŢůéŞ0‘ ˙ó Äç řň¤xzp°ś˙ţ€şčkŽ†ŻÁ@–ýQŔ1蠐tÉ1H#ą:2Á˙ó Äć xʤxyÄpˇłĐ§}ůšČËRÁŹ…ę]J<ŃT=sAž›ń¤ňi˝×ň&ß Ż˙ó"Äç Đî PzJr…Ž˙ +˙ ŕćzĂt`*¤M€ą¨ @Ö.3A•Pńň˙Qţť˙ó Äç ¸ň¤PzÄr|gČ Ŕ +ĺ^@Ł—)Ý ‘>‡O`*O@5OÍő Łuüc˙ăI˙ó Äç ¨ň¨xbŠpţĘoă€Ŕł€3X3Î7¨=V'Í؀Ř]ŒËoŰ˜ţŽĹі/Á˙ó Äç + ň¨x{ +p˙Á2:Ďy-Ÿ•ŽOA0;#AĽB㩀Ž'ç“’Žďýžőń˙ó"ÄĺřĘ°xaDpżđŚFý@ýĆÔÂ'šŃĽ FćőJŔ`‚Ŕ^:jräo´PľŻ*˙˙ó Äé +Ą¤XĂ +qz80§YLXVxż#Ł`5u)ŁÖq•!{–týWôPŕ˙ó Äĺ ňŹXz +p÷äŃ ̨Ő˛úkëAx€ZÔŹ*äÉ0Ç!SnlA:gňL—B˙ó Äç +¤8ÂĘqť`ţA<€<¨íťf÷Ś!UÎDš7ɒݙď™,ć Ţ;Š˙ó"Äĺ Pňľ¸zp•°!ä<đŐ˛0öÜYBZĆëÁů Ŕ’ĐĽŽłŐNN> +9ÝJu˙ó Äç +¤XzJqžäČÜ I#‘hˆČ'†lzs†3dŮ*Ţzżˇüĺ­ĐB˙ó Äĺ ƘĂq9nUËm#Â"Ź4‰ó–™ž…ŕ†5ŐucŇü ‰ÎŹăŸ˙ó Äç đʤxÂÄpƒű'đJ€ŔBÝ÷€1 +Ż”Ń]đu'k::ë‚~Ę^3z +œŽšîC˙ó"Äć čʨxzDpÁżĄ=€ď€7,w"pӃ#M, +€8"1ƒ*…~îÁż˙ó Äć Ę¤xzDpýżĐ`¸ŇW2b}ÎĘy7ÇÇbĂ@N“öUňĐfO?‘ţWÁżú˙ó Äć ČʨxzDp2éŕ†`/Ňj˘x3ŐFX(Kdj4Đ žA<1ϲěŐ­_Š›ł˙ó Äĺ Ę¨Py„rŘĎÁżŃČü ŔLšM9IÄöż4™%bÜó–Ĺăş7ĽQťY˙ó"Äé +ÉœxzDqa^Gú€˙ƒÝůa|V’ ŢŁ•-ÉŘngw97…‡>ßűzżÖË˙ó Äĺ Ęš¸a„p'’$šJËťcÎQ=O}ßňK]PÄ!/ŔůľjcHÔŰĂÂ˙ó Äç ĄŠ¸xÄqJ €ĂŚ_ÂűEEÓú†ú?ůP„€A­ĐkžWwqr°ž-s!˙ó Äç Á¤xy„qŽh_Ž‚{™0 u*‹™eQß÷˙ŕ¨îÇgŠa}ŠSˆLĐ=œVc˙ó"Äç +HʘxzDpŔUĐ6ź˝ňWPĎôđ°p÷u%iÚmÎŢßhŃ\~+˙ó Äĺ Ć Py„pj.Tm˙.nzůoő%ţ!€-ŔĂbpĹ=#NäÜ2ęŽŔnźa4˙ó Äça¤P{Öq~4ÍëÇßü-€Ŕę€;yŚ›ĹO0ŽŠtjćłmŐÝt_~Ź˙ó ÄÔ !ŐzqŮÝŰý_üŔˆŃ|âlXi‰MNhGä*„éeę)~Ő+˙ó"ÄÖ YÖxxŠqv&Ďů €ƀ7?Jż&çjEŢ!ÓŇꤗ'Ň mĄ|ú.˙ńšßĆ˙ó ÄŘ !ŔxÁĘq˙ŸüŔŒ¨˝= ó,5jdt‘ńQŽaG'bšÖ[ŰŁ PĚü˙ó ÄÚ ŔxÁÎqţ?ühŕ2îG›xíše,:@iwb¨ŘzşEž +żšńŒ>‚#˙ó ÄÝ‘ŐyĘs Xg§ÉŔ9x-‡Đ#m¤€hmȢ—wp-­V†%ˇźaÁ¨1H˙ó"Äá YÁ¸yÄqÔ ˙G˙QŔ €Ž‚ń¨HZ$Bx㺸fĺ\圂]ś˝~ž˙ó ÄăŕĘ´x{Jp7‘ň@8ÇHS Ô9`”Ľ|‹Ú‚ŽwVߙ.ţČůwŘöáßĺ˙ó Äć ™š¸ÂÄq ˙•>řé`&O~I„Ź¨jÔŠȕ`*Ś#’^訕{ş2a˙ó Äć Ą´xzŠqŸáOţ4ü 61ČwĽëĽP{‹QÔ”°ec;>‘L|˝Şc˙ó"Äć ČʸÂ +p˙‡y@ÜÎ`ŠŁjYO*$} +炧ŒžD3—mAŻŞE*9ół> ˙ó Äć Ů˝ŘaĘqţ1˙〄=TŠă5…óMtы5U#|ö\˙ó Äĺ°ÎŹPz +rŤÖ¨ NgÜ(Ľvݓ¤ +lń˛,ąĄ +"l~aƤ?Ľ˘˙˙ó Äé +`î¤xzJpĺ?ĺ@>˙Ő|K +&H9n#up*׍"/’IuöRŃětTw˙˙ó"Äć +Ę xzDpá_đĘó€Ř|‹)XŠ—w-Vy…`CŹĺL{,§l”8˝ű§˙ó Äĺ ňą¸y„p˙é˙Ŕ€ýŔ€†¤Ú3§‡˛Š‘|M)Ł:úOxXżř˙ó Äç +¨xyJq`.×ĚŚp™ƒŽ+é9:qpˀ†ŹynÍ?˝XW^–Pc˙ó ÄĺřĆ­¸yÄpů%eɄńđ%ŒŠ"….Ë KNę› +:—šÍ˙_üĚňhΏ˙ó Äč ŃœPyŽs?ňKęˆ\”!ȄŒőŁąĹÁűV‡A‹h9Ż„kÜęçśO˙ó"Äç + ¨xyĘpמ !č< B‚q1JhîMoÖĂÚ6XM=ĘÉ_­ţę‘őŁIý˙ó Äć ŮĽy„qŞ?ô<¨Ý$eƒş‡ó¸Tf–h˘Řţ˝Ö~"×řܛރA=˙ó Äĺ !ąyJqG˙Aźžß1TâX‘“™őWYˆÄ;ÖĽÓżŤ9ĆĆý#ÉŹž4Ď˙ó Äç +Ę xy„pö1TťĚ€ đ<$™ Ÿ+_ŇCˆýş—@˛‹Ä‹şPzvŮŮ"ś˙˙ó"Äć +ĘŠ¸y„p­Gôy €´¸vőL˘­}ń[IBě^9ťîzÖç^ďę%˙ó Äć ŔʜxzDpţƒżÔw`¸čéte‡{;•`n*Ť.‹âŠ×+3žéU˝^ĺ¨ţ˙ó Äć ˆĘœ({Äp$JŕxË°=ĐíeK¸Ž 4ˇĹVŠt-ď3ĺŐţńľëŸVüŐ˙ó Äć ¨ň¤x{ÄpŃčɀ@ĘčdŚHŃŠ}~Ń|8 ˜Şwwg˙űŐ۲<â? Q˙ó"Äć +Τx{Äp˙ŐżÔ`€áźŘŔ*A!gFfŃ;‚ŤJÁBj—p´7ů˜•ă˜ß‚¨˙˙ó Äĺ ʤđ{pęŰýFň€㤋˜ˆ‡g=QœŠÎTgwPŒ]­ŻčŇZč˙ó Äç +ĽzĘqô|ŒČX=”"BW8,6Ěřu*ĽŢpç+ťďü^o ÓQ˙ó Äĺ¸Ę¨xzÄpü— “HJŔ…ó >ϧ"édc˘ąX24+Š+ëłýu/Î ż˙ó"Äé +ČʘX{ÄpëQ˙ęßńŔ—‚ôŞ6Büp=­ŠŐN•{zzĺŠ3˛ľô¨˙ó Äĺ !¨xbDq˙ô05ať=eWĽœěĽE)˘Ź,Śđ° áĚ˝¨’j6uœÖÓb˝˙ó Äç +ĄzÄp˙ZäýnçćąĘčh;SEsť‚ľŘUFr_˙–ëCŢ˙%˙ó ÄĺˆĘ˜0zÄpEg-ô7Čë0ĄĺQ§t‘yîa- łŽ ~;ݝ0ôǾߟ˙ó"Äé +¨Ę”8{Äp’ôI ’J@’(bVúŽdŠ2ż…­đ˛÷1žf|üżŠ‘ę˙ó Äć ŮĄzĘqߒŁú=B|Ž"ÄCšľĚîŚĺ@ĐdFl[‚­+ú›ă“füű˙ ˙ó ÄĺČʜPzÄpďô ` ŻUdÉ~M )q‡Âbq"Ąĺś­îĄC×řϟŁ" f˙ó Äč +XʔĂÄpü›˙§ý‘ŕ}Ë´CŤY´Îüą˜ĎW)h˜›’JŚĎŻ˜čÓ˙ó"Äĺ HʤřzDp>?ţěĐ—ŕ}Ü!ŇżôL&ŤHŸČjč˛ŘŘqśoĤ|˝¨˙™—˙˙ó Äç ˆĘ˜(ĂrD˙(Ŕ  +\ąA’ƒO/ +5ĺ“"Ŕ$tól˙kţĂąëVŁţ|˙ó Äç +ʔ8ËÄpüwřŔŔA{ĺ +Ŕ%š20sŹ-ˁîśńž}+#˙AЁŽó˙ó Äĺ ¨Xz +q‰ôyRŕcĚ僔mĄj§JÖdň‚— ř8kKZ—Uh3 ˙ó"Äč +”8ĂÄqރíŁČR^k[°B†)ÇR.Ń;Tmn.”­Vˇ|PéŽu/ö ˙O˙ó Äć hΜXÂÄp˙ +Wŕysâ eĄÍeRÐŮQae4Néú5Ŋ>­űĐý˙ó Äç Ů XÂqżÂ‡V 8đđ˃#Vš%—ĹÎp­Aؕ4*[9ş‹Wĺ˝UžŁż ˙ó Äć á˜8ÂĘqˇżOđĄŔ +žiB4éá7"ČŞ˜Śă%.ű‡:~PŻVM÷ ż˙ó"Äĺ z˜X{ĚHőü +@ýĹÇ +œPĘ{?+*ľ0˛˜Ç$Œđ­ą7MK|řşľLÝ˙ó Äč +8ʘXÂÄpčÔÖî œˆnӂ5 Fோ\6 +;hęjŕďžÚöĽ~m˙Ôo˙ó Äć yœX +qŤÁg¸Ŕ—ĽşőEdrsáĐ:x˜‹›ęґ<ŢćŞZ1˙Ł˙˙ó Äç šœXz +poŚú@š(XÖ°UT‚4ž+´‰>$QĽĂoë1NęÓ ˙ó"Äç +YœxĂJq?ú˙h' *ĐĘą^SŠŔw2AH$š'śQöŇ׼˙wéČşŽú˙ó Äĺ ¤XŠÄqĺ‚×꺨âĐł…˛Ů1œ‘˛ťá"FM[”Ζĺłđƒ–ő7ĐĐ˙ó Äç + Θ8ĂÄpOţţ Ŕ,čîU„’Ž)v/ź3ř +0ůĚĄĂtśvżnž÷­ţ&˙ó ÄĺɤPzs ü—‘¸˙7lVƒ…‡Ó‘˛P2 Œ$„O´^ćŇŤĐҡÔÔ˙ó"Äč +Q˜PÂĘrüÁčLđ5|qš"$„‘˝Î1:.aŃsîś3ś‹M†ü,˙˙ó Äć ÁœxÂqë˙ŕ(čMJs¨ VŽJF&lł0:n}Ć4í™÷_żćÖŰčh#˙ó Äć ŔΜxzDpƒ"Ź +VˆŠĽ˛iŠ•;˛(şasĽŁB‡ÇöęQ˘ɡŠ{Qż˙ó Äć ŃœxzDq,żo‘'\Œ7Ł˘N}˛‰Ĺ eřz ¸HŇ7ňJŇ&Sú˙ó"Äĺ @Ę xÂpßÔkŹŽŸl/gX[&K:ۋÝ• ęúoĂëŇŠýĂ:\9“¨0˙ó Äč +A xzp¸#öů_8ćĐ}ŁUFÇ=üd + ęr [#Ý3¤¸˙ h'ň˙ó Äć Yœxz„q~D +hw%*€b0¸K7~!éa@šÍ‡8Úˇ*/őęžűřPŔŐ˙ó Äç ¸ň˜xzÄpýzÓ ŕ*č7\#”Q-Ž[”U˝ćQîÝĎ-ßďÍ+ŢŻż4˙ó"Äç +ʐPÂÄpű|ˆŔŽ+¸Ç…3hřOˇ¨# b¨°iD÷ődHžAŕ˙ó Äç pf”xĂĘ(˙\˙Ȁ€ţŕ#z¨Œ936ł.ý(ň!ľEő÷ú˝w‡ô˛Cœ˙ó Äč +XʘxzFp“ň 4Ź(U€„ŽkޤŻB;™Ážˆ.xÉM#R€Ójš‰śÖł~z˙ó Äĺ°Ę¤xyÄp?Żżüp śňs Ô°*Qçľpؗ€vvŠ,šxÉďţ輚œî˙ó Äé +™xÂÄqf˙ȀÖŕxpŽ2bŞú3ŐP‡LĽŸ§”šŐţĽďľęj}ş˙ó"Äĺ (ʘxzDp˙ă˝`@żĹk&ÁGV‘ßK>ÉÄ4ĆPQšAj&–_•x1Ą?–Ÿ˙ó Äč +HĘĄ¸zDp~~őńý € +ŕfÔB›tăśÍčĄ4‰nO0ÁĹVĺŠč/÷‚˙ó ÄĺŘĘ­zÄp'_üp+—éÁâŒÔ¸ŻL2C‘o‚ŐÍvń&°Xv ťţYŰĂüé˙ó Äč +!”Ąq߼÷-@É˜âŁ &wRv™ăÎzä^ˆňé.˘÷6)y˙\3ďu˙ó"Äć H‚˜8{ÖHř_<Íöú@Pčöňô4˘9Áž°ĺrH鮎qcŰ`myEf˙ó Äč ™ XzÄpë|˜ź ȓ”VŹ +ňĘ"GäB<€š¨ítÇ+k~A˙Á˙5×˙ó Äč +Yœx{DpŻýGuźŘ¤˙"U`űŤ5 74ä€%ř¨¤ƒŮÂŢéŽtŽË˙ó Äĺ !Ľz„q|™É +ŕyWDœ>Ő+"Ůߘ˛;ăv)ŸůłY1vŃŚĎ3už˙ó"Äç ؂”{ŇHMô¤Č7řDԑP-üht’ĹLëpšp)H&™1đÁmŒöŰňśo˙ó Äç +˘0Ć L“W'[¤€f”ŕNšÍVАľ$wČcőíżĆƂ˝¸ÇöŒöÝ˙ó Äĺ袠x{ÄL+“ŽŔ|śĽŃÎAZWłé°ĐZőŸ‹­E‘OSŠœˇăd˙ó Äč +I”8zÄp^X•Şä؀Á˙đňNq˘— %šůX>ŃĎĄy䞈ďŹmüęрP˙ó"Äĺ @‚”8{ĘHĹZťžĆpŕiaÄ‚h˙w˙ţœiöđßP 2[a)ƒčŻˆll¤˙ó Äč ¨˘˜X{ĘL—… ř^¸Č$ŻRÝ˙.[ţ[éˆF”ĆnéОâa*ś˘%Ÿ˙ó Äč +PĂÎL{`ţĘďń(ßăş˙áôęčfŁ-CCÁ˛ŕÇě8ŔP˙ó Äĺ ˘˜X{ĘLŐ°ptÖo*nĘ˙‘/˙$€ĐHG †‡úBŘ˝CpżŚç~‹˙ó"Äč + ÂœX{ +Leo˙Wę#ţ ţ˘=@ aOĹĎŠŢr$Gşm$ üPő߈ßŕ{˙ó Äĺ9.Š{q>Łň˙Ĺ +â遊ˆ3ĹŞČƚ#…>Ăo̐˜ƒţ!ŰÎ1ô/Đg˙ó ÄÓ °zÔpÓÂí˙/ęFf4¨ófĄüĽ(kĂJ†˘—E€Üâ›Ńú†˙€˙ó ÄÖ ţźĐbJpâŔ墁9o’Ü”ĂpSrÝëĆS T$דm_%"¤{Br„7‚-Ó˙ó"ÄŮ 8ţ¸čbqx%íä}@ žâŠ\ +ĐąÚË:,ńƒ'Íáőh[¤^ˇdoAÜ`Ÿü$˙ó ÄÜŘţÁ˜JDp;ţ.Ó~,…zݕ<2lÁöňÎjZŽQY°ŠžĚ@ńߕç‚Oţ˙ó ÄßŕÖ°¨zDpËx›ÔłhL  o˘`@˙K6äŸ,´ +J[ +ŽćĹuĺKVT$˙˙ó Äâ ţŹzNp„%ýüˇ¨ [ąĹŔˇéçiâ`ĺgoT{ßľżŠTŹŸĘŸĚ ˙˙ó"Äĺ˜ÖŹzp PżüÔEOd=ˆ;BzFÔĺQ*FęÝ +Œ’h>KH’;Ô7d˙@˙ó Äę +Řţ ĂpżâŔř‘2ᜐ¤ŠgŰ"˛ +ů7M;§S+rşY7˝~ŸVÔ{ţ˙ó Äĺřţ¨ zĘq–˙R@01liE@,cV_¸xů‰iGĘÉŞEA+š0nlĄ/˙ó Äč +8Ö ÂNp˙P„żůƒ 7,ԜXËGđĽIDśš<˙î”߆ëĂóxÂh‡˙„˙ó"Äć đţ zÎpú@`Á*ňn +RŘ2n1˛&`ĽĎ<ó’Xő+Ľ˙Š íă˙á"˙ó Äć ¸ţ¤¨ÂNp¨q`gDŒěŹó¨ČŔľľ Łü/ü˛ű‰ë˜`Žw ŽnĄ›¨§ú˙ó Äć ţ¤zŠq„Çx‡Ö&*uvX—MžąŔbb˘"Œ•4kĽcŽŒ*tř~-QŁA}˙ó Äč +)˜Ăpáűü”PŤ_ 9ŽŠŒ˛Ľđˏĺ‚Ôr ŽY"ʟ˙ó"Äć +ţœĂNqĺü@˙g’ 9¤—JŢʞ°‹ď ¤ť<˜H?í +^÷ćÆcCz˙Đ˙ó ÄĺÖ¤ zJp$žXŚ‹šXíôvÖ´Ţ⑔)NÉĺhňŕH^|Ôqz†ő'ü˙ó Äé + ţ¤¸ÂĘqíďĐ_ցdˆL0ˇŸI%#aF´wĽ~Yý3$Ÿ8;˘RnĆŃ]˝˙ó Äç ř֜{ +rżá~Ą7ŹńÄńÁ’ąXvźŽR- ÉĘŸ]*ńíhš$Œşţ˙ó"Äć čҜÂĘrGţĽý`8*4Œ¤¨S;ŒFƒíQ’şŹ>Ţ"ľ^çëžgç~Ż‚'Š˙ó Äć ŔŞ {ÎL˙†úý`<*{ۙTŠNŮtO‚ö}žł+\”FásY’^ˇÁgůĎ˙ó Äć h֜ÂĘpĂޟX$5‘ű{ÝŞXl—ÂŽ†řk“‘ĘYiœŁ˛+7ţ ă˙ó Äç řţœÂĘpÔ/˙ú@x4ŃăÚy˜üśźä04ŢŘwîԉžÄ~M÷ĹęŢ?üg˙ó"Äć đ֜{Ęp˙@ŻéĄ•Č –ÄS4$ńvű’aŰSţârťąBm\ýLz“ţ ˙˙ó Äć ˜ţ ÂÄpސ¸1iŒ +Ň@-Ü/Š_–ßň´Ą`ÎrT;ÓG>ËRĺ˙˙ó Äć ˜Ö˜{Äpżá>° ­#LĘç&ŹÎ´‘ćEdäůŕ˛ŢôšĄzJ +č˙˙ó Äć hŽœ|–Lđôô€ZÉ›ńQqu™ż<ŠŻżóng>č-šżä˙ó"Äç +”Ă +rříé@–ŔxetzĄ #­E żŽZ^ +—HGk˜ß@Ϙ¨˙Ă˙ó Äć AœÂDr}žDoɕŐؙM==S—kČürx–TˇNa4a FĐŸř˙ó Äč aœ0zDrŰčőĐVŔ|ËÜBŢĹąrňˆ^”`o~eÔIÔţ?ŘŃî˘Ýó˙Ă˙ó Äé +a”ĂÄpüżBƒŔŕvÂ'Užˇ´×ź‡PŻqx‹~Aů(‡MmЀÓţ˙ó Äć ɘ8ÂDp9üˇŠ@ÜŔ"?#$Éâ +ç3f‚đ&ţŇIIţ>Z\c]b#˙ó"Äĺ A¨řbDp¸Żücú=@@*üűŰ×Ǩ¸hÄ 5ĆŕŞ˙¸Ş j+?UóÁ“ł˙ó Äč °Ň­8zÄpËú€Ř›VŽÄpnŐŒÄˇKAŻţ*çsüąÄےiśŤőzˇ˙ó Äč +8Ö¤xzÄpá˙çń@@ +żÓ,YsqL +\&"=Ú÷öPQú3(5§ýGöŻđ˙ó Äć ˜Ö¤XĂpŻ÷x€@2n¨‘3sX +P3sS-ô° + +ßöœÂXř\bŠE]¤j˙ó"Äć ĐÖ¤XzĘp +čZ×Đ#€@ ŕ´ˆéJ +ÄëŃy_8˙™Ů–ĹEňBüŁů˙ó Äć ŘÖ¤XŠĘpuË Đ +™ŠŞĄ†˘ŒĂfš~ą5űŠÚ&ż*zŢ|$ąžOJż˙ó ÄĺĐś­zÄLŕÝŇsĐ—Ŕa3~É2§+\}NvÄ°‘şœr˘ůvłCB!çĐo-˙ó Äč +aœ8ĂÄp_đ +ďŔ` ßŕKa–;ö%JLë> ŻűMŸ|hŹĄ ę7˝]~˙ó"Äĺ 0ҨřzÄp Ř˙@Šü)6m9ťqŠąáKL¸ýÄ +7ćËA™üˇĘ–ęe‹Š˝˙ó Äč +H֐ĂÄq‚…Ÿ˛Î€°_ü51ĆnA˝lžnŕe +Cű¸l8Ü\&qڔ]ÍŇ˙ó Äĺ ޤř{ÎL§ˆ€0Ńś€=‹¸r˜@j§Ůť"žÄ×Ű8…Ž\#×>Zü í~˙ó Äç řҘ8{ÄpĂŚ€P´ôń7Aî‹â`ů%$Ë*ĄÓzhâXPŐ +ŁŤOÂ~ž–ÔJA˙ó"Äć +֜XĂDpÔuÖĆ羡$üľ@sů1k +w $A˜ąôşşçŻ—Ę{ĺ ĎwĹ˙ó ÄĺčҨXbÄp?ăž!ëw@ô3tKžƒ9`‰Ľł‰Ô—pßÖ[@Ŕę9žcöĐ˙ó Äč +`Ú xzÎpOé÷t“• ZŤVwÄ +ít”ßZSÓa5MŰđp>CLwĄÖőŒş˙ó Äĺ ÚÁ¸HĘqĂą$Cîn+§ŕN’ŹA=€ ‘W„HţŰŮů 1î§ÉËţýş˙ó"Äč Ú˝¸{Öpô’t€Oőc–y6úkröŸŔ'a@˝őVě\8‡[~ŸÔ7ô?^˙ó Äß ś°ËÚLŁűӒoün4/ßrG'~,č{R Œpž‰Žű4Ůđ•Ć|oöŔ ˙ó Äá蜸ĂÎLŹœŸż #÷ľH§HȆ[‡çR9/‹†Ť–ż7>ߛőZ~˙ó Ää ś°ËÎLţ7ť×¨ƒúdzoÚ˝yľIőż|ă{6M÷ésŘú“xžúÚđż˙ó"Äć + ˛ŹËÔLĐ.#ŁÝŇNŹŘŽóŐ)Ĺ´Ÿžş%ó ËČ'eVd÷Ođß˙ó Äĺ ţŔXkpăű7ÝŇGő]˙g1ŠŃ๥Oő‰@%÷/ÉsO˙!öY˙ó Äç Ŕú°ÂÄpOä€*ý[škí[7xŕ[4 Şľ°œîřxy4Ša73úŒ˙ó Äç đÚŹËÄpźě„Mţ€ ř8ŮĘŢ1E¤Ń_°ąˆ×TޟĚH]LçQ˙ó"Äć °ÖŹĂÄpď§rÝËőkú}{ÉŘ +iő‹pRZʁ'ĺ:ÔA#†ü?ůż¨,˙ó Äç řÚ¨ĂÄp•ţ7úeX?Ď?]!óŤčGIÂł’ĺB{w$Œ~ +\˝Ę˙Ëţ'˙ó Äć ¸Ú¤ËDpŻZż& œ÷Ž˝g?6FËQŕO.PL%™Öl‚rĄxăĎh ü7˙ó Äć P˛¤ĂLřűdjwĐoţôT\x“Ę1Č+qKlř‡~&(™Y?ĐîŐ7o|„Ż˙ó"Äç + ˛ ĂÔLž“ŚŽ­úhöšÝmô=ƒr˜ŁTŃ@Şq N\°rŽ*wzx˙ó Äć Čś¤ËÔLűTáĐ Óšž˘’‡ü3ic ‹|ěľÖ)ŇĘP2‰6óů˙ó Äĺ Ú¨ÂÄpĺ] ?÷|&ŇKJAČ~ż˘'€…#aöĚźÖ{m?ŏӐ˙ó Äç řú ĂDpOAśtl?°Œ iÚŃC9ÉrÜěIY]6 `a1ŁćNőšG„*ć‡e˛Äč JŞżńˇ˙ó Äć ˜‚¤ĂŇH˛š÷ôŞŽď!<5LC˘Ú*+ěÁ|Ӎ*ž3pĘ2’Ľ=¸ˇß˙ó Äć á + ÂDqÓ_é/úĽL-Źľ“=Č2ňľ-VśéĚ8EЙě+"(íü˙ó Äĺřś¨8ĂÎLJóţ7útmşřAQ€rVŹ +ĽäÝŘk鲎q\=Z=<J˙‚˙ó"Äč +  ÂÄp˙ˇříľů`ÔűUœRĂBŹ‡ĺœŠ8˛|‡žö{ĺĂʟă˙˙ó Äç ಜĂÎNđů7Ź`šˆŹ"xÂą}ŸÜ'XŐäťy„„ ďşÇőĚłţýz˙ó Äć ˆ˛œĂÎL ­ň ȁ@qĎ)•Ť!2bŚç̜˛€Ý˘}ekC;âżă˙ˆ˙ó Äć @˛œĂL÷ҁőcđKĄ#u›'‡z(wŞńU9~™“(ř|B0 ŁsľŰ‹˙ó"Äč +`˛”ĂÎL=}n‘í¨€Op?§ Yu+ţ2›ŒĄÔĎäGôc\CćŠ}¸żę+˙ó Äć ŕţ˜ĂĘpúSűfŔ€@j8žÜĽŕ´˙V†őŘ ůÍ%”t-Ł”=5¨§ť˙ó Äĺŕę ÂDpˆ@€úœ›GŕľČ‰îĹpŠ +¸ţNQ˘’˙OÉďUŚţ˙ó Äč +Hú˜ĂÄpň* Ů"€đęę%Â|Ír˘p *>Eb"˜ţCß@ż˙ó"Äĺ¸Öœ¸zÄpţĂ˙QY€2~–l9Ć`\„2Ó0ă~H>ů4Ŕ÷š8ăZ{/ç˙ó Äę +°śĂÎL¸šŽ°7ÜmcŚpÍ -3Ý +¤1#\ĆÔNÂőˤw‹  ý?ü'˙ó Äć ĐúœŘÂÄpűS˛ €Ĺ‘>9 ¸ +k–Ń<ŽîŹßeŹ3čmŚççúz˙ó Ä吲™X{ +L5H^’;ć<‰Đb‘Cl$X´9LŠń*w¨ŇľěŞëoČ:Ďv˙ó Äé +xژřĂpVčeˆ°ÜĽÎ“S‘iž. +ąý5’ôŐŽÜyëŻÖĄüJ˙ó"Äć + ţĽ˜zÄpćƒţ‚} ,Ŕű˜ż-hiô{4Šíł&Ś˛H“ŔŇ5;§ůO˙ó Äĺ zĽ˜{ĚH3Öů p?ćcÖzÔIÄ0ˆb[‰BˆQEQíäţŠĽ8A–(ş6”mNŸ˙ó Äç +ú˜ĂÄpçz_Ŕ ¸ásœY€}…rÓDZŹx°–óK<žňfÍä{?žWţ˙ó Äĺ¨~Ś‹H űú +ś€Ŕ"pĐ ’”ÁK4´HĽČ#VMoçr•şŢ˙ó"Äé +˛˜¸{ÔLü +` +ĺ `2ĺöt!-:e:0y¸C1;…ő–ŽUŚ¤ťťü1˙ó Äć Řژ¸ĂÄpżÍý~Ŕ/pnße˝Š<œľaŕ$_ öň˜Ź2Šďç˙ ü˙ó Äĺ śœ8ĂÎL+?zŔp÷Łă +AśhLî aD†˘qV.Â҄Rvw˙ó Äç زŒÎL–ę;ĘoX'ůKîN1Ő +Pâ˜Öô2`6ÖŚFgěg¸b8|Jü˙ó"Äć + ţ”8{Äpďň2ţę@Ŕ$/ţcSlٸnŁŐ=đ*1…łĐ]áa‰=?~-í˙ó Äĺ¨nĄ˜3Ň(‘úŔŔŻŔňšJÝyůšŤRâ´˘+6Q㖭<’Żö$š›Ľ˙ó Äé + ÚŒ8ĂÄp˟1ü˜˙ł?vŠÚTđZË3Ž’ď\âĨ1§’ŕ͖óďx芐˘˙ó Äĺ ŇĄ˜zÄpŸN Ç˙‘Ŕ)pđÝ´üńb;úVĆ ‰œç„}\֕{ŽŁ”Ź˙ó"Äç +(zŒĂŘH Áíň Ŕó€2ԩږ|Ž]…Ç$Čđłzľőn ~gŕÇ˙˙ó Äć ĐśŒ0ĂÔL_ř!Ŕ| ÷ĎŻŒŽíš XŒÚŔŹâçÂKvV ßۍšęü,[˙ó ÄĺĐśĄ˜{ +Lý[ü`đčöâe =ŘŽ‡|Fi|{,M,’Âl×w^tۧŤL4˙ó Äč +(śŠzLL7 ű đ +€ӇÔţ…ŕűŐľnß?aíłX§S7äËűřÝź˙ó"Äć +ʨĂÄp˙˙č8Őł.ř˝Đ@"-˝)•ƒ+´öŚýËÉýS ŻńŻ˙ó Äć ŘĘ˝ŘyÄp“ă_ý@ +O8ôîÉLŞ4$U-™ZvQĺ1Řękh&|Áž˙ó Äĺ ŸyÄqŤ˙ ŕœPÜqA%elŠ×`Ú5<šgZĹĺË~›{šĎăK˝KH'z˙ó Äç ᚊq4oíô€Œ°ňóQMeénuL!ă€AuhÖĺĎÍ%]ş? ¤˙ó"Äć +!°xĂJq˙ŻýGÜÄžÂZWĹXVtľˆmÂřąi‡ĺFö]Š÷Łř÷Ŕm!o˙ó Äĺé°8ÂÄqţŤţƒŔ/0,VôTä‹R—<˝ Ęč}¨[ÇÖŁĎPîxY˙ó Äč +Q¨XÂĘqżăü˜ ¸ňÖąˇ $Ed9°LŠˆ™&ß3ĺť:ÖéúŰT|˙ó Äĺ ŔxyÄq6X?úäŔ¨ʐ S8Cnęž8 úŁB–ĽăSu&~7VĺČp˙ó"Äç +HĘŹx{ÄpoţŁů0Ěz +ďÁ9BkP.‘JD![#6jƒËÚ{4˙ĺFDϗ۾˙ó Äĺ ´xyÄqo˙Ö>¨ä˝T™ĹRí„ Pă!¨çn~ňgě:ţ\Sń›˙ó Äč +¨P{ +sÔů&NřäĹáOŘTX:’‘†KÓĘ:‚Ttń—H›VßX7˙ó Äć hň°xyĘp˙/ü€ +Hë­ůV};¤%<š„ŚXYşĹǒO'Ž7˙ó"Äç +Pň¤x{ÄpGm›ƒ"äX# -‘~„űm,(…z*?Ňs¨‚Ű]Ů îŮ˙ó Äĺ ňŹxz„pš˘żĐp/0‰\`dŤšŮÜB’CË r•ô#eÇńo@Ť˙ó Äç řöœX{ÄpÁż‘¨wUJ˜–Ě…ö$ćÉ D7O¨3N2#ѡ–Ő7S>˙ó Äć ¸Ę¨xzÄpŕßüúŔ ßčœČ,ÄCš7ďVá5Z—‡%(Uu$tŰüž˙ó"Äć ÉŹxyÄq<żgŇ/ŕ|cXa‡•!cђƒ¨•–WPˇu4–O@˘ÎŠ/;Î˙ó Äć ¸ĘœxĂDp46_Ć8=ńQ’ž•WIĘÔ%ăčVŕ!őżÁ÷Ë"œűr˙ó Äć ŕň x{Dqľ(?‘+PôŸsă‘\oŁëNlҐM&„Wm¨¨2Čńň{—ÉZ7˙ó Äĺ ʤxz„pF¤â É^đĘN9m×Wź +ˇü +Œ1‡Đ–˜ÜˇCÉEByŮ˙ó"Äč +î˜xĂDpüůP ŽaYeŽ5‚JGŚ ęÜćd…)Ň2Et,´Ž<˙ó ÄĺřʤxzŠppż‘öz@žđäî°P,ŢŃdb‚Y!VŒU§w.Î:í]ŐÚŞ˙ó Äč +(ňœx{JqÁHcŽŻÔz`ĐĚl‡ĆFŘ.„cŚ°g&ľţZe#Zšndé˙ó Äć €ĘœxÂÄp˙ţ§˘‚ ]hBşźÚš€eß';C*¤÷)Čz<]đO˙ó"Äç +`ʔxÂÄpä} ç[f˜–ňGœw}ԃéD j@‚ĐCT´Ÿ˙ą%Ş?ĺę ˙ó Äĺ ň xyÄpý_&°ÖŔjC!ABî+‘Ä’B‰áôŐ‘fä-úĐy9˙–˙ó Äç +‚ŒPĂÚHţăy ě”ĺŐĽŹQżs-˘tń ҏ(ľ–IŤîvŠ7oâœÓ˙ó Äĺ î¤xyÄp'Öé,˙mą0MľĄ“›ČZxÜ!Y”QŠĘčMQůżˆ‚đ˙ó"Äç +XîœxzpLßZęˆ +WbT„,š,—×X +ŞmĄ„ro ě×ßúŤýŠş˙˙ó Äĺ˜Ę¨xyDpG˙ô€ĽR§ƒh†3ÜOîĐź$”śZ‘ť9Ţ˙ž#xďţĐ˙ó Äé +`ƜxÂÄpŁŒoH¸đŚéńľ"a€ƒ XĆ҉Xa&']DăwwÜŠ*7˙x/˙ó Äć  î X{Dpżîˇő€ +œ Y@ôk3™ŻƒeoC” h +ňͤĘm~Tí~żÚ ţ˙ó Äć €ň P{DrďEő€ ŕ}ČnéŢx„rúrAó +Ž…Ś›é|âW+ßŇJęnßŢ˙ó"Äç +¤x{Dp ÔîłrŕŒŔ|&6ABÍźI4Œ„Łtš{Ÿ(ωqL˙KŮÇۧń˙ó Äç Čň”PĂÄr žCŰNŢkl@ +ç„ÇŒ7:ÎĂo/á’˙׳ŸËü\ţ˙ó Äć ¨ň˜X{Ęp§ÖŽŕjźZá"&Żş°¤eyčWŠ^ëúi˙E+Śgh‡Oű˙ ˙˙ó Äć Čî˜8{DpăJë6Z€?č•ˆ ˘:@Y\…tňXt 2ŕŸľ‹ŸÖ1ş˙ů ž˙ó"Äĺ Ɯ8{DpUg2h…ą€—z&äQ‘+´›Ńô”Ń+‹—1˛†o˙Í9˙˙h/Ż˙ó Äč +ƘXĂÄpŒ‡u€(7VPiĆ +„"ę™ O +aeh÷uŠ6ôŚîOoÔU?ä˙ó Äć ŕʔX{Äp—JŔ  ]: +I +b˜œťąÖŘ'B ËŹř×˙.äśĐ˝Ű˙ó ÄĺřƜXzÄpňÁwE|˜FRҐÎ/ Tє:PPŁÔ!!qőcNŮźň˙ĺĂd-¸ˇ˙ó"Äč +`ö”XĂpŐż4ßÖ +Vxť †°Ďĺ™Vˆ˘1hŕj*Kąa1™E>ů`˙ó Äć ŘʔzÄp›ţ+ɀŕVG<™dŘn- ܲĚAÝé=Yş cÄbMŮezÎ˙ó Äĺ öœXzDpuŽĄjWůČ4(ĺ]š ErýTC\DTĘΰ~kć'˙ÝöćÜnŸË˙ó Äç ň˜x{Dpßń>ˇĹTV׸:hr•lĘSň]<¸2š'ďšű- +Łţh˙ó"Äç +(ʐ8{Äp/ˇAŠÁp[ó‹ă™ŇŇ\ŤóPálĂiŸ­q~˙– ţ˙ó Äć ¸ĆŒĂÄr´Ö= ,•YÜKîV*‚¨˜Kó]G0¤˘$×Eëáýí˙Zƒ>”Ţ˙˙ó Äć ˆň˜X{Dpš ÝOëkŤ–)JˇmhT=ŐRÖĽ2VJî(cňӝeSeßú˙ó Äć €†˜X{ÎHĂ5géöĺ€ú­JAŸ0„Ř|“ĘŠŠ ěŃžŠ™´#źs—Ô˙ó"Äç +8öĂÄp§SłŹŐŕ|6NAA× 8œłVÁr` x­ú +Ń(˝ƒ‚oôí˙ó Äć  ň˜XĂDp?y ‘Nڳ҄Ą’˛Z*Š%‘ +ëV1z÷Đ|‡˙ó Äé +PöŒ8ÂÄpľjeŢŽżôÄŹ,T‰U¤şV/Gœćĺ˙rŤăƒČýjš8“˙ó"Äć +ˆXÄJL ŢżSÖиÓĺäąUŹ€Ě`<Čp$łŕqpĂEYţć"wž˙ó Äĺ î”XyÄpI<# ŔžŔcHe@A)J~-Pq— +BtéHjRFę'Ą/ç˙ó Äé +îxÂÄp ŢžŸŹ¨YĘsZ#GŽľŞt¤řĆą\Q>tNăl÷ŹMö˙ó Äĺ ΔxÁÄpˇÂPoůŕ +Pł- ˆÍÁ‚źě=ŐŔMłŔ7iY.m:8Šôů‰m˙ó"Äč +€ÂŒxÄJLđ_փ… %˜*â,ő€ŕeóKgś€ĚŒýEDˆ=EžK˙ó Äć ¸Î”xzÄpŠN˙PŐ w;+ɁBćĂ!VDcX ľdwĆĂžĄ¨ŰřżÂĐ˙ó Äć ˜ĘŒPÂÄqoZĐVŁ M gJŒ!@đBĹrîqŮże€ÎáÍ0ZtîN[°‡ľ˙ó Äć °Î˜X{DpŽŘ đŁ°ĆŞ 4žą + +D\{ĎŹçcňĂ_[őŠÝ`˙ó"Äć ŕʌxÄDpë@Č˝ć@ ~ۆ$‹ĄpÄI‘Ż֞2<ŤÂ5Î[ń˙쌧 v˙ó Äć ˆĘˆPĄq#ЈŰ€ +n‹›ÉŽ~h¨w´í—Ro…—łŇnz †­5;]˙ó Äć h†„0ĆHŢî ŕWŞś ëáŞhƇˆ%€ůڋ*ż #zĘ]îŽ˙ó Äç +ΈXĂDp&01Ň|7ŚkpŒšĄ+oˆž0]ě°91¨–^ņßâ^čcŸ!ş.ó˙ó Äĺŕ‚ŒX{ÎHÔ˛‹Í€gŕgIź2ĂxÄG’W霙30çU˛ůSâ˛ÎĘ)ÔV(‰˙ó"Äč +P~Œ{ŇHp1ĽˇP÷[M˛%ťŮ +†ćőřfukŕĘJ˜ó˙ą˙>X•˙ó Äć ŕ‚ˆXĆHC2ĽPepČż&gÓx3ÖOŒ*bŹ€ôę)•?Ąœ˘Sœ78˙ó Äĺŕ†X{ĐH…]$˝@üˆU>^`ŠEŢ^â.Ţ]jš†çŠťKŃäeh@g˙ó Äč řŚXzĘL˙w†?pmöSœ!–jiEă-°ƒ“Ŕ=@…m_YřoGcă\Á˙ó"Äç ȂˆĂĐJüh˙G’ŔY{÷iľkűú@ë›*ç*ŕƜě´Qcmč•l_˙ó Äç ¨~”X{Hţţ5P._ćÜ:Δä~3hxDÄśŠDRrԀoéťt +Yż3|F˙ó Äç +‚ˆĂĐH?ú7úŒ€>řč^ ýýÂ=%̧!륪@jťô'?ˇWQo˙ó Äć Т”x{ +LôońĄ€3Ŕ ŕ‚ŃÖăe€V +(Š{ŠÖ@ ś†sɗővzŁc˙ó"Äĺ ˘ P{ĘL˙ŃżÔ>ŕ룕3Ó+“-˜Ź‘í›ÁŇkjŔBźä×´ąˇĎŹ5Ł˙ó Äč +@ʔ(ĂĘpÔúă€@˛`P™m‘”E5|jÎ^~ ŮR +żáŸđs?V˙ó Äć ĄľŘÁĘq¨˙úh[|qžC͚5xA׆乙Ef¨‚qŘ÷Q÷Š›¨§ű˙ó Äć ٤xĂDqÔú{.ƒźŔ 5‰„ç˛áaJĘáLôÓ >Óü›üˇ~Ł˙ó"Äĺ YŹxŠq˙ŤĆhr˘Ă,ů†@łř7Ěs š$J$‹‘EÎyćľřQ-˙ó Äç ąŠzŠqčőÉy ď2ˆócóŁHŚÉŹ‡`ŚÔ¸őć˙k˙n´ăű˙ó Äç + xĘĘq} ŽWt€fÇŇ]ȓśX( •c”$ `R2ŃsgĚýaú˙ó Äĺ ĘĽzĘpšŁúßîĐě´=ިş˙6y\d)%]ˆžlnjl}KąÓU;’ďý˙ó"Äç +I˜xĂDqż¨ţOĐ/ˇžŁ“i‡0Î,nđSV?•v˘žk˙˙ó Äĺ Ľz„qęwH.ç}Ay[0Ÿ;/ŕ„íísFă&rčňÄZŸ˜r/}Gő˙ó Äč +`ʔxĂDpżÝN°Ę†@îˆÄşĐCPJÜŔź!5z ˜3whî˙ó ÄĺŔĘ xzÄpíőÉý`* ŔŐ1° fČ`‚„@Ąƒ,م ź +ÜX>Vă˙ó"Äé +¸ÎxĂDpGBžţˇ’XĂK—ćAaP9Ž$Ą2W67@ëF§Eć˝jGëíA˙ó Äć ¸ĘPĂDpý+<”‹uŘEő(Dxm¸։UŔjrDa!¨ü˝äąa'EŤŤ˙ó Äć hö xyĘp ˙ńŕŔö%ŮĚđÖś„Ľ†ˆ5ŰM ¸ö˙Ÿ˝ßč˙ńk˙ó Äç  Î˜x„p~` +.övDňy;’bK ŕ~IŸ=—Ĺ +úŒşĘ̛tىř˙ó"Äç +ʘx{Dp'˙Aéë*č‘˝öxJ’PĚńŹ^BE\g`Ÿ|4'ԂK´cŒ˙ó Äć Ŕž˜x|JL;Sú¤Z¨Ádů˜Ąˇ!(jžĹ‘Ú×޲úóXoŹä+ŽÁ˙ó Äć ʜx{DpˇWŠä@ WQV@é2”G(JCSCUVÚę¤Ŕ + +ż&Ĺ/%˙ó Äé +úˆPĹqvyuŔŽÍ˘YĹ “ŮČM źƒ VľÂ]L$j¤Eăő˙ó"Äĺ°ňœ0zÄrŠÝO!¤SĎŔő)×ĘC;šÚÉđ'0Əź{ؔŞăH˙Š0M˙ó Äę +€öŒxÄÄpţƒŠËś rŘ ˙ˆŢ4›Ű 1TÂţ“_łßšƒt+ëűr˙ó Äç đ–xĂĘLFĺúŔ˙ +b‰ŐAQ +]ů™„:ËlŒ<ÇłŠ"Á.č”}ĚŻztűÁ˙ó Äć О”x~L +ú&nP9 + ĚބN铸„†Sô'}4Ľ0ř[7şĐ:P˙ó"Äĺ H‚xĂÎHŠ!Ť×ŕ†0ë€>K”Ľ8‰3]ÍĆ͉Lg  +ĺńAĆph=(ËČx˙ó Äç °–ĂĘLt^˜(ű#чŁ…ƒ‰ ěw +”§p8đ§˙šhx,§!ÇĹi_Š˙ó Äç + ň˘Xz„pNę~>÷ŕ_Śb‰ ॎ„9"Á\UŰ"Ő´ďşŃŢW]ť\˙ó Äĺ šĄ{ĘLˆ÷Š1aJčóA‘Š +Ą™C4 Ÿń_ňŤË Áƒä‘ý:Đ˙ó"Äç čΌPĂDpoߨĚöľ´Ţ¨‘RÍîB°đŽ–ő&[ Qës$2ß˝ě´Ü˙ó Äç +Ę|(ĚÄqłä.ÖŻ?óš6hë€lÜŘ#Ük[ĺT~×ű2^”Ę˙ó Äć €‚Ą¸{ĘHu&ţ€Üph-)2TéŔŕĄw'›°*ópĆćÇŤ3w&z&Œg’˙ó Äç  †„ČĆJăsţƒyÜ +d4g˝ş´$ŽHWqedŁŕĆąˆîťĘ¨7Ęť˙IŸ˙ó"Äç +`ʐx|Dpj˙{Po"0óđ1S‚,%Z8~Ç„,)3éo5ôփĎ÷ú­ň˙ó Äĺ°Ę˜xzpĎ!¤` +$bŘć @ˆčœ2*,śČKÁčĽÇ6G:ÇčŸc&żż˙ó Äé +xΔx|DpéAż@í9̑ +䚂ńTÂ^›Ŕ+dpZŁÉĐib‰ë.$śľ˙ó Äć `ΜxyÄp_ý'ke^-a$¸“­ÁĄ+œ6ÎÚ +ď<í2^GŻ|÷ů;Ż˙ó"Äç + ʄPÄÄpÔjWţƒ +ÔčTű/ľY¤Ę›pĺ­$!&¤<ŞoŠĐť˝Y›ý˙ó Äć ¸ĘˆPĂÄpöz:­ÔTáF@rŕ‰ť`Ľ˝ŮDE¨{şŞőŤX‹’˙ó Äć hÎĄ¸{DpƒzŠîÔ śľ Z ND؛l4Drˆ ĆŔŇéAłj"߲Ł[ŰŐÓ˙ó Äç ¨Î€(|ÄrČŢú=ĂŔ`Mp3Cv{¤‘Îcœ„@&>‹(`śą\nć ˛7˙ó Äç €Ę|ĄqF˙A ˆ +R +%CF$¤Ăś\¨m[߄? +e9Ckkßţç}?˝Żs˙ó"Äč +HĘx(ĆqŮŇĎüoú<öˇ–ĺŔ§"PdCĹ.ň ˆ•Ďu“˝—ŸŃŮ­ń˝MŃ˙ó Äć PʈPĂDpr@=6Ôf;ƒäü%e‚Y DPz„ďĆ­ĎD—ăű3c.äœ˙ó Äç řΌx{Dpš/!ؐ˙‚šP jÁĽ5…3ân{’Š.™“ťIvŽďľ ˙ó Äć Řʌxz„pr’ŽĎHüAŔ† đ/N“‘NV) zĄMé0 w°ěŇwšß۟˙ó"Äĺ ĘtĹq­qűÇL?¸ŕçgňľ‘SC $E ľ€xŽĹTô’]áa"„/˙ó Äč +PĘxPĂÄpŠl +­ťYI 3pă÷JIʀݰ8ómŔţ +چ‡žú7´—˙ó ÄĺÎ”x{Dp˝Ä2€<đ˜ŽŘ€ˆh_9¨]œOÖŘ=žú“_~¤SUüf(MŤ˙ó Äé +`ʐxyÄpwSŇę ̧(­„r~ GĹŢW,zÔég{ [vď|Ź~%ŁT˙ó"Äć ¨Ę˜P{Dr蒷u;¨ĎżôPvNœá +sÔ8—gQ΅˝Ýh'Ł.V’ŁV˙ó Äç Č~P{ +K…á.EI­0î,věXsL{řŽ%řn˛‰‡źCăw“Qű (ÁŇ˙ó Äć @z˜x{ÄH^EńŔ˜ ąHGÄÁ:I—ä!"šl +c…ÓgéĐďŘ~Aˆń˙ó Äč ŘzˆxËĘHűڊý^ ť(/†JTŕˆV'đ ÷ŔüTÂÔU'ˇ:ţšŞOz*˙ó"Äç +ŚxĂÄL7ÜQÍÔ +.ÄK”ÓŠź¸)@O°Iąę,Lŕ~`–/3&řDţŽŇŮ4˙ó Äç đŽ€PĆLvů +@;(ćKňĚÇ*“PۨńY°Ü3îџ¨zýKËΙçXü˙ó Äć Ŕî˜xyÄpxbüTż䯄Š¤) „q&Ÿ@Ŕ‚ÚdüŰÝhď՝ŇîX6˙ó Äć ˜jxĂÎ(˙M +Z€:¨î˛GčaÄÂbË˙ö؃c úďW_ßĆnȏő˙ó"Äć ŕŽ|PĆ +L%_–<¸´ŮŮR§ÜşkZ]r”D(ÓV4;˙Ü˙Î5çĐď˙ó Äć ¸ĆŒx{Dpođnę +bĆ ÁW&Lt`rJ¤œ‚G¤XŘZÂIwţxłšLG-ć˙ó Äć X’|(ËÎN†ţż¸˜$O?öüډ€ +úKôďĹ0]1É]%;ěŚó°ƒőËÁˇ˙ó Äç đʈxĂFpú5GÉž. @ đʅC؎…ĺ˘†1šhLzˆXţ7ĐfŹq Ý˙ó"Äć +î”x{DpîNP7ˆÄ.­r°O`ŠEo;bIŁđOX{JC§[äOä˙ó Äĺ ŚŒxĂÄLy*9ź¸ŰĆÓDŒśľBŐ0ƒ;FGĽ°÷~âMę×ńMę˙ó Äč +ƄPËÄps:Ô° +čöÖ"¨čcƒqO9¤÷~_ŕŠËbśCróë"ށ—Áîwz˙ó Äç 螈PĆLpnĎÜBQ@°ôÝŕ˜żrJŁcÜLŽ‰Žý+ţ ęrřŃéŇ˙ó"Äć + ţ˜xÄDpˇu8€8 ňp Ń›1Áš!mZCĚd{Ć1Ě­ckż@7¸wťzŠ˙ó Äĺ°~œx{ĘHiˇČNe€„ ét˘č‡übýöŠˆŸľN{É_cŸö˙˙ó Äé Ŕj”x–(ďq- öôçljFZYć +4˛çZPÉrDűO?›?dŰÁmŃśî˙ó Äé + ś‘ĂÄL§Żeó 0 ×`?p$D¨6zĹĺˆfÓw9IÖéžó_t~ě_˙ó"Äç +XƌxÄÄpÁ—] ˙@ 7YSËG2Lpř$.c†eIŢ`!˘Ňb´IŁýD÷¨°˝ęÉ˙ó Äĺ薔xĂĘLoŕŘ +1ŽĽŁ:Kƒëb.枨šŞUŇ)†¤'Q&Ů䃣˙ó Äč +P˘ˆxĆ +LţĐ@0 đÇÔĹŃ €Çq7R2D +Yŕ2Kƒ]MË~źâ'k4˙ó Äĺ ƕ{Dpť—öú&ş@<ü…ŕE‘bёô`Źly÷oGęú=ďŰës/˙ó"Äç +ƐxÄp0ţl?î@˛$vOç/}´M%8b.’KçúŒžšý7ľ…j +Šülł˙ó Äć ¨Ę™¸ĂDpüűőlŐ o¸÷<Ÿĺ䛗ŚHôaÂhă7íMëč2:+Ľgč˜6K˙ó Äć 0ʀ(ÄÄsÓů`Íxŕ¸B˜ĺ9ˤĺÍŔ(ŤĽ­›š!œç émaXĎB:`˙ó Äč čĘx(ĹpÚ•U”€,˙ő/Ł ŤŹ!7,Ť#¤łťJÎdřń>Ô Ĺ˙ó"Äç +P˘}ĚNLjűvÓY0‡<ŕÜ]ýWęŕ0ö×[E‹éʝâˇ˙-Ô—oĆŚ>˙ó Äĺ°Ć™|pI]Ŕo?öWĹÄ ŚœŻ DZmLíhŸßl4u5¸îł˙ó Äé +˜öŒxĂDq/X>żţş”ĎSjEĚe=˜uĺžz)ą=Ľî¤WóIşş:×˙ó ÄĺŕĘ x{DpăI9pói??ĺRŕB¸vÎJŠĘÁ=ˆŻL¸Ćź;ĐA`&˙ó"Äč +HҔx{DpÔt?ż +Ź +íĘq¤°n+ńż@ńh¤đńşŚHú@ş|vÁŕ§M.2˙ó Äć ŕ‚”xĂĘHÔôvRßĺ“#-ńšw"0‹ +ÔôNTëG­ŽŰńHĎet)˙ó Äĺ ş™ĂÄLÚ˝ŽĐ¤€Í°÷C8śißáćŘĽ—\Sń'ÎÎ<Ëč&×ęä•ďƒ˙ó Äç h–˜x{Lcuň Ý RXLBĘ8ÖüމDe{ZjŚ Ĺc‹ŔT,}äëý˙ó"Äč +€ö”xĂDphb]Ť’ |ü mfVěď‰ÍôM‡Śd¤˙”nўP°î<"T›Wv˙ó Äć °z”x{ +HW3ź€ţüřzT$îÓ'´+Ł ęž + âÉűăÜe”ÄQő˙ó Äć xjˆx~ (wüIŽüÓ4Ł4âČ’yŸŃŽ@,5SĘԝŠRœ­q˙ó Äç +ʔx{DpHänÇ˛Ę“‚W,,ÂČ2’jgdç9Vřý”ÉJŻj˙ó Äĺ ƔxĂDpÖń&šK€ťh;y!ހ'âvvT/ůď[óź|ôQ +j{ž˙ó"Äç +@f„X~ (~°+ˇ4]ąŒ—˛á"ÎRŰxĐşôuxAĆ‚é^” BúŠˆƒâ˙ó Äć h~•{ĘHáâ|…Ií ~Ÿl—Ęď`A˕¤ ČFşçN)…ąwW*Î÷“Čƒ˙ó Äç +~‘ÂÄIâFĄg>°hČĘŽxI×aŞĹĚ i´Rß 4HR;Č3Ţx>y˙ó Äĺ¨f€PĆ(ČhDTÍ˙á +”ŘoJŠĘ!ś&덳złú ÷Ö}§ăuŒŸ|áý˙ó"Äé +Č~|XËŇH¨(óú˙–Fá Ř? ޙ6ä++EŘŕSńm"Ń#1#­˙ó Äĺ`~Œx{ĘHÇôހ0ś˙)EE蜠ç;"Ŕüá?Ŕ+ONƒś<ĘĚ9‰żI˙ó Äę +Ŕjˆx~ +(ÉŰüĎÔŔH[ €ž0ˇ=ćxöÍ\îaAyÔĂřD‘Uăś5!ú˙ó Äć ŕ~€XĂÎHœ/Ę÷ďĂĎŹ°Ŕ´*ł4Š“Î݅Ă +*ŸÎŮÓÄśđ~˙ó"Äĺ 0~„x~ +H,Ůy×ňď.ďKÁá=ŒŔeH,JJt-]KÍČAH՛k¸žö˙ó Äč +8~Œx{IźˇŚú@řtÎr[ŤŢíĆ­nS¨bŠe@B­ ěŇ ţ˙ó Äć `jˆP{Ä*HhŸĐÜaK`\ä ><äH•,bŒř +ĹC +<ËřĹí ˙ó Äç + Š|(ĂŇMυ˜_ęz€­0R†ĆfÄóżt˛°Z^TěaňőBß΂K˙ó"Äĺ +躎XÂLLÁ—'ŇśsΈ“BěņRĂv‹ot,SŽ>wâ 2–•9˙ó ÄářbŠ¸Ă(Ôösš€ř-§`4Z•̖ÇŐ"vłŠŽ+ĆŽ÷úŽůdŤç˙ó Ää š¤X{LÓ&ü‚–Đtí¸%ě Pđ}92xÜŘö;šş+!vh[ř“_ů˙ó Äć ¸š¤xĂ +LĽůŚŕéŕ +°ZŠ„Š™Ĺ +÷mA`}¨ 'Wí+—l´Y ăQ¤n˙ó"Äć +z˜XĂĐHĘĺ[ +Ȁ+@/  Öu2‘ s:•I4šw‰üpšN]‹íŚ¤˙˙ó Äĺřz¤xĂH"‰üŚ|ž +KYqŕŘsz˘O8RÎ ˜Ž:ZcE$UĎí—˙ó Äč +~ xĂĆHçÎĘý]@8Ŕ "˜|%ZAj0#”觭PŽŃ? ÖÎ˙ó Äć 8ž¤x{ +LpÉĘ@ŔP{‡”°Y´X1żBŸ]AýWF‡ZXá0’rJ´˙ó"Äč +€Ę”PĂÄpěŁüž?ç8Ťą˛!Ů2Ie¤‘,5@ׇg“OˆĄ<ą=ĐÓľŃ>I˙ó Äć Ȓ”PĂÔL J  ?¸ĹĂ("Xه{DŹţ@IąQđuőËNލ ,ďť˙ó Äĺ ˛ x{ÔMĹë*śj\*H_ˇ5…Cx(kćóޔpĂŃp‘ tş~ĺ/çEí˙ó Äç +š˜XĂÎLeĐ]e˝#€t­Œ\ÍÎuşădr4ź“ĂÚÔ)ë›7ó2Ťň˙ó"Äĺ Pšœx{Lě7ňŔU€‹7 dlkäHĹôEŰŘŽ—W5¸PŔŽŽ Çzţ˙ó Äç +˛xËÔMbżţŽ,*8Rˇ@KŻě.Âń3z̸ĚúX•Ü8„dJKełu'ń˙ó Äć p˛œx{ÔLďý¨?´ę‹=ŔTÚ PJ.ZÓŠă]‚Ňc˛Ë/ŚĽţ;ţ˙ó Äç xnœxĂŘ(•) +G€`đ*¸KĘÜ˙, ˛ŃŚtĹHzN#^Đ` 0Čc˙ó"Äč +ÎXÂDpA§Č,–Ä€=Ŕ܀„`ě˘yŞ0YË% /Iş“d-’ŒL#|;A(˙ó ÄĺŔ˛œxĂÔMÍśŔoၲ˝sÂÍÜągˆĘĹŠ‹W. +rč&qhěxĎAL¤@†˙ó Äé +p˛ŒXĂÖMË7Żcô€öxd꾃x¸>&Í,ă‚P2–˛r‘r…ĐoŻ˙ó Äć @ŽœxĂÎLŽčđŘĽtĆÔÝäΊ•Ň‰›#c„0ę)ŰŚkyŔ‚Śőďöă˙ó"Äč +@˛˜xĂL<;ŞŞŸĐ  +Ǔô †3/pŸŠ2äškj™Ŕ(bő<ĐĐAŰ˙éĘ7˙ó Äç +ŽŒXËĘMMwňŕ7+XÖ]W˝1V”:9k˝˘ + +<#“đţTcźbşSŐ˙ó Äĺˆž xzDMżäţ‰@ÇśÔlzsŠu- 1|7jŔ4|Xœ´ż*Š˙ó Äé +ˆ–˜XĂĘLĹî׳Ń@@ +ǗŘyLŤ%ŰIt;˜ő3Ľ ‘tűšŽ*¤}Ëţm˙ó"Äĺ œXzDLń°ťĘ¨AČP@8RK;˙ó Äę +¨–”XĂÚLO¤n–NMëő\WUË8p\QF诎Ő~Ĺ~5cD{˙‘ “_"B˙ó Äć Ŕ’ˆP{ÔLôíQźƒŔÜ Č@GÄDůđ‡eŠÂÍ,óyVbaxëůż‹Â=˙ó"Äć °–|ÓÚL¸—z= Ü bq‚ťBrb,Íşą:ÉŹË ˆ:3Vq'ç爓ć˙ó Äç +–ˆP{ÚL›Fâ^řT}˜d@÷^sěWŁ‘ý獺 žŠčÄ>Ź=˙ó Äć ࢼ¸{ +Lžžň`€ °7~.“TŘB[ň"ţÎÎQÓd$Î%Uţť˙íĂToŽ˜˙ó Äĺ°˘ą¸LL7{ô,.ŻTAPJgÚąšS}4ôúlŘĘĹ[MÖźš9"u˙ó"Äé +ŘʐXĂÄpťĄíú>’(ć’ňŐ[-L Š4ľJ4ż)TÎ`ĄŠäfšţő˙ó Äĺ z”P~^Hţ +€ě ‘ő°źëíŢnŽĐéůŃŇáŔľF$ׅĎ˙ś h˙ó Äç + ˘ŒPĆNLŹŢ +˝ €°5„uDkęrG\PĐŤi!ń˛œ8ńż=;ŁŐúŹn˙ó Äĺ  xNLęő€ +>h€%Ôg1uţT,ůŻ„Đxh„—`5m@a4´ążýgj×˙ó"Äç °ĆœđÂĆrą5'ďî ű´Cá'ŰVY0.`oăd‰DčX “"…;é¨Â]u˙ó Äč ř^”x^(ť¨÷=Ž|JŽ?“A +5ҙĂxŚ°gÍW˙ŁżÉmčšÁťŠ˙ó Äç Đʄ(ËÄqÔ)n˜ŔҀ5>"OşTĂTƒ ęÎETđiŕzěpG“ۏŢţEśÝ˙ó Äć ŘʌPĂÄpČqmä==0j_8“€ˆŠČ5x]Ÿ2Ę ë…¤ŹćŘěو}Ű˙ó"ÄĺČƜđÁÄráހ€Ž,ÄĄŻSČHʌséčÁ…Đ#KGfän˘úwě‘}˙ó Äé + Ć|(ĂÄp’˙Ţ@0t¸#ž†uÔŁ€H@¸u+&”Jݧ˙˙ɞý—˙ó Äĺ°~„ĆZHÁˇú +̀ŔŰ!+Ęmż@ŸŻČÄi„ ŃŮ Á¤ŇőţýJת´Ý˙ó Äé +@ƔxzÄpĄKäöž;T›GQŽ¤d¤á 3ĂŹňäMúŽ÷ř7Ť˜˙ó"Äç +Xʙ¸{p˝ČŠ% € °4ŚŔĆjD7PFŢĆ\%!>ęM]ŰŤ‘ +;a#œKĂ˙ó Äĺ Ę xbÄpW(ŔÓ;jqžř!äĆćJ=`’xn'š.ŠkŐŐAŽO.RhĚ˙ó Äç đʕ¸zDp +ÝnD€€¸ŒÎ/›Ý qNŰěĄ^ˇńń˜m1œú‰]˙ó Äć Čö˜xbDpO81uÍň@€Ź  +Ă2bŘĂp™@6.H1‚R녺HG§á7=˙ó"Äĺ ʝ¸zpOYâ~€˝n•XhĄâ$†Ź…łA‰áËš,!’Z‘……‹ůśŘ™Ú˙ó Äč +ƐxzÄp…öŘž°€ľŕ CĂö´7Qp”˘Ź s5 Í{źuó֗œwřŐ˙ó Äćđ˛˜đcÄND}cR6ŔŰ"@Rž“gíĆDg‹eÉa'éßI˙_~/{ˇ˙ó Äé +hƑ¸{Fp\Jš € +ěHOƒˆ˜„DfŒ7@.XŸşŰňiĎkĎ˙ó"Äć +Š…p~ +L +˜Q“’]`Ŕś€8+dRˆ—aŽĘäؕĄA`IŒeżÖÓĽ@é™r˙ó Äĺ Š‘cLˇ-5€Ŕ˛€9Ńuí|HRéI˛’xŸz[˝âÁbÁÂ7*"˙ó Äç ŕ–|ĆLťŹŔ›6è—x~}19¸,1 Ä8Ëá“ůţűŢ{˙ó Äć ŕΕbFpŤ×ž˘˜‚° 3n†"BšŽVdÓl­F WO_˝7ŃÇ#x…¨˙ó"Äĺ ʙ¸zDpřĽŇľ€€ +P_@cĂYâň +‡ĂˇŽB%aŠ—ÍĺEŻ +Ţ?p˜Ťˇ˙ó Äč +Xr–XKH›ôôւ@ĺ‹lő]|ƖżWZ/qí˛.ÚŃóÄ7ŁÔŽó§˘% –YľőŘÖä ŒłÖ˙Év˝ýpĎř,˙ó"Äĺ Xž”0~ +LoôÔô€Eđ5Šś-•šń#AQ^3#Ë ć@Ɯ˜{î‹˙ő ˙ó Äç ¸~˜8~ZHŰčôť¤ö™%ŠMşTNân­ŰíCÎŹÎęfČ?,§˙ó Äç ČΘXĂDpQťßKę€Đu"KöľčÚÁŇâĐeĘ­DŇ:ŤŤ*ç˙Y—éťţ˙ó Äć О”8~DLƒgä˝ €ńÎ8<>XÎ9: %›Ń&Yć”p FŞ˙ę*“żƒţ˙ó"ÄĺčʙXĂDp”=%útŔ€’€50űˇÔü͢b('[ĎE˜8ű˙>´—úlß˙ó Äé +HöŒËÄrƒ Ţ°´ÜzŽLGza’@níĹxŰĐH€Î¨XhÖ}éAgj˙ó Äć °Ę”ŘĂDpSşßÖúŔ@ŕň S‹4ÔëĆ­ľâ§ ń‚Th㖿Š4ŸěĄ˙ó Äć ŔŚ~LĆýq˝`đ ăZŘ_s€œqŠf’§ýƒOH@a˜aDŔ@˙ó"Äć Řʙ˜ÂÄp|†ŚĐuňš°ç'(S€ĽIyç{eyÈb_u +ëÓŃ˙y˙ó Äć Čʙ˜ÂÄpW™üœí4ŕŸ(cľ `§Ç%F&EÇhCÉŕNĎÓůZŚ~˙Rm˙˙ó Äĺ ÎĄ¸ÂDpzđNŕ[ĽFk#až&ˆâş˛&Eđm5ŰOĎ:0|häÄŕ;ýßĆ˙ó Äç +~ˆ8~H­ßىŽć@€ś€+Rą?h!JB“h1 + ‚řŚbkë$7§ę +˙ó"Äĺ XΔxÂÄpƒe7䁺ĐÚMJĺ˛Ů$TjťFl×aŮ) Źç‰ćŽuô锜Ë˙ó Äç +ŠŒ~ Lą^ýĽŕo”Ě´¨3ٗč™ÎśËď#ü˜˙ó Äç +†Œ0ĆH.vÍ@€Ŕ%;ˆ7hĹ.j`§qW­ÔgD(ĺŚţsĄ;íŻ:ç˙ó Äĺđ†˜XcHőű2”€DčŚ0ŽŘ[e¤Ł)@…×#çä˕çřRęč +öÎ˙ó"Äč +a +¸zÄpŘţ Ŕ řäĺt¸: Ę5–IŹÝü˜ľIžQ•ňş“*ůyj’˙ó Äć Řžř{ĘL‡œ”9@äL°)YÉŘ*Üä<''ČiŚ…‘čť4끃,;ÝôĘM˙ó Äĺ †Œ0{ÖHŃV>tN€c0‘âÄ/Td>wPXöŽŚ<1´›ů Hšş7˙ó Äç ˜Ę”řzÄpL&=˙ŕLú•JgĽÖŮ@bUGžR +°KÚ9[AN."=w€‚ŢŞ˙ó"Äç +HŠˆ¸{ŇLt„ç"€1ŔŽQ°ĆB:eş/^uۃ~ńbýÂŁEçţߊƒ–˙ó Äĺ Î˜xJÄpßĹ|Đ 1Ň\JV! ¤d’ąÄô@X÷ +MŒÜfŔÔ1&ąiĄ™/âă˙ó Äé +X†‘X{ÎH˝üŸäŔ +đ0Úő)ňČFB wŹÎܔÚđ€Ť5˙*x’WńĐߏ§˙ó Äć ¸Šˆ0{ĚLœćbŔ€P7ˇ}V†˜N0Áˆ¸JŐ¸=ŽŤoŁP +vĐ L×â˙ó"Äć ŘzJqcüďý €,†ď.ĺĽ[E¤Ű8zV%š  9+ô&w(˙ó Äć xŚ˜ĂĘLýgş€€ŁŠ×'ňĐĐY#Uâňč¤Giz‚3==I—ĂáB˙˙ó Äç čɘĂĘpÇy?ůpŔIŔ'*9%"'ÚÉBaë]˝œ *ś¸XL˙ó Äć ¸ŚŒŁÔNéčŰ@€E)*Aí#Fř<ÎtŠƒëm€‰zĺ đVEKM:‚ Ë˙ó"Äć Ś™¸{ÎL|L yî€Nšęäpz’ €Ń[Tçgٖ™#oœ9ň2ü˙ó Äç +Ś‘¸{ÎLOśľVŔ˜Ŕ€ńŽ,îŘNç=EŔeň‘uEţ¨wţŠý˙ó Äĺ ŚšXzNL?ąŢÔŔ `*ňÓk˝0 Şî—ćĐ˙ó Äç +ŞšXzRLš>'ň p0ÖtÓĽR"ĚŘŘŹŕ^šƒW˙.˙ó Äč +`ňˆř{DpŻÖď}Հ€p6ůö/Ľąt< نˆÎ‚MÚí§-%v>ŕ˜őpç˙ó ÄĺPjĄ¸+Đ(ľżý`€ ’űíI~ťú°N0hИ? +‹ź?Ű܀a×°ç˙–6żú˙ó Äę +ŘŚ˘X|Lđfô€€.Đ,Â?S㠌Äôł–js]‹U2(ÖčągŹkľż˙ó"Äĺ X˘°fNLÍhcľ€(ĆÔROf̂>L QAR8ˆŠd•`5‘Ź;Šľ” Š˙ó Äç  ŚŒ~NLŒQżQý Ŕ0- +žČäHľH™4Ăîr:ńę,vXáܞ‚˙ó Äç +ŚˆƎL\L€ ÁĘ\p € ďo r¤ůC˜Î%äœÚŽBÇKÄ˙ó Äĺ Śđ~DLţqşŔĎđ)”ýéŐˑ´%Hs)qÉA폂꛹nţŽƒ"”Ď˙ó Äç +ʉPÓÄpQ˙ÁMŮúŔ€OLž ŕwŹ”'I$‘ĺŚ=œPŢkFű‚-˙ó"Äĺ H~ŘfHR~ÍT€#  kđâ­ †Pyˆ‹Ś(¨ŹK&ů6uúz¸&O¨ż˙ó Äç +ʀČÔDp!ŞH€ZĎŔ–MĎĽ"$ay™ź˛éHiC\Ď/ÝČęq@żƒ˙ó Äĺ¸z‰P~Hü§1X€Űp4ŮvfňVôƒ"#Ź Ä%żťŚń"kGWŐÂ˙ó Äé +Pވř^JLŽfXδő€×i䑡#ŠźCŹˆKNÂHť ćů—)p›íOGÜJ^˙ó"Äć +z„pPœ‚Œ¨\î'Ľď”ň€…ôW\rxăˆ@ý†ĎšU˙ó Äĺ ޞX|„LęÚ˝„ŐwŻ­‚CŽ˙Ř`›öţ0pĐ#Đ°95j…@ŮQ2˙ó Äç pʍ{Dp“˛цSœŁü$9îV›Ľ ˙Í˙ă€( ân+ "4Bf–˙ó Äč +(ňšXŠ„pde>é(lUżĘ5öG˙˙Ę˙ř€°0´– \ľ"đşÁB%+Z˙ó"Äć +ƙ¸zpňćŹĚtS#żÁé˙˙Âř!Ŕ¨'”ŒžP’)BłÜ +ď“űĂ˙ó Äĺ + ސĂŇpäžż + +sŤQż˙đ˙˙ đ¨@#vʟüäꆛřŞe™‰5Ş +˙˙ó Ä× +iB¤cĘq@ŐNżŠ˙řŁ˙ô¤ć sô„„&ÂX)t=$ů´4>K¨j˙ó ÄÔ >˝¸AĘqřŐŽ1ß˙›˙ăŔŹ€'C˘ĚşŇf…čD )1“4Ôq?đcžý˙ó"ÄÖ YBŠKNp÷˘?˙/˙¨ŕĐ°…čÖF„¨{ŠŔ@ç¸cq˙I‚°b_üNŠű˙ó ÄŘ BŠ°cDqţŻÉ€ĐŽ0ňŠaćĚŤÉq’ç%—@뚼“%Fň VÂĹ ţŐ˙ó ÄÚ !BŞPJŠq'˙Ż˙pC˛ŕmi@ՇEđK͞žźŹŒŻüĚÝ{Đż˙ó ÄÜšBŽPbŠqţŸţ +Ŕ€4ĂJwn­ÖRg§8?2AÖT[šl›ăyM˙*nŻ˙ó"Äŕ QBŞP2Šq÷˙ó˙üŤ€„9ՙř&Ŕ€Ŕ Çş’:šžq؊/)Ÿý‘ż´Ň˙ó Äâ B˛XJ„qŁň@€4íysúY‚ňýřAĹü’Zʗ2caüU)śOź`gÓWË˙ó ÄäȢĄ°KÎL˙ć˙ő€J ›˙Řčp +@V•Ÿ”Aôě>ŽŠeť-üSý¨˙ó Äç +!B| +q˙ţż˙Č ŕŠýŔ׍Á5)!ӖâČ|ôĚ.ü•ue{.43ăôŤţ‚ýŮ˙ó Äç + Bš0|qȁĐÖĺŇůŠ°é BD0aH{ĽüK~sƒ€¨1<oBj3Öy˙ó Äĺ ژđKNp.ú€€ŔQ˝Î6ĺU6pÍTVg°OrݓĘHŃţ˜ď?ř˙ó Äç ůBČ|Jqęý?ü)€ ‹xˆĂLř§h¨EM°ˆř˜íㆎřÖő_ř˙Ó˙ó"Äć ąBcDqú˙ř'€ Âľˆböť +Ž +EsËi‘š‰đΟ‘ÎŽčNŚ +ýE.˙ó Äç +FşXJDq¤îSň  $§ěŚ~qH˜(ôh;‰nM Şń‰ŕÜ˙/őoĚ˙ó ÄĺČĘś8yDpßí ţ€§Łj;mI`„)BXqXďfŢEô{ÇÖíD|ëLC:`˙ó Äč +Ž˜°ĂÎL_ő%Ęţ€ŕf­îÂɜg3$P4’ƒ…Ö44clOő`oü˙ó"Äć +BřzĘqoęü>Ŕŕ”XœçĂĐŘó”^C#™ŃPk÷čŮ>ČßQ˘?Ę8-?˙ó Äĺ BŽ8yDq˙˙ý`ŔKŔÓčzˁfÜrÇ ’:°Œůš9$śď(˙~Ą/÷˙ó Äč +ʕPĂJp}`ŔřžŸŞŠrq ¨XŃöZ@Äcü‰Fteć +č üW˙ó Äć ‰F˘aDqÓÍâR  ^Šąžçf +ÄĘĄ3Ę +V€˛™ŰŠnž˝íčŔÄ˙˙ó"Äć + ʈ{ÎpíůWü&€đEŽ–~ÜÇ°é uˆj+ Îłv•ĚŽ5ő˜ü[ő˙ó ÄĺřʙřyÄpßĎ}k€ŕ>NrmB@ƒ- K]#Ę€sNŠOšĽňă஠Gđ˙ó Äč +‘XÂĘpˇý{É0Ŕ@!Ěć­ĎerššAŮ嬗t¨z?ě˛RłţđC¨ß¨˙ó ÄćŘ֙xz„pŤţŻÉ€Ő[bUHáver5tť…ÇöT­Đ [śÉü5^˙Đ˙ó"Äé +ŔΉXzĘpZ2ůŔÇ0űġ.Űr\Ŕ&!7b}˝XŚF/Ǥ›˛ěЧ“ęŹ˙ó Äć ¸Ę‘řÂÄpß Ÿ˙Đ@€)x֔[”Ţäy¨2<ƒ$HŠ —6§LăÔAmź#˙ó Äć ŔΖ8{Dpäýž€€€ +~^IçČÁť&Zƒ9IK ŗ@ă­FúţŹüoű˙ó Äć ŕʐŘzÄp˙§ý€t“Sł–qą@í4 řĆul˛S=~Ő?ş×ýýY?˙ó"Äĺ Hʕ˜ÂÄp :u?ŤÔŔ$Ú!ś:‡IŰnCdgWż oĘ!řÃSż+'({˙ó Äç +ʕ{Äqç:Ÿš^ Ŕ@5ÚĂwŚ˘ŽĹ(.ÄFtNv]ŕwć ĚţތŸĐO˙ó Äć ¸ĘĽĂÄpü‘Ŕ)bgV´ćďƒlž§ˆĘŤďôňYŔ˝˜ţD,Qá”Íů2<˙ó Äć ŕĘĽ˜ĂDpŚ˛˛>Ŕ)HXZémiŕ +gPőźCçÖ͗Š‹Vĺ˛zHň˙ó"Äĺ ABŠ˜›DqŐú@€Ă-νąÜ"é-MĘÁŤÝ]yąŕLW_ŤS źĘŕtҞ˙ó Äč +8ΞĂÄp+äuÓäŔ€πŹŽYűŠ°üWĎx˜ĐgȊËh6z5˙ę~˙ó Äć ŕ~˜~^HqOëŔ+ÚŻH$˙¨i,ĹýđÍçĂăşäŇqjÔo­˝˙‚˙ó Äĺ ĘĄ˜zÄpîGM>HŔ˛P)"v”źCÜ,€œ1´fšGDŠâŽ§'­ĎëúU˙˙ó Äč +`˘•˜~NL/Čë§É áČJĆNźŸ2ĆĽň”řť¨ŐQyS‘ ń˙ó"Äĺ z˜{ÚHłWčŔ˛`7˛ľ2$&ŹŐŰK´†ţE˝9ˆů—ÇăŤţżé˙ó Äč +PzPĆ^Hű÷˙A€ŔÎŮą/@Ü° •ćÍ#ňE‚ß:jćóőuţţ˙ó Äĺ ÎŞXz„pŸ—ż×Ŕ  +G@š™‹Ž…‚ž Ś…?ŞSP6ęćW%^ąîÔ˙ó Äč +0ʝ˜ĂDp{ŤłęŔ.U€m‘˝Ő|s`K…Š’âbňƒďWť”šnţ Šß‚?˙ó"Äć +ʝ¸{Dpú~żţƒ€€šŔđӗÍâ\7‡z͊2%a 9dÍКÁŒ­ú˙ó Äĺ rŚxcÖHţŸ“ßüp€|ń‹ü„$AĎś„ő×݅1vađţľ} Ŕ„˙ó Äč +B¸zÄqâ-˙ţ<\đŤ#i +˛ÂBŢŽ˘§h^f랧š÷~Ä0ç¤ć˙ó Äć ŮB™˜ŠÄq§$xa3[ş4|)ž˙ŽœóULŸĄ1‰dŠZuL˛’Ý˙ó"Äĺ @~˘XcÎH'œrŞ(}}˙˙ ˇ˙”ĐG`:áŤsŹĄ‘¤ŕv0a6¨×Ů˙˙ó Äč + BžXyÄq˜ćŒÄ?ţü$ô€€Š§tŠ!púƁ'ÔWÍŞŇAČŻóZt˙ó Äć áB˘XyÄq ˙ę ˙∤€—ą%3B@ŁX+0752^ʈ-/ŠÖ +˙đ˙ó Äĺ °r¨ČK KĎ˙ŠťŔ€€ÂVnš.РŢą—XŰďŻLZt7u˙—wçB˙ó"Äĺ š¤Č{ÎqF˙č7ý>€›EßК +Ö´ˆ¸É6)֎쳌$ßsuH˙˙ó ÄÚÁŹdŽqę +úŔp tŰätŠQŸ ‘ć™’N~ďŠ!5_Q{fĄP%˙˙ó ÄŢ 澸JĘpęţ~ĐE?ŕ˘í‚†Ň>)f"(ť&ĽŃB>Ÿ×Jé-˙ó Äŕš­LDqňßýF”ä†D +ň#jmxoŮřÝ7)Nëúśžž`Ný˙ó"Ää A˛XJŠp9oˀŔÔBRlŚ \[“>‰)YHŃÔĽŠĐŻIDzT +˙ęI˙ó Äç + âŞXKÎp˙ńŕ€UŁ#ťHŽĘÁR˙k WzZcŢOI6Íż˙ŠŒ +ßţ=o˙ó Äĺ âŞXcJpţƒđˆ€ŔčŒźR% |H n ›ndƒŠčƒwA%w g˙ó˙ó Äç ŕ楸KNp˙Äo(Đ +0Ž%0 +€N +Œlë™÷"íď[:.t7ęo˙ó"Äć +❸dZpĄ˙óŔ Ŕ>K†+l>źl ˛š X͎›’¸ÖĽżĹc˙ťXéPwý˙ó Äć ŞĽ¸3ÖL˙[ůxĐƒĆ +ů‰Ś|R}Çj•—K‡+fcěŠNž¨ŹŽÄ`?˙ó Äč Š”ŕLTs˙Ěo˙%Ô`,ĹƒK0č8ôa` ĹĽ ršCžnčŚÁŠżú˙ó Äč ĄŔ{Ôs‘˙ůś€ FĎ Ŕj8<Ą€I”‘L㮑’cÉmŢŻĘ,ď˙ó"Äč řćšXKZpţ…˙ţ8Ň „ Ŕ˛U ę! ń,Vť¸KQČżĄ—e(T˙ó Äč Ą•°LZqgáú@Ŕ0ŽY•ŽŕU\§eřÇĺ0˜(óu= V˙ŐÍřo˙,˙ó Äč +1ŒđcÚqߜ•¤ 7Ť4Öá/Ěh-ú(NXPš3a ň¨ŚŢĄĂżüďέ˙ü˙ó Äć Á‘¨KTqß˙ćz@ŔTšČjüž`Ŋ[•Ď ‹’â +•ˇÇÂĆÝi­(T˙ó"Äć Ą•¸aÚpíRŸĂ2 Ŕ„`;49łâľÄSŲ„‹)Isľ$6ţ2Ý˙Š˙ó Äç +˜KTpţş€Ŕp1Ś“[×mJÄSysăĄÉv=Qu$OŐZćA'˙ň˙ó Äĺ ŽšXJÎL–y€Ä`€`5C ĎlĐ!‘f˜$şI&U—Źńߢú„Ÿ˙ó Äç °ć•˜zpţĽ?‚’ÚŔ +ĚÍË*rEHM%gĐN–R§TČYgŽţ÷Ő?˙ó"Äç Ń„ĘÚp˙wËŔp˜JŞ–ˆđ)Ą:Í …äsԟd#…‚‘~§BĹżż˙ó Äç +ćŒXÂÎp÷N) ŔŽŮŹ-׍oB#C6˛XMČ3QÜł6s<éŠú^Kşş{˙ó Äé +€ŔÄsňźˆ€Q< Ž\œĄůž ‹„Ö,†ŚüxZÓŢíĄn +Ľ Ôô˙ó Äč 讄XĆ +L~Y"§Ř°€ŕ%ƒŮ˙ó"Äć Ş‘¸KÄL ţZĐ€ĐPbXnŰ@‚:!ÎvAAź÷eŇÝŃĘ78źƒŹBm¨˙ó Äé +Ň¸{Dp˜ +%Żý ŚĎňvyŽ69†#žî?`SĂĎ}˝SApöéÖú n÷#•˙ó Äĺ˜ŞxĆ +Lűáý”Ĺ`ä}JÔ Ľń*>ܒ‰ČH˘Fz–tŠň:HH:\ÂěÚ˙ó Äé +™…˜{NpżäÜ|Ÿú€CpŚRř*Űŕ5„ÉZuşúIS’u xbt˘=é˙ó"Äĺ ґ¸{JpAşĽp:ŁŠpŔĕ+äaŃńeOťw´7LÚGéCšźę% +–÷˙ó Äč +8Şt(ĆL(”Œź¸Ľv”˜7Jɍ˜dC’  +6€Bş<6ŤÓ ŃĐhךĄb—˙ó Äć ŘŞ„Đ~ +Lž}Žš@)x¤ ŞI'hO€Ŕ„áúVVÇvŰĽCŇľ~î" }‰˙ó Äĺ ˜Ş”~ MjČ9u€îTöGŤĂÔíô8Ć.Đ°7Oʊnő2ßóÓ#ôC˙˙ó"Äĺ ĐŽ ĂĐLŤH +č­ęf}2…ÁŞiŒÔ؉ŚƒBŽŇ)zĚ)‹ Ľ$TůęTS˝ë˙ó Äĺ Z´x{Ň$'%[ʀ•zűF¨ ť9Yz&‘`+!7ŐŰhĽK: +Ç-ßÉ˙ó Äç 肤ĂĚJŸrSFőÉŠŠ#UŹ%ƒ^ —Úˇ†žľ+h[cLŻĐx˙O2‚˙ó Äć નXĂLč[ˇŇ­j:–1qVflP°í,Œ 2ÇkúŕČ6”žżŕż$Űvś˙ó"Äĺ H˛´xzDLp[jz€­|q~ËśĹË∥t) °ůÎó­Ű˘ňrŮFú×ne˙ó Äç +ę°XzDp0-ľPíi$yÂ{ƒËĆ0śfP1—Á<.vh•*Ri˙ó Äć ˆ‚ŹXĂHÄ“Šéär&mşSĚP‚ +-!JÄZ‹ t+ jƒGZ˝^X˙ó Äć ČŞ¤XĂ +LÖ5(śŞíiŁˇÚdż^ŕŕ†ĆLŽC'­sĄvvBę(ҁîآ˙ó"Äĺ 8‚œĂŘHRuRœBQhX/q’UŒć]śŤ'rŇ×a#ßsăĽĹĂú…<ę˙ó Äč ĐęŹXÁ„p )5JKp ‘ŠĽtvX˜ŁݨDî]@Žű }dpŁú‡ţ˙ó Äç řę¨XÂÄp˘Ý-şŞ@0ăŒ× n8L +Ŕ›¤ŁJöą0żóĺl(zĐŽń$˙ó Äć Ŕ‚ŹXzPHň•é Ż{ktŇ>Ěź QE˛#[,hś&ՅâP şŃ"Î=ůh˙ó"Äć +˛¤XĂ +L—ëĄęĄŔŔdŁ9媔cČ.N›ŢBÎR›ƒť:8P˝ăý[˝ä:D˙ó Äĺ¸rŹXÂNHŰŐ u˛Żj¸ęK,áŠ>öD°ƒŕĆZí×ĆGŐşq˙Ľl ˙ó Äé +H‚ X{ĚHúŠ­ËŔ “ő>+ađSŇÔ¤Ş.’[8俣'´_Fţąç˙ó Äć ĐÚ°xy„pa@ül°đ:ú”vY?œ§ŕk‹šíý愥ße_.Ŕˆnƒsˆ:7˙ó"Äĺ 0ś°xĂĘLŢOÚԐś°żtÁ‹ţ­Ň9öƇUő‡]X)ď?FűIˇC‚ÇÄ˙ó Äč +`ś¤XËÎLąPp1Vg/Ćä°XÍoŁŐŸW]/€ę¤Á1ęřiQÇ0íýöëo˙ó ÄĺđśŹ8ĂÎLnv°8„žŕiˆ'çaËSŕőăĄyĺQƒÓĎÔÚVćéü+ý$ŰĽ˙ó Äč +ú¨XÂpúˇÝ~ö(¤ĹŠÉkÁlt–oŠa­HďŽW~ĽśnÖţGůf ˙ó"Äç +(˛œ8ËLÝ~ž>őpLeüŤcćŚK&ě'¤˝ŞZíÁBĽB@yż4%Î˙ó Äć ಘĂÔL:Ř +ˇ¤ wŠBr#RÂI˘ą7kJ r¸őWځŽŻ¨€hŚNF˜¸ęF2~C9¸?#ďÁĺĽ˙ó Äé +q +œËrˆ‹ţ ԀźD]Ě(™8q ŽŞ+BöVRRüăÁŃťÉ˙ó"Äć řú¤XzÄqüÔááűe~Äđ3 +’o2Mť‚áŠQń—F˜dň(-bv­–T˙ó Äć ˆú¨xzDpř`02Ďq-UX9 +#ä˝°&üóDŮX9=´nqnGÇMô Ç˙ó Äć °Â¤xĂL?Đâíä  `ŮReŤŰ L´̤_ß[iՔăYę;V´č&Ž˙ó Äć ~¤x{ HYŢĎ@€m"(&Ő"ŕ„BOaňmaRrŮúyiZîńžj˙o˙ó"Äć +ö XzÄpčAZ\-@ 2¤ +Ú_|/‰ĐO;Çć+AmôÔżŮéűˆ’—ŚžĘ#ţW˙ó Äĺ¸úŹPÄr˙A~€ +€mŞDhŻÝĺ‘DžŤBđŽ:Ř|đ7äăŤĹ‘iEa_ŤőA5˙ó Äé ř˛Ź0{ÄNýóހ,؅:îHŔ<˘őÄëÓWBś×ťjť÷ôOć˙ó Äč +Q {pořÔVŔuŘžŸâ\Š´8:đEOs7eNŽˆŤ4™÷~J]•ź;˙ó"Äĺ (ިX{ĘL |E@ †Qť6ŽJBÊŚśe"cŤ ÷ŚxŽ´ť/‘ůă˙ó Äč +)¤XzJp WŁżĐM vŃŠß8áv-. +ÁˁYąWž‘ş†řfô=jŢ˙ó Äć I {sýGĐ uŔŠ{V°ĽœÄ†BxËI˘ƒ˛DÇÇŇ2Çľ.fřţŢ˙ó Äç + ҜXÂÄpżĄý źĹŃE"Ó."BsuBTځź‰ß‡ĎXB)_Š$Łx˙ó Äĺ ţ zDpv˙Qúä€ŕUtSž‡K@˘LŔ­ƒQip+!có§’Wć[Űí5˙ó"Äç +) XzÄpÂż‡˘Ú€–ŔIâťëŰ0y›*şŰ(Ďńž'- +8ó\ćô':Œç˙ó Äć ¸Ňœ8ÂÄp˜íX +Ü +™éę¸xŠđ€لŽřDĎĆO*‚9žżĽč݃s˙ó Äć š XzDp‹őšŔ<Lu:v| Z7tŰŚëćŕ)×ba7î˟˙ó Äć ™œX{DpţP@m´ âÉđž.Xž¸aŔő,2 +şÄ÷Ŕ÷ĚT„Qúfý˙ó"Äć Ńœ8‚DpVÔÉyżúŽ/e`‘łŕ9Ŕ3¤ai—U|)öʖ…o "&Öň˙ó Äć ĐŇ XzDpřÎúpĆÚŔ‡^× -/†dâ':×ôËUO5zE§čšfF~ą ͑˙ó Äĺ莤XkÎLźŢ%¤d>Éüç¸Ôe[S¤Ě Řé–]”˘ę§ÍzÓ:W_ˇQ˙ó Äč +Qœ8zDqM5÷TÝ yTŰâ˘+k R:€ćaŞÍ‰ÄŤ{űĆë8ß­x7P˙ó"Äĺ°–Ź({ Në˘Ӈ•€|§aƒĆ$x°/@đî wëGw_ŽlĹEÓ:ŽŻ?+˙ó Äę ™˝bpł|UţH†`|'9˜ŢŇşs|ElřáC~Ô&bGľżáG÷Žßűż˙ó Äâ Ö͸IJp‚;Ť °ƒ˙fđżÂěž°Ą•!šaôšţÝ%ÍŢ˙ƒR‰Ô?ó7ôő˙ó ÄäđŇ´{ +r.ýőDů} "Óđ<Ł•˘Ł\Emw+=˘FjA]6Ńş§ý˙ó"Äç řî´zĘr>ž•@|ş˛Lđ¨C“ĹŽÇŢUn6l>"Č˝áaF÷ł˘z7Ž˙ó Äç ĐŇźXzDpÓ,ÝP5ř#@Ź˜ó抈+eŹěnńYjEc ‚ĺC=<íĐf˙ó Äć °’¸XzVLíĚfńy +đ•v›H.jˆ’ˆ…‘0ĽÚšO´č1ŸöÔJ˙ó Äć €ţ¸XzÄp˜ {e`;ňtíp%t¤éĽ:œ[S嘥{đ~z(gż_ŻßŰ˙ó"Äç +Y*´8{pÎÝpŘUđoh{/萆~ĚôυlDĆ]`ţuJdł[×íńwy:˙ó Äĺą>ɸYDqĎS‡÷Ô +ĚSĽPóŽg¸‘A*B•­N.Op´‚ëu‹Fqć'ßoœĚ˙ó Äé +hîŹXzĘpJćöT ~vi¸,äTĎ(ÔÓ/QރňłţMW˙ő +ë•ߗ˙”ů˙ó Äć á*˝YÄpowň9 ´?7ôw‰hħâü$D{šy?ˇĂŠ‹k] ‚Ś`7Űţ<˙ó"Äĺ X–šzÎLŐŁ#ž°ŻŔňdi0w‚ăčË ËIHüęęH˛aBëŁůń˙u˙ó Äç Š*°řzĘp;ęĘiîŔ~Ďuوý´ 6 +ˆG.˜ýőŞÉˇ¸_@B<ČOç˙ó Äç ř–¨{ŢLÔwöTv @߀~ŃšŠÚ'šś[EŹicűćZDźÔ=<Ô'Öř˙ó Äć x–¨8zÖLłě“u Ľ ~Ą­ÉŢÁ⻏ß@ą\”ť^+“ź& +L§ny?˙ó"Äç +!*¨X{p•9Gmň€žúˆçW˝ŠJŰWqĺ ł¨^ Ɖƒé?ô?A˙ó Äć  Ö¨{ÎršŹţv° ´Ň]tkÇpăX“@ôÇËçŸpúş,VF^VŮRXŽ˙ó Äć €˛şXZÎLŰté"ř”žŹ6Ţ)€|ˆ3ĄĚž ~Î]e‹ËńL„ŻTĎËu:˙ó Äç + î°XzÄp—Šž“Ęd@ vჾŁŻ( Œŕ‚#JNűć &DL _čđäš˙ó"Äĺ X˛´X{Lşôçj9ů§‚2ÉS”ćŮ.ŹrŇÍr—ężKną›‘¸őÜ°żü˙ó Äç ŘęŹX +p˘ZÉAZ@żű'khł`ÁqJqłÝi/ž^Ł`îŢŘɸJ˙˙ń˙ó Äć ŕîŹÁÄpŰ| ŢŽ…öŇŇ"ˆŒL9k‹a'M[œWćőÉdŁł +˙Đq˙ó Äĺ ’Ź8zÚL\ĆOşTsĺfvĂV9ň!ę"żhWâüI´§łô"bÚŞŒ ˙ü˙ó"Äč +šŠzÖL‚řŒ4ĂţűíśUäÂş9ÁČiŠom~ŠdgBƒ/ž1üfÜ;$˙ó Äĺ –°xzĐLéʀšč°íç:H PT>ZxîÜÍF—V‚…ôRŮ?V,ň˙ó Äč +Pšœ{ŢLm­Ő€źq6Ą\;2R +‡UCĺĘú¸ˆgżT\,ýßö˙ó Äĺčę°řzJpöÖ!UHŻ`|7úĽŁľ’ÔXóŽKÁ6 üľ'%WgÔž‡˙˙ó"Äč +0ę¤{ +pËĐg ýz  v $ßÄBQÚIŁ¤U9rşj<č]@óÓqň{%˙ó Äç + Ú¤xzJpq]ţ°>đǔœKžuq‘ v°ôAŃ^.kĄŰ]v~@n˘ßôűő˙ó ÄĺЖ¨zNL͌Oń€”6çr,<+€RP8h¤žäaź^TČ0')$b[Qƒůo˙ó Äč Ț¤˜zÎLNOw |7ČßäÇ+â*'xv†CD¨‹—Ż:şőFi7ż~{ĹÖ)Đż˙ó"Äç +)¤xbĘpńŻ×âíëź?‰•äkH™§¤j ,–#Ö­”YËßrR˙ŕËýuô˙ó Äć Đę¤XzÄp>Œ÷¤$5‡Ű3ÝNCKč:;",˘„˧2÷ĆŐMŢZëQ=]|É˙ó Äç °ŽœX[ÎLjŢ´ş€'bŤâú ™Oaž–D,HÁ&,VŮś‘7g ԃŔé +O"Űé~űđHZÜůP ˙ó Äĺ ž¸{LýG~ČciuíŻ ˆh C‚˙(¨ŘÝe…cČShĎY•SČ˙ó"Äç +ú”X|Jpý”šď˙Áě +˜ňÄ~”‹ĘĹ6ĘFąEò”mUY}XłD˙ó Äć ˜Î”đËDpËgÀl/ęĽ7;r„×Ę"ôBʨ“ŞŹ~M”´Pč…ó(&Žß˙ó Äć ¸ţœxĂDpú‡nËʟFŀá‰,x-ˆ6ť¤<űZŕšFíá)9*€@<˙ó Äć Řţ˜xÂÄpĄIߝčBŔĚ˙GąÇlů8eœPa:[:Ë*Ż*^ŞUÖowJ.˙ú˙ó"Äĺ nŒ(Ć^(4Ÿ}@ĂŔah­4ôwâ1ó&XěĎ@š œ!ôhdy2óZ5KŞí˙ó Äč +HúPËDqż˙÷ĺŔť(­Ý[ą÷($Ć쐂ęôĘÖ7;ńţň“Ś1yF˙ó Äĺ nP~(›ťć€;×áh ŁżvŠkÜh‹2ž¸ŚÝďńeęP‡-÷5Š:#˙ó Äç +ţœxĂDpöő)`)m˛‚ˆaÎj>d{ޞፁ6˙g\˘Ç˜‡diR˙ó"Äć +n”x~(Ľlvƒ^Ý÷€ DľNX@?G„zˆ]Úď–Z.Ąś°"ŠrPëj˙ó Äĺ ţ x{Dp;vĽPëjMb€ťŐ€gdíîMő9ź=úL€<Yäő˙ó Äç +úŒXÄÄpSϐed@0/_€&FVÜŁböO/›ŤT1şŽPĂÁŕ؅;ţ|˙ó Äĺ †”xĂĚH„˙°2jŤúü/:GřŞEUToÖŽŮłŒ‹U Đű(L:ƚރ˙ó"Äç ˜n”xf (D=ÁĎ* ¨ ¸RĐŤ|B ĐW ¨#4xĚČëěuŹ‹zÁăáB˙ó Äč +XjŒxĆ (í遜D˜łÓx\vĐŠě6‡RDÍbž +ôäŞ×ş>€oűĘ˙ó Äĺ@JĄ[Ţ$˙îžL#učbśßŠˆœ2ĆtDľÎygÜocŁ›ˇçMŐÓ¨7˙ó Äë –x~Lęńžˇsć@Tř2앥˘&Ÿć0Ť)sĽĚŽ‚L‡j'üíŠ?V˙ó"Äĺ ú­¸b„qc7ú‹6Ŕb0vł5î[‹I°ĺżZv6ĽďŸ?–¸Öĺý ˙ó Äč řŽ”(Ć +N˙°7Ň@ ™ú]S´ďւŽr‹‡ ĺňĘĆO?5Ż:&đ‚Ÿƒ~?I˙ó Äç AœP{Jr_Sż€ a2pAFVđ@ß`y ƒ4TéTéÓĎu?9[ŹÉú˝˙ó Äé +qŒÄÄp?怔´Ą2Gf˝Ѣ,)|)Ŕ˝Ď n€ČÎ e˛m,2¸/ü˙ó"Äć +ҐĂJpj7ř7úJ ÝŔUxńŞ[5°I“Ä4÷Änp}\ýŃďF^´?čX?ř˙ó Äĺ ţ”X|„qS7Ö ŔqęiőŢOŠtFˇˆšńT$˛j3)k.źňÝnČwż÷Ż˙ó Äč ů”X{ +pü'Ÿ"ҀŰŔyÜ%n/A`.¤(¨5´ƒMG7S­´*şgăű˙ř6˙ó ÄŰࢤKÎL˙ŕÇ +8{.…kŞćjvAnvňôԚƦQúâÖĎž™ˇűŐ˙ó ÄŢ !BÂXz„q?üŸţ +Ŕ€8ŽĘá˘Ą6(\ŽHB _]ĚO=ݑknß +cś˙ó"Äย ~LV˙ç˙ńŹD0SŐŕ1"Qa!íŁ*Ú0Ąк٠+ElăK­ŸSm +v˙-˙ó ÄĺТ¨`cÎL?ţßţ5€Œ;šŕ°‘§*.ß"2Č1Ţľ$ĎĺĐT™`Wf:Wĺ ţ˙˙ó Äč +IFą¸JĘq§ň@‡—Šá~2’‘Ű]j1ˆ8<Ťy›ľJM}Ÿ?żôú˙úů˙ó Äĺ Bą˜JÄqü"ŕÚŕvĺŮ&|€‰UI˙đuă‰JœÝN4(đÓ˛ˇ×’ý˙ó"Äč +QBœp{Dq˙ÇżýCźń żD‹AŢj?ÂPíŞÉ ř{Şw™vĹ4çžłL˙^ő˙ó Äć šBŠŘKJq˙řż×úÉ ăĚ  ěi'{ČĄ.ŘëžßTcÓŽőţĄś˙“˙ó Äć ŃB­¸cJq˙Đ`"˝$X‚œl-ŘW%YCŚ°ť?9ş!Ľf⊲ +νO+˙ó Äĺآœ{ÎLżîú Ŕ4ÖXŘ“ŞąţGĄlÁÄ֛Â~K”ýi +ĺęęn`ú˙ó"Äč +)F˜{Ęq|żíú€AMœľ)I"qkWr ŮT•68yĆ.2pźŸAŃŁž1ľ˙ó Äç +FœX{Nq~Ϩ ƒ}ř n„LÔĹRŇk¸<8ÝTä úż@%%Sőjż˙˙ó Äć ĐژP{Äpó˙úŚQHrÔ9~_XÂí,¨ť`Řv’¸4]óŃ%‹kŚyâßnĹ˙ó ÄĺáB­˜JÄq‰t˙ţ&ŕxKąÔ攆Ou<öè( đqyŞĐVżőDř&VţßŃ˙ó"Äč +8˘Œ`~LżŢßÇôŚŞ….îq‰´n­Ľ[ˆűľ–樎:q†ŒťćţŹö˙ó Äç +žˆĆLĺ˙Żň` +. |.I›}$ĹóŁÂ>őĘČqn 9 +ŠéP÷wöó˙ó Äĺđž x{ +LŸX[ISvl­ĐĎ:N-Ň‹ +ĺŸä}y“wĎůFރŤoű]˙ó Äč +BŠb +qąlţ⠗†U8 ž ŞÚ(FˇLX§¸MĂ ŠŽŻÔ||SĎ˙ó"Äç ࢌĂÖLŁb:Î⠄ßđ6Üťc•`,‘ZŇĄ{ęÉÔ/Ôtuh-\ą˙ó Äç ńF XzÄq¤×Jv¸ŰtJʜŚ‚BƒeV—S&›gýď-ňŸR÷w"˙ó Äć ؊ĂŇLöŰ&îé€Ý*ŤMĘR^l$Ř äb9JˆC2ÄÄÉúţĽu˙ó Äĺ¨~œX{ĆHZˇ t€ü.Ó:bNvŰGűŠÔTÁO7Ş•–5›ć{ˆŕúŹ%ś%Œ†Z˙ó"Äé +Y”zDpžˇ)ű˙“ 6ŔVTˆ¤ąbľł*ƒŽ˘Ę4DŚŁ’࢞$ąŽá3÷˘˙ó Äç +Ž{ +L¤UÔ´ Q +K÷$ÍCG ËćĹÝSH™ÚJěď5ëŠb(c˙ó Äĺ ˘œŘy„L–Ĺ|\ü(üÖŸBŮRafb5 Łvš4HĄ +QáĄă>fSžX‡F˙ó Äç + ŚŒĂÎL›ď[ţ€¨5:ńzzG.Jg*U§ä$ë×kÄĄ0ĹYóţ9œž˙ó"Äĺ HŞ„ÂVLżäŠmWŔa!ˆĄE$íqé +’2Ë^‚@ŽżŒ(ĚD]˙ó Äç +ވĂÖLž˙ő1ĄnƒUn˜Ä×"M›ô3}ÝyTĽ "X ôŽŒЇ˙ó Äć ˆ†˜Xy†HŤüe•IĚ Đ¨ĎBnWĐśÇćœ˙ó"Äĺřž”P~JLŇťíX=6ňŽ´É‹VcŠü–Š4Ç(Şł +g1kNˇĂďVŽdŤÁ˙ó Äé + Ęœx{Dpżő]^\öfNL'܃VDľJľ'šLv™{ŢôzŒMĽß'˙ó ÄĺđĘ­¸ÁÄpŽĎ@ϨşIŔđ8ŇgÁ1Ö?Š^<ć +Ůy|Čᎊ&œ˙ó Äč +8ʝzŠpTŸÝ)ů żCÁ\ĆČŞ ŽĘč嫇-gv1ěě œ 3:˙˙ó Äć Ř~P~^H/nG“Sg"€˙ü +méŠ+ĂĆARU‰Ä}Š‚ˆŕ!§[FTŕ/˙ó"Äć Ŕj{Ě(#ŁKž-vYjP˓ČŔ8D]–( !@rU•p⼓úöGęő˙ó Äç hb™KĚ(Ě:Wť"G-6í´!Ś^'Ś˛´˛AĎBnýĹ÷Ń 01?ώO˙ó Äč +I +˜xzÄpo”U~€ uŔ•ő,Ó˛,v>Œz-ć/3ß='„‹čýä ĽQ˙ó Äĺ nˆP{Ě(F\¨ԈŠcá +T*:<ŕ5†bȧ˨"Ľ0˜Pšn‚˙ó"Äç +8rx{ĆHĚ9ěO'čú9CłAŽúď5zľFa>;Îċ4ŠÝôŒaŐ_Ѐ˙ó Äć `r™{HŔŁâ€ŔłnŢŞ‘đęt—Ŕű'Ť|řZme)ąko2ˇĐHXŻ/˙ó Äç řʔxyÄpĐ{+ú€TŠú ¨É– Œ@ě:•&ÂÓř́<_т!Gmŏ˙ó Äć ࢌx{ĘL7‰˝=NÔŔŕ; XŰłčÍCƒAĂ`sW{_U„éřŹ*wĄG˙ó"Äĺ (Š{ĆLöó]öz@7áŽŻcŔ…ŠŔ{9DŽSľîšÚ‰ß/ůGţ˛Z˙ó Äč +@z€PĂĚH1KĐ,Ŕ3n•ł‘p# Q"Ň8!‘ŮIcšźáwř@Yž0t˙ó Äć  Šˆ°ĂŇL˛ŰÎé.ŕ|/ą&0}Ĺ&Ą@ˆěUep%DĺlÜQ_ţ&~1˙Rżă˙ó Äć Š™˜{ĘL'qz(‰  řżtjBŁC`ź3Ż +>›Ÿţ +ťŕÄő?蚶˙ó"Äć řŞŒřĂÎLEő€đ;Ü­‡űE´5Üöݛĺƒ˘ďxA{cAÚýůÎ.˙ó Äć Ś•¸zNLŇM?ôŔ#~ÔŽFŰ}R§pĎJ4đĚTF5đkůýýFşˇŽ‡˙ó Äć xŞŒ{ÎLU|€avu#ňI.p¸™1€ŔÔ|œXŢŠŽ°Kށ€ď­ä1˙ó Äç +Ş‘˜zĘLWžäjŔPoŔZntrĄđ/ÍQ‰2đXVW͍šé<ą¨#ßő˙ó"Äć + îXzĘpŁr7n Q˙ö§˛G[_$‡Ź1ŕ”Ł›ĐĹoŠń5kţ˘uZŤo˙ó ÄĺȎš8zDLň Ŕ6đ1Ścu—€•N9=…Üyî;ďŰŰăeg€řóĺDűPg˙ó Äč +@Ž‘¸{ĘLŞťźČQmŐ}npG†ŔÝ fé͞‰X +W5o°ä×ß°Ő< Ę?˙ó Äć (ʙ˜x„pę#ŮŰő€s쪑6,HdË(xćüeź\ +Ł[@âŘEŽ€„˙ó"Äč +@ŽxĂDL1݌Ŕߒ>ëbzV\˝ťŁřţĚ:I†íى}€ۛК’Ýą%ˆj˙ó Äç + ΖXbpßo'äŔ€0‡Œ¤T;Ľň;1§)§ˇz*Đ/ţţ)°@oţ8˙ó Äĺ˜ĘœxyÄp?'ĺ€(ćň‚cXˤěőBźJTšR@‰ +˛%솿üp˙ó Äé +(˘•¸{ĚLb?Qýoţ€€ůxSĆ^Ě-QGŕ +”™ž2ąK’>SŠ"ýŞęß˙ó"Äç +ΌxzÄp¨ţOţ€(öţI’KĽí&A—§ÜaIBA‚ş'ż(ţŐ 1¨˙ó Äć ŔމĂÄLţš“ú€ +€;C*F'Ş’@Ź…ł2°iý/"qŒČ%,Ź|›Ô<Ňů˙ó Äć Т„ĆJLl2Ó‹ŒU˝Źiř¤n'ÉŮ +$ĺâ\­dáńŔĘ^ąÇJ˛[ţ˙ó Äĺ ސř~L"7F ˙ÔŔR÷đ.Í]{*ň‘ăV!SśşŚH=N(÷ăž_ш˙ó"Äç +`ΐxĘÄpŐ¨?ŰŻô€€Ç"’îŇ/Ł€Ť>ö˝¨ž¸)ů>n\ˇĂçŚß˙ó Äĺ ʞ8„p ŕš,†‰ƒś7˝ňuJ@˜LĹúwˇjä­g@tg3& …ňŐ K´˙ó Äç ĐʐxËDpçyƒěˆ€Đ +v;R˟ô<)„Šž[Šyź™Ó.˙F +Ťď•LéĹ˙ó Äć ŔʈđËDqŮTˆŞ°UcŤ‚’´ą'˜8°ÎTg%)ť@ôň2qÍ|żÇ§Ń˙ó"Äć ř΄XËDp¨?ŢȨ:°YĘ#2Mn,MpDM×sĹÉł{˘Ĺž ě#żŤ‚?j˙ó Äć Čʝ¸Â +p{čPëĒmڊL]–1*\ üŁěŇ*,{Ë9Ň×ý!őPľ˙ó Äĺ˜Ę‘ÂÄqÔ˝04~˘ËŮĆĘ-ĂUV7ˆ7šŘ,Ѓd˙żżô‡LÉă˙ó Äé +˘x֚Lěš €Ž€.™ °şşM$›‚5Ă2ÇśëÁšt‰Ó-ޝr˙ó Äĺ袌PĆLŕťÄ^Ę@ qnŒ–?$vҐKĄ2"L/Q›Óˆ‰Suːbb˜˙ó"Äč +(ΌxÂÄpçČ'ˆŔěżpź[vÇŕćLՄ}ďK0ŁŞw0:ô~#Č$‚ä|˙ó Äç ¨ŚxĂÄLŽ=Ťô€€›p3rŞłç¨ ˇBÓZ ě}žmn:ń÷Ń3çWü˙ó Äç 8~€ Ć^JZ¸ĘéK(ęĘ[ËŞE–$˘Éë¤äœŐÄ`ÂÓę+óa¸}`˙ó Äé +ʔxzĆpís…‚?ęŔ׀1fĽL—”eĄ€ˆ?A@ĎÖUÁî0j¨×=kZ˙ó"Äç +Pj‘¸f()ýNĂ MvčruóˆšOéZAcŔ"˘‹âŒóIŃžSľXŽěH#˙ó Äĺ ŠˆPĂĘLž7!îŔ ł`/G5T5k)ě ŽMqgúŽ§'yYűůw"5šŸ˙ó Äç Ȋ˜xcĆLÔí€C7ô„íL)ˆBĽäHŢŃŽdˌÍYÂŚĐ°›ľ;˙ó Äć Ŕƙ¸zp¨Ă&&á >S@–-Ö4ÄRŘŚŔ¨%i˜ť7ĄÚY-fĺ9ŮË˙ó"Äć +ʌxÂĆp~ť%ČX€őŔ H¸Ořędř<…1á~Ž&pŽÁ Žk(WýD‹&˙ó Äć X†™¸zĘHžE +ŹP (g•t/QĆ ($aŮ0ě +éW’'chĽů ˜/¨ß˙ó Äç +Ɛx„p7ňÖĘ ”O[‘A +*äýÁUyͨňŒá]Ű%vIŠÂŘňž˙ó Äć `~™¸zŇH]4ý~€ +–éSÏăúĄƒâƒ ƒ¸I2˜s/xtĐZS–i˙ó"Äç + fŒxKŇ(mňˇőTŽŞ4šéł0ž„"̆aöς–‘á~řő剰YMî˙ó Äć ¨Š|(ĂŇLëuG¨€°%V7ˆš-ŇxĂ}ćýüRs0b÷*sďxŹ!ßđTe˙ó Äć pŞ•{ÄL–‡|W˝@ŤŘ +ŢlŻ3Œł…ŮĹ,ş BŠČů喇;(ĆŠżë:˙ó Äç ČŞxPĂÔL†—’č€1şÉă8űŞg +i5BŸ‹‹W‚:ěńüŕ@Áj0ˇ˙ó"Äć ŕŠ|PĆLę;Ż¨€3đ7ؕžSÓ$ůcTd"<ŔĄÄy2 ƒ7|FÂ.Ö{˙ó Äć ˆŠ|PËÎLĄËľnꁀˑ&O”ŠœŚ(˜PëFĆÂ!? +S´Š×^ӄl˙röm˙ó Äć €fŒxKŢ(ŽKŁ­€Đ<ŒjŹ@i`"žŤu0švô‰¤EGŽ¤żĆŠœą˙ó Äç +Š€đ~LOĺlżĽ`l +ˆńD8X#BPÇ đŘKe¨…ą8˜ővuQţ[}˙ó"Äĺ @Š|ÓŇLFë9Řu € °)’A.1°çtJŸ x˜ŇVň(ŐˇQĆ8ígz˙ó Äč °Şx¨Î +LqWnUËWđŢ7â\Ă%_0LÇ6i=?ŽýGő‰¤%˙ó Äč +@Ž•¸ĂLôЀđ JŢŞ– +聊‘/ß ´ÄKYÇĎ˙$ą/ßoâ=a˙ó Äć ˜Š|°ÓŇL¤<*‹Ö•€€j€%™…bYÜW!b +I9ýPĽźOř…Fů¤Ű˜z˙ó"Äć ؊¸{ĘLnßX, +¸Ŕ ă„ZšŮ 縂4ĘŘ,-jłĂspŽWč*B˙ó Äć ŘŽ€PƒÄLčígşéŔ_€'KËígEKS˂ýá4á~śM|űčڙđc3q˙ó Äĺ fŒđKŇ*ôŀ€šOŔ•ň7 š¤‡™’˘ç9Pđc‘¸ +ŽĄçŽ†¤Čq?˙ó Äé ¸ĘxyĘp¤ÂÖôÇ6€ +€2ý éôYoXâĒWšśl} žhâ>!žx˙ó"Äé +Č΍¸zÄp3‡8ŔmPX`Ł\+|‹ŕN‡śQÄł3 ֐ŠѪŽž÷!ˇÍ ˙ó Äĺ讑¸zNLFý{} +¨ţ‚îžó?ZĽL™Ĺ8Ěn‰1‹˘ąŠóKýX…ý˙ó Äč +@Ś|PĂÎLöůŔË7ŮT_yžŠŇ$˛f˄ƒ•”€nóG?ěú +˙ó Äć Ι¸bÄpţ­ôlp€Ż`7„ŽšŹŽM$V‚Żo VŤ‰ĺ rGßCtoŒß˙ó"Äč + Š’XzĘLÄ˙Żdřô€ *dśr0qöˆKÁ\&ľ˜ ĆĽČćǛî[ä=˙ó Äĺđ˘ˆđ{ÄLô€Cťą'&=­ťÄŔľö&d\U? řŁëë_Ë>WđTÔ˙ó Äč +Xʕ˜{JpGŁz‰€ź?(<ŕj×Ýą%âM[gäĐ*˜ť˜ď<šŚżŇĎřt˙ó Äĺ ʔxËDpÔOőÓč9żîÍÓMnësƒ/´2ž€Ľ$:?Đßô +3ţŁź1˙ó"Äç ᕸ„qeKm˛Á ŔĎ`'V躲$j…„Ô¨¨,o_}ăˋ÷Fřčýn˙ó Äç + ‘¸Ę„púc~°1 ƒl̢VÜ’ š8%mŇC„u∕€Ş<˛z^tŰ3Öy˙ó ÄĺČzŽXfHúÎő€ ľř aňëŽěNżmG/oŢZSÂŞ4wĎVúĐý~ł˙ó Äč +ʀ0ÓÄrąîuÁó䀀°!2"Î(3ĹLŕłÚŠ ÍԍçeąBŠŃ´7˙ó"Äć +ʀĂÄpÇܐě +´ďZc#xŠ8ĚŞƒš™} ][RVÖëŤœ˙řGžF˙ó Äć  Î”xŠp€ßů%€[@Ÿ*uf2ť‹Ôćf`YćD…E.SŚOËżýŠäř7˙ó Äć `Ś•¸~Lë+mr¨ƒĎ*˝Zşŕ +•PćTeşL, +ôR~Uů9\żÝnÎŘ63Î˙ó Äç ˆ†€ĆZHˇĘĐ ˙ lĘŘ3ÉM‰ +pľŹĽ>Ś +Ÿ—Ţ…6)SXŠEó ˙ó"Äç +P~Ž8~Hq#ŇI¸ńšűŃEŚČ‹hĐ*Dâ̲ŠČç-3Ńœ^$9ĆP×,s˙ó Äĺ袍¸~ +Lţí=ŽCtĐäÝcgŻÎťîýˆ?_b7NéËcÁ^\“PľP +w˙ó Äč +HztPĆ^HęLĆăý§Ę–‘ •îg–÷|šB* t”秣„Ąš ‡'ÎBÄíţŒ˙ó Äĺ€ĆŒxÂÄp%ţ‰Ô ›<¸‰™n’ęr—sP#2/‡ôűŻ˙‰7˙Q˙ó Äę +8ʈxÂÄpސ’† ÔôĘý灚VŁu Ǖďřwí˙˙Š˙ýKx‚˙ó"ÄčůB„PĆ0qbR5sqç•ńˆFŠy–ˇ‰ąmŠ˙˙ř‰˙ń€ş˙ó Ä°yB (~qD•k, Ář= ď˜úƃ[÷řPa;'O˙‹ˇ˙AŔ€@"@Ę˙ó ę F°@JĘq fQˆî)P<ӟ +~ß+ň˙ţG˙Ä€”˝ÉJéC˙ó ěůFą cNqŠ÷j#Á žMřŽ=ř˜6‹˙Toţ6˙ň€Ŕ™)ů(#8l˙ó"Ğ F¤ cNq7ÉŔŹě†qëZ†Ą˘ŸŻçúŻ˙Ž˙ůGŔ(A­Ót <^G`˙ó ÄĄŮB­ [Jq}*cżˆĘő}A´őôo˙)˙ĺ €ˆ Œ`1€ň +^ל„Gš+˙ó Ĥ B˛XKNp:S˘y˙Q˙ţżţżţ07Đ0@ą‡Ł%ŘFq6vx`ćš*w˙ó ÄŚŮ>Ľ¨cNqäAŃ^ß }żŠżüŸţƒý % Zq^€Jn +Ŕ‚s†*HĂäáh˙ó"ÄŠ BĽčLq§ęřU?üĎ˙?˙ă>€°Š†Ą%Lü(L)#ĐŔ'W)f&Š~żƒ˙ó Ä­ BĽcNq˙ô?˙—˙Ô@€œR3(eČ%&ÂdVhRŹ”šrČc0ˆWŤâď˙˙ó ÄŻ !FşXJŠq;˙Î˙ő. ~Ź{­.!%…Ţ,Ŕ~ŐŽ_Ցe?OĺCŒ?ţW˙˙ó Äą F­°KJqĺ&„ +ŹQůÉrC`Ëô?ÓQIsˇ*•ířĹŰţo˙˜˙üB˙ó"Ä´ÉF cNqô€`VôÇcÁYđbFâ‹ČÚţ—ÎyŁĹ‰¤N_Љ>M_§ň@„˙ó ĸ FŠ°cDq |:ŻžxDÔÓl(LčŁTŠ10r{čŔßőwż§ň˙úŒ€¤Ý˙ó ÄşšBœ¨cNsP“ސ`$¸őĽ„3J´šÉâ‡đPăˇé˙ń€€€ĆOä˝˙ó Äžů +œp{NpĽo1´BŸ`41C?˙ó ÄÓ஝PcÎL‰ĎĘňż‘€ŔeęĂ3úˇ.Aŕ' ^Ť”ß|AŠœŰýß`D ˙ó"ÄÖ XŞ”{ÎLýDĄßŤň \>ŽvĽ*d´XÄú–#ëVňń‘ëú gń^Žż˙ó ÄŘ誥řcĘLȀŔ+€ËľĽ‰§l3‹Ů[pctAŘ´Ńg\š‘Jš›ř!oăĺ˙˙ó ÄŰŘŞ˜¸zÎLđŸ˙đ*Đ&Ľë4´^‹şXä , ĂxăS^QłŐüćFý˙ó Äސʔ{JpiôüíސŔ€“_ŔÄ=ßÂsBáĎw‹ĽAÖ\ńH:×MUţ˙ó"Äâ ʔzĘpŁz}L=U쎛S%ôrîG8ŒŸuUć.üö(`¨ĄŐ‚†§Ôť˙ó Äć ŘʕřzĘp9+żŁÉ€Ŕ ĂërĎăRYƒ”żuŠËXČcĄ$zćk#­FżęéýD˙ó ÄĺˆĘ”{Jrîë¤ÔŤ>Ůť()Űf“CZž‰uîřß;dĘ 6KďÝźk§ř˙ó Äé +1B™x{DqŻú?úy €—Xɒ¸zCAĚ/Çs<ďóäÚX@?>˛:ÖmnMœ˙ó"Äç +a­¸bÄpIwôz ŠŔŤř﯉QA42ɲ$˝Dú˘*řÍ,7*"z„™˙ó Äĺ ĘžXyÄpŃýr@€9đńÎe‡ąŘx?€Ÿfö9'üă]Č;ľńFiOźÉ)¤˙ó Äç +˘”~LGü‡€Jŕ?EznűE¤!ÖŮĂe F¨+[˜ŹŽšOˆýű˙ó Äĺ ÎĽPËDp§éŁ‚p€Ş0?)tj2ĄT-̌ +Ş%îms%ŽŤYś˛ţ‚˙ó"Äč +Ą”8ĂĘpżA˝šěň € ýüĽë;äŔ,8ńZ˛ŇÇގąťúˆ#Ů~C)˙ó Äĺ zŚ8fHg¤€Ź>ä^AžbŹÎČ)G‚ôöxCu@ÎżýyŹŰ–GyěüG˙ó Äç đŠ˘X|Lú7ßOüpŔ +Ó`>[˘ç]x›„!˘•@Ÿ>UFU îލăŁ|˙ó Äć Ŕz™~HşÔpŔľ°5f‚~Żp•“( "iz˜•%đAżĆCޤÚüŁŽ˙ó"Äć áBĽ¸ĂDq ňK<˜ eLžß|Ď9v°ńl +ł/•V!ßŇ%:=˙ó Äć ŘĘĄ¸ĂDpţß?˙ƒ`€Hęę!,š“Bč@Ťä >y5PWDôq+Ѩ7˙ó Äĺ°˘śř[DLŁ+ů €G@ěĺ5Ě-Ę’8HVăT-€˛eAŞ„ű|r“ôo˙ó Äé +ĄB”đĂÄqű|ÚÔ`Ŕ ćŔ3#t{RĽAŇNš,Ł RŹś*ŞK_Ç;uŃż˙ó"Äĺ !B­¸yÄqíđvzŘWLihôo@s%]œ.ÉżO~Ó?ÈĄŮjŇy3\CčĘ˙ó Äč +(˘¸ĂÔL~@Ŕˇ€żQú–]úHŮC˜+͘řa XşMƒVÚ|Gčß˙ó Äć áBŚxyÄqęß˙Ŕý޼䢣\B‚ˆ5ŇÔM,~Ť÷V‚Ó’>îóŠ˙ó Äĺ ʲxaDpݝŢ}ľ8żőŠÂ|’ůÓo´ršBÁ‘m a䇮qČŻ˙ó Äç +!BžXÁÄqÜŹČ +ę +ö[Ů*rŐmŰ%t c˙ë˙4eŽćŔ˜lž‡L˙ó"Äĺ Q +Ľ¸yÄp<0xŹŚzR6łőé]úžR¸ëú˙‰˙öP ˙ú`đÇ`801˙ó Äç 0z”p~H݃Nł€čý.´ŐÝšŃŮČY?ţ ˙ńrR‰€#@áń†Rš!9˙ó Äé +™BšXÁÄqĎfp͡횋dšőţň‘e˙ôü0Ŕ +0D‡ĂZŕ™ĺžL8 ˙ó Äĺ ÁœĂÄqši)TÔäŁs&úÁŸ˙¨7öź˜€ @˘Ç6ă§MăÓłĘ5˛˙ó"ÄÝ‘°ČcÖrK}SăÝşŮ_D„ŸţĄäh¨Ŕ0ąQ“˘FgdŔĚęQzŃ˙ó ÄĘĄźcĘqLč7Ł G˙čWř(  ąmAƒ"´\Ě;‘KżAfăť˙ó ÄΚɸ2Šp?’*żţţí€L…EŇDJBü˜PK Ť#­wWýÔqÝN˙ó ÄŇřćĘXJÄp‚-˙ä?Y ĽÝŸX|Ź d %ô*^ĽŸ)7QžŹ€_őŇč˙ó"ÄŐč暘[Jp>ßţ<€2áë\ŘBŘě`ŤF0JƒYŞéŐLŹ„VO+ŇĘqP0şk˙ó ÄŮ ćşXKNpřď˙Č@# +ĚîŇnYŠŠdw&IŚˇ9ٲ˜Ÿyƒű@-ő˙ó ÄÜřćś8KNpůŚü˜ 0ŽVĽG0đ¨sD xâ×ܨźEnîĘŃ<á ú˙˙ó Äß ćŽP[Npç›˙¨×ÖŔćĆű¤zDťä@%g’,Ő~Vn?yŤŁęqPoţ˙ó"Äâ âśXIÎp†˙ü˜€XÄ'ۃlZMž + +ĄÔ‡÷Ëťîű¨&ŽĂőŠS{901Št˙ó Äĺůą°KNqý˙ýd¤@1€•&céŞlbX. ‚s‘5>TňžWZaŕ˙ýI˙ó Äč +€cTq˙€Ŕ 4Ls˃U”B5¨d1LceTEXÇŻ&%˝ƒv˙ó Äć ąĄ€{TqűVJúˀ¨Ŕ™ÁĄú™ŤßŞĎV×[ţ5„-œSBĄPţw˙ó"Äć ůĄ˜IÚpń$˜„Ŕ( ĄŐ5S„P8ޕ Vićh÷5ŞtŢŻčÉîŃâ ˙˙ó Äć 1Ą¨cTq—˙řńôř}ó€ţŽ×q°5ĂK#“t¤öS)DĘüwĂ˙ó Äč +aŔfq?*€ €€ĚK7X† +Œƒě '“d$Rg>t|&f:lH_A˙ó ÄĺČćŞXJŽpmĆ>Ńĺ€ ôĺO…!¤L{ş8nX‘t]ž­bń‡ŠÍę˙ó"Äč +™řcZq›ü¨ňKđ5lŢŘ E4"݀ŁůI +ýoôŹD™ôäVôLzú˙ó Ä娎ŚXJJLłđč€ŔÝ5ŤÖw# 6qĹŚź? 鎁FAŒá¨ĂÚXőmh˙ó Äé +‰š8bÎp%ňßÂ@Ŕqۗšôą€4•Ÿ*‰O+Žúˆ˘%&ď¨ýń˙ó ÄĺřŞÁz +L† vŹR_•Ůt͚ăŇ ™&@PŮ-k‹1YMїđ€˙ó"Äč +˛Š˜ĂÔLßäÄż( Üľ–žŠ˜ ÇÖ-‰PwE‡xEü]’n¨ĎÁŁżËŠ˙ó Äĺčâ¨ĂJpúżńpƒW#qNŢ|㲠_†dmŚTÄÜŐUb^1nœMź F˙ó Äč 趜ÓÚL˙wAú9@€3ŔÖěÎ듒°gEĄŤ‘R ŤąˇÁ‘ŠíÚB˙Yo˙ó Äç +ćĄxĂNpžÝĎ­ Ő%ó4›Äa!(lŠđ¨ pˆŒpŇUűüm&îü]˙ó"Äĺ ĽPĂDq˙-‘˙ů@ŔpU™Ë;xhí;“)¨%MŇřşj›uĚß7˙˙ó Äč +`âřËJpżśš@€yĂ3–s‹@f5áH #űŤPčăŻĂ ăFęQşË˙ó Äĺ ! ¸ĂJq}+9řt˝J'mĺ"IĆŞ`AŠeócŰë‚7'n˛Gú(×ÜWň˙ó Äç + ┠ĊpŽ:Ô¤€íDŤŘĘ°şţŤÄxčç1M˾⫚7Q‚_–-§˙ó"Äĺ XśĄxĂTLŰŁĽź˜ śŕ%^ŽŮ.p dýôŸ@Üď‰ÝůçĂśz‹Żľő˙ó Äç +!ŒÓNqßżĆlŔ‚|řÍ2ÜĚä,NʡĽNDˆFŠŤÜô7[ĺ 3˙˙ó Äĺ ćĄXĂDpŢܧz€Ŕ‰Á(‰Ě­ý3`c&M z§ĆÝö;”Nć†?Ô˙ó Äç 𜐸ËÚLą{ôz€Ŕ†!ťÍs<Łĺ ´PÜËHuԊëó뒛ÜÉĄF +ż˙ó"Äć p˛˜ËÔLđ§˙Aüú€€y^ď>ŻˆÂčQíקŐ`˘˙>ýGőü>ßĆĹż˙ó Äč +(┸ĂJpŠVe€ ř{KYĐߎ$ĐWŤE!›ŠŸŻý}Ýuäwću8¨˘˙Â˙ó Äć ‘şŘaÄqí˙,Ŕ‡ť ZŢm“ôąLŁ’`=ëć&wć î<ČČzY˙ó Äć ĐÖŹČzJpżš˙č?ôŔp([ăoďvŃË}#-< 3ňŁ&ĺ:ú ?üc˙˙ó"Äĺ PÖś0JpĹž€Ŕ@<Ę7'Ţj÷ŕzş€tžš[É5ÖbG¨ÎWŐÔ5ż†˙ó Äç +ţ­°zĘpţ#č€ŒŐ.)¨ÍŁôž…eJ€PşJ%íSüď? lSÖł˙˙ó Äĺ úąřzpˇúńZŔ +Œ‹`źÔSÝŕňŕ3Č-pIŽ‡žeçúßĘ˙˙ó Äç xţ ŕ{Îqč+Ś€0>´bnŸ’*â1´ ÝĂEŔ!nj šż‹˘š‹mš˙:č˙ó"Äč +‰Ľ°zÎp E!ĘPŔ *ą>Ó\Ö Í'Ą†*˜ĐnoˆAŞÍg§ç“ř˙ó Ä奭˜yĘpHwů…ypČ<žŢ/&oÝp°˜xƒLbĘŒŻá‘:¤˙á˙ó Äé +Ľ¸zÄpú m€ĂÂ]§–iwNÂF‚— ] +f —|GÚWŤzÉü˙ó Äč +QĄzÎp"óř›ľ`€8 ×jÎ>訊ь s-ŠyQJĺ_ů.á˙ó Äĺ !˛XaÄpýF~y`€ĚÉQ%W݀PŚ +B7=ďý(\Äć˙ŠÎC§đÎýů˙ó"Äç +9ĂNpaX~.š8Đ^D• +täHË5@Ĺ'BĐ ďŸQ1a×'ę+Ćy•ď˙ó Äć ážyĘp6čŮ@¨„¨ĎŤó ‡ĽëŔpڏDTót T:'”QĂŰöéDÂ˙ó Äĺ ŽXyÄp/vM_hşÉď­ä€ĺŕuÂvŚ7ÜŽ ű˛Rs­í…˘Ő˙ó Äç +֚0zŠpŮů$P琊đŹ•€ ˜ +ÁLßmĺ_”ŠDh°óŸ§ËxXůa´§˙ó"Äĺ XţŞXz„p´ąödjÓDľ`CöŔ{šömÖ34˛GšC‚|÷ˆŹíŚęýFáž˙ó Äç čŇŹ¸bqV Ý`3“eyŹ;ÚŤ`ś\ťŹ0'*×3kĂsđ=Ť•ó ˙hjýO˙ó Äć €ţąpĂpŃźşPtŘ%ŠU—á9\6›‘ŽĆđÝĘŔţóMöWţß`˙ó ÄŰ śČřzJLÝŰSŸQť + ¨¸tâTż4XE;“:(•ä=ČžöžGĺíOĄ˙ó"ÄÝ śÄXzĘL}ľgÁŒ “0Ńj0ŕ~íó%űV˘rîéţú˙ó"Äć +´ÂDq7WŐŰŕ` "FdŞě¸J ÔiŽč4T˗6ž`Tć|1LryŐŁwŕŠ˙ó Äć y¸8Á„q÷_}Qp` @¤1Y|7€ş'ĄÔLOŃTą/Üs‘Ł•ĽÄěĹ˙ó Äç 1´0zDsŞ&“mŞ?7§ľb¨Éĺ F|4­ŻCĽIąäĂWZŤë´oe˙ó Äé +a°XzNqéîڎ€ ‘ĄG pţś´@ŽçۤxE= ş÷ęߧGţ˙ó"Äć +´XÂq.˙Ó§żPĐö}šŃj%E‰DĹ`łË¨‘¨ÝŹ7 &_‡ťť|čů˙ó Äć ™´ŘzÄqşżŽ8“8‹ěUČ°.Eě0şđ;OÎ!‘˘śmłŻ´=ý˙ó Äć Ů +°8{qă8ŔĐ°¨%’RĹ1ą F"5äÁQËZAŚąw8†eřgúŔVn˙ó Äĺřś´8{ +L­ŁpNp>‘SNĎ"ů +y$š_ %e&ŠÁ¸úOÍěl´c]oyŸó@Ű˙ó"Äč ů°XĂqz?^ž1Ŕ°6Ř!Ú6§ÚHřŽm-°xë-.rÉ7!™u“8§í˙˙ó Äč +aŹ8{Ęq×߂†-3븟Nő&}_‹äiˇr–,9Ő%ńÓ-xcŢg÷Š˙ó ÄĺůÄXyÄqěpţš€ŔŽĄYCźäŔÓؕkBĄńîh1g!>2)–Ě•u/’iy˙ó Äč á´ÂĘq˝ńŁřŔÍ@€˜ƒÝ +Žˇ˝ĂŞU‹BeG—RŤZG‚üłQźƒ˙ó"Äç š´ÂÄqVč7@ô +7V]ŘĆŋ5ŠBâĎDŘUŃb沲Ő¸äÂçK˙ó Äč +ŹĂĘq˝Ô Ýxĺ°ÉÔy€—:Ž] +„ Š˘Mw‘y¨lžÖ¨…ˇŇz7*˙ó Äć A +°ÂÄqˇNŽřĐŔ!Śt‚„žâCkCý†–ăr-m.Lçěý咞Œ?˙ó Äč +Ú¨ËÄrôđc(ś|ˇé㚕РZ_yÁł@î96`5ÓřoŢ˙ó"Äć +°8ÂĘqžążßƒ`xâ&řźęOB”„ł´hł­ÍZ‹ĺý|OňÔn˙ó Äĺ źřyÄq“˙BpˇŚ6żŚ˜žVĺ`–žcŕ†ŢfĽßfąČ=(DœEńý˙ó Äç ˆ–ŹPĂ +L˘ýlä+ j *ĘšŤ„‚wÝ~‘‘OŠj%W¨žśçđO÷€~B˙ó Äç +!°XzĘq—úőş/‚ ”ZMp<$҂ٺIK27Á +gB(÷é˙ó"Äĺ I¸Xzq'âÔęg3 ]Łü0 Ź“ŠÂ)k<‰Űt9ŸZ\;ËO~ďUnę[ů?˙ó Äç á¨ÂÄqę)ż@`dö1ôű„%ŃaÁ—ň2ŤŁuN`g,é~Vć‚ßú˙ó Äć ÁŹXzĘqŠŸAýh5VĹXĆ.-çńi%†#łi€žŸ˜ĺ˝/5öAâ?‡˙ó Äć Ŕ˛¤ĂĘLÄŻSôœ{–,CÜM ŠNŤÁ…Hý“˘¤¤ƒŠĺ§Śęk˙˙ó"Äć +´XÂÄp˙Ô˘$r  $TˇĆE9>Dë'Ž3c^™xşl(5E˘NşÇ]Á/˙ó Äĺ Ž¸PZDLČŘAăÇř çń#Œž5víŹĚŢćˇ>rŞ(űűż"C˙ó Äč é¨{Ęr˙˙0ň€z.ŁbůŞĐŢşů‰çĄK|Ö+âřëeż>_á˙ó Äç ŮŹXzĘpď “8óԕ€GůŠ™5 Ň'Ő¤nß鞡ó7ą×u'Fđ˙ó"Äć ˆÚŹzÄrk˙ ;p‰šCƒŒ€~|Ě°3$!ˆ!2fkĹÄtT§-½ŁŠFö7˙ó Äç +ţ¨8{pú ľÇ×eę{^ˇ!Ş€WŘg”‘@)^Śă1eE°ÍčőŁx&˙ó ÄĺČŽ°P{ĘNęT–\2ŚĘüNcÝŇ +‘­ŤŞâ°p“Ę€žľýâĄĚ÷<z˝(Ý˙ó Äč +Xţ 0{Äpţˆ4–°â‘Ž"AĐtl2TŒgn QuzţŐ§Á˙Đ˙ó"ÄĺřŽ¨8{ÎLř6֝Ŕm ŸqŠqx„ŘÂéĎ4sh‚ŠÄ!ŞNrţŸJ„§Ł ţ˙ó Äé řţ¤0{Är¨=m5n°ŞÔţ}žĘBěÇs š„œŽÁĹŇ8°œňŘÄ%ę +˙ó Äč +  zĘs˛Ąîuüô€€ÚýrŽ‚Ŕ|ÓTâθŁŇç)ˇłćj,îy`GŻ˙ó Äć pÖ¤{DpÝŽÖxFA` bÝźŚŸ[Ľ´€IDX ův9Pé˙ó Äç + ţ ÂÄp: +Qea™ŔşI QQx.§ ˘É0¤&é8žÎ6)”6"ŁĐÖr˙ó"Äĺů¨yÄpókÖyo[W=ĄIIëç˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ţ˙ó Äé +Ą¤XzÄpôh’h~™Qß~„îˇt˝'˝@ Ą0hĐ­ƒâ˙ó"ÄÖü‚źh, +H>ýôkľg||gVvźŽr—S Î`y/H:™ƒD@P˙ó ĞĐÚݙĆ(TeN“œrŢ!>Đ Ďoo7ţţ~§űx6 ú#ˇ‡l&E)ŔSMUT[˙ó ÄĄůBČzDq“VhűËHď?ƒÇż09ËíŤ'˛ß“@ÍýÉŹąĆ ŘĂĄóx˙ó Ĥ žČXbLZ ~&n…ą&ʝ%ś/§ €ŒƒÂĹZ÷S—ZžZܟbşzÔ˙ó"ÄŚđšÄ0bÎL\´V.#GFÎÓ÷UYÝŐ ě†Ÿˆ‚őKÔЖŇ^†"2ƒ'ŢD(˙ó ÄŞ BĚXYÄq|Ÿř|W?ž_Ä@~Ëś"I$P@âťËł[mÂâŔőjƒ˙ó Ä­ šŔ0{L9VĘ/ŻNĘ2Ů "¤Ź5EűŮIžśű†Ěş‡V+ę^Ł¤˙ó Ä°ȚÖXJL ä÷׆wVí€~V pND*•uçó|Dśxí°,Ůáž>ŹŸCq˘˙ó"Äł H–źPzVLŽœ”בs˘Ź3ŚLt…sÉ*čľe O´tĆy:7§Ůšüć˙ó Äľ ŇÄ8z„pń€ß@ý>¸ö“6Ą= $&uzm<,O-'Ő:ŒĆę€˙ó ġŕ’ŔXzÎL ;¨ŠĆ`œŔq778ä‘ +îž<‚gŻč7źŸéâěחĂŔ˙ó Äşř–ÉBÎLžćö@d#ŹÚ¨aÍď–F˜3Ź3É?őę=ć2[+ +Áňá˙ó"Ä˝ @ęÄXJ +pźş|"ŹŠ!yČ5zeÔ +[ÄĂ;(޲Sá߼őčŇ Ź +ɧځ˙ó ÄŔ >ŔxjŠq|F ţä‰lÖ7kŞ!g–†҂ő÷•öîŁN~ @§Ŕz9űÍBäň˙ó Ä ÖŇXIDpW÷óáíÔłœ=WƒڟďăVšŒÖzX‚Ć.ş5ۛB‹.­(1Đżź{ŮžĂ9úŔ’•&”*Ëă*ś{Äí˙ó ÄɸŇÄ0yÄrŒA’ç\9<˜ĺJűŠţ  :ĺż îŠ9AóéŃ}ëÚ˙ó ÄÍ ś¸8ƒTL‹5#AxĆóĂĎî’r_č@ßŕ~ÁśÔŚ‡f:*ÝľŚźĄîŹoüç˙ó ÄĎđîÄXZDp]ôŇ_ëöjš~Ţ-ô€ť8g´ŐąŹg拢ŘsĎŠhŠ^|B˙ó"ÄŇ Pš¸8{TLÚĂ=Śţ5ń +Źőd€€™2ď—âP•hťĘ5ßK˙žEpă;óç˙ó ÄÔĐśŔřjLƒ˙~‚č;^€@î`fŇ!şÉ€ž0 $X˘‹ẊÚ?@kćŚO#ô˙ó Ä× š°P{ÖLaďH€›čć•cĐaYŰO^Ţ ń]ĘűH˙(ŻĘˆgę|-˙ó ÄŮ ˛źxzJLßd@ Čß{ š×bÚŹ{,éśě'÷äëšÓ#öî wřĚ_ĺŽ˙ó"ÄÜ I*ŔXzDpÝD™égЁ +źĺ6by#@yă֏ɓ‹ćą#sŒ;˘lď]ű¸ä,˙ó ÄŢ ŇźřaĘpp˛Ú@€Xř…h/#F/ä`šÄBŽOç—J/ˇv˙—#ˇ3Th?ü˙ó ÄŕŕęźřbDpcy0€ 0&ôCŠČđ8;ľ“¨­¤ d—üŔxs”hQ*â ˙ó Äă¨ęźXiDp„ŰýE=@0=œˇ#C\SaNV0v}ä~ĂCöhgžb7ĄnP%nž˙ó"Äç +š´řZÖL)ô"ţƒ[‡ůA-šŹíˇQbQŹ˜Š p\ńÓ‡>1Hđ˙ˆ˙ó Äć ¸šŹ8{ŇL…˙äŔƒŮ—Żf djşňŽĐVqüÍXŽ}˜ƒáÎŐ-Ô?řYˇ˙ó Äć ĐÚźřZ +pE˝@Ŕ ŤÜäԟ)śŒńNřZŽíHĎŢ24y7Řä5E9Uęßâ˙ó ÄĺŕÖźČzJpś˙‘ů€fŹ8ÚÔŤÍřF“ŘV}Lű$xAÚHk˛¨47 Ÿ˙ó"Äč +Xţ¸đbJpú„_ý؃|ŁĆK' šŠŘ+E—?'fc¤ÎҨč:oŚÔ]_ă˙ó Äć Ą´zÎp…÷ÄŢ €W/2žĺrDa 8ář’H¨ßH݈ßÚ˛˘ýU u!˙ó Äć PţŹ ÂÎqáf˙–ůŕÂŐfˆšy†ŇpšŽ[:9Ľé*łúB?yđ_¤x›˙ó Äç XÖŹ zNr7Ďř ýÉšđĂ +ă‚Ë)ůŽŮC‡u?ű\k lĂłb[şR„î˙ó"Äč +!Š@ĂpvÝCňŢ €RáfGÇr(4p/|#ţâfә*÷f ú +Ô{ ˙˙ó Äç ńŠH{ +qę3ú€€Đ…M@q‚ŢwˇŢÁŃţŐ­ą?”{—}çoLwR_ô +˙ó Äć  Ö¨ ÂNpńî]ҏǨ/„Iӌ €k(m ŽáÚAóšX1Ťˇú‹ß˙ó Äć áŠHzNp îś €\O˛‰5Ę)…C +Ÿƒ~†ęƒő>˙ó"Äç +(ţ¨¸‚ĘpŁŚVłŸ ý@ Ć`'Ćô,šwѕ  +™%ŠŽŽ8Böß›Bď6+˙ó Äć á.ľ˜aDqgˆž*9¸֎Áĺšlr˝F)@ő0üâ×!âůâďœlɛ ş˙ó Äĺ  ÖŹ~pĐgőŒ› $T +­›ĚpŘşMÄ2f5˙•Ž—ÂöţüŸ<7Ÿ\Ě{˙ó ÄÝ ţɸBÄponP߈@ +ďx1ŠbíD +× dՓíᾇ/˙Rž?BDš˜ę˙ó"Äß ţɘcDp˙ĚOý´ +'‰Á őÂoDt7žŇvwöœky˙°ůŞÇ äž˙ó Äâ ŽĆXz +LV°8Ŕ0$0…aGŇľ )—*‘0A ÉĚÔP hč·X<ă’˙ó ÄäřΟbŠp?‚¸PÁ‹ĹH´nY"Eář–0&„™V ˆŐŮőžó~ŠęCłě˙ó Äç Ŕţ˝zÄp˝˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙˙ţÖŔ~WĂC<đ•˙ó"Äç +ţ´P{ÎrĘŃÁI/˙Ëčé]î{kPŚäôÉ˙ĄŮŻXÜŕI|]bMŽ°°ľ 7˙ó Äć É2¸xbĘpK'íHšš( Ňő 6‹oB˙č޲@ÍŕXşL¸ťÖ° >IB 3Ë˙ó Äĺ ĆŔyL6ľga);ľURn+ŰóŢ´€~čj]¸PĘ8Gęœc^şűř˙ó Äéź‚˜˜ˆ‡G`"+Ńž#śă=ó^´ÄŕhĐ°UôşLŞŁ°ržŞśJ°ž˙ó"ÄŠ (ţ´YĎ(­ťĐáGíÔ¸ßü|˜$jüŁÖhr>D‚Ĺ”ŒÖ§]Ŕ‰KvůB˙ó ÄŹ ţ°XcDpú—vÔwíúTáűT@aĐ@Ú6Íi+h.ˇXŔ_]dÁ˙ó ÄŽČΰXcDp{Nýý HŰaźĆ€šđž‡„Ôf;‚Ďdđ‰.ú‹ičÝa=EL˙ó Äą –Źx{ÔLućeĹ1~˛ÜĐ\ŇyşQAľzLĂŐO­uˡxQ +?ő @(˙ó"Äł¨ţŹXcDpŮJ´Ń7˜ĆĽ"š‰>ŻPHT´'L”ę 0ÄÇ˝]*ßń?’ TuÁ˙ó ĸŽŹđcTN‹EŢÎC†#g챑k*9Jž’h­çŤü˘˙đ (| +śHž1l˙ó Äź ΰř{DpŠ˘ÓËČąŒç‹áO˙nCÁpŠö;ś˙ďůŸŽ  riWü#ĺš˙ó ÄžˆĘ´XzpŽś’‚"&ćcČLŮcԙUeÚTßĺő6˙áœ`{Š,'öÍ8 ˙ó"ÄÂŕţ´XĂDqKňř>ńŞjzć +°4źęŐŃţ=Eß ćsŐY*‹˝ C’Ëę`˙ó ÄĆ Î¨ĂDp<ĘYÁďJrĉƥ5ĄJ~­F˙ŕĘŒvŞŕ˝nšéT¸UxÁJ)˙ó ÄÉŘúŹX{DqŮcČݤ‘SZü3'ćŰţŔže RŔWí–Áx­jLˆ–6:˙ó ÄĚ ś¨8ĂÎLRk¨Äáĺ=¸6Ëśoý•dŘB፝42G7€ŚÉR$ë˜˙ó"ÄÎ 0ţ¨XĂDq<Ȩ˛ózĽŻćŤm˙  ­IŔÎâÓféPqM=H"ýp7;9Ľ(˙ó ÄŃ ś¤8{ÎLQj[ó¨QÔřp   "Ä´cŻlÖqýŽŮD• çtů[ňű”pfGŸŸ˙ó ÄÓřţŹX{Dq ĺ´üNĺĽrÍ9=Ś] eSyBŁŸšEśŞę4`b ӈť2˙ó ÄÖĐţ¨XĂDqŞŚîJ  p°ˆ„Ć­YĄ‚†s z6ťzťőĚ{qŐ +IW”wo—˙ó"ÄŮ 8ţ¨X{Dq¸š› •@ĽTř –ĂOodb˛Đ g•)’š?Öĺ‘5Ö3'óUľ˙ó ÄÜ ú¤X{DqÂúˆłŹáQ¨ţăóT33D>4¨W-öWŹsÄó b,‚+ +Ár:˙ó ÄŢ°n¤Xn ( ü3äŔ/ +Â-t€Ě["Ió‘ňŘë!Ł'|LBűë؃Ť(˙˘Ć˙ó Äâ z 8~ HđBý` ŔuŮz‘_ +5 Jç€ tÔąáaꖥ-S§ł0'şˆ›˙ó"Ää ’ X{ĘLř/Qď&VƒeYSŤruŠĹ@ö2écNŒ˙nF‚Ü­źôč /Ňf˙ó Äç ز x~L˙8+ú@x +ŽFc‰ŤßA$,‚“"š7ÚJuÔK/Ę=nهw˙ó Äć °Ę˜X{ÄpűAúÎú@•ÁKE}žě¨ŔĎ3ĹCu9ŇB˘@㎢4Úˇń˝Mú+0˙ó Äć š¤0f +NżúƒQě‡ÔĘÜgFœane@šœČšxó¤˘dăOď_7˙ó"Äć ˜ţŹPzÄrDŽňŽô€×Ŕv#^Š­VčkÂ8ěI-yaýbÄ#žłCćŐŞ“›ü˙ó Äç ¸Ň¨X{Dp™é “Дö´bŠLaąč—EĽ +Ň4zĽĽęä‚ę*őj +˙ó Äç Ń zĘpî'4b…8Úqn ›z(Á!ąŞ&Ë'!\Œłi‡J6­7Ó˙ó Äć ĐŇ 8|„p5˙¨„Ŕ0! đzC*Ĺ)9A8n€Ř8É {-ˆ{P’Č˝ŽÜM˙ó"Äĺ ţ¤0{Jsţ:ŸĘ…ŔÓt& ĂďŠM¤Ţzƒš›€ŃmĘŚ¤ 2όá˘LâŸěŁŰ˙ó Äč +Ҙ|ÄpjĽü€F‡˛.tuĄsœţy~rI‹h +ç[’ŠĄ-9/ˇƒ~÷Ű˙ó Äć ¨XyÎpř € +Áîç† ĐÉ7CŁw _ŹLţo~ë/5~ĂűIč˛˙ó Äç ĐŇ 8|Dpżő @ĹăDŠ¨/*m)Ô=† +…ÎW~šö˘6˙]„aĺŰßÁ>˙ó"Äć  ţœ{Nq…ż¨đŸđ`‹hšÔcőḖB˘€@ łĚ6k&=5”To[k7jv˙ó Äç Đʔ|Äp›ţQ`\ ˘EäTfŸNËPK›ŔW60Dá^ŁfĄ#,ÚWŐŐš§űWý˙ó Äć ČţĂÄqđ€• dČšŁm ă<ŕ+&pŽ˜Ňe’Ťź–YQŽżŤhŇ}˙ó Äĺŕú˜|Dsë˙ ßH ]ŁGruł­‹#ˆ%˜€˜Ëwu85âM?Żh‘ďƒż5|÷˙ó Äč +!˜8{ĘqőzĂ°VN­Ĺ=Ü7p §“Há+Yą—žHާ+3Ňi>˙ó"Äć +úĆqÔ˙ƒú6ŢC§ccBŮÎhdYcęt,ü9'§‰zx&Ľ=#Ď˙b€˙ó Äĺ ţœXcDqcŮ÷÷-ŚćĐľ9ŕř|ădÇĐ^#` 3 +ĹubýbZâ˙ó Äç ¨ú˜8{DpF%1kgs´k'îEŔ4žžéţTeúţÑU#~ +ŒŠ˙ó Äç čţ”|p•RÇ;š‡ŻŠC‘ú~9—ßŘĎ˙Âţ4Ŕ@$D`‚ +ĺG™Đ +˙ó"Äć ¸úĂÄq3Ąô˜Âř,t5ő?ë˙˙ä˙đl– Á‡8 ˘aD1eh H^ÖB§Ś˙ó Äç úœx{DpúŤ]sÇĐf˛ď˙˙Ÿ˙ÁŒ€ŔÁöŤËźÁˋRĚ`VˆÚTT•p4M˙ó Äç hڜ({ĚpóÚ +˙˙˙–˙ő, &‚ Ő¤ó…M „ątĽ8r\˜*s?Z˙ó ÄÜ ůF°ČKÎq˙é˙â_˙ đĹŘeĹ.ÆÔĘ:pT“śUj4ôđR8Št~¸Ŕß˙ó"ÄÓ YBęxIĘq˙o˙úÄ:äěk„Ú…jłś×ƒ&RÎÖvłzÍęŻ8>ßó-˙ó ÄŐšBĹ°Zq˙Ę~@ Jžěy$śŰ$$ÄX öJšôLÝKf¨ĚąWŇď*>˙ó ÄŮůBĹ°JÄq˙˙ă˙ +ŮP.C Č"Łäřl>˛.ćnľ1ĘΖ+׌44䯢Ä˙ó ÄÜůB˝zqEżüŸţ1€ÁYK)ŔÓĂĄmœ=Őhs@ƍ+0âîČŁ‰éđf­żŰ˙˙ó"Äß 1F°bĘqß˙Á8F#!7>SG2O'C“x!ÜĄçKfŽĚűÁm>ąŞôh…żü˙˙ó Äâ‘ +°zĘp˙,˙‘'cą2-,—öfqcFg–ˇTźG­0Ľ5)ó÷])˙˙ó Äć q +Ź{NpÔßţ%ů0œ’ŔZUŽŐ +ů˘ŽÔł“fł šĄÉEsťJŒW˙ó Äç + +şP{Npîú˙H€€&aöčŠ !…Ă˝6čĂHžźáĺa¨ňGHĘŁŻńľGý˙ó"Äć +B˝¸KJq˙Ó˙Đpߪ(φ”;SŽlykO3W˝§~겏ăďüwƑý˙ó ÄĺéBĆXb„q˙ü_˙ǀ…ˇt&ČŚěE`™ťy‘Ífţ°7×WČńƒTT”+}^Ł˙ó Äč + + {Îp~Wň`7ždO“:¸đM_Ď*= Ë}ô-ćťâöĄ&ô*#zŠ˙ó Äç +! +  ĂĘp0Ě í_“Ŕ1îľ +ČŽVĂxýŐĂI و Ç[ĺ@sPto˙ó"Äĺ (˘­ˆcÎLă_ç˙đ`ŕ&äűq.N‰{üÖ}"TKç˙ůzü_˙ó Äč +!BŠĂDqô?ä€Ŕ2ĘRž uޜO’9M4d +ԄEÜC–8Zk˙ tAţ˙ó Äć ÁB¤P{Äq Ůn[ň@Jä=M„‹šŠĺ_ÓxhŚ§\C”UŻýBm¸!ż‰ţ˙ó Äć XÚ˝y„pżĐ€ÂŹnœGÍĹlPËuŁŸLm ´ąd6ZŒ­ S9;qέQá˙ó"Äç +@Ň´xbJpCżëęý|<¨Ł='G5bę(řLˇN&„„QŇÉlN}urĘ˙ó Äć Ů>ľ˜yÄq/úŔM€Ę‚,V8 ‘^HŁŘY(^ŕJĘdI†Ň´wě}ŕŘúż˙ó Äĺ Ęš¸a„pč˙ˆČ7›™ĺSs¤u܇ˇU&–`Đşí\@TdfÁ6ő`^[ů˙ó Äç +Ęą˜zpoÁ:ë2ŽÇbŻ,˨đۜ.zDáńJë%[1j-ůťoQ˙ó"Äĺ¸Ę¨zÄpřŢŢwę!źćUiŁ¨Š;#J§$ "ŢX$ÉĄ4Ű^NŒßĎţý˙ó Äę +ŘʝPĂ +pđڋčwô´emxúňÁ÷úŠ,4č¨fšýË0§Ż˙ˇÚƒ?ęż˙ó Äĺ ޤzNLNœ+Xý@Ś˘66\ĐMNF|U†çP‰źxű¤Pť +Đ9<Î҅‘˙ó Äç + +ŠxÂÄpüďö}@€J@7?8QYdŽÓÎęĹĺç—‹Éš˜…´ĎóŻ,í˙ó"ÄĺŘŞ¤{LTř˙íú€ +0 ¨Đ4—!'c¸6ĐoŽök­cE´ŁÍÖDËBůq˙ó Äé +@Μ¸ĂpĎ瀟2ʌ pÖœ 9 +ú+,HŕŚp3›(ˆĹšä?őx˙‚˙ó Äç Á + 8zp_ű} ź^śíšŘ>ZތŔćOÝÖPÄkĤn+ƒłULďŐďú?˙ó Äç +œÂDpúˇËƒOH€ëčŠBZkN-ʁ7)pĽŒPä`'a=‘˛ß˝MŃż˙ó"Äĺ XŚœ{ÎLŐž˜6ň` r,ŞŇ9QSoŢ7h­j†§†1¨#űÄĹ ń˙ó Äç +ΜŘÂDp’ň^LZ30<ŘęŃ˙°D­,úNUëb‰_č}˙9‘ĺ|˙ó Äĺř~¨PcŇHE˙%ä€:˙ő†Ťĺ?§FşEç…\;-ˆ´•Y‚&Ă7$—˙ó Äć ™ś8yÄpţżĐŔsP-"*ßaÁrGáU_ +F<ěíĹJ:§蓉Ç%Ĺ}˙ó Äć °˘¤X^ +LšJ<€¸Ż:HŸÖ˘Úf‚É(í]7‰•Ŕ´YEępš›śŽľ/]˙˙ó Äć ˘¤P{ĚN_ýżÁ0€ śŔ2ÄÂ5+§FÈŹÄmôË&t‰ÖĂÎQžŢž E9˙ó"Äć ĄBŹxÂÄqŠoŰwP2›`ëČlšŠŒq‚›ČÜâ€JwޏEę_}ˆF‚Z˙ó Äç +Ş8zÄp ňzż"ŔxžŃ8g:Ď CŮöě?păúoŮ=QF¨¸A$c’œ˙ó Äĺřz¤P{ÚH'—ý ‹xd2´1ž_ƒ¤„Gˆ(–Y˘Š¸ľ¨jHY§Ń›˙ó Äč 袊¸{ÄLýţßëÔp€´]€łŹ!L‡ĹťšB?>ę€3›N(…d|GéŐ˙ó Äç éB¤xzÄqů0$™8KĽkRéE8Ő.ŽmD@Ó54š +}ÜB–y!ţ%É@tLÖ ˙ó"Äć 茊¸{ĆLˇýţżţ5€Ŕ ţP%őĂ;UÔ!hPgEFqšĎ&ö4é{cŠĚO˙ó Äć ¸Ę¨˜zpNŻÉ.Py’ičl(áěMÁ$FâaEďbŐútŹ{Ż­ůގ]˙ó Äć ˜ĘľŘY„pnO§Kž€Ŕňđ2QŃ­YÁ!Ź +EE‚Ů&N(Îěu,Ł˙7ý˙ó Äć áBŹ˜aDqţ_˙A€f—ĎBcú (`Ž鶉#Ť“Á¨šä:Úbˆ‰np„›FÉ˙ó"Äĺ ‚şXJFH'•ôęü€  `ÚqsŃOËX5ęÂ×u˘n_źŻŒ˘ď˙ó Äę +ŃB¨˜zJqôƒý@€ÎĐ<%řP°–ÉJ5ˆhFƓˇžŰ+ŞPá=Ę>2ű:˙ó Äĺ ˘­¸bÄL¸gę€Ë•Ź%$–@”TpVČŇnzŒČ“#o áq›–űw˙ó Äç + ޘp{ L8âý™oŇźPhŃĐčT’”Q@ľŠrŐĽWˆ‡Đ_;ş=˙ó"Äĺ QBą¸YDqiłŠöký  RĽŔTF€:˝ŹŘ‹.‘Ş4–„–ćNŚÎĆ6ş˙ó Äç čjŒ{Ţ(?Ťň`˜ dlhmăČŁđŸˆ!MżCŒ*ĽYOW5%Ď(FA+˙ó Äć ŮŞxaÄpw?ŐĂŔ€ +Já8™8~<˜ŕm p娭‡ƒ€\9ŤEżá¨8â˙ó Äĺ ŚĽ¸{L$¸›ŮŁň@€Šp;^ßŔ˛Ú´j*ô4ŽĐ#Ó°iđ8Ž'q]˙ó"Äč +xŞ•°{ÄL̡čŔ ‘đ:â +Ąi…ˆ†“$´qpţ}Š"âkXĄĹRű=ŢtŰŤ˙ó Äć ŘŞ˜p[ĘLvÍ|ž€Ŕ+€=Řux/ۋPąŒ’͝şký̗šÉ;6˝A–˙ó Äĺ RŚXKŇ$[ň€>ŻŐŻ(s(ÓGJɅ•NĆôąq•ĚuFľý>Ő~ß˙ó Äç ŘnŒPKŘ(Ą?=—÷Ň …DӜܭáI$–Ř>EźăÝ(p—뉃š˙ó"Äć +zř{ĚHsč1ËuŔ ŔďÜítĐřiž…Ĺ=Ë7…(˛ëÝŇaô ţ+˙ó Äĺ zĄ¸{HጷäŔŔ +ŔÓÁ)]ô76Š1:’œ\ÖŰxĚ=;a°C ď˙ó Äč +`ʝ¸zÄp?ĜďցفŔ¨ů¤°úCçÄiŇĄL5˙q˙ž`Z + n˙ó"Äč +xʔĂÎrW¨†\ź +ˆň燂|ĂâćrRĹlg7_f9ˆúç +ˇäýŮ˙ó Äć ŔŞ˘X{L]Ę9@€€;z™Ű2lŁ9•iŠĂ,Šż~Ľç[ţţt)œş˙ó Äć ĘxzĘpoâ:ٖŕx+…zç-hd ™_Ś-t˺ߘĄ?Ę>ŻĆy7č˙ó Äć ¸ŽŚXc +LeýŤ€B  +^WftťŔąCň&á výĎm+ďľӏÁ˙ó"Äć +ސ^Lźƒ™@€`6™dBp´ŞĹZœ)*ę]MúÉ}s +˜ôy˙ó ÄĺđŞŞXJJL?¤Ŕq~`? +Őřρćs¸Î˜hÜBKXŽńéž}lŤß#Ä÷V…˙ó Äč 誔8‹ÎLŃ_¤ŕ.ű?ôžHůƒžňRś{‹7ş:=ô;Ô6(AÓt2˙ó Äç +ʝ¸zDpř“ß–ü˜  +´J•ŒŞő—ČY+SL2úMçWN~yĄ– ˙ó"Äć ŔޘX{ĘLČ~łźˆEđĆÉ4“.ÍPB}ě XĺnHˆv~<Ňü7Ł­đ•Ô‚˙ó Äç + Ş™{ĘLß ˙ů +€›É¨ź8Čáéę0("˜f'& ’ÎdşŠĆCmđÄ˙ó Äĺ°ŞĽ¸cÄLúôy Ŕ’đ;ZąţţŒç˘4+# ´4tEżYř-Żú +đB˙ó Äé đŠ˜{ĆLü‡’ôŔ ^ÔŽßmÁLX–8g#ËPĹAĂq[œ^‘Oý?č˙ó"Äč +hŞ”xĂĐL?ú7úä€…Ú˛â"ÂxŹIe;ZwşŐě&zŢ/?ĺË{Äiâ˙ó Äć ¨ŚŽXcL/!ä€Ŕ‹5ގmĚ'Ú+ć;éU:Š)"- \$ +TĂŹĄôz˘ż˙ó Äć  Ę 0{ĘpAčßń€Ŕ|ś+ń"Dt9űY +Q šŠ?ü˙N:żóŁ˙ó Äć ŕʜ8ËJp}xސ€cp7Œ­Nk١@VA‰żx,ąĉ<đ![yOľ5Żý˙˙ó"Äĺ HĘ­¸zÄpŃžMň`œ…ÚqŻ.‹!Âá›-r#ł`Ý̟ţO|öŤ…7W¨˙˙ó Äç +Ľ˜ËDpö˙A€Ŕ,Đn°/^Ď >^#“Ɇrˇ!š—–‡ŰŠÔ$n••,˙ó Äĺ z 8~HWÄލrŽ~ĺR˝_ąLD¤ˇš˘ł,jJ€źÜgża߆ö”_˙ó Äç +Ą¸ĂDq’Ő € +LĺcÔ×óU4Ž=çŹ] Ɖ !Źâ&Ż¤S}ŤŮ}˙ó"Äĺ !¤XzÄp5ÉkłÉ€ýÜ ĺNÁ'ĄRM1-ľ‰amŞfę+VeňˆŽ&ň˙ó Äč +œŘĂDpZ,ôŔ +‹p5uAjCp°,•‡f9ńŻp:7Úę,ŇK—˙ó Äć Q PzÄsňZlî >Q ;¨„Ŕ<­Äč@a,şşÂoLŔTŁŻŽdVČ'˙ó Äç +Ş™˜~LdíĎť÷|ä8€†)”yA8?Ô ýÚ QŽ„ŞÖ'ŕËĽK¤íză˙ó"ÄĺřĘ XÂÄp9ž2]-řú’jO`¨ąŠWzÜŔ,ßüqŠ˙B` ÜHpÜÁ¨ĹăÂčÁ˙ó Äé +ˆĘ™˜ĂDpÔZU,)úŕzYłýüÍ÷÷o˙˙č8 ÉĐäő~9eQZź2 +óJ˙ó Äĺ ŞĽ{ÄL”Ś.-ó~Łz~˙ţ#˙ă€'ąęŁC +]ŸčĂŢ-Â7Ş-Z#•˙ó Äç řšĄ¸{ĆLL‰8ĄvŇű˙˙Éú—F@ŮD +Oź\ o; DuWӊ ˙ó Äć +8Ţ ({ rťţ~—˙˙ţ#˙áţ€ă áČŔô<:Xb…„@y˘Ó%u¨Z˙ó"ÄŘ ™B¨{Îqý>‚M˙Á˙řo˙O‘–°Č‡˝xƒ"ar(ĂpŠK÷AdśĘ1Ę˙ó ÄŃŠB¸ bÄsďú˙$+0 ¸ę}pKl´S§‚€ž hŇÎ<‰UłŕœéÓ]_˙ó ÄŐ‰Bźhb„q˙É˙ŕĆ >Ńý9‚Šc<Ţ8r[HáubČóťęřŔÔoý˙ó ÄŮ B°{Nqżü˙ţ4w€Cąp?6@l-)\ßBšC}ĚĎ×č=<˙B˙ű˙ó"ÄÜ IF´8ZĘqú†xŠÉˆ›.%Ńq)Řű~!­‘r˘:ëdƃ[ś­5 +_ß˙ó ÄŢů>´PaÄq˙ß˙ážÇ0ö`=˜›Ç›`űg8IK= ë0@ĎëŽ' +_˜˙1żů˙ó Äáx˘´hzNLż˙, ůI)ÓdJEŹňBOf@`Eg–˜śM–î,ŻśL,Z>˙ó Äć ÁBľbÄq‚żŤňB,˜ěgʈ@Ěó}@úŔF!=b<Ź†ć˘ůk{RÎx*Ë˙ó"Äć +FŹđ{Jqęß/ůČCž:ă%\,SČ-™gPô‰Ě“ôq¸XďŻé˙ä˙ó ÄĺůF˝¸JŠq˙ń€€Ŕ1…aňj řćR!-nžÉTKö­ŸĆełXŐʃŢS3!-?˙ó Äč +IF­˜{Jq&Šßőś×PĐ%Qřq8ßCš|ącThüú€-ÝazőţŠ˙˙ó ÄĺůBŸIÎq{˝‘Ż, Őňh`ůmď„h#„")=˘i9źĹĐ×ač}Ů˙ó"Äč +ÚŠ{JpgŐú@ł@LĄ¤"ă辧ˇ>św-ľ^ŢąK$ĄwӖüĽg˙ó Äç +ÚĄˆ|pB=?¤‚7ĚęŔÜâxˇ3Â<]Ś•źŽőĆ +™/ˆy°Ą^|˙ó ÄĺŮ>ľ˜KJq†ß*˙“€xŔ+†Ä†ËŃč„­žĆaăuNˇż#źŔÖ3äŚ2ćţ˙ó Äč +HŚœđ~L]␟WäŔ€ + |ŽR7#.[ĄuäÔ1őlXěě +—|(mŠ~_˙ó"Äĺ @˘¨P{ĚLR>ߏ˜7KĽ`Č‚ŠœXITĽg-ó‹„íMř?âç˙ó Äč +âącDpý’‚œáăÁ˜đC‚,ŁŹGŽĹ[[^k˺š™Üło]Güţş˙ó Äć °Â¨XcÎLďţ˝F*đŠ_"Rxv¨> qZÖ…eeTÓŞyĄƒ1›Ăńwę˙ó Äć Ŕ˘­˜{ĘLę9Ýĺ_ôF:v'”Ba(  Ąć + žć¨Ů@Áažćůßń˙ó"Äć +â¤Ř{ÄpŤň +tu)︽ĂQŽj@܁9nEM8ŔÁa.˘ËŽ#üw˙ó Äĺđ~ŠXcĚH(ďoÔ\”B-Ć(ÎśVÍŢA1Xťc†yY`Cvˇiĺ~z˙˙ó Äč ŔœcĘNoŤ +n"´ŃJSčÄP‘’˝ŽäYš&ڟăÉ­ÎśŕFžůFý˙ó Äč +B ¸cÄqż›˙ď¤Ŕ|ŠŰMC,Ţ#“cégŚ¤U~‚Ćg…uA0˙ó"Äç +H˘˜{ŇLOĹýŢWň`?VąŚ0+‹ŔîHĽ"hÄěůŠ]Dť°‘¨b!˙ó Äĺ  c +LĄ¤€}Ž–ëł´r +*QM`łD˘ĎżŒŁűÚǀšc‹}ţ˙ó Äç ¸žœ8{ĘLPuý €J!¨ÄbÝˆq +ž惜°óĽžÖ0q˝6,u0T˙ó Äç ř˘œ8{ĚLţ[ü—”ý6ę§\;a†+ÉóĆŠgś•A˙v%3›×Ď  +ŸŁ˙˙ó"Äć ń +œ8{ÄpŠyvý@€(ę“ů.i(|ősstŐN7O%8Ł‘Ť•™¨L&żĐ˙ó Äć ŘœX{ĘL—Ëű"(' …¤ ///ÉfŢ°ćúŒVz Ô÷h ¸˛żŃ˙ž˙ó Äĺ྘({LSÁ/ȀžĹyŽŘÄ0ż0íg@ 71A.zÔ¤|ž÷ş1iß˙ó Äč +0â¨XzpŠżä}Ÿ¤/:A/‘……pŹŠ>ÖębC5dĂŇýó§’gŠz§˙R_ä˙ó"Äć +â ¸{pżŃżýKú:ú‘&´u°|X1Ęe´ˆ„˙ŰňˇőOnĽ +ţżă˙ó Äĺ ć¤zJpşÁ‡|>7đܹґˆ 2ŘuPĹ5BgŞeŮ%)ÂLŸÍýýF•˙ó Äç řœ¸{ÔLżř×1’îLKÁf_҇)FˆCüÔWYءMßÉĘ˙Ăú‡˙ó Äć ˆâœbNr¨—ˇň@*đĘŢ}Ęđ ĽňląšZŒ ˙ş­ńŹůň„UTţ‚˙ó"Äć đ☨zNpż÷˙ő4> (q-V‹c‚‰ŤÂXT#&Sąt^• ĺoŠ˙ó Äć šFœbNqÇńWý,>ԆŃbc™8,rG–-3”QĹ ,Dz煮ߎ˙ó Äć ¸âœzJpyO~@¨!š’T•ŁGœ˛Ač(Kű‹hhŤJ)ĺoÄ˙ó Äć AB yĘqzÖߨ,1dâ+%:¸’Š3śŽŽ 7 +$–ű}Śi[ČÎzŁ~Oó˙ó"Äč +Xâ{ +p‡+˙đNB!^ŹÄÝ 4 +#!‰B3ĐRl"šmŘĽćŃ° +/ů˙ó Äć yBœzJqĘ˙Đp€!8wŕL9‹EhʲҋԎ~Ő ł÷VÁQý˙ó Äç Řâ”zDpô˙ô€]ě4jZ˘@&˛Nmľ P?"† <ć˜G÷@ęN_˙ó Äć °Â”zNL@M˙Ôp5­ŻŞěÚ:Śă„Sݓ؜ 3&dsôíYăÖŚúŤżä˙ó"Äć °ž”8zJLţËţgň`a‰UĘVsš¸ŻQŒ/H­ĽSD”˜Q‰Djnwăţ˙ó Äç šB”zDs[×ů Ě U2wĺBÎUhÍbébb‘úž¤ŮzŞúÔG)ţ„˙m˙ó Äç +!BĽ˜zqÁ‘˙$,ÔáÂwL…#–Ńë8ŸłŕF˙ˇOR_sćťü(?ţ˙ó Äĺ ¤řbDLýýC˙  g)&Ş ŃFŃąJ3Ą” Ł`OÇf089¤@yş™˙ó Äç áBĄ˜`ÄqĞȀ¸•ΖĄ0vÝX +m1‚'őcKŽĽdę˙9˙˙ó"Äć +ÂDpąĐ3ž@ +›2I}‚L•8ččlxfĄ0;zľFúÓTv'Ő?Í˙ó Äĺ ”0{LˇÜ/ä@€Iŕ)× +ΕĺŘw1*k1q2HDŤÔiAv?˝ŸIŰ÷˙o˙ó Äç ů +”8zDpů˙ °ˆúˆ@_HtF7”Hmi #.!¨ÁÚúžT7á`X2Š˙ó Äć ą +˜bJpZŢ#ôťéEžpkIb¸ŒOJaPšH—äét@Ůe¤çĎb…ż'˙ó"Äć Čr˜X{ HÎźŻäŔ€ŻXęĺ[aÚ …i:Z$uŽ9W3Đ˙ó Äć ‘ +œřaÄpxĎŻĺ‰5 +žŹÎlĄ~őBo‹Ńrú<śeA%sűčťIן´ŸŠ˙ó Äć ĄB˜ŘzDqÜĐ/—a™žÁĘ< cŠ,—¸-ô„Z’Ęd‡jeŹÝłŽ#9œçš˙ó"Äć +rŒĂHĎNs˜ƒL¤^vjˆšxP+ŹšA¤€ď-J¸ŮjA½żlŢôĎúˇ˙ó Äć Â˜xbNLŁç~ Ŕ´Ş +•q‚p@…:íélˆ$}Âś˝Öˇ™ëűVŤňţ§ű˙ó Äć Ŕž”ř{LĹ}@7™ :¸3‰ĘG ‰ěÚߎšŚ§ÝPÚĺÚ<żSS•żţO˙ó Äć ČŒ8{ÎLľŸĂżéƒđđŚZY€ź "*/Ź%Ź~ŃŹşIťřčd!ĽÚW)ądţ˙ó"Ä娢˜({NMÚĘ~Ŕ”Š.$‹°sš@ƲyP´ź7/Ô9•Ęb˛Ć~ßA§˙ó Äę +@‚”ĆHyH!ŢĽidÍK°„Â]?1ڀŠ˝@~`eUTBÎ݇›ˇq˙ó Äč +`֘¸{Äpß+ť8hł!2,ú”\Ş–PRŁ ŒSüŸÁo˙ó Äč +0˘™˜{ÎLí)ߍţü +őô›ĺÇ q/“ěb!ĂAˇŮ´úbŃŚ'ůÁŻĽŮ})˙ó"Äć Ȣ”8ĂÎL툾.XŘŢ1hfA2H2äJL˜z°ŞE'WCWŁ­ČP$ +öÂfž;˙ó Äć АP{ÎLš˙ŕ + †Řł@ŔS4ëV ÖežůĺgËi-š ¨qK˙ó Äĺ ⥘zÄpz/ô`Ŕ6Ģ:Ě%áΠRD„ĺ:„é'ő}h‹?ŢeJß˙ó Äç p˘˜X{ÄLčŸzý@ ˆ ĽÓ-ŃF‰&B8& ę d‘Y+Ď̎‰ňS\˙ó"Äč +q>|Dqĺ˙伀€ŔĂ[ˇŒTáŔ@ X–0ŕaiuW+đň)nŔljôO˙ó Äć ¨~”8ĂŇHę[ˀť[Ő4(fi‡B˘.GĄ™Đ)›f˝0I ;žĘ°‰÷ú˙ó Äć ŔžˆĂĐL˙Ä˙XFj|)•ď őŃ7cŠ)śQkoÝëËöŒ{ýI=ę 8'/˙ó Äć  ć P{qňŸÍLŰő(…ŮVAÂ@ď´˙•đ0ŔZ'QS›\ÜÄěź"‘°v,•ÚëǧƒěMäůúŠŔ@ÔoĹ˙ó Äç ¨ć”{p? ˙ń6‰UéL¨ô( +äP?\U“JN#ź2a_ŢŢ"?˙ó"Äç +0â{ÔrűQ-€06Ö_TîœĘ+Ž}¤ˆÉaÔěÝUaÂÜţÍ8|1˙˙ó Äć Đ┨Ăp†}ńž0+"™úiೀż,wçr݉´x‹ŚŒxz´ł,}çí°Ť#ý˙ó ÄĺHž˜ cLü—”řJŹ˝˛W ‘ ™ćŠ|LpYä˛UXk‹źsßč˙ó Äę +ąŒĂÚqýEŸńŔ;úNÍ.Ą'xÁ&´÷‚grŐ E:pŰý?ă˙†g˙ó"Äć Á +”zĘsßd—î +d Ó´ŞDŹ]Š‹ä\zĂüę5ňdNC}ĎßĐoň‡˙ó Äç Ń +{qű˗‰ ÍÄ Ť¤8އŽč¨řŕSM˝|!ŃĂ@Ö4jümő˙˙ó Äć Ŕâ{ +pŒ;ŰÖŔ–Đ+ŠŃR‚ €pmxlů<_ž ;„˝‚¤0˙ó Äć €ć{ÎpđDnĘ,ŕˆ]žz¤°ô– îX‚E$ +CöW6fĚ0iîw˙ó"Äç +Y +ŒÂĘpŁr.k_ˆçš(~ú{°˙Äß˙Çú™Qá[ƒI >TępЗIĎąaŠ˙ó ÄĺŠ +˜yÄpžčׯPÓ?˙r˙ů?ţ? I`=DMăʨ=Ő YҒ)Şu˙ó Äé +iFŒzÄqqđň>ż‚ţo˙ü˙QŔóď~>•Š3žhŘČŮáD›œö‹™M,˙ó Äć xžŒĂLabt1>2zżů_ɀ +L&Ϥ!T łé*€|K#—Ő‚”˙˙ľ˙ó"Äç +8Ú­¸J qęęvßÓ˙â_ţ4 ˜}#JˆPłf§ +K3ŹŽĄBČ˙ő}>˙ó ÄÚ +yF°3Ęq€˙ýüŠÎÔšŒ;ϛ.nŇâ¤Ŕ…—=”ľ&qt +Wç|ŠĹęf˙ó Ä×ŮF´bDq˙”ü °{s +Č +Ž0­:ŚCB…‡ktW3aČż™§3ľ/Ż˙ó ÄÚ B¸hcDq˙Ď˙ę0 ˆŔ:dÂ`˘6P2ă@¸"ÂŹˇL˝{%W ŻPĆůž˙ó ÄÜ ˘´@{ÎLßţß˙ŕ,Ačšl)PóEŽkAh ˆŹ|M™u—î?PwUéŘ3őú˙ó"ÄŢ 1>¸paŠq˙ůżţ8D€LłŃ9FžžŒÜžâŻ­Ś^rĽÇ7özľčô'Í˙˙ó ÄáÉBźpyÄqĺ˙ô<4rŘpŽĆVŞŕ°—ťKϺɂc: +1[uĺU˙íÎ˙ó Ää袸hzNL|ˇä–ÄMŃ* ő•FLJůZfś3]xI(żüç5áEú˙ó Äç éB°pzÄqˇĄüoä‰FŐą–Y˘:ëm‚îŹWŻÚşÎd °DY:%9Gé˙ó"Äć éFš¸JĘqÓůϨ~TĹЌçQpTŮYÇţĽŰĚ}ü˝Ćóa@Űz?Ě˙˙ó Äć qBŹ0{Jq÷˙ňŽŕ ZT✓†ąeuCRjœŒ-?Bń3GíNq'Ő˙ó Äç šB°Xc +q¨7ňż‘‰€y­ÉĽx÷vŰx"’x ĄŚ–*UE;˜˛miç{ô˙ó Äç ˘¤({ŇL˙˙O˙AŔd.UDݍڑa¨6ŔśoŇ#\ŞA_^,Ť'˙ó"Äç +Q +¤P{Ęp˙éý )mˇĆ|v§ +Ą—Oj|Ž$Ł+ů“FĺLł}đë-]żňˆ˙ó Äĺđž¨P{ÎLýN“Źź•—’y+üł +ÇxIźÇŇú•VC_ÓŞ>Œ‹ć_˙ó Äč ůBľJÎq˙ +ý<€Ť\†÷jsŢ\Eœ™Ăŕę[š!E@( J¸úä˙ó Äç +˘ X{L˙oef@Úŕ~Ňń8­;€i‰âNn0@ŕ/—IʍU2䨊5Fˇü˙ó"Äć +BŹX{qÍ˙äţž˘@@@*Š@÷p~ěěU„^”M…Eaˇ?{`‘ś3Śo:‚Ţ˙ó ÄĺؚŹPzPLËţ€B" +ŕ1fĺKą ŃcšdĄ8Ť[ę:yÝ)ůőGKŃżű˙ó Äč +ÚŹxzÄp˙üKÝčV÷Ę_fŞč‘RӃŘčĄ2PŇ/ꍩ˝1íş§}˙ó Äç +BązÄqߞí¨ČěÖńDl§ŕ iŠă!†Ř&SŠJů0ž4{KžA˙´?˙ó"Äĺ P~ązDHç*Ž_ő€Ś}Hn>V=Łôň|wLąňšŹlBŸ~/ű_é˙ó Äç +B¨X{Dqýúô ­ xLîIô[€°EťĚÁŘçˆëüeŹ,Ę$zĺvăŽ!˙ó Äĺ z˛XzFHüżé˘ü+3‚4ŞęŞ€ Ş!şš~ţ~ ű˙˙ó Äç + +­¸zDp§‰Yż&—ŕ_'‚=;Ŏ%Ž^xorƒž¤\b4ćąßĚű´†'WáŮ˙ó Äç ŮB¤XzDqÔ_éa… ĚgłÄi&‰ !\“)TŤ˝jG-˘‚Ś­˝mŻţ˙˙ó Äć Xâ¨XyĘpň~ŻŇÖ uŃ7ÍŽFő"č.ĹŹGśçtČÍ^]őĘ,Ďü/˙W˙ó Äç + B XzÄqéńsž˘`\ŔeŹz‡ $ĐhĚrĚŻ`R~ű¨&t—án.n­Áż˙ó"Äĺ Xâ¤XzÄpüůWúI)˙j,— žÝ9‡l_zXK7Ëđű­˝ş—ˇě!˙ó Äç řâ xzNpQϒ˘`e$r…‰ŔOr6ĎÁžŚ7ĎY~@›ŽťăÜö)n =˙ó Äć Ŕâ¤Pzp°Xď_č0ž^Ů\<ß"Ďň’Ö6!° +5ńݤÝĘmłtn~Ÿ˙ó Äć ¸˛œ0{ĐLßň?˙AŔk m­ ! (—ŽÖQĹiËwŻ¨ęšŹÚŤôń‰ţÁ˙ó"Äć Ů + XzĘpcźˇä?ᗁ:"çq€> ď…\…Ăž˘ĘE¨ŢWÚnÝ‚>˙ó Äć Čâ Xzp¸1žß¨˘ŔU°’$šŮ?> +#PńŔ$eV'ˇ1Ňcď™w÷ćý”˙ó Äĺ âŹxbpoSźTFôŕc`hžΒ˝‰ƒa Kr1=ŐÝŐÔġż9?J†˙ó Äč +@â˜XzĘpŐżö*Ŕačn“JĚ ĽťŠĆĄařˆ.çdů–ë?żßŇ˙ó Äć ŮBĽ˜z +qĄŸőţŁŔľŔi¨ýŹŞeُ˘­*M7)ćfvWńnŻÁ˙˙ó"Äĺ Pâ XzĘpę7§ë)X > 09¤—fŕ+m@zŚŽŘŞŰânĺ‰Ç+PyL-~ž4˙˙ó Äç đć”0zDpŁÖď*HœŔa˝8‰6ÓŹ&Ýä5ßÂps‡ďŮ| %f§Žur/żę=˙ó Äć ¸âœXzpňŁ˙$š˙ĐŐ/폞•ć +ŮŚ„÷M”Ľu~4˙lŕVS˘ţ˙ó Äć B XaĘqč7–=ô75 +łĘx.P=Ťł[6§­|[8‹ËŞeEŮW¨Ně˙ó"Äç +QB˜Xz +q‹4wŕyO#u– ˜j3łÉs 㕞‚!uőd%pjł}ňž˙ó Äĺ â Xy„p˙ '˛Ł@GŚFJć Ľźl#˜ĐŻnD˜É˜mł?)Ő!˙ó Äč +0˛”8{ĘLë~­   +PCŤ5á‰8¤uʅBŤŤV3kĂur(ž˙ÓW˙ň˙ó Äć ¸ş˜X{ĆLfa@÷ŕ{âľ Ćg śmÎĘčKşÎî!íä5îmA“˛†˙ó"Äć Čâ¤xzp˛ŒšÝ§¤Ŕd} Âź†ęËÇ˝ɘČÂâŃ7ŚK†ŐFóŘł¨~Ž˙ó ÄćȖ (ĂÎNďŇíŔn) óĄ0`?˜ş4a”oož)ă.{ŕ˙cŽLö°›Ę¤˙ó Äé +™¤XzÄpáSő䀜ŕcQ˙ně݁jęĚKŢF­¸zUt3Đ=ę[­nq˙ó Äĺ ˛¨xzÎL_%Éć@;vúɔmő˛ ĂĎxîE­g:Ăß 6kÁĚúí¨0˙ó"Äç ˜śœPĂĚN%‹>ĎSţ€;@ÁF +äó"řŸÝęĚë#yź%% +Đě}Ţ&˙ó Äč +PŇ XzÄp€qę]!ł6쏥čsL%ľl. Vl˙Ęy ‰ƒRňŠ=[ţ˙ó Äĺ¸v XĂĚHQú$}`/ŕcfؖ7%0ö5ń˜‚UE ˝L 7ĎPˇý+ńϑ˙ó Äé +z˜X{ŇHÜ1Gö¤iżćéä^–^Q9>us/Aŕăf2)cÎóÚŰvřWń˙ó"Äĺ P~ XĂĘHŇ:ˇý +Őí +Řş9í[fŐ@qÓ˙ )–umbžż„…"˙ó Äç + ˛œxĂÄLâ=+wE đ †ŇĄţ­­4kĂÚ/ž°ĚaúHßqę7Ř ˙ó ÄĺŘ~ x{ĘI3ˆŔü*ˆŠRčĚ.lÇc:#*wĺ)°źĚôuH s3´Ěa@˙ó Äč +˛Œ0ÎLQżÄÓéX(+ËÝV%”fť„é4@˝{х\Îkë⏭Rܲ˙ó"Äć đҜX{Dp˙¨ß—$Ř_ČĘKT𠏠čhĽJɀľÝĘ[íżS +‡¸†kYŃ÷˙ó Äć XŇ xĂJp˙ç<Ş,´‡2™’đŒŸRĽÄRŔyüŮW}¨^ĚËí[ G˙ó Äç +şœx{ĘLöú€Ŕ’`4ޤ‹uٍ(œŞŐ&€ĽÔjh—ßUżvލ˘›ô˙ó ÄĺĐz˜PĂĐHrßő%ňŕŮhh€Ď`+¤B҈Ź`fjĹűT´Őe}ŁŻč˙ó"Äč +@â¤PzJpĺž ˙ +ȧ Ăz̃öv鄸}$˜2˝H—9şŻ[vČűžJ×ôq˙ó Äç řâ 8zÄpŸôú€ Ô U +ŇÍ˝¸RٟBVĐő`TqiuL^››ďö˙ó Äć Đâ¨xzÄp˙Až5Uź;,Ń.é}0䧈ŮĘŐ%ő‰2ž<5h“ks˙†ő~˙ó ÄĺĐâ­yĘp żÜ߀ľ …‘ŠŸ’B'T§B  ˙;ŽzŽ]ˇŢžƒ“˙ó"Äč +™Ľ¸zÎpű…}ˆŘŘűŃp†~;`i +şsC?‹ÍOK˝˙(ˇüH˙ó Äĺ ć¨xaÎp¸AŢ!€&#şb4)K[DŠý×P|yOëVE~⎯ůoú˙ó Äč +QœPzĘp˙űü Üŕ|%FAd3DŐâ ŹŒš{ă8CŹÖ_ŞííÎ2˙ó Äĺ ćœPzÄppOëg– U`6WŒíhBcM9â=’Ĺó"!qۋ˙áÚmx6˙ó"Äç +HćŒ(ĂÄpý_Ä +ěŕyTC”łkR^öCĘbDz¸ÂŁFÇwü¨Íţ˙q˙ó Äĺ ćĽz +pž§Ő”€+ś:€G-/8n4;WE€˜ zďţF1ϧ•Î¤˙ó Äç ŔޘxzĘpN‚ßň`@ňA&¸Š\`ŕ6ƒ­“IžËW°4IŒÎŁ1ٕŢî˙ó Äç čâ”řÂÄp-ű˙&@ úfÚ˝Ý Lją@r+%ą’:ޘ€w?äIĆZ`čżÔ˙ó"Äć řâ˜XzÄp9ţůţž"@„"ÜŰÚX‹ńZĹŠ@9]–óĄ@~‚c×LO)z˙ó Äć ˜ćœxzÄp=a€ŕ>…¨4śx;Z­‡íŔę”ĺôó™oćI`†)/*o2oęŹ˙ó Äć €âœXzĘpĂÉţ @ŇeĐŇ:S‹;=š$œž%Â_-˙î!eiOœ[úe˙ó Äç + â¤řbpu-éú@Á+}Ů$•ť…óż×ŸH(DŠ}Œđ§žÇä Ť”jĺ[n˙ó"Äĺ 8ž¤{LĘÚŮĎXČ´}×捲ŽŠš7ť€˘r˛FǙ&ĆŚ˜rôiß.żęĐ˙ó Äč +aš˜zJqF\~€áG“ĺTŕ=ŌŹcx“x†ą¸qńpŢá“UœLÜö%Ő5˙ó Äĺŕžźř{JLĘk\Ĺ@ÄL lŁ´ă|aÓŹ`+¨tš–Žq-ôI\`§Œ˙ă˙ó Äč ŕ¨ĆL~SéÄú_đ”žGAçŤÉ +ŘĘa‘D.Î;™cÍ@ĺUSy›˙˙ó"Äç ĐžŹ8~L@Ş\ř˜ěűe’D\$łk6ăOČE‰gIfĽšáCÂu呭ü˙Z˙ó Äç ¨’°XĆLš‰fĹý ˜FüíRW8h]ŤÜm Ëŕ|&JTˇš˙řçm˙ó Äç ŕî°XÂDplőĐ?ÔĘIql­Ä—Ŕ˛ă懃PX“wŹ6HżŹMŢź ˙řŰŮ˙ó Äć Đž´x{ÎLSŘsźˆ$Âpuk(Ú¨‹ó8řtŽ22ю9î'Ť?O?đMé˙ó Äĺ žŹP‹ĘLň[EđGů0ů ~W.OPq$ť˛|€´W(:‰+ÖÂĽácŤ˝~˙ó"Äč +pž Ć +L7dţ€dÚź}!ÂÓ,Ȕ8ĆëFa9ŁB%ö˜Üá6Ą˙ó Äć Řî¤ÂÄpiEôÁ˝ŕ}ÇDĚcV•ůV>#[Ůuü‡'RůLŸđwƒ˙ó ÄĺȨ0{ÎN˜‡N#„?ёœ˘A'c *Px=ƌă]ćÇóĄdŇóĄ9E˙ó Äč +(îœĘJr~Sćţ +˜¸Â`ˆiřsŸˇˆhłňÖl7B*ČL& +âN˙ó"Äć + ÂDpŻ/‹bO¤€>ş?:j."˛L‰QÔf=—žľˇW˙]„ŠÍeť˙ó Äĺ ž¨X|JLŐŻ (Op¨ée'D›ŽÁ20P +ɎœîšUŽ"ţö˙ó Äç Čî 8ÁÄp7 őĐBś@~Ő{ěĎPG.Ą'Ź*“Ł­9jŐ8hĺĐy×ţ˙ó Äć €ž¨XĂÄL%ÄŮL€¤ňʁtâ …˛7䰙|Äˇôşüî)"}´ĹE*˙ó"Äç + –”ÎNĘâ—#éAţ~–P˘ETIɋC˛ädŒ—jÔb˘'â7óßLË˙ó Äć °Ž 8Ć +Lč“øŔ2xcAT)€Ę=…˝V@#ű‘ź”Ű +Öa‚)2kuz˙ó Äć 8Ž˜ĆNč“ů € +ÜF×FN‘ŹhŃĽ@ŽŸ Źy~ţ`Ç;?‰ÂőX¤˙ó Äč +8yĘpÁ\Ł €ŘäX—Ě/D b?6t*ÓN&źjăR.˙*˙ó"Äć čž XÄJL¸ł¤>D@ťcĺŒd˜*…ąBH~—F{ĄBr˙|pŸ_šˇ˙ó Äć €Ž˜~Lôů0ů -¸łXd#Še3°84‡÷X „ŽBđăsÉŐ˙ó Äç é XÂqVWĺŹâ@öN€ÂáŔá(Ŕӂ0VĺPĎÜŠLáLŠ0PéZ5Ţ[~˙ó Äć  ŽœX{ĘL‹ő`fçs*އŠź +„ +Mod‰ ʓ…K:Gżń'=~X˙ó"Äć Ȏ˜8ĂÎLsÎźB˙€$|ęw=E(X¨âä 3 ! ě*K)ůEđż÷Żü˙ó Äć pŽ x{ÄL[ý M ~“ę‹5ÉRž…ĹHÁ¤ř)— ˜‚×Ošß FýŤ˙ó Äç  ÖœXzp˙g˙@d˘j0]囉@DÄĚľCdß7ţK&ęvÓ˙ó Äç + –”0ĂŇLűÁżˆ~€čc‹ĆQîa‘$Ő|SŽ˛–Aó°ŒţgMŚśęeáŐÇ}˙ó"Äĺ Ž¨XcĘLĚí żOÄ`Vč੠łŞŔ(PËKG3JQżPc%ĚTgą×é˙ó Äé +ˆĆ¤XzDp_Ťé˜2ÖaV2Ô^E‰œfuú]˜\8Č{‹ŠĽyţ€Pý†˝1*ß˙ó ÄĺŘîŹřyÄpĄoä>$8ŕexSYĐŇÚF;ŕŃQ×i[˙ó Äć Řžœp~Lú>(ŸU‘*aÇ[2ÂăŤ.KˆnG|ÎJ“Đôďř+Q›äŤ˙ó Äĺ Ś¤x{JL˙Ÿ˙†÷C˜ë)JîP¸Ť°’ +2\čđ+Ś4ĺ՜ĘK˙™˙ó Äč +@Ž~JL˝ţx7ôýÜŔvq<ŕŒ.těš öz’őuәÉĹ \ÁëĘ-ü˙ó"Äć  ž˜p{ÄL§Č<˝ĐG"ÂSŚÔIÂöx’HŽIŸßُä:§r˝ÚS“´ź +o˙ó Äç +î”0ÂÄpĺ_áĐŐÂŽFc"ÁÄž6p•Ľ)1Vî¸×&‚óóÇ÷ürŠ˙ó ÄĺŕfœP{Ň( á?̀ŽŔ~:—,ŔĎ!ŠFăŔÇ +OŮýŚłŔ¤ +!Jż•×—˙ó Äč +˜xÂÄq[ůMZÝ ~)âô5QJÝyșĄ{Ô!nOdŽşŮ?đkĺů˙ó"Äç +Xƌ0ÂÄp*˙ĐE˝dŕkcÍ^aŒŔöÍËJˆËš˜ľvNßř˘2_c×˙đ›Ő­˙ó ÄĺˆŽ XcÄLoéúőœ+M ˛Tń†^–Žl-ČŢÔu{1݁¸đܨ˙ó Äé + žœx{ÄLůEżŤé˜NwIŔXĽ’Řab6sBq–G;¨ż&űŁ!H­ éç˙ó Äç +’ x{ĚL•F]očú@ĘĽŕ}wŠW€ĘU +r‰°*A‡đÁś­áCQ1˘Ţ'Ť(˙ó"Äĺ PŽ X{ÎLˇôý€ŻŕzY—@ĽQ°7ô¨0_<Ăbˇ˙,s5C|n˝k˙ó Äç Řî˜XĘÄp;+HÜÁ8Kč)ƒZriI÷’RzłYoă˙D„›P˙˙ó Äć ˆ– X{ĚL&ß8OĚař“ńş;ehu㉡ + śŽ~gžěgCţ)W°Ď”ů˙ó Äć ŔŽÎLużţŞúB¸aGÂńXWŠń#đ`TZ1rb#ٯȀ"E Ď:˙ó"Äć +–8ĆLź˘öĂżŹô( í-¤GŚÔ`$3lŔ)Č /uŐv5ţ¨BÁ<ţ +˙ó Äĺ Ž¤XĂĘLŢ]oĺ>€ ˙ŕ˙_kŔôŻ†;YĤ¤‡,#LâĂČ)őęÔłĚl˙ó Äç ¨ŽœX{ĘLď   çUY”˘`BÇúziU ôjŚ\OAč¸Ď•ý~x6OD˙ó Äç +’Œ0ÎLż˙¤¨!˙ČBYÁţtÖ2–B3ˆy˝Ď*ăx‹ŞÎń•}K˙ó"Äĺ Ž0ĆL{*SŠÁí`@ŸîŘűmjŠĐŞ-ęŃ +ż:ç•ĺáîŃc^O3˙ó Äč +0ŽŒĆLˇC˝ö€x˘q<-˘Ä[U¤őF[8Ŕ[ŚZŚ—‰ţq[_ë˙ó Äć ŕŽŒĆL8ý.ň †î9uí0|‰vŰš7§Ăi—1LĄV‘ˇƒúžýZ˙ó ÄĺŕV x{Ě$6żĎÝ@)týŔˆŔlů:AÄŞ°ňÓ-Ş&#‚a1 +ǤšE˙ó Äč +1˜xÁDpÖŠ>+ň`@/˙Ý2ŘÖHĎÇeŞvxOqŕÍ]đ†úDłŒ˙ó"Äć +Žœx{ĘL˙jĺ nęć†Ţ’ÁŮŔvćGÉć~UpjÝ>5ľ']Ë(şŒń#˙ó Äĺ Ž¤x{ĘLűŽéwˆ@÷ŕ}!,ś\$IUĘB`僇(ąZ#˛nOçctţ­7ěß˙ó Äç Ř֜Xzpřˇď  žóSńÇŠNaB(œ(˘}ŇŕŘ$ęS¨ö‡§˙óéęŇ˙ó Äć ¨Ň xĂDpˇŐ‘őřŻ +üäđk>áŔJd#pˆŕĎ•­Kĺ +÷hQźcőż˙ó"Äć +–˜xÄ +L[éů ÷ŕ~ŸšČóIźPŞîěY]-Ń%䚳JőžđOéţŇ[Ú˙ó ÄĺŔŽ¨x{ÄLż#bu€$ä Lä@…‘œQ5Zœq`/ř'†e^Uźxĺ˙ó Äé +ŽXĂĘL>ćëú@óÓ jŞ>0%tŮkN{˘ě8ŽţĐą×q’Ą3ř˜˙ó Äĺ  \ No newline at end of file Index: console-xess/screen.c =================================================================== --- console-xess/screen.c (nonexistent) +++ console-xess/screen.c (revision 1765) @@ -0,0 +1,87 @@ +#include +#include "screen.h" + +unsigned long fg_color = COLOR_WHITE; +unsigned long bg_color = COLOR_BLACK; +int cx = 0; +int cy = 0; + +extern unsigned char font[256][12]; +static char screen[CHARSY][CHARSX]; + +void put_char_xy (int x, int y, char c) { + int i, j; + screen[y][x] = c; + x *= CHAR_WIDTH; + y *= CHAR_HEIGHT; + for (i = 0; i < CHAR_HEIGHT; i++) { + int t = font[(unsigned char)c][i]; + for (j = 0; j < CHAR_WIDTH; j++) { + if (t & 1) + PUT_PIXEL(x + j, y + i, fg_color); + else + PUT_PIXEL(x + j, y + i, bg_color); + t >>= 1; + } + } +} + +static void scroll() { + int x,y; + for (y = 1; y < CHARSY; y++) + for (x = 0; x < CHARSX; x++) + put_char_xy (x, y-1, screen[y][x]); + for (x = 0; x < CHARSX; x++) + put_char_xy (x, CHARSY-1, ' '); + cy--; +} + +void put_char(char c) { + int t; + switch (c) { + case '\n': + cy++; + cx = 0; + if (cy >= CHARSY) + scroll(); + break; + case '\r': + cx = 0; + break; + case '\t': + for (t = 0; t < 8 - cx & 7; t++) + put_char(' '); + break; + default: + cx++; + if(cx >= CHARSX) put_char('\n'); + put_char_xy(cx, cy, c); + break; + } +} + +void screen_clear () { + int x, y; + for (y = 0; y < CHARSY; y++) + for (x = 0; x < CHARSX; x++) + put_char_xy (x, y, ' '); + cx = cy = 0; +} + +void put_string(char *s) { + while (*s) { + put_char (*s); + s++; + } +} + +void screen_init () { + SET_PALLETE(COLOR_BLACK, 0, 0, 0); + SET_PALLETE(COLOR_WHITE, 255, 255, 255); + + /* Set screen offset */ + *((unsigned long *)SCREEN_BUFFER_REG) = SCREEN_BUFFER; + + /* Turn screen on */ + *((unsigned long *)SCREEN_REG) = 0x00000001; +}
console-xess/screen.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/xess.ld =================================================================== --- console-xess/xess.ld (nonexistent) +++ console-xess/xess.ld (revision 1765) @@ -0,0 +1,31 @@ +MEMORY + { + reset : ORIGIN = 0x00000100, LENGTH = 0x00001f00 + ram : ORIGIN = 0x80000000, LENGTH = 0x00200000 + } + +SECTIONS +{ + .reset : + AT ( 0x00000100 ) + { + *(.reset) + _src_beg = .; + } > reset + .text : + AT ( ADDR (.reset) + SIZEOF (.reset) ) + { + _dst_beg = .; + *(.text) + } > ram + .data : + AT ( ADDR (.reset) + SIZEOF (.reset) + SIZEOF (.text)) + { + *(.data) + _dst_end = .; + } > ram + .bss : + { + *(.bss) + } > reset +}
console-xess/xess.ld Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/tfont.raw =================================================================== --- console-xess/tfont.raw (nonexistent) +++ console-xess/tfont.raw (revision 1765) @@ -0,0 +1 @@ + \ No newline at end of file
console-xess/tfont.raw Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/console-xess.c =================================================================== --- console-xess/console-xess.c (nonexistent) +++ console-xess/console-xess.c (revision 1765) @@ -0,0 +1,32 @@ +#include + +int global = 5; + +int func (unsigned long a, char b) { + global = 2; + a = 3 + a; + b = 4 + b; + return a + b; +} + +int main () { + int local = 7; + int i; + char tmp[50]; + + screen_init (); + screen_clear (); + for (i = 0; i < 10; i++) + put_char (i + '0'); + put_char ('\n'); + put_char ('\n'); + + put_string ("Hello, World!"); + + i = 0; + while (1) { + put_string ("This is OpenRISC running on Xess XSV board ..."); + put_char ('0' + i & 7); + put_char ('\n'); + } +}
console-xess/console-xess.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/screen.h =================================================================== --- console-xess/screen.h (nonexistent) +++ console-xess/screen.h (revision 1765) @@ -0,0 +1,32 @@ +#ifndef SCREEN_H +#define SCREEN_H + +#define RESX 640 +#define RESY 480 +#define CHAR_WIDTH 8 +#define CHAR_HEIGHT 12 +#define COLOR_BLACK 0x00 +#define COLOR_WHITE 0xFF + +#define CHARSX (RESX/CHAR_WIDTH) +#define CHARSY (RESY/CHAR_HEIGHT) + +#define SCREEN_BUFFER (0x80100000) +#define SCREEN_REG (0xc0000000) +#define SCREEN_PALLETE (0x80000400) +#define SCREEN_BUFFER_REG (0xc0000004) +#define PUT_PIXEL(x, y, color) (*(((unsigned char *)SCREEN_BUFFER) + (y) * RESY + (x)) = (color)) +#define SET_PALLETE(i, r, g, b) (*(((unsigned long *)SCREEN_PALLETE) + (i) * 4) = ((r) << 4) | ((g) << 8) | ((b) << 12)) + +void put_char_xy (int x, int y, char c); +void put_char (char c); +void put_string (char *s); +void screen_clear (); +void screen_init (); + +extern unsigned long fg_color; +extern unsigned long bg_color; +extern int cx; +extern int cy; + +#endif
console-xess/screen.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/cprintf.c =================================================================== --- console-xess/cprintf.c (nonexistent) +++ console-xess/cprintf.c (revision 1765) @@ -0,0 +1,858 @@ +/* +FUNCTION +<>, <>, <>---format argument list + +INDEX + vprintf +INDEX + vfprintf +INDEX + vsprintf +INDEX + vsnprintf + +ANSI_SYNOPSIS + #include + #include + int vprintf(const char *<[fmt]>, va_list <[list]>); + int vfprintf(FILE *<[fp]>, const char *<[fmt]>, va_list <[list]>); + int vsprintf(char *<[str]>, const char *<[fmt]>, va_list <[list]>); + int vsnprintf(char *<[str]>, size_t <[size]>, const char *<[fmt]>, va_list <[list]>); + + int _vprintf_r(void *<[reent]>, const char *<[fmt]>, + va_list <[list]>); + int _vfprintf_r(void *<[reent]>, FILE *<[fp]>, const char *<[fmt]>, + va_list <[list]>); + int _vsprintf_r(void *<[reent]>, char *<[str]>, const char *<[fmt]>, + va_list <[list]>); + int _vsnprintf_r(void *<[reent]>, char *<[str]>, size_t <[size]>, const char *<[fmt]>, + va_list <[list]>); + +TRAD_SYNOPSIS + #include + #include + int vprintf( <[fmt]>, <[list]>) + char *<[fmt]>; + va_list <[list]>; + + int vfprintf(<[fp]>, <[fmt]>, <[list]>) + FILE *<[fp]>; + char *<[fmt]>; + va_list <[list]>; + + int vsprintf(<[str]>, <[fmt]>, <[list]>) + char *<[str]>; + char *<[fmt]>; + va_list <[list]>; + + int vsnprintf(<[str]>, <[size]>, <[fmt]>, <[list]>) + char *<[str]>; + size_t <[size]>; + char *<[fmt]>; + va_list <[list]>; + + int _vprintf_r(<[reent]>, <[fmt]>, <[list]>) + char *<[reent]>; + char *<[fmt]>; + va_list <[list]>; + + int _vfprintf_r(<[reent]>, <[fp]>, <[fmt]>, <[list]>) + char *<[reent]>; + FILE *<[fp]>; + char *<[fmt]>; + va_list <[list]>; + + int _vsprintf_r(<[reent]>, <[str]>, <[fmt]>, <[list]>) + char *<[reent]>; + char *<[str]>; + char *<[fmt]>; + va_list <[list]>; + + int _vsnprintf_r(<[reent]>, <[str]>, <[size]>, <[fmt]>, <[list]>) + char *<[reent]>; + char *<[str]>; + size_t <[size]>; + char *<[fmt]>; + va_list <[list]>; + +DESCRIPTION +<>, <>, <> and <> are (respectively) +variants of <>, <>, <> and <>. They differ +only in allowing their caller to pass the variable argument list as a +<> object (initialized by <>) rather than directly +accepting a variable number of arguments. + +RETURNS +The return values are consistent with the corresponding functions: +<> returns the number of bytes in the output string, +save that the concluding <> is not counted. +<> and <> return the number of characters transmitted. +If an error occurs, <> and <> return <>. No +error returns occur for <>. + +PORTABILITY +ANSI C requires all three functions. + +Supporting OS subroutines required: <>, <>, <>, +<>, <>, <>, <>. +*/ + +/*- + * Copyright (c) 1990 The Regents of the University of California. + * All rights reserved. + * + * This code is derived from software contributed to Berkeley by + * Chris Torek. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright + * notice, this list of conditions and the following disclaimer in the + * documentation and/or other materials provided with the distribution. + * 3. All advertising materials mentioning features or use of this software + * must display the following acknowledgement: + * This product includes software developed by the University of + * California, Berkeley and its contributors. + * 4. Neither the name of the University nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE REGENTS AND CONTRIBUTORS ``AS IS'' AND + * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + * ARE DISCLAIMED. IN NO EVENT SHALL THE REGENTS OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS + * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) + * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT + * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY + * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF + * SUCH DAMAGE. + */ + +#define INTEGER_ONLY +#define _HAVE_STDC_ +#define u_long unsigned long +#define u_short unsigned short +#define u_int unsigned int +#define _uquad_t u_long +#define _POINTER_INT int +#define _CONST const +#define NULL 0 + +#if defined(LIBC_SCCS) && !defined(lint) +/*static char *sccsid = "from: @(#)vfprintf.c 5.50 (Berkeley) 12/16/92";*/ +static char *rcsid = "$Id: cprintf.c,v 1.1.1.1 2001-11-04 19:38:07 lampret Exp $"; +#endif /* LIBC_SCCS and not lint */ + +/* + * Actual printf innards. + * + * This code is large and complicated... + */ + +#ifdef INTEGER_ONLY +#define VFPRINTF vfiprintf +#define _VFPRINTF_R _vfiprintf_r +#else +#define VFPRINTF vfprintf +#define _VFPRINTF_R _vfprintf_r +#define FLOATING_POINT +#endif + +#define _NO_LONGLONG +#if defined WANT_PRINTF_LONG_LONG && defined __GNUC__ +# undef _NO_LONGLONG +#endif + +#include + +#ifdef FLOATING_POINT +#include +#include +#include "floatio.h" + +#define BUF (MAXEXP+MAXFRACT+1) /* + decimal point */ +#define DEFPREC 6 + +static char *cvt _PARAMS((struct _reent *, double, int, int, char *, int *, int, int *)); +static int exponent _PARAMS((char *, int, int)); + +#else /* no FLOATING_POINT */ + +#define BUF 40 + +#endif /* FLOATING_POINT */ + +/* + * Macros for converting digits to letters and vice versa + */ +#define to_digit(c) ((c) - '0') +#define is_digit(c) ((unsigned)to_digit(c) <= 9) +#define to_char(n) ((n) + '0') + +/* + * Flags used during conversion. + */ +#define ALT 0x001 /* alternate form */ +#define HEXPREFIX 0x002 /* add 0x or 0X prefix */ +#define LADJUST 0x004 /* left adjustment */ +#define LONGDBL 0x008 /* long double; unimplemented */ +#define LONGINT 0x010 /* long integer */ +#define QUADINT 0x020 /* quad integer */ +#define SHORTINT 0x040 /* short integer */ +#define ZEROPAD 0x080 /* zero (as opposed to blank) pad */ +#define FPT 0x100 /* Floating point number */ + + /* + * Choose PADSIZE to trade efficiency vs. size. If larger printf + * fields occur frequently, increase PADSIZE and make the initialisers + * below longer. + */ +#define PADSIZE 16 /* pad chunk size */ + static _CONST char blanks[PADSIZE] = + {' ',' ',' ',' ',' ',' ',' ',' ',' ',' ',' ',' ',' ',' ',' ',' '}; + static _CONST char zeroes[PADSIZE] = + {'0','0','0','0','0','0','0','0','0','0','0','0','0','0','0','0'}; + +inline void pc (_CONST char c) { +#ifdef OR1K + put_char (c); +#else + printf ("%c", c); +#endif +} + /* + * BEWARE, these `goto error' on error, and PAD uses `n'. + */ +inline void PRINT(_CONST char *ptr, int len) { + int i; + for (i = 0; i < len; i++) + pc(*(ptr++)); +} + +inline void PAD(int howmany, _CONST char *with) { + int n; + if ((n = howmany) > 0) { + while (n > PADSIZE) { + PRINT(with, PADSIZE); + n -= PADSIZE; + } + PRINT(with, n); + } +} + +int cprintf(const char *fmt0, ...) +{ + register char *fmt; /* format string */ + register int ch; /* character from fmt */ + int n, m; /* handy integers (short term usage) */ + register char *cp; /* handy char pointer (short term usage) */ + register struct __siov *iovp;/* for PRINT macro */ + register int flags; /* flags as above */ + int ret; /* return value accumulator */ + int width; /* width from format (%8d), or 0 */ + int prec; /* precision from format (%.3d), or -1 */ + char sign; /* sign prefix (' ', '+', '-', or \0) */ + char wc; + va_list ap; + +#ifdef FLOATING_POINT + char *decimal_point = localeconv()->decimal_point; + char softsign; /* temporary negative sign for floats */ + /* + * Although it is natural to declare this double here, the + * declaration causes gcc to save FP registers even when not + * printing an FP number. This results in surprising use + * of FP registers to print integers or strings on at least the + * PowerPC. A more proper solution would be to move FP printing + * to another file, but this does seem to work. + */ +#if 0 + double _double; /* double precision arguments %[eEfgG] */ +#else + /* double precision arguments %[eEfgG] */ + union { int i; double d; } _double_ = {0}; +#define _double (_double_.d) +#endif + int expt; /* integer value of exponent */ + int expsize; /* character count for expstr */ + int ndig; /* actual number of digits returned by cvt */ + char expstr[7]; /* buffer for exponent string */ +#endif + +#ifndef _NO_LONGLONG +#define quad_t long long +#define u_quad_t unsigned long long +#endif + +#ifndef _NO_LONGLONG + u_quad_t _uquad; /* integer arguments %[diouxX] */ +#else + u_long _uquad; +#endif + enum { OCT, DEC, HEX } base;/* base for [diouxX] conversion */ + int dprec; /* a copy of prec if [diouxX], 0 otherwise */ + int realsz; /* field size expanded by dprec */ + int size; /* size of converted field or string */ + char *xdigs; /* digits for [xX] conversion */ +#define NIOV 8 + +char buf[BUF]; /* space for %c, %[diouxX], %[eEfgG] */ +char ox[2]; /* space for 0x hex-prefix */ +int state = 0; /* mbtowc calls from library must not change state */ + +#define FLUSH() + + /* + * To extend shorts properly, we need both signed and unsigned + * argument extraction methods. + */ +#ifndef _NO_LONGLONG +#define SARG() \ + (flags&QUADINT ? va_arg(ap, quad_t) : \ + flags&LONGINT ? va_arg(ap, long) : \ + flags&SHORTINT ? (long)(short)va_arg(ap, int) : \ + (long)va_arg(ap, int)) +#define UARG() \ + (flags&QUADINT ? va_arg(ap, u_quad_t) : \ + flags&LONGINT ? va_arg(ap, u_long) : \ + flags&SHORTINT ? (u_long)(u_short)va_arg(ap, int) : \ + (u_long)va_arg(ap, u_int)) +#else +#define SARG() \ + (flags&LONGINT ? va_arg(ap, long) : \ + flags&SHORTINT ? (long)(short)va_arg(ap, int) : \ + (long)va_arg(ap, int)) +#define UARG() \ + (flags&LONGINT ? va_arg(ap, u_long) : \ + flags&SHORTINT ? (u_long)(u_short)va_arg(ap, int) : \ + (u_long)va_arg(ap, u_int)) +#endif + + va_start (ap, fmt0); + fmt = (char *)fmt0; + ret = 0; + + /* + * Scan the format for conversions (`%' character). + */ + for (;;) { + + while (*fmt != 0 && *fmt != '%') { + pc (*fmt); + fmt++; + ret++; + } + if (!*fmt) + goto done; + + fmt++; /* Skip % */ + flags = 0; + dprec = 0; + width = 0; + prec = -1; + sign = '\0'; + +rflag: ch = *fmt++; +reswitch: switch (ch) { + case ' ': + /* + * ``If the space and + flags both appear, the space + * flag will be ignored.'' + * -- ANSI X3J11 + */ + if (!sign) + sign = ' '; + goto rflag; + case '#': + flags |= ALT; + goto rflag; + case '*': + /* + * ``A negative field width argument is taken as a + * - flag followed by a positive field width.'' + * -- ANSI X3J11 + * They don't exclude field widths read from args. + */ + if ((width = va_arg(ap, int)) >= 0) + goto rflag; + width = -width; + /* FALLTHROUGH */ + case '-': + flags |= LADJUST; + goto rflag; + case '+': + sign = '+'; + goto rflag; + case '.': + if ((ch = *fmt++) == '*') { + n = va_arg(ap, int); + prec = n < 0 ? -1 : n; + goto rflag; + } + n = 0; + while (is_digit(ch)) { + n = 10 * n + to_digit(ch); + ch = *fmt++; + } + prec = n < 0 ? -1 : n; + goto reswitch; + case '0': + /* + * ``Note that 0 is taken as a flag, not as the + * beginning of a field width.'' + * -- ANSI X3J11 + */ + flags |= ZEROPAD; + goto rflag; + case '1': case '2': case '3': case '4': + case '5': case '6': case '7': case '8': case '9': + n = 0; + do { + n = 10 * n + to_digit(ch); + ch = *fmt++; + } while (is_digit(ch)); + width = n; + goto reswitch; +#ifdef FLOATING_POINT + case 'L': + flags |= LONGDBL; + goto rflag; +#endif + case 'h': + flags |= SHORTINT; + goto rflag; + case 'l': + if (*fmt == 'l') { + fmt++; + flags |= QUADINT; + } else { + flags |= LONGINT; + } + goto rflag; + case 'q': + flags |= QUADINT; + goto rflag; + case 'c': + *(cp = buf) = va_arg(ap, int); + size = 1; + sign = '\0'; + break; + case 'D': + flags |= LONGINT; + /*FALLTHROUGH*/ + case 'd': + case 'i': + _uquad = SARG(); +#ifndef _NO_LONGLONG + if ((quad_t)_uquad < 0) +#else + if ((long) _uquad < 0) +#endif + { + + _uquad = -_uquad; + sign = '-'; + } + base = DEC; + goto number; +#ifdef FLOATING_POINT + case 'e': + case 'E': + case 'f': + case 'g': + case 'G': + if (prec == -1) { + prec = DEFPREC; + } else if ((ch == 'g' || ch == 'G') && prec == 0) { + prec = 1; + } + + if (flags & LONGDBL) { + _double = (double) va_arg(ap, long double); + } else { + _double = va_arg(ap, double); + } + + /* do this before tricky precision changes */ + if (isinf(_double)) { + if (_double < 0) + sign = '-'; + cp = "Inf"; + size = 3; + break; + } + if (isnan(_double)) { + cp = "NaN"; + size = 3; + break; + } + + flags |= FPT; + cp = cvt(data, _double, prec, flags, &softsign, + &expt, ch, &ndig); + if (ch == 'g' || ch == 'G') { + if (expt <= -4 || expt > prec) + ch = (ch == 'g') ? 'e' : 'E'; + else + ch = 'g'; + } + if (ch <= 'e') { /* 'e' or 'E' fmt */ + --expt; + expsize = exponent(expstr, expt, ch); + size = expsize + ndig; + if (ndig > 1 || flags & ALT) + ++size; + } else if (ch == 'f') { /* f fmt */ + if (expt > 0) { + size = expt; + if (prec || flags & ALT) + size += prec + 1; + } else /* "0.X" */ + size = prec + 2; + } else if (expt >= ndig) { /* fixed g fmt */ + size = expt; + if (flags & ALT) + ++size; + } else + size = ndig + (expt > 0 ? + 1 : 2 - expt); + + if (softsign) + sign = '-'; + break; +#endif /* FLOATING_POINT */ + case 'n': +#ifndef _NO_LONGLONG + if (flags & QUADINT) + *va_arg(ap, quad_t *) = ret; + else +#endif + if (flags & LONGINT) + *va_arg(ap, long *) = ret; + else if (flags & SHORTINT) + *va_arg(ap, short *) = ret; + else + *va_arg(ap, int *) = ret; + continue; /* no output */ + case 'O': + flags |= LONGINT; + /*FALLTHROUGH*/ + case 'o': + _uquad = UARG(); + base = OCT; + goto nosign; + case 'p': + /* + * ``The argument shall be a pointer to void. The + * value of the pointer is converted to a sequence + * of printable characters, in an implementation- + * defined manner.'' + * -- ANSI X3J11 + */ + /* NOSTRICT */ + _uquad = (u_long)(unsigned _POINTER_INT)va_arg(ap, void *); + base = HEX; + xdigs = "0123456789abcdef"; + flags |= HEXPREFIX; + ch = 'x'; + goto nosign; + case 's': + if ((cp = va_arg(ap, char *)) == NULL) + cp = "(null)"; + if (prec >= 0) { + /* + * can't use strlen; can only look for the + * NUL in the first `prec' characters, and + * strlen() will go further. + */ + char *p = (char *)memchr(cp, 0, prec); + + if (p != NULL) { + size = p - cp; + if (size > prec) + size = prec; + } else + size = prec; + } else + size = strlen(cp); + sign = '\0'; + break; + case 'U': + flags |= LONGINT; + /*FALLTHROUGH*/ + case 'u': + _uquad = UARG(); + base = DEC; + goto nosign; + case 'X': + xdigs = "0123456789ABCDEF"; + goto hex; + case 'x': + xdigs = "0123456789abcdef"; +hex: _uquad = UARG(); + base = HEX; + /* leading 0x/X only if non-zero */ + if (flags & ALT && _uquad != 0) + flags |= HEXPREFIX; + + /* unsigned conversions */ +nosign: sign = '\0'; + /* + * ``... diouXx conversions ... if a precision is + * specified, the 0 flag will be ignored.'' + * -- ANSI X3J11 + */ +number: if ((dprec = prec) >= 0) + flags &= ~ZEROPAD; + + /* + * ``The result of converting a zero value with an + * explicit precision of zero is no characters.'' + * -- ANSI X3J11 + */ + cp = buf + BUF; + if (_uquad != 0 || prec != 0) { + /* + * Unsigned mod is hard, and unsigned mod + * by a constant is easier than that by + * a variable; hence this switch. + */ + switch (base) { + case OCT: + do { + *--cp = to_char(_uquad & 7); + _uquad >>= 3; + } while (_uquad); + /* handle octal leading 0 */ + if (flags & ALT && *cp != '0') + *--cp = '0'; + break; + + case DEC: + /* many numbers are 1 digit */ + while (_uquad >= 10) { + *--cp = to_char(_uquad % 10); + _uquad /= 10; + } + *--cp = to_char(_uquad); + break; + + case HEX: + do { + *--cp = xdigs[_uquad & 15]; + _uquad >>= 4; + } while (_uquad); + break; + + default: + cp = "bug in vfprintf: bad base"; + size = strlen(cp); + goto skipsize; + } + } + size = buf + BUF - cp; + skipsize: + break; + default: /* "%?" prints ?, unless ? is NUL */ + if (ch == '\0') + goto done; + /* pretend it was %c with argument ch */ + cp = buf; + *cp = ch; + size = 1; + sign = '\0'; + break; + } + + /* + * All reasonable formats wind up here. At this point, `cp' + * points to a string which (if not flags&LADJUST) should be + * padded out to `width' places. If flags&ZEROPAD, it should + * first be prefixed by any sign or other prefix; otherwise, + * it should be blank padded before the prefix is emitted. + * After any left-hand padding and prefixing, emit zeroes + * required by a decimal [diouxX] precision, then print the + * string proper, then emit zeroes required by any leftover + * floating precision; finally, if LADJUST, pad with blanks. + * + * Compute actual size, so we know how much to pad. + * size excludes decimal prec; realsz includes it. + */ + realsz = dprec > size ? dprec : size; + if (sign) + realsz++; + else if (flags & HEXPREFIX) + realsz+= 2; + + /* right-adjusting blank padding */ + if ((flags & (LADJUST|ZEROPAD)) == 0) + PAD(width - realsz, blanks); + + /* prefix */ + if (sign) { + PRINT(&sign, 1); + } else if (flags & HEXPREFIX) { + ox[0] = '0'; + ox[1] = ch; + PRINT(ox, 2); + } + + /* right-adjusting zero padding */ + if ((flags & (LADJUST|ZEROPAD)) == ZEROPAD) + PAD(width - realsz, zeroes); + + /* leading zeroes from decimal precision */ + PAD(dprec - size, zeroes); + + /* the string or number proper */ +#ifdef FLOATING_POINT + if ((flags & FPT) == 0) { + PRINT(cp, size); + } else { /* glue together f_p fragments */ + if (ch >= 'f') { /* 'f' or 'g' */ + if (_double == 0) { + /* kludge for __dtoa irregularity */ + PRINT("0", 1); + if (expt < ndig || (flags & ALT) != 0) { + PRINT(decimal_point, 1); + PAD(ndig - 1, zeroes); + } + } else if (expt <= 0) { + PRINT("0", 1); + PRINT(decimal_point, 1); + PAD(-expt, zeroes); + PRINT(cp, ndig); + } else if (expt >= ndig) { + PRINT(cp, ndig); + PAD(expt - ndig, zeroes); + if (flags & ALT) + PRINT(".", 1); + } else { + PRINT(cp, expt); + cp += expt; + PRINT(".", 1); + PRINT(cp, ndig-expt); + } + } else { /* 'e' or 'E' */ + if (ndig > 1 || flags & ALT) { + ox[0] = *cp++; + ox[1] = '.'; + PRINT(ox, 2); + if (_double || flags & ALT == 0) { + PRINT(cp, ndig-1); + } else /* 0.[0..] */ + /* __dtoa irregularity */ + PAD(ndig - 1, zeroes); + } else /* XeYYY */ + PRINT(cp, 1); + PRINT(expstr, expsize); + } + } +#else + PRINT(cp, size); +#endif + /* left-adjusting padding (always blank) */ + if (flags & LADJUST) + PAD(width - realsz, blanks); + + /* finally, adjust ret */ + ret += width > realsz ? width : realsz; + + FLUSH(); /* copy out the I/O vectors */ + } +done: + va_end (ap); + FLUSH(); +error: + return (ret); + /* NOTREACHED */ +} + +#ifdef FLOATING_POINT + +extern char *_dtoa_r _PARAMS((struct _reent *, double, int, + int, int *, int *, char **)); + +static char * +cvt(data, value, ndigits, flags, sign, decpt, ch, length) + struct _reent *data; + double value; + int ndigits, flags, *decpt, ch, *length; + char *sign; +{ + int mode, dsgn; + char *digits, *bp, *rve; + union double_union tmp; + + if (ch == 'f') { + mode = 3; /* ndigits after the decimal point */ + } else { + /* To obtain ndigits after the decimal point for the 'e' + * and 'E' formats, round to ndigits + 1 significant + * figures. + */ + if (ch == 'e' || ch == 'E') { + ndigits++; + } + mode = 2; /* ndigits significant digits */ + } + + tmp.d = value; + if (word0(tmp) & Sign_bit) { /* this will check for < 0 and -0.0 */ + value = -value; + *sign = '-'; + } else + *sign = '\000'; + digits = _dtoa_r(data, value, mode, ndigits, decpt, &dsgn, &rve); + if ((ch != 'g' && ch != 'G') || flags & ALT) { /* Print trailing zeros */ + bp = digits + ndigits; + if (ch == 'f') { + if (*digits == '0' && value) + *decpt = -ndigits + 1; + bp += *decpt; + } + if (value == 0) /* kludge for __dtoa irregularity */ + rve = bp; + while (rve < bp) + *rve++ = '0'; + } + *length = rve - digits; + return (digits); +} + +static int +exponent(p0, exp, fmtch) + char *p0; + int exp, fmtch; +{ + register char *p, *t; + char expbuf[MAXEXP]; + + p = p0; + *p++ = fmtch; + if (exp < 0) { + exp = -exp; + *p++ = '-'; + } + else + *p++ = '+'; + t = expbuf + MAXEXP; + if (exp > 9) { + do { + *--t = to_char(exp % 10); + } while ((exp /= 10) > 9); + *--t = to_char(exp); + for (; t < expbuf + MAXEXP; *p++ = *t++); + } + else { + *p++ = '0'; + *p++ = to_char(exp); + } + return (p - p0); +} +#endif /* FLOATING_POINT */
console-xess/cprintf.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/font.c =================================================================== --- console-xess/font.c (nonexistent) +++ console-xess/font.c (revision 1765) @@ -0,0 +1,257 @@ +unsigned char font[256][12] = { + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 0, 00h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 1, 01h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 2, 02h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 3, 03h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 4, 04h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 5, 05h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 6, 06h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 7, 07h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 8, 08h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 9, 09h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 10, 0ah */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 11, 0bh */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 12, 0ch */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 13, 0dh */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 14, 0eh */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 15, 0fh */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 16, 10h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 17, 11h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 18, 12h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 19, 13h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 20, 14h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 21, 15h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 22, 16h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 23, 17h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 24, 18h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 25, 19h */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 26, 1ah */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 27, 1bh */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 28, 1ch */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 29, 1dh */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 30, 1eh */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 31, 1fh */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 32, 20h, ' ' */ + {0x00, 0x0c, 0x1e, 0x1e, 0x1e, 0x0c, 0x0c, 0x00, 0x0c, 0x0c, 0x00, 0x00}, /* 33, 21h, '!' */ + {0x00, 0x66, 0x66, 0x66, 0x24, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 34, 22h, '"' */ + {0x00, 0x36, 0x36, 0x7f, 0x36, 0x36, 0x36, 0x7f, 0x36, 0x36, 0x00, 0x00}, /* 35, 23h, '#' */ + {0x0c, 0x0c, 0x3e, 0x03, 0x03, 0x1e, 0x30, 0x30, 0x1f, 0x0c, 0x0c, 0x00}, /* 36, 24h, '$' */ + {0x00, 0x00, 0x00, 0x23, 0x33, 0x18, 0x0c, 0x06, 0x33, 0x31, 0x00, 0x00}, /* 37, 25h, '%' */ + {0x00, 0x0e, 0x1b, 0x1b, 0x0e, 0x5f, 0x7b, 0x33, 0x3b, 0x6e, 0x00, 0x00}, /* 38, 26h, '&' */ + {0x00, 0x0c, 0x0c, 0x0c, 0x06, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 39, 27h, ''' */ + {0x00, 0x30, 0x18, 0x0c, 0x06, 0x06, 0x06, 0x0c, 0x18, 0x30, 0x00, 0x00}, /* 40, 28h, '(' */ + {0x00, 0x06, 0x0c, 0x18, 0x30, 0x30, 0x30, 0x18, 0x0c, 0x06, 0x00, 0x00}, /* 41, 29h, ')' */ + {0x00, 0x00, 0x00, 0x66, 0x3c, 0xff, 0x3c, 0x66, 0x00, 0x00, 0x00, 0x00}, /* 42, 2ah, '*' */ + {0x00, 0x00, 0x00, 0x18, 0x18, 0x7e, 0x18, 0x18, 0x00, 0x00, 0x00, 0x00}, /* 43, 2bh, '+' */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1c, 0x1c, 0x06, 0x00}, /* 44, 2ch, ',' */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x7f, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 45, 2dh, '-' */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1c, 0x1c, 0x00, 0x00}, /* 46, 2eh, '.' */ + {0x00, 0x00, 0x40, 0x60, 0x30, 0x18, 0x0c, 0x06, 0x03, 0x01, 0x00, 0x00}, /* 47, 2fh, '/' */ + {0x00, 0x3e, 0x63, 0x73, 0x7b, 0x6b, 0x6f, 0x67, 0x63, 0x3e, 0x00, 0x00}, /* 48, 30h, '0' */ + {0x00, 0x08, 0x0c, 0x0f, 0x0c, 0x0c, 0x0c, 0x0c, 0x0c, 0x3f, 0x00, 0x00}, /* 49, 31h, '1' */ + {0x00, 0x1e, 0x33, 0x33, 0x30, 0x18, 0x0c, 0x06, 0x33, 0x3f, 0x00, 0x00}, /* 50, 32h, '2' */ + {0x00, 0x1e, 0x33, 0x30, 0x30, 0x1c, 0x30, 0x30, 0x33, 0x1e, 0x00, 0x00}, /* 51, 33h, '3' */ + {0x00, 0x30, 0x38, 0x3c, 0x36, 0x33, 0x7f, 0x30, 0x30, 0x78, 0x00, 0x00}, /* 52, 34h, '4' */ + {0x00, 0x3f, 0x03, 0x03, 0x03, 0x1f, 0x30, 0x30, 0x33, 0x1e, 0x00, 0x00}, /* 53, 35h, '5' */ + {0x00, 0x1c, 0x06, 0x03, 0x03, 0x1f, 0x33, 0x33, 0x33, 0x1e, 0x00, 0x00}, /* 54, 36h, '6' */ + {0x00, 0x7f, 0x63, 0x63, 0x60, 0x30, 0x18, 0x0c, 0x0c, 0x0c, 0x00, 0x00}, /* 55, 37h, '7' */ + {0x00, 0x1e, 0x33, 0x33, 0x37, 0x1e, 0x3b, 0x33, 0x33, 0x1e, 0x00, 0x00}, /* 56, 38h, '8' */ + {0x00, 0x1e, 0x33, 0x33, 0x33, 0x3e, 0x18, 0x18, 0x0c, 0x0e, 0x00, 0x00}, /* 57, 39h, '9' */ + {0x00, 0x00, 0x00, 0x1c, 0x1c, 0x00, 0x00, 0x1c, 0x1c, 0x00, 0x00, 0x00}, /* 58, 3ah, ':' */ + {0x00, 0x00, 0x00, 0x1c, 0x1c, 0x00, 0x00, 0x1c, 0x1c, 0x18, 0x0c, 0x00}, /* 59, 3bh, ';' */ + {0x00, 0x30, 0x18, 0x0c, 0x06, 0x03, 0x06, 0x0c, 0x18, 0x30, 0x00, 0x00}, /* 60, 3ch, '<' */ + {0x00, 0x00, 0x00, 0x00, 0x7e, 0x00, 0x7e, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 61, 3dh, '=' */ + {0x00, 0x06, 0x0c, 0x18, 0x30, 0x60, 0x30, 0x18, 0x0c, 0x06, 0x00, 0x00}, /* 62, 3eh, '>' */ + {0x00, 0x1e, 0x33, 0x30, 0x18, 0x0c, 0x0c, 0x00, 0x0c, 0x0c, 0x00, 0x00}, /* 63, 3fh, '?' */ + {0x00, 0x3e, 0x63, 0x63, 0x7b, 0x7b, 0x7b, 0x03, 0x03, 0x3e, 0x00, 0x00}, /* 64, 40h, '@' */ + {0x00, 0x0c, 0x1e, 0x33, 0x33, 0x33, 0x3f, 0x33, 0x33, 0x33, 0x00, 0x00}, /* 65, 41h, 'A' */ + {0x00, 0x3f, 0x66, 0x66, 0x66, 0x3e, 0x66, 0x66, 0x66, 0x3f, 0x00, 0x00}, /* 66, 42h, 'B' */ + {0x00, 0x3c, 0x66, 0x63, 0x03, 0x03, 0x03, 0x63, 0x66, 0x3c, 0x00, 0x00}, /* 67, 43h, 'C' */ + {0x00, 0x1f, 0x36, 0x66, 0x66, 0x66, 0x66, 0x66, 0x36, 0x1f, 0x00, 0x00}, /* 68, 44h, 'D' */ + {0x00, 0x7f, 0x46, 0x06, 0x26, 0x3e, 0x26, 0x06, 0x46, 0x7f, 0x00, 0x00}, /* 69, 45h, 'E' */ + {0x00, 0x7f, 0x66, 0x46, 0x26, 0x3e, 0x26, 0x06, 0x06, 0x0f, 0x00, 0x00}, /* 70, 46h, 'F' */ + {0x00, 0x3c, 0x66, 0x63, 0x03, 0x03, 0x73, 0x63, 0x66, 0x7c, 0x00, 0x00}, /* 71, 47h, 'G' */ + {0x00, 0x33, 0x33, 0x33, 0x33, 0x3f, 0x33, 0x33, 0x33, 0x33, 0x00, 0x00}, /* 72, 48h, 'H' */ + {0x00, 0x1e, 0x0c, 0x0c, 0x0c, 0x0c, 0x0c, 0x0c, 0x0c, 0x1e, 0x00, 0x00}, /* 73, 49h, 'I' */ + {0x00, 0x78, 0x30, 0x30, 0x30, 0x30, 0x33, 0x33, 0x33, 0x1e, 0x00, 0x00}, /* 74, 4ah, 'J' */ + {0x00, 0x67, 0x66, 0x36, 0x36, 0x1e, 0x36, 0x36, 0x66, 0x67, 0x00, 0x00}, /* 75, 4bh, 'K' */ + {0x00, 0x0f, 0x06, 0x06, 0x06, 0x06, 0x46, 0x66, 0x66, 0x7f, 0x00, 0x00}, /* 76, 4ch, 'L' */ + {0x00, 0x63, 0x77, 0x7f, 0x7f, 0x6b, 0x63, 0x63, 0x63, 0x63, 0x00, 0x00}, /* 77, 4dh, 'M' */ + {0x00, 0x63, 0x63, 0x67, 0x6f, 0x7f, 0x7b, 0x73, 0x63, 0x63, 0x00, 0x00}, /* 78, 4eh, 'N' */ + {0x00, 0x1c, 0x36, 0x63, 0x63, 0x63, 0x63, 0x63, 0x36, 0x1c, 0x00, 0x00}, /* 79, 4fh, 'O' */ + {0x00, 0x3f, 0x66, 0x66, 0x66, 0x3e, 0x06, 0x06, 0x06, 0x0f, 0x00, 0x00}, /* 80, 50h, 'P' */ + {0x00, 0x1c, 0x36, 0x63, 0x63, 0x63, 0x73, 0x7b, 0x3e, 0x30, 0x78, 0x00}, /* 81, 51h, 'Q' */ + {0x00, 0x3f, 0x66, 0x66, 0x66, 0x3e, 0x36, 0x66, 0x66, 0x67, 0x00, 0x00}, /* 82, 52h, 'R' */ + {0x00, 0x1e, 0x33, 0x33, 0x03, 0x0e, 0x18, 0x33, 0x33, 0x1e, 0x00, 0x00}, /* 83, 53h, 'S' */ + {0x00, 0x3f, 0x2d, 0x0c, 0x0c, 0x0c, 0x0c, 0x0c, 0x0c, 0x1e, 0x00, 0x00}, /* 84, 54h, 'T' */ + {0x00, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x1e, 0x00, 0x00}, /* 85, 55h, 'U' */ + {0x00, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x33, 0x1e, 0x0c, 0x00, 0x00}, /* 86, 56h, 'V' */ + {0x00, 0x63, 0x63, 0x63, 0x63, 0x6b, 0x6b, 0x36, 0x36, 0x36, 0x00, 0x00}, /* 87, 57h, 'W' */ + {0x00, 0x33, 0x33, 0x33, 0x1e, 0x0c, 0x1e, 0x33, 0x33, 0x33, 0x00, 0x00}, /* 88, 58h, 'X' */ + {0x00, 0x33, 0x33, 0x33, 0x33, 0x1e, 0x0c, 0x0c, 0x0c, 0x1e, 0x00, 0x00}, /* 89, 59h, 'Y' */ + {0x00, 0x7f, 0x73, 0x19, 0x18, 0x0c, 0x06, 0x46, 0x63, 0x7f, 0x00, 0x00}, /* 90, 5ah, 'Z' */ + {0x00, 0x3c, 0x0c, 0x0c, 0x0c, 0x0c, 0x0c, 0x0c, 0x0c, 0x3c, 0x00, 0x00}, /* 91, 5bh, '[' */ + {0x00, 0x00, 0x01, 0x03, 0x06, 0x0c, 0x18, 0x30, 0x60, 0x40, 0x00, 0x00}, /* 92, 5ch, '\' */ + {0x00, 0x3c, 0x30, 0x30, 0x30, 0x30, 0x30, 0x30, 0x30, 0x3c, 0x00, 0x00}, /* 93, 5dh, ']' */ + {0x08, 0x1c, 0x36, 0x63, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 94, 5eh, '^' */ + {0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0x00}, /* 95, 5fh, '_' */ + {0x0c, 0x0c, 0x18, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 96, 60h, '`' */ + {0x00, 0x00, 0x00, 0x00, 0x1e, 0x30, 0x3e, 0x33, 0x33, 0x6e, 0x00, 0x00}, /* 97, 61h, 'a' */ + {0x00, 0x07, 0x06, 0x06, 0x3e, 0x66, 0x66, 0x66, 0x66, 0x3b, 0x00, 0x00}, /* 98, 62h, 'b' */ + {0x00, 0x00, 0x00, 0x00, 0x1e, 0x33, 0x03, 0x03, 0x33, 0x1e, 0x00, 0x00}, /* 99, 63h, 'c' */ + {0x00, 0x38, 0x30, 0x30, 0x3e, 0x33, 0x33, 0x33, 0x33, 0x6e, 0x00, 0x00}, /* 100, 64h, 'd' */ + {0x00, 0x00, 0x00, 0x00, 0x1e, 0x33, 0x3f, 0x03, 0x33, 0x1e, 0x00, 0x00}, /* 101, 65h, 'e' */ + {0x00, 0x1c, 0x36, 0x06, 0x06, 0x1f, 0x06, 0x06, 0x06, 0x0f, 0x00, 0x00}, /* 102, 66h, 'f' */ + {0x00, 0x00, 0x00, 0x00, 0x6e, 0x33, 0x33, 0x33, 0x3e, 0x30, 0x33, 0x1e}, /* 103, 67h, 'g' */ + {0x00, 0x07, 0x06, 0x06, 0x36, 0x6e, 0x66, 0x66, 0x66, 0x67, 0x00, 0x00}, /* 104, 68h, 'h' */ + {0x00, 0x18, 0x18, 0x00, 0x1e, 0x18, 0x18, 0x18, 0x18, 0x7e, 0x00, 0x00}, /* 105, 69h, 'i' */ + {0x00, 0x30, 0x30, 0x00, 0x3c, 0x30, 0x30, 0x30, 0x30, 0x33, 0x33, 0x1e}, /* 106, 6ah, 'j' */ + {0x00, 0x07, 0x06, 0x06, 0x66, 0x36, 0x1e, 0x36, 0x66, 0x67, 0x00, 0x00}, /* 107, 6bh, 'k' */ + {0x00, 0x1e, 0x18, 0x18, 0x18, 0x18, 0x18, 0x18, 0x18, 0x7e, 0x00, 0x00}, /* 108, 6ch, 'l' */ + {0x00, 0x00, 0x00, 0x00, 0x3f, 0x6b, 0x6b, 0x6b, 0x6b, 0x63, 0x00, 0x00}, /* 109, 6dh, 'm' */ + {0x00, 0x00, 0x00, 0x00, 0x1f, 0x33, 0x33, 0x33, 0x33, 0x33, 0x00, 0x00}, /* 110, 6eh, 'n' */ + {0x00, 0x00, 0x00, 0x00, 0x1e, 0x33, 0x33, 0x33, 0x33, 0x1e, 0x00, 0x00}, /* 111, 6fh, 'o' */ + {0x00, 0x00, 0x00, 0x00, 0x3b, 0x66, 0x66, 0x66, 0x66, 0x3e, 0x06, 0x0f}, /* 112, 70h, 'p' */ + {0x00, 0x00, 0x00, 0x00, 0x6e, 0x33, 0x33, 0x33, 0x33, 0x3e, 0x30, 0x78}, /* 113, 71h, 'q' */ + {0x00, 0x00, 0x00, 0x00, 0x37, 0x76, 0x6e, 0x06, 0x06, 0x0f, 0x00, 0x00}, /* 114, 72h, 'r' */ + {0x00, 0x00, 0x00, 0x00, 0x1e, 0x33, 0x06, 0x18, 0x33, 0x1e, 0x00, 0x00}, /* 115, 73h, 's' */ + {0x00, 0x00, 0x04, 0x06, 0x3f, 0x06, 0x06, 0x06, 0x36, 0x1c, 0x00, 0x00}, /* 116, 74h, 't' */ + {0x00, 0x00, 0x00, 0x00, 0x33, 0x33, 0x33, 0x33, 0x33, 0x6e, 0x00, 0x00}, /* 117, 75h, 'u' */ + {0x00, 0x00, 0x00, 0x00, 0x33, 0x33, 0x33, 0x33, 0x1e, 0x0c, 0x00, 0x00}, /* 118, 76h, 'v' */ + {0x00, 0x00, 0x00, 0x00, 0x63, 0x63, 0x6b, 0x6b, 0x36, 0x36, 0x00, 0x00}, /* 119, 77h, 'w' */ + {0x00, 0x00, 0x00, 0x00, 0x63, 0x36, 0x1c, 0x1c, 0x36, 0x63, 0x00, 0x00}, /* 120, 78h, 'x' */ + {0x00, 0x00, 0x00, 0x00, 0x66, 0x66, 0x66, 0x66, 0x3c, 0x30, 0x18, 0x0f}, /* 121, 79h, 'y' */ + {0x00, 0x00, 0x00, 0x00, 0x3f, 0x31, 0x18, 0x06, 0x23, 0x3f, 0x00, 0x00}, /* 122, 7ah, 'z' */ + {0x00, 0x38, 0x0c, 0x0c, 0x06, 0x03, 0x06, 0x0c, 0x0c, 0x38, 0x00, 0x00}, /* 123, 7bh, '{' */ + {0x00, 0x18, 0x18, 0x18, 0x18, 0x00, 0x18, 0x18, 0x18, 0x18, 0x00, 0x00}, /* 124, 7ch, '|' */ + {0x00, 0x07, 0x0c, 0x0c, 0x18, 0x30, 0x18, 0x0c, 0x0c, 0x07, 0x00, 0x00}, /* 125, 7dh, '}' */ + {0x00, 0xce, 0x5b, 0x73, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00}, /* 126, 7eh, '~' */ + {0x00, 0x00, 0x00, 0x08, 0x1c, 0x36, 0x63, 0x63, 0x7f, 0x00, 0x00, 0x00}, /* 127, 7fh, '' */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 128, 80h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 129, 81h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 130, 82h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 131, 83h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 132, 84h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 133, 85h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 134, 86h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 135, 87h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 136, 88h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 137, 89h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 138, 8ah */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 139, 8bh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 140, 8ch */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 141, 8dh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 142, 8eh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 143, 8fh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 144, 90h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 145, 91h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 146, 92h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 147, 93h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 148, 94h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 149, 95h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 150, 96h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 151, 97h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 152, 98h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 153, 99h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 154, 9ah */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 155, 9bh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 156, 9ch */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 157, 9dh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 158, 9eh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 159, 9fh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 160, a0h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 161, a1h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 162, a2h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 163, a3h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 164, a4h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 165, a5h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 166, a6h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 167, a7h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 168, a8h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 169, a9h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 170, aah */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 171, abh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 172, ach */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 173, adh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 174, aeh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 175, afh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 176, b0h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 177, b1h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 178, b2h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 179, b3h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 180, b4h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 181, b5h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 182, b6h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 183, b7h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 184, b8h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 185, b9h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 186, bah */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 187, bbh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 188, bch */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 189, bdh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 190, beh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 191, bfh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 192, c0h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 193, c1h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 194, c2h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 195, c3h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 196, c4h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 197, c5h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 198, c6h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 199, c7h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 200, c8h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 201, c9h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 202, cah */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 203, cbh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 204, cch */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 205, cdh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 206, ceh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 207, cfh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 208, d0h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 209, d1h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 210, d2h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 211, d3h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 212, d4h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 213, d5h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 214, d6h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 215, d7h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 216, d8h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 217, d9h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 218, dah */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 219, dbh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 220, dch */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 221, ddh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 222, deh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 223, dfh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 224, e0h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 225, e1h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 226, e2h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 227, e3h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 228, e4h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 229, e5h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 230, e6h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 231, e7h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 232, e8h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 233, e9h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 234, eah */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 235, ebh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 236, ech */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 237, edh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 238, eeh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 239, efh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 240, f0h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 241, f1h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 242, f2h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 243, f3h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 244, f4h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 245, f5h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 246, f6h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 247, f7h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 248, f8h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 249, f9h */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 250, fah */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 251, fbh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 252, fch */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 253, fdh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, /* 254, feh */ + 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00};/* 255, ffh */
console-xess/font.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/bin2srec =================================================================== --- console-xess/bin2srec (nonexistent) +++ console-xess/bin2srec (revision 1765) @@ -0,0 +1,24 @@ +ELF`„4¨*4 (44€4€ŔŔôô€ô€€€ÍÍĐЖЖř((—(—   /lib/ld-linux.so.2GNU  + M̃Ľ0܃:€ěƒ"5üƒŹ"n „ŕ„2!,„‘"Y<„™_”†SL„é __gmon_start__libc.so.6printf__cxa_finalizefeof__deregister_frame_infofgetcerrorfopen_IO_stdin_used__libc_start_main__register_frame_infoGLIBC_2.1GLIBC_2.1.3GLIBC_2.0ii +–si  ii +Ź$— ——— ————— — +U‰ĺSPč[Ă^‹ƒ0…Ŕt˙ЍvčOčz‹]üÉĂ˙5ř–˙%ü–˙%—héŕ˙˙˙˙%—héĐ˙˙˙˙%—héŔ˙˙˙˙% —hé°˙˙˙˙%—h é ˙˙˙˙%—h(é˙˙˙˙%—h0é€˙˙˙˙%—h8ép˙˙˙˙% —h@é`˙˙˙1í^‰áƒäřPTRhp†hŒƒQVh@…č‹˙˙˙ôU‹ܖ‰ĺƒě…ŇuI‹ؖ‹…Ŕtt&BŁŘ–˙‹ؖ‹ +…Éuę¸üƒ…Ŕtƒě hŕ–č ˙˙˙ƒÄ¸ŁÜ–‰ě]ÍvU‰ĺƒě‰ě]ÍśU‰ĺ¸ěƒƒě…Ŕtƒěhȗhŕ–čËţ˙˙ƒÄ‰ě]Ѝ´&U‰ĺƒě‰ě]ÍśU‰ĺWVSƒě ƒ}żƒě h˜†čëţ˙˙ƒÄƒěh°†‹E ˙pčĹţ˙˙ƒÄ‰Eđ遉öQWh˛†hˇ†ĆEď˙čƒţ˙˙ƒÄžvƒě ˙uđčţ˙˙‰ĂX1Ŕƒű˙”ŔHZ!ĂShż†čSţ˙˙ƒÄ(]ďNy҉ř(Eď‰řÁč(Eď‰řÁč(Eď€mďƒěśEďPhņčţ˙˙ƒÇƒÄƒě ˙uđčÎý˙˙ƒÄ…Ŕ„k˙˙˙eô[^1Ŕ_]АUĄä–‰ĺSƒěƒř˙ťä–tvź'ƒë˙Ћƒř˙uôX[]ĂU‰ĺƒě‰ě]ÍśU‰ĺSRč[Ăzvčţ˙˙‹]üÉĂno input file specifiedrS214%s%.6lx%.2lx%.2lx +đ–˙˙˙˙˙˙˙˙(—҃âƒňƒ„„"„2„B„R„ Œƒ +p†(,‚l +– ô–HDƒ<ƒţ˙˙oü‚˙˙˙ođ˙˙oâ‚—@d„„d„„,<;€e€€Ž€ć€#€t€Ĺ€đ€€I€r€Œ€§€Č€€$€I€s€œ‚˘ś‚gVÝ‚‰s‚‚˘˘2‚Řk€Ç˘€ –€!­€"€#Ř€%đ€&€1€25€3L€4e€5}€6–€8Ž€9Ç€;ç€=ý€>€?)€@?€AV€Bn€C„€D›€Eą€FÉ€Gŕ€Hů€I€Nt€QŒ€RŹ€SĂ€TŢ€Uú€V€X*€[D€^\€bt€xž€{Ô€~ €‡! €ˆ< €‹W €Œt € €Ź €“Ä €–Ý €™ř €š +€- +€ G +‚ůŒ{ +‚ˆŁ +€˘Ö +€ €#Z €4` €<­ €Cĺ €F +€S” +€ZŇ +€^ď +€Ä€lä€t8€yY€á€†€Œ˘˘2‚ +7€L€8˘`‚s‚b…€F˘˘€J€#‚˘‚-Á`Âs‚˘ł€’€É€Gó€J"€KS€T†€ZÁ€^ú€a2€bj€€Â€¸€/€­˘˘S€5Ć€7Ţ€8ö€9€:˘* "d„„init.c/usr/src/bs/BUILD/glibc-2.1.92/csu/gcc2_compiled.int:t(0,1)=r(0,1);-2147483648;2147483647;char:t(0,2)=r(0,2);0;127;long int:t(0,3)=r(0,3);-2147483648;2147483647;unsigned int:t(0,4)=r(0,4);0000000000000;0037777777777;long unsigned int:t(0,5)=r(0,5);0000000000000;0037777777777;long long int:t(0,6)=@s64;r(0,6);01000000000000000000000;0777777777777777777777;long long unsigned int:t(0,7)=@s64;r(0,7);0000000000000;01777777777777777777777;short int:t(0,8)=@s16;r(0,8);-32768;32767;short unsigned int:t(0,9)=@s16;r(0,9);0;65535;signed char:t(0,10)=@s8;r(0,10);-128;127;unsigned char:t(0,11)=@s8;r(0,11);0;255;float:t(0,12)=r(0,1);4;0;double:t(0,13)=r(0,1);8;0;long double:t(0,14)=r(0,1);12;0;complex int:t(0,15)=s8real:(0,1),0,32;imag:(0,1),32,32;;complex float:t(0,16)=r(0,16);8;0;complex double:t(0,17)=r(0,17);16;0;complex long double:t(0,18)=r(0,18);24;0;__builtin_va_list:t(0,19)=*(0,20)=(0,20)../include/libc-symbols.h../sysdeps/unix/sysv/linux/_G_config.h../sysdeps/unix/sysv/linux/bits/types.h../include/features.h../include/sys/cdefs.h/usr/lib/gcc-lib/i386-redhat-linux/2.96/include/stddef.hsize_t:t(6,1)=(0,4)__u_char:t(3,1)=(0,11)__u_short:t(3,2)=(0,9)__u_int:t(3,3)=(0,4)__u_long:t(3,4)=(0,5)__u_quad_t:t(3,5)=(0,7)__quad_t:t(3,6)=(0,6)__int8_t:t(3,7)=(0,10)__uint8_t:t(3,8)=(0,11)__int16_t:t(3,9)=(0,8)__uint16_t:t(3,10)=(0,9)__int32_t:t(3,11)=(0,1)__uint32_t:t(3,12)=(0,4)__int64_t:t(3,13)=(0,6)__uint64_t:t(3,14)=(0,7)__qaddr_t:t(3,15)=(3,16)=*(3,6)__dev_t:t(3,17)=(3,5)__uid_t:t(3,18)=(3,3)__gid_t:t(3,19)=(3,3)__ino_t:t(3,20)=(3,4)__mode_t:t(3,21)=(3,3)__nlink_t:t(3,22)=(3,3)__off_t:t(3,23)=(0,3)__loff_t:t(3,24)=(3,6)__pid_t:t(3,25)=(0,1)__ssize_t:t(3,26)=(0,1)__rlim_t:t(3,27)=(3,4)__rlim64_t:t(3,28)=(3,5)__id_t:t(3,29)=(3,3)__fsid_t:t(3,30)=(3,31)=s8__val:(3,32)=ar(3,33)=r(3,33);0000000000000;0037777777777;;0;1;(0,1),0,64;;__daddr_t:t(3,34)=(0,1)__caddr_t:t(3,35)=(3,36)=*(0,2)__time_t:t(3,37)=(0,3)__useconds_t:t(3,38)=(0,4)__suseconds_t:t(3,39)=(0,3)__swblk_t:t(3,40)=(0,3)__clock_t:t(3,41)=(0,3)__clockid_t:t(3,42)=(0,1)__timer_t:t(3,43)=(0,1)__fd_mask:t(3,44)=(0,5)__fd_set:t(3,45)=(3,46)=s128fds_bits:(3,47)=ar(3,33);0;31;(3,44),0,1024;;__key_t:t(3,48)=(0,1)__ipc_pid_t:t(3,49)=(0,9)__blksize_t:t(3,50)=(0,3)__blkcnt_t:t(3,51)=(0,3)__blkcnt64_t:t(3,52)=(3,6)__fsblkcnt_t:t(3,53)=(3,4)__fsblkcnt64_t:t(3,54)=(3,5)__fsfilcnt_t:t(3,55)=(3,4)__fsfilcnt64_t:t(3,56)=(3,5)__ino64_t:t(3,57)=(3,5)__off64_t:t(3,58)=(3,24)__t_scalar_t:t(3,59)=(0,3)__t_uscalar_t:t(3,60)=(0,5)__intptr_t:t(3,61)=(0,1)__socklen_t:t(3,62)=(0,4)../linuxthreads/sysdeps/pthread/bits/pthreadtypes.h../sysdeps/unix/sysv/linux/bits/sched.h__sched_param:T(8,1)=s4sched_priority:(0,1),0,32;;_pthread_fastlock:T(7,1)=s8__status:(0,3),0,32;__spinlock:(0,1),32,32;;_pthread_descr:t(7,2)=(7,3)=*(7,4)=xs_pthread_descr_struct:pthread_attr_t:t(7,5)=(7,6)=s36__detachstate:(0,1),0,32;__schedpolicy:(0,1),32,32;__schedparam:(8,1),64,32;__inheritsched:(0,1),96,32;__scope:(0,1),128,32;__guardsize:(6,1),160,32;__stackaddr_set:(0,1),192,32;__stackaddr:(0,19),224,32;__stacksize:(6,1),256,32;;pthread_cond_t:t(7,7)=(7,8)=s12__c_lock:(7,1),0,64;__c_waiting:(7,2),64,32;;pthread_condattr_t:t(7,9)=(7,10)=s4__dummy:(0,1),0,32;;pthread_key_t:t(7,11)=(0,4)pthread_mutex_t:t(7,12)=(7,13)=s24__m_reserved:(0,1),0,32;__m_count:(0,1),32,32;__m_owner:(7,2),64,32;__m_kind:(0,1),96,32;__m_lock:(7,1),128,64;;pthread_mutexattr_t:t(7,14)=(7,15)=s4__mutexkind:(0,1),0,32;;pthread_once_t:t(7,16)=(0,1)_pthread_rwlock_t:T(7,17)=s32__rw_lock:(7,1),0,64;__rw_readers:(0,1),64,32;__rw_writer:(7,2),96,32;__rw_read_waiting:(7,2),128,32;__rw_write_waiting:(7,2),160,32;__rw_kind:(0,1),192,32;__rw_pshared:(0,1),224,32;;pthread_rwlock_t:t(7,18)=(7,17)pthread_rwlockattr_t:t(7,19)=(7,20)=s8__lockkind:(0,1),0,32;__pshared:(0,1),32,32;;pthread_spinlock_t:t(7,21)=(0,1)pthread_barrier_t:t(7,22)=(7,23)=s20__ba_lock:(7,1),0,64;__ba_required:(0,1),64,32;__ba_present:(0,1),96,32;__ba_waiting:(7,2),128,32;;pthread_barrierattr_t:t(7,24)=(7,25)=s4__pshared:(0,1),0,32;;pthread_t:t(7,26)=(0,5)wchar_t:t(9,1)=(0,3)wint_t:t(9,2)=(0,4)../include/wchar.h../wcsmbs/wchar.h__mbstate_t:t(11,1)=(11,2)=s8__count:(0,1),0,32;__value:(11,3)=u4__wch:(9,2),0,32;__wchb:(11,4)=ar(3,33);0;3;(0,2),0,32;;,32,32;;_G_fpos_t:t(2,1)=(2,2)=s12__pos:(3,23),0,32;__state:(11,1),32,64;;_G_fpos64_t:t(2,3)=(2,4)=s16__pos:(3,58),0,64;__state:(11,1),64,64;;../include/gconv.h../iconv/gconv.h :T(13,1)=e__GCONV_OK:0,__GCONV_NOCONV:1,__GCONV_NODB:2,__GCONV_NOMEM:3,__GCONV_EMPTY_INPUT:4,__GCONV_FULL_OUTPUT:5,__GCONV_ILLEGAL_INPUT:6,__GCONV_INCOMPLETE_INPUT:7,__GCONV_ILLEGAL_DESCRIPTOR:8,__GCONV_INTERNAL_ERROR:9,; :T(13,2)=e__GCONV_IS_LAST:1,__GCONV_IGNORE_ERRORS:2,;__gconv_fct:t(13,3)=(13,4)=*(13,5)=f(0,1)__gconv_init_fct:t(13,6)=(13,7)=*(13,8)=f(0,1)__gconv_end_fct:t(13,9)=(13,10)=*(13,11)=f(0,20)__gconv_trans_fct:t(13,12)=(13,13)=*(13,14)=f(0,1)__gconv_trans_context_fct:t(13,15)=(13,16)=*(13,17)=f(0,1)__gconv_trans_query_fct:t(13,18)=(13,19)=*(13,20)=f(0,1)__gconv_trans_init_fct:t(13,21)=(13,22)=*(13,23)=f(0,1)__gconv_trans_end_fct:t(13,24)=(13,25)=*(13,26)=f(0,20)__gconv_trans_data:T(13,27)=s20__trans_fct:(13,12),0,32;__trans_context_fct:(13,15),32,32;__trans_end_fct:(13,24),64,32;__data:(0,19),96,32;__next:(13,28)=*(13,27),128,32;;__gconv_step:T(13,29)=s56__shlib_handle:(13,30)=*(13,31)=xs__gconv_loaded_object:,0,32;__modname:(13,32)=*(0,2),32,32;__counter:(0,1),64,32;__from_name:(13,32),96,32;__to_name:(13,32),128,32;__fct:(13,3),160,32;__init_fct:(13,6),192,32;__end_fct:(13,9),224,32;__min_needed_from:(0,1),256,32;__max_needed_from:(0,1),288,32;__min_needed_to:(0,1),320,32;__max_needed_to:(0,1),352,32;__stateful:(0,1),384,32;__data:(0,19),416,32;;__gconv_step_data:T(13,33)=s36__outbuf:(13,34)=*(0,11),0,32;__outbufend:(13,34),32,32;__flags:(0,1),64,32;__invocation_counter:(0,1),96,32;__internal_use:(0,1),128,32;__statep:(13,35)=*(11,1),160,32;__state:(11,1),192,64;__trans:(13,28),256,32;;__gconv_info:T(13,36)=s8__nsteps:(6,1),0,32;__steps:(13,37)=*(13,29),32,32;__data:(13,38)=ar(3,33);0;-1;(13,33),64,0;;__gconv_t:t(13,39)=(13,40)=*(13,36)_G_iconv_t:t(2,5)=(2,6)=u44__cd:(13,36),0,64;__combined:(2,7)=s44__cd:(13,36),0,64;__data:(13,33),64,288;;,0,352;;_G_int16_t:t(2,8)=(0,8)_G_int32_t:t(2,9)=(0,1)_G_uint16_t:t(2,10)=(0,9)_G_uint32_t:t(2,11)=(0,4)_IO_stdin_used:G(0,1)GCC: (GNU) 2.96 20000731 (experimental)GCC: (GNU) 2.96 20000731 (experimental)GCC: (GNU) 2.96 20000731 (Red Hat Linux 7.1 2.96-81)GCC: (GNU) 2.96 20000731 (Red Hat Linux 7.1 2.96-81)GCC: (GNU) 2.96 20000731 (Red Hat Linux 7.1 2.96-81)GCC: (GNU) 2.96 20000731 (experimental)01.0101.0101.0101.0101.0101.01.symtab.strtab.shstrtab.interp.note.ABI-tag.hash.dynsym.dynstr.gnu.version.gnu.version_r.rel.got.rel.plt.init.plt.text.fini.rodata.data.eh_frame.ctors.dtors.got.dynamic.sbss.bss.stab.stabstr.comment.noteô€ô# 1((D7 llŔ?,‚,śG˙˙˙oâ‚âTţ˙˙oü‚ü@c <ƒ<l DƒDH uŒƒŒ/{źƒź €`„`†p†pŒ†=”ЖĐšŕ–ŕ¤ä–äŤě–ě˛ô–ô4ˇ(—( ŔȗČĆȗČËČ  Ńč@Ú((ăE)x˝)éX/ : x4oô€(l,‚â‚ü‚<ƒDƒ Œƒ +źƒ `„ p† +†Жŕ–ä–ě–ô–(—ȗȗń˙ „„ ń˙"ń˙ „ -ؖ1ě–?ܖK„ aŕ–tđ„ ȗˆ… ”0… Ÿŕ–­ä–"ń˙ 0† ť0† Ńč–”`† Ÿŕ–Ţđ–ëŕ–ń˙ p† ůń˙ @… ̃Ľ܃:%(—.ěƒ"OVԖcŒƒ +iüƒŹ"Œ`„ “ȗń˙Ÿ@…ă ¤ „ŕÁЖ Ě„2Ţp† +ä,„‘"ȗń˙ô–ŕ—ń˙"<„™3”†BЖOL„é` initfini.cgcc2_compiled.init.ccrtstuff.cp.0__DTOR_LIST__completed.1__do_global_dtors_aux__EH_FRAME_BEGIN__fini_dummyobject.2frame_dummyinit_dummyforce_to_data__CTOR_LIST____do_global_ctors_aux__CTOR_END____DTOR_END____FRAME_END__bin2srec.cfgetc@@GLIBC_2.0feof@@GLIBC_2.0_DYNAMIC__register_frame_info@@GLIBC_2.0_fp_hw__dso_handle_init__deregister_frame_info@@GLIBC_2.0_start__bss_startmain__libc_start_main@@GLIBC_2.0data_startprintf@@GLIBC_2.0_fini__cxa_finalize@@GLIBC_2.1.3_edata_GLOBAL_OFFSET_TABLE__endfopen@@GLIBC_2.1_IO_stdin_used__data_starterror@@GLIBC_2.0__gmon_start__ \ No newline at end of file
console-xess/bin2srec Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/except.S =================================================================== --- console-xess/except.S (nonexistent) +++ console-xess/except.S (revision 1765) @@ -0,0 +1,161 @@ + .section .reset + .extern _reset_support + .extern _src_beg + .extern _dst_beg + .extern _dst_end + .extern _c_reset + +_reset: + l.nop + l.nop + l.movhi r0, 0x0 + l.slli r0,r0,16 + l.addi r1,r0,0x0 + l.addi r2,r0,0x0 + l.addi r3,r0,0x0 + l.addi r4,r0,0x0 + l.addi r5,r0,0x0 + l.addi r6,r0,0x0 + l.addi r7,r0,0x0 + l.addi r8,r0,0x0 + l.addi r9,r0,0x1234 + l.addi r10,r0,0x0 + l.addi r11,r0,0x0 + l.addi r12,r0,0x0 + l.addi r13,r0,0x0 + l.addi r14,r0,0x0 + l.addi r15,r0,0x0 + l.addi r16,r0,0x0 + l.addi r17,r0,0x0 + l.addi r18,r0,0x0 + l.addi r19,r0,0x0 + l.addi r20,r0,0x0 + l.addi r21,r0,0x0 + l.addi r22,r0,0x0 + l.addi r23,r0,0x0 + l.addi r24,r0,0x0 + l.addi r25,r0,0x0 + l.addi r26,r0,0x0 + l.addi r27,r0,0x0 + l.addi r28,r0,0x0 + l.addi r29,r0,0x0 + l.addi r30,r0,0x0 + l.addi r31,r0,0x0 + + /* Copy form flash to sram */ + + l.movhi r3,hi(_src_beg) + l.ori r3,r3,lo(_src_beg) + l.movhi r4,hi(_dst_beg) + l.ori r4,r4,lo(_dst_beg) + l.movhi r5,hi(_dst_end) + l.ori r5,r5,lo(_dst_end) + l.sub r5,r5,r4 + l.sfeqi r5,0 + l.bf 2f + l.nop +1: l.lwz r6,0(r3) + l.sw 0(r4),r6 + l.addi r3,r3,4 + l.addi r4,r4,4 + l.addi r5,r5,-4 + l.sfgtsi r5,0 + l.bf 1b + l.nop + +2: + + /* Verify sram data */ +/* l.movhi r3,hi(_src_beg) + l.ori r3,r3,lo(_src_beg) + l.addi r3,r3,4 + l.movhi r4,hi(_dst_beg) + l.ori r4,r4,lo(_dst_beg) + l.addi r4,r4,4 + l.movhi r5,hi(_dst_end) + l.ori r5,r5,lo(_dst_end) + l.sub r5,r5,r4 + l.sfeqi r5,0 + l.bf 2f + l.nop +1: l.lwz r6,0(r3) + l.lwz r7,0(r4) + l.sfeq r6,r7 + l.bnf img_err + l.nop + l.addi r3,r3,4 + l.addi r4,r4,4 + l.addi r5,r5,-4 + l.sfgtsi r5,0 + l.bf 1b + l.nop +2: +*/ + l.movhi r1,hi(0x80020000) + l.addi r1,r1,lo(0x80020000) + l.addi r1,r1,-4 + + l.movhi r2,hi(_reset_support) + l.ori r2,r2,lo(_reset_support) + l.jr r2 + l.addi r2,r0,0 + +img_err: + l.movhi r15,hi(0x80000000) + l.addi r15,r15,lo(0x80000000) + + l.addi r8,r6,0 + l.addi r9,r7,0 + l.addi r10,r3,0 + l.addi r11,r4,0 + + l.sw 0(r15),r8 + + l.srli r8,r8,8 + l.sw 0(r15),r8 + + l.srli r8,r8,8 + l.sw 0(r15),r8 + + l.srli r8,r8,8 + l.sw 0(r15),r8 + + l.sw 0(r15),r10 + + l.srli r10,r10,8 + l.sw 0(r15),r10 + + l.srli r10,r10,8 + l.sw 0(r15),r10 + + l.srli r10,r10,8 + l.sw 0(r15),r10 + + + l.sw 0(r15),r9 + + l.srli r9,r9,8 + l.sw 0(r15),r9 + + l.srli r9,r9,8 + l.sw 0(r15),r9 + + l.srli r9,r9,8 + l.sw 0(r15),r9 + + l.sw 0(r15),r11 + + l.srli r11,r11,8 + l.sw 0(r15),r11 + + l.srli r11,r11,8 + l.sw 0(r15),r11 + + l.srli r11,r11,8 + l.sw 0(r15),r11 + + l.addi r8,r0,0xee + l.sw 0(r15),r8 + + l.j img_err + l.nop
console-xess/except.S Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/spr_defs.h =================================================================== --- console-xess/spr_defs.h (nonexistent) +++ console-xess/spr_defs.h (revision 1765) @@ -0,0 +1,393 @@ +/* spr_defs.h -- Defines OR1K architecture specific special-purpose registers + Copyright (C) 1999 Damjan Lampret, lampret@opencores.org + +This file is part of OpenRISC 1000 Architectural Simulator. + +This program is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program; if not, write to the Free Software +Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. */ + +/* This file is also used by microkernel test bench. Among +others it is also used in assembly file(s). */ + +/* Definition of special-purpose registers (SPRs) */ + +#define MAX_GRPS (32) +#define MAX_SPRS_PER_GRP_BITS (11) +#define MAX_SPRS_PER_GRP (1 << MAX_SPRS_PER_GRP_BITS) +#define MAX_SPRS (0x10000) + +/* Base addresses for the groups */ +#define SPRGROUP_SYS (0<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DMMU (1<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IMMU (2<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_DC (3<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_IC (4<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_MAC (5<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_D (6<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PC (7<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PM (8<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_PIC (9<< MAX_SPRS_PER_GRP_BITS) +#define SPRGROUP_TT (10<< MAX_SPRS_PER_GRP_BITS) + +/* System control and status group */ +#define SPR_VR (SPRGROUP_SYS + 0) +#define SPR_UPR (SPRGROUP_SYS + 1) +#define SPR_PC (SPRGROUP_SYS + 16) /* CZ 21/06/01 */ +#define SPR_SR (SPRGROUP_SYS + 17) /* CZ 21/06/01 */ +#define SPR_EPCR_BASE (SPRGROUP_SYS + 32) /* CZ 21/06/01 */ +#define SPR_EPCR_LAST (SPRGROUP_SYS + 47) /* CZ 21/06/01 */ +#define SPR_EEAR_BASE (SPRGROUP_SYS + 48) +#define SPR_EEAR_LAST (SPRGROUP_SYS + 63) +#define SPR_ESR_BASE (SPRGROUP_SYS + 64) +#define SPR_ESR_LAST (SPRGROUP_SYS + 79) + +/* Data MMU group */ +#define SPR_DMMUCR (SPRGROUP_DMMU + 0) +#define SPR_DTLBMR_BASE(WAY) (SPRGROUP_DMMU + 0x200 + (WAY) * 0x200) +#define SPR_DTLBMR_LAST(WAY) (SPRGROUP_DMMU + 0x2ff + (WAY) * 0x200) +#define SPR_DTLBTR_BASE(WAY) (SPRGROUP_DMMU + 0x300 + (WAY) * 0x200) +#define SPR_DTLBTR_LAST(WAY) (SPRGROUP_DMMU + 0x3ff + (WAY) * 0x200) + +/* Instruction MMU group */ +#define SPR_IMMUCR (SPRGROUP_IMMU + 0) +#define SPR_ITLBMR_BASE(WAY) (SPRGROUP_IMMU + 0x200 + (WAY) * 0x200) +#define SPR_ITLBMR_LAST(WAY) (SPRGROUP_IMMU + 0x2ff + (WAY) * 0x200) +#define SPR_ITLBTR_BASE(WAY) (SPRGROUP_IMMU + 0x300 + (WAY) * 0x200) +#define SPR_ITLBTR_LAST(WAY) (SPRGROUP_IMMU + 0x3ff + (WAY) * 0x200) + +/* Data cache group */ +#define SPR_DCCR (SPRGROUP_DC + 0) +#define SPR_DCBPR (SPRGROUP_DC + 1) +#define SPR_DCBFR (SPRGROUP_DC + 2) +#define SPR_DCBIR (SPRGROUP_DC + 3) +#define SPR_DCBWR (SPRGROUP_DC + 4) +#define SPR_DCBLR (SPRGROUP_DC + 5) +#define SPR_DCR_BASE(WAY) (SPRGROUP_DC + 0x200 + (WAY) * 0x200) +#define SPR_DCR_LAST(WAY) (SPRGROUP_DC + 0x3ff + (WAY) * 0x200) + +/* Instruction cache group */ +#define SPR_ICCR (SPRGROUP_IC + 0) +#define SPR_ICBPR (SPRGROUP_IC + 1) +#define SPR_ICBIR (SPRGROUP_IC + 2) +#define SPR_ICBLR (SPRGROUP_IC + 3) +#define SPR_ICR_BASE(WAY) (SPRGROUP_IC + 0x200 + (WAY) * 0x200) +#define SPR_ICR_LAST(WAY) (SPRGROUP_IC + 0x3ff + (WAY) * 0x200) + +/* MAC group */ +#define SPR_MACLO (SPRGROUP_MAC + 1) +#define SPR_MACHI (SPRGROUP_MAC + 2) + +/* Debug group */ +#define SPR_DVR(N) (SPRGROUP_D + (N)) +#define SPR_DCR(N) (SPRGROUP_D + 8 + (N)) +#define SPR_DMR1 (SPRGROUP_D + 16) +#define SPR_DMR2 (SPRGROUP_D + 17) +#define SPR_DWCR0 (SPRGROUP_D + 18) +#define SPR_DWCR1 (SPRGROUP_D + 19) +#define SPR_DSR (SPRGROUP_D + 20) +#define SPR_DRR (SPRGROUP_D + 21) +#define SPR_DIR (SPRGROUP_D + 22) + +/* Performance counters group */ +#define SPR_PCCR(N) (SPRGROUP_PC + (N)) +#define SPR_PCMR(N) (SPRGROUP_PC + 8 + (N)) + +/* Power management group */ +#define SPR_PMR (SPRGROUP_PM + 0) + +/* PIC group */ +#define SPR_PICMR (SPRGROUP_PIC + 0) +#define SPR_PICPR (SPRGROUP_PIC + 1) +#define SPR_PICSR (SPRGROUP_PIC + 2) + +/* Tick Timer group */ +#define SPR_TTMR (SPRGROUP_TT + 0) +#define SPR_TTCR (SPRGROUP_TT + 1) + +/* + * Bit definitions for the Version Register + * + */ +#define SPR_VR_VER 0xffff0000 /* Processor version */ +#define SPR_VR_REV 0x0000003f /* Processor revision */ + +/* + * Bit definitions for the Unit Present Register + * + */ +#define SPR_UPR_UP 0x00000001 /* UPR present */ +#define SPR_UPR_DCP 0x00000002 /* Data cache present */ +#define SPR_UPR_ICP 0x00000004 /* Instruction cache present */ +#define SPR_UPR_DMP 0x00000008 /* Data MMU present */ +#define SPR_UPR_IMP 0x00000010 /* Instruction MMU present */ +#define SPR_UPR_OB32P 0x00000020 /* ORBIS32 present */ +#define SPR_UPR_OB64P 0x00000040 /* ORBIS64 present */ +#define SPR_UPR_OF32P 0x00000080 /* ORFPX32 present */ +#define SPR_UPR_OF64P 0x00000100 /* ORFPX64 present */ +#define SPR_UPR_OV32P 0x00000200 /* ORVDX32 present */ +#define SPR_UPR_OV64P 0x00000400 /* ORVDX64 present */ +#define SPR_UPR_DUP 0x00000800 /* Debug unit present */ +#define SPR_UPR_PCUP 0x00001000 /* Performance counters unit present */ +#define SPR_UPR_PMP 0x00002000 /* Power management present */ +#define SPR_UPR_PICP 0x00004000 /* PIC present */ +#define SPR_UPR_TTP 0x00008000 /* Tick timer present */ +#define SPR_UPR_SRP 0x00010000 /* Shadow registers present */ +#define SPR_UPR_RES 0x00fe0000 /* ORVDX32 present */ +#define SPR_UPR_CUST 0xff000000 /* Custom units */ + +/* + * Bit definitions for the Supervision Register + * + */ +#define SPR_SR_CID 0xf0000000 /* Context ID */ +#define SPR_SR_PXR 0x00008000 /* Partial exception recognition */ +#define SPR_SR_EP 0x00004000 /* Exception Prefix */ +#define SPR_SR_DSX 0x00002000 /* Delay Slot Exception */ +#define SPR_SR_OVE 0x00001000 /* Overflow flag Exception */ +#define SPR_SR_OV 0x00000800 /* Overflow flag */ +#define SPR_SR_CY 0x00000400 /* Carry flag */ +#define SPR_SR_F 0x00000200 /* Condition Flag */ +#define SPR_SR_CE 0x00000100 /* CID Enable */ +#define SPR_SR_LEE 0x00000080 /* Little Endian Enable */ +#define SPR_SR_IME 0x00000040 /* Instruction MMU Enable */ +#define SPR_SR_DME 0x00000020 /* Data MMU Enable */ +#define SPR_SR_ICE 0x00000010 /* Instruction Cache Enable */ +#define SPR_SR_DCE 0x00000008 /* Data Cache Enable */ +#define SPR_SR_EIR 0x00000004 /* External Interrupt Recognition */ +#define SPR_SR_EXR 0x00000002 /* Exception Recognition */ +#define SPR_SR_SUPV 0x00000001 /* Supervisor mode */ + +/* + * Bit definitions for the Data MMU Control Register + * + */ +#define SPR_DMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_DMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_DMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_DMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Instruction MMU Control Register + * + */ +#define SPR_IMMUCR_P2S 0x0000003e /* Level 2 Page Size */ +#define SPR_IMMUCR_P1S 0x000007c0 /* Level 1 Page Size */ +#define SPR_IMMUCR_VADDR_WIDTH 0x0000f800 /* Virtual ADDR Width */ +#define SPR_IMMUCR_PADDR_WIDTH 0x000f0000 /* Physical ADDR Width */ + +/* + * Bit definitions for the Data TLB Match Register + * + */ +#define SPR_DTLBMR_V 0x00000001 /* Valid */ +#define SPR_DTLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_DTLBMR_CID 0x0000003c /* Context ID */ +#define SPR_DTLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_DTLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Data TLB Translate Register + * + */ +#define SPR_DTLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_DTLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_DTLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_DTLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_DTLBTR_A 0x00000010 /* Accessed */ +#define SPR_DTLBTR_D 0x00000020 /* Dirty */ +#define SPR_DTLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_DTLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_DTLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_DTLBTR_SWE 0x00000200 /* Supervisor Write Enable */ +#define SPR_DTLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for the Instruction TLB Match Register + * + */ +#define SPR_ITLBMR_V 0x00000001 /* Valid */ +#define SPR_ITLBMR_PL1 0x00000002 /* Page Level 1 (if 0 then PL2) */ +#define SPR_ITLBMR_CID 0x0000003c /* Context ID */ +#define SPR_ITLBMR_LRU 0x000000c0 /* Least Recently Used */ +#define SPR_ITLBMR_VPN 0xfffff000 /* Virtual Page Number */ + +/* + * Bit definitions for the Instruction TLB Translate Register + * + */ +#define SPR_ITLBTR_CC 0x00000001 /* Cache Coherency */ +#define SPR_ITLBTR_CI 0x00000002 /* Cache Inhibit */ +#define SPR_ITLBTR_WBC 0x00000004 /* Write-Back Cache */ +#define SPR_ITLBTR_WOM 0x00000008 /* Weakly-Ordered Memory */ +#define SPR_ITLBTR_A 0x00000010 /* Accessed */ +#define SPR_ITLBTR_D 0x00000020 /* Dirty */ +#define SPR_ITLBTR_URE 0x00000040 /* User Read Enable */ +#define SPR_ITLBTR_UWE 0x00000080 /* User Write Enable */ +#define SPR_ITLBTR_SRE 0x00000100 /* Supervisor Read Enable */ +#define SPR_ITLBTR_SWE 0x00000200 /* Supervisor Write Enable (not used actually) */ +#define SPR_ITLBTR_PPN 0xfffff000 /* Physical Page Number */ + +/* + * Bit definitions for Data Cache Control register + * + */ +#define SPR_DCCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Insn Cache Control register + * + */ +#define SPR_ICCR_EW 0x000000ff /* Enable ways */ + +/* + * Bit definitions for Debug Control registers + * + */ +#define SPR_DCR_DP 0x00000001 /* DVR/DCR present */ +#define SPR_DCR_CC 0x0000000e /* Compare condition */ +#define SPR_DCR_SC 0x00000010 /* Signed compare */ +#define SPR_DCR_CT 0x000000e0 /* Compare to */ + +/* + * Bit definitions for Debug Mode 1 register + * + */ +#define SPR_DMR1_CW0 0x00000003 /* Chain watchpoint 0 */ +#define SPR_DMR1_CW1 0x0000000c /* Chain watchpoint 1 */ +#define SPR_DMR1_CW2 0x00000030 /* Chain watchpoint 2 */ +#define SPR_DMR1_CW3 0x000000c0 /* Chain watchpoint 3 */ +#define SPR_DMR1_CW4 0x00000300 /* Chain watchpoint 4 */ +#define SPR_DMR1_CW5 0x00000c00 /* Chain watchpoint 5 */ +#define SPR_DMR1_CW6 0x00003000 /* Chain watchpoint 6 */ +#define SPR_DMR1_CW7 0x0000c000 /* Chain watchpoint 7 */ +#define SPR_DMR1_CW8 0x00030000 /* Chain watchpoint 8 */ +#define SPR_DMR1_CW9 0x000c0000 /* Chain watchpoint 9 */ +#define SPR_DMR1_CW10 0x00300000 /* Chain watchpoint 10 */ +#define SPR_DMR1_ST 0x00400000 /* Single-step trace*/ +#define SPR_DMR1_BT 0x00800000 /* Branch trace */ +#define SPR_DMR1_DXFW 0x01000000 /* Disable external force watchpoint */ + +/* + * Bit definitions for Debug Mode 2 register + * + */ +#define SPR_DMR2_WCE0 0x00000001 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_WCE1 0x00000002 /* Watchpoint counter 0 enable */ +#define SPR_DMR2_AWTC 0x00001ffc /* Assign watchpoints to counters */ +#define SPR_DMR2_WGB 0x00ffe000 /* Watchpoints generating breakpoint */ + +/* + * Bit definitions for Debug watchpoint counter registers + * + */ +#define SPR_DWCR_COUNT 0x0000ffff /* Count */ +#define SPR_DWCR_MATCH 0xffff0000 /* Match */ + +/* + * Bit definitions for Debug stop register + * + */ +#define SPR_DSR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DSR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DSR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DSR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DSR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DSR_AE 0x00000020 /* Alignment exception */ +#define SPR_DSR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DSR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DSR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DSR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DSR_RE 0x00000400 /* Range exception */ +#define SPR_DSR_SCE 0x00000800 /* System call exception */ +#define SPR_DSR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Debug reason register + * + */ +#define SPR_DRR_RSTE 0x00000001 /* Reset exception */ +#define SPR_DRR_BUSEE 0x00000002 /* Bus error exception */ +#define SPR_DRR_DPFE 0x00000004 /* Data Page Fault exception */ +#define SPR_DRR_IPFE 0x00000008 /* Insn Page Fault exception */ +#define SPR_DRR_LPINTE 0x00000010 /* Low priority interrupt exception */ +#define SPR_DRR_AE 0x00000020 /* Alignment exception */ +#define SPR_DRR_IIE 0x00000040 /* Illegal Instruction exception */ +#define SPR_DRR_HPINTE 0x00000080 /* High priority interrupt exception */ +#define SPR_DRR_DME 0x00000100 /* DTLB miss exception */ +#define SPR_DRR_IME 0x00000200 /* ITLB miss exception */ +#define SPR_DRR_RE 0x00000400 /* Range exception */ +#define SPR_DRR_SCE 0x00000800 /* System call exception */ +#define SPR_DRR_BE 0x00001000 /* Breakpoint exception */ + +/* + * Bit definitions for Performance counters mode registers + * + */ +#define SPR_PCMR_CP 0x00000001 /* Counter present */ +#define SPR_PCMR_UMRA 0x00000002 /* User mode read access */ +#define SPR_PCMR_CISM 0x00000004 /* Count in supervisor mode */ +#define SPR_PCMR_CIUM 0x00000008 /* Count in user mode */ +#define SPR_PCMR_LA 0x00000010 /* Load access event */ +#define SPR_PCMR_SA 0x00000020 /* Store access event */ +#define SPR_PCMR_IF 0x00000040 /* Instruction fetch event*/ +#define SPR_PCMR_DCM 0x00000080 /* Data cache miss event */ +#define SPR_PCMR_ICM 0x00000100 /* Insn cache miss event */ +#define SPR_PCMR_IFS 0x00000200 /* Insn fetch stall event */ +#define SPR_PCMR_LSUS 0x00000400 /* LSU stall event */ +#define SPR_PCMR_BS 0x00000800 /* Branch stall event */ +#define SPR_PCMR_DTLBM 0x00001000 /* DTLB miss event */ +#define SPR_PCMR_ITLBM 0x00002000 /* ITLB miss event */ +#define SPR_PCMR_DDS 0x00004000 /* Data dependency stall event */ +#define SPR_PCMR_WPE 0x03ff8000 /* Watchpoint events */ + +/* + * Bit definitions for the Power management register + * + */ +#define SPR_PMR_SDF 0x00000001 /* Slow down factor */ +#define SPR_PMR_DME 0x00000002 /* Doze mode enable */ +#define SPR_PMR_SME 0x00000004 /* Sleep mode enable */ +#define SPR_PMR_DCGE 0x00000008 /* Dynamic clock gating enable */ +#define SPR_PMR_SUME 0x00000010 /* Suspend mode enable */ + +/* + * Bit definitions for PICMR + * + */ +#define SPR_PICMR_IUM 0xfffffffc /* Interrupt unmask */ + +/* + * Bit definitions for PICPR + * + */ +#define SPR_PICPR_IPRIO 0xfffffffc /* Interrupt priority */ + +/* + * Bit definitions for PICSR + * + */ +#define SPR_PICSR_IS 0xffffffff /* Interrupt status */ + +/* + * Bit definitions for Tick Timer Control Register + * + */ +#define SPR_TTCR_PERIOD 0x0fffffff /* Time Period */ +#define SPR_TTMR_PERIOD SPR_TTCR_PERIOD +#define SPR_TTMR_IP 0x10000000 /* Interrupt Pending */ +#define SPR_TTMR_IE 0x20000000 /* Interrupt Enable */ +#define SPR_TTMR_RT 0x40000000 /* Restart tick */ +#define SPR_TTMR_SR 0x80000000 /* Single run */ +#define SPR_TTMR_CR 0xc0000000 /* Continuous run */ +#define SPR_TTMR_M 0xc0000000 /* Tick mode */
console-xess/spr_defs.h Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/support.c =================================================================== --- console-xess/support.c (nonexistent) +++ console-xess/support.c (revision 1765) @@ -0,0 +1,55 @@ +/* Support */ + +#include + +#if OR1K + +void __dummy() {} /* to fix RTL simulator bug */ +void reset_support() +{ + main(); + exit(0); +} + +void printf(const char *fmt, ...) +{ + va_list args; + va_start(args, fmt); + asm("l.addi\tr3,%0,0": :"r" (fmt)); + asm("l.addi\tr4,%0,0": :"r" (args)); + asm("l.sys 202"); +} + +void exit(int x) +{ + asm("l.sys 203"); +} + +void report(unsigned long value) +{ + unsigned long spr = 0x1234; + asm("l.mtspr\t\t%0,%1,0x0" : : "r" (spr), "r" (value)); + return; +} + +void __main() +{ +} + +void bcopy(const void *srcvoid, void * dstvoid, int length) +{ + char *dst = dstvoid; + const char *src = srcvoid; + + while (length--) + *dst++ = *src++; +} + +#else +void report(unsigned long value) +{ + unsigned long spr = 0x1234; + printf("l.mtspr %x,%x\n", spr, value); + return; +} +#endif
console-xess/support.c Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: console-xess/Makefile =================================================================== --- console-xess/Makefile (nonexistent) +++ console-xess/Makefile (revision 1765) @@ -0,0 +1,30 @@ +CCFLAGS = -O2 -g -nostdlib + +all: console-xess + +console-xess: screen.o font.o except.o support.o console-xess.o + or32-rtems-ld -g -Txess.ld except.o support.o screen.o font.o console-xess.o -o console-xess.or32 + or32-rtems-objcopy -O binary console-xess.or32 console-xess.bin + ./bin2srec console-xess.bin > console-xess.srec + +console-xess.o: console-xess.c + or32-rtems-gcc $(CCFLAGS) console-xess.c -c -DOR1K + +font.o: font.c + or32-rtems-gcc $(CCFLAGS) font.c -c -DOR1K + +screen.o: screen.c screen.h + or32-rtems-gcc $(CCFLAGS) screen.c -c -DOR1K + +except.o: except.S + or32-rtems-as except.S -o except.o + + +support.o: support.c spr_defs.h + or32-rtems-gcc $(CCFLAGS) support.c -c -DOR1K + +cprintf.o: cprintf.c + or32-rtems-gcc $(CCFLAGS) cprintf.c -c -DOR1K + +clean: + rm -f *.o console-xess console-xess.or32 *.bin *.srec
console-xess/Makefile Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: run_sim =================================================================== --- run_sim (nonexistent) +++ run_sim (revision 1765) @@ -0,0 +1,4 @@ +#!/bin/sh +PROG=mad-xess +echo 'dhb 0x0 0x20000 > ../sim/src/flash.in' | or32-rtems-sim -i -fast $PROG/$PROG.or32 +echo 'run 4000000 hush' | or32-rtems-sim -i -fast $PROG/$PROG.or32
run_sim Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.