OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /or1k_soc_on_altera_embedded_dev_kit/trunk
    from Rev 16 to Rev 17
    Reverse comparison

Rev 16 → Rev 17

/soc/rtl/mmc_sd/RTL/spiMaster_defines.v
13,8 → 13,8
// final SPI interface Flipflops being pushed into the IO blocks.
 
`define SPI_MASTER_VERSION_NUM 8'h12
`define SPI_SYS_CLK_48MHZ
//`define SPI_SYS_CLK_30MHZ
//`define SPI_SYS_CLK_48MHZ
`define SPI_SYS_CLK_30MHZ
//`define SPI_SYS_CLK_25MHZ
 
`define SIM_COMPILE
/soc/rtl/or1k_soc_top.v
913,7 → 913,7
.ack_o (wb_sd_card_ack_o),
 
// SPI logic clock
.spiSysClk (spiSysClk),
.spiSysClk (clk_cpu_25),//(spiSysClk),
 
//SPI bus
.spiClkOut (sd_card_clk_pad_o),
/soc/sw/sd_boot_loader/main.c
102,6 → 102,7
/******************************************************************************/
/* F O R s p i M A S T E R */
/******************************************************************************/
 
//Initialize
int spiMaster_init()
{
108,7 → 109,7
uint8 data;
int i;
 
REG8(SD_BASE_ADD + SD_TX_FIFO_CONTROL_REG) = 0x10;
REG8(SD_BASE_ADD + SD_CLK_DEL_REG) = 0x1;
 
for (i = 0; i < 5; i++) {
REG8(SD_BASE_ADD + SD_TRANS_TYPE_REG) = SD_INIT_SD;
122,8 → 123,9
 
data = REG8(SD_BASE_ADD + SD_TRANS_ERROR_REG) & 0x3;
 
if (data == 0)
return data;
if (data == 0) {
return 0;
}
}
return data;
}
278,7 → 280,7
 
print("\n\r");
 
print("SD Card Bootloader, v0.1\n\r");
print("SD Card Bootloader, v0.2\n\r");
print("Xianfeng Zeng, 2009 SA\n\r");
print("Xianfeng@opencores.org\n\r");
print("http://www.opencores.org/project,or1k_soc_on_altera_embedded_dev_kit\n\r");
297,6 → 299,9
 
 
print("Init SD Card:");
REG8(SD_BASE_ADD + SD_TRANS_CTRL_REG) = 0x1; /* reset spiMaster */
do_sleep();
REG8(SD_BASE_ADD + SD_TRANS_CTRL_REG) = 0x0;
if (spiMaster_init() == 0) {
print("Passed!\n\r");
} else {
/soc/prj/altera/bootrom/boot.mif
1,5 → 1,5
WIDTH=8;
DEPTH=6310;
DEPTH=6350;
ADDRESS_RADIX = HEX;
DATA_RADIX = HEX;
 
3807,11 → 3807,11
ed8 : 9c;
ed9 : a0;
eda : 00;
edb : 10;
edb : 01;
edc : a8;
edd : 83;
ede : 00;
edf : 24;
edf : 0b;
ee0 : 9d;
ee1 : 80;
ee2 : 00;
4003,7 → 4003,7
f9c : a8;
f9d : 63;
f9e : 15;
f9f : 4c;
f9f : 74;
fa0 : 07;
fa1 : ff;
fa2 : ff;
4019,7 → 4019,7
fac : a8;
fad : 63;
fae : 15;
faf : 75;
faf : 9d;
fb0 : 07;
fb1 : ff;
fb2 : ff;
4319,7 → 4319,7
10d8 : a8;
10d9 : 63;
10da : 15;
10db : 7d;
10db : a5;
10dc : 9d;
10dd : c0;
10de : 00;
4363,7 → 4363,7
1104 : a8;
1105 : 63;
1106 : 15;
1107 : 7d;
1107 : a5;
1108 : 07;
1109 : ff;
110a : ff;
4387,7 → 4387,7
111c : a8;
111d : 63;
111e : 15;
111f : 80;
111f : a8;
1120 : 07;
1121 : ff;
1122 : ff;
4555,7 → 4555,7
11c4 : a8;
11c5 : 63;
11c6 : 15;
11c7 : 92;
11c7 : ba;
11c8 : 07;
11c9 : ff;
11ca : fe;
4639,7 → 4639,7
1218 : a8;
1219 : 63;
121a : 15;
121b : bc;
121b : e4;
121c : 07;
121d : ff;
121e : fe;
4670,8 → 4670,8
1237 : 00;
1238 : a8;
1239 : 63;
123a : 15;
123b : e6;
123a : 16;
123b : 0e;
123c : 07;
123d : ff;
123e : fe;
4703,7 → 4703,7
1258 : a8;
1259 : 63;
125a : 16;
125b : 10;
125b : 38;
125c : 07;
125d : ff;
125e : fe;
4735,7 → 4735,7
1278 : a8;
1279 : 63;
127a : 16;
127b : 3a;
127b : 62;
127c : 07;
127d : ff;
127e : fe;
4803,7 → 4803,7
12bc : a8;
12bd : 63;
12be : 16;
12bf : 64;
12bf : 8c;
12c0 : 07;
12c1 : ff;
12c2 : fe;
4871,7 → 4871,7
1300 : 9c;
1301 : 21;
1302 : ff;
1303 : e8;
1303 : e4;
1304 : d4;
1305 : 01;
1306 : 10;
4879,7 → 4879,7
1308 : 9c;
1309 : 41;
130a : 00;
130b : 18;
130b : 1c;
130c : d4;
130d : 01;
130e : 48;
4888,1177 → 4888,1177
1311 : 01;
1312 : 50;
1313 : 08;
1314 : 18;
1315 : 60;
1316 : 40;
1317 : 00;
1318 : a8;
1319 : a3;
131a : 00;
131b : 0c;
1314 : d4;
1315 : 01;
1316 : 60;
1317 : 0c;
1318 : 18;
1319 : 60;
131a : 40;
131b : 00;
131c : a8;
131d : 83;
131d : a3;
131e : 00;
131f : 08;
1320 : 9c;
1321 : 60;
131f : 0c;
1320 : a8;
1321 : 83;
1322 : 00;
1323 : ff;
1324 : d4;
1325 : 04;
1326 : 18;
1327 : 00;
1328 : 9c;
1329 : 80;
132a : 00;
1323 : 08;
1324 : 9c;
1325 : 60;
1326 : 00;
1327 : ff;
1328 : d4;
1329 : 04;
132a : 18;
132b : 00;
132c : 18;
132d : 60;
132e : f0;
132c : 9c;
132d : 80;
132e : 00;
132f : 00;
1330 : a8;
1331 : 63;
1332 : 16;
1333 : 82;
1334 : d4;
1335 : 05;
1336 : 20;
1337 : 00;
1338 : 07;
1339 : ff;
133a : fe;
133b : 84;
133c : 15;
133d : 00;
133e : 00;
133f : 00;
1340 : 18;
1341 : 60;
1342 : f0;
1330 : 18;
1331 : 60;
1332 : f0;
1333 : 00;
1334 : a8;
1335 : 63;
1336 : 16;
1337 : aa;
1338 : d4;
1339 : 05;
133a : 20;
133b : 00;
133c : 07;
133d : ff;
133e : fe;
133f : 83;
1340 : 15;
1341 : 00;
1342 : 00;
1343 : 00;
1344 : a8;
1345 : 63;
1346 : 16;
1347 : 87;
1348 : 07;
1349 : ff;
134a : fe;
134b : 80;
134c : 15;
134d : 00;
134e : 00;
134f : 00;
1350 : 07;
1351 : ff;
1352 : fe;
1353 : cf;
1354 : 9c;
1355 : 60;
1356 : ff;
1357 : ff;
1358 : 18;
1344 : 18;
1345 : 60;
1346 : f0;
1347 : 00;
1348 : a8;
1349 : 63;
134a : 16;
134b : af;
134c : 07;
134d : ff;
134e : fe;
134f : 7f;
1350 : 15;
1351 : 00;
1352 : 00;
1353 : 00;
1354 : 07;
1355 : ff;
1356 : fe;
1357 : ce;
1358 : 9c;
1359 : 60;
135a : f0;
135b : 00;
135c : a8;
135d : 63;
135e : 15;
135f : 7d;
1360 : 07;
1361 : ff;
1362 : fe;
1363 : 7a;
1364 : 15;
1365 : 00;
1366 : 00;
1367 : 00;
1368 : 18;
1369 : 60;
136a : f0;
135a : ff;
135b : ff;
135c : 18;
135d : 60;
135e : f0;
135f : 00;
1360 : a8;
1361 : 63;
1362 : 15;
1363 : a5;
1364 : 07;
1365 : ff;
1366 : fe;
1367 : 79;
1368 : 15;
1369 : 00;
136a : 00;
136b : 00;
136c : a8;
136d : 63;
136e : 16;
136f : a0;
1370 : 07;
1371 : ff;
1372 : fe;
1373 : 76;
1374 : 15;
1375 : 00;
1376 : 00;
1377 : 00;
1378 : 18;
1379 : 60;
137a : f0;
136c : 18;
136d : 60;
136e : f0;
136f : 00;
1370 : a8;
1371 : 63;
1372 : 16;
1373 : c8;
1374 : 07;
1375 : ff;
1376 : fe;
1377 : 75;
1378 : 15;
1379 : 00;
137a : 00;
137b : 00;
137c : a8;
137d : 63;
137e : 16;
137f : bb;
1380 : 07;
1381 : ff;
1382 : fe;
1383 : 72;
1384 : 15;
1385 : 00;
1386 : 00;
1387 : 00;
1388 : 18;
1389 : 60;
138a : f0;
137c : 18;
137d : 60;
137e : f0;
137f : 00;
1380 : a8;
1381 : 63;
1382 : 16;
1383 : e3;
1384 : 07;
1385 : ff;
1386 : fe;
1387 : 71;
1388 : 15;
1389 : 00;
138a : 00;
138b : 00;
138c : a8;
138d : 63;
138e : 16;
138f : d4;
1390 : 07;
1391 : ff;
1392 : fe;
1393 : 6e;
1394 : 15;
1395 : 00;
1396 : 00;
1397 : 00;
1398 : 18;
1399 : 60;
139a : f0;
138c : 18;
138d : 60;
138e : f0;
138f : 00;
1390 : a8;
1391 : 63;
1392 : 16;
1393 : fc;
1394 : 07;
1395 : ff;
1396 : fe;
1397 : 6d;
1398 : 15;
1399 : 00;
139a : 00;
139b : 00;
139c : a8;
139d : 63;
139e : 16;
139f : ed;
13a0 : 07;
13a1 : ff;
13a2 : fe;
13a3 : 6a;
13a4 : 15;
13a5 : 00;
13a6 : 00;
13a7 : 00;
13a8 : 18;
13a9 : 60;
13aa : f0;
139c : 18;
139d : 60;
139e : f0;
139f : 00;
13a0 : a8;
13a1 : 63;
13a2 : 17;
13a3 : 15;
13a4 : 07;
13a5 : ff;
13a6 : fe;
13a7 : 69;
13a8 : 15;
13a9 : 00;
13aa : 00;
13ab : 00;
13ac : a8;
13ad : 63;
13ae : 15;
13af : 7d;
13b0 : 07;
13b1 : ff;
13b2 : fe;
13b3 : 66;
13b4 : 15;
13b5 : 00;
13b6 : 00;
13b7 : 00;
13b8 : 18;
13b9 : 60;
13ba : f0;
13ac : 18;
13ad : 60;
13ae : f0;
13af : 00;
13b0 : a8;
13b1 : 63;
13b2 : 15;
13b3 : a5;
13b4 : 07;
13b5 : ff;
13b6 : fe;
13b7 : 65;
13b8 : 15;
13b9 : 00;
13ba : 00;
13bb : 00;
13bc : a8;
13bd : 63;
13be : 17;
13bf : 34;
13c0 : 07;
13c1 : ff;
13c2 : fe;
13c3 : 62;
13c4 : 15;
13c5 : 00;
13c6 : 00;
13c7 : 00;
13c8 : 18;
13c9 : 60;
13ca : f0;
13bc : 18;
13bd : 60;
13be : f0;
13bf : 00;
13c0 : a8;
13c1 : 63;
13c2 : 17;
13c3 : 5c;
13c4 : 07;
13c5 : ff;
13c6 : fe;
13c7 : 61;
13c8 : 15;
13c9 : 00;
13ca : 00;
13cb : 00;
13cc : a8;
13cd : 63;
13ce : 17;
13cf : 4b;
13d0 : 07;
13d1 : ff;
13d2 : fe;
13d3 : 5e;
13d4 : 15;
13d5 : 00;
13d6 : 00;
13d7 : 00;
13d8 : 18;
13d9 : 60;
13da : f0;
13cc : 18;
13cd : 60;
13ce : f0;
13cf : 00;
13d0 : a8;
13d1 : 63;
13d2 : 17;
13d3 : 73;
13d4 : 07;
13d5 : ff;
13d6 : fe;
13d7 : 5d;
13d8 : 15;
13d9 : 00;
13da : 00;
13db : 00;
13dc : a8;
13dd : 63;
13de : 17;
13df : 77;
13e0 : 07;
13e1 : ff;
13e2 : fe;
13e3 : 5a;
13e4 : 15;
13e5 : 00;
13e6 : 00;
13e7 : 00;
13e8 : 18;
13e9 : 60;
13ea : f0;
13dc : 18;
13dd : 60;
13de : f0;
13df : 00;
13e0 : a8;
13e1 : 63;
13e2 : 17;
13e3 : 9f;
13e4 : 07;
13e5 : ff;
13e6 : fe;
13e7 : 59;
13e8 : 15;
13e9 : 00;
13ea : 00;
13eb : 00;
13ec : a8;
13ed : 63;
13ee : 17;
13ef : a3;
13f0 : 07;
13f1 : ff;
13f2 : fe;
13f3 : 56;
13f4 : 15;
13f5 : 00;
13f6 : 00;
13f7 : 00;
13f8 : 18;
13f9 : 60;
13fa : f0;
13ec : 18;
13ed : 60;
13ee : f0;
13ef : 00;
13f0 : a8;
13f1 : 63;
13f2 : 17;
13f3 : cb;
13f4 : 07;
13f5 : ff;
13f6 : fe;
13f7 : 55;
13f8 : 15;
13f9 : 00;
13fa : 00;
13fb : 00;
13fc : a8;
13fd : 63;
13fe : 17;
13ff : cf;
1400 : 07;
1401 : ff;
1402 : fe;
1403 : 52;
1404 : 15;
1405 : 00;
1406 : 00;
1407 : 00;
1408 : 18;
1409 : 60;
140a : f0;
13fc : 18;
13fd : 60;
13fe : f0;
13ff : 00;
1400 : a8;
1401 : 63;
1402 : 17;
1403 : f7;
1404 : 07;
1405 : ff;
1406 : fe;
1407 : 51;
1408 : 15;
1409 : 00;
140a : 00;
140b : 00;
140c : a8;
140d : 63;
140e : 17;
140f : fb;
1410 : 07;
1411 : ff;
1412 : fe;
1413 : 4e;
1414 : 15;
1415 : 00;
1416 : 00;
1417 : 00;
1418 : 18;
1419 : 60;
141a : f0;
140c : 18;
140d : 60;
140e : f0;
140f : 00;
1410 : a8;
1411 : 63;
1412 : 18;
1413 : 23;
1414 : 07;
1415 : ff;
1416 : fe;
1417 : 4d;
1418 : 15;
1419 : 00;
141a : 00;
141b : 00;
141c : a8;
141d : 63;
141e : 18;
141f : 20;
1420 : 07;
1421 : ff;
1422 : fe;
1423 : 4a;
1424 : 15;
1425 : 00;
1426 : 00;
1427 : 00;
1428 : 18;
1429 : 60;
142a : f0;
141c : 18;
141d : 60;
141e : f0;
141f : 00;
1420 : a8;
1421 : 63;
1422 : 18;
1423 : 48;
1424 : 07;
1425 : ff;
1426 : fe;
1427 : 49;
1428 : 15;
1429 : 00;
142a : 00;
142b : 00;
142c : a8;
142d : 63;
142e : 18;
142f : 4c;
1430 : 07;
1431 : ff;
1432 : fe;
1433 : 46;
1434 : 15;
1435 : 00;
1436 : 00;
1437 : 00;
1438 : 18;
1439 : 60;
143a : f0;
142c : 18;
142d : 60;
142e : f0;
142f : 00;
1430 : a8;
1431 : 63;
1432 : 18;
1433 : 74;
1434 : 07;
1435 : ff;
1436 : fe;
1437 : 45;
1438 : 15;
1439 : 00;
143a : 00;
143b : 00;
143c : a8;
143d : 63;
143e : 18;
143f : 50;
1440 : 07;
1441 : ff;
1442 : fe;
1443 : 42;
1444 : 15;
1445 : 00;
1446 : 00;
1447 : 00;
1448 : 07;
1449 : ff;
144a : fe;
144b : 9a;
144c : 15;
144d : 00;
144e : 00;
143c : 18;
143d : 60;
143e : f0;
143f : 00;
1440 : a8;
1441 : 63;
1442 : 18;
1443 : 78;
1444 : 07;
1445 : ff;
1446 : fe;
1447 : 41;
1448 : 15;
1449 : 00;
144a : 00;
144b : 00;
144c : 18;
144d : 60;
144e : 50;
144f : 00;
1450 : 18;
1451 : 60;
1452 : f0;
1453 : 00;
1454 : a8;
1455 : 63;
1456 : 18;
1457 : 5e;
1458 : bc;
1459 : 2b;
145a : 00;
1450 : a9;
1451 : 83;
1452 : 00;
1453 : 03;
1454 : 9c;
1455 : 60;
1456 : 00;
1457 : 01;
1458 : d8;
1459 : 0c;
145a : 18;
145b : 00;
145c : 0c;
145d : 00;
145e : 00;
145f : 04;
145c : 07;
145d : ff;
145e : fe;
145f : 75;
1460 : 15;
1461 : 00;
1462 : 00;
1463 : 00;
1464 : 18;
1465 : 60;
1466 : f0;
1464 : 9c;
1465 : 80;
1466 : 00;
1467 : 00;
1468 : a8;
1469 : 63;
146a : 18;
146b : 68;
1468 : d8;
1469 : 0c;
146a : 20;
146b : 00;
146c : 07;
146d : ff;
146e : fe;
146f : 37;
146f : 91;
1470 : 15;
1471 : 00;
1472 : 00;
1473 : 00;
1474 : 07;
1475 : ff;
1476 : ff;
1477 : 3a;
1478 : 15;
1479 : 00;
147a : 00;
147b : 00;
147c : 07;
147d : ff;
147e : fe;
147f : ba;
1480 : 15;
1474 : 18;
1475 : 60;
1476 : f0;
1477 : 00;
1478 : a8;
1479 : 63;
147a : 18;
147b : 86;
147c : bc;
147d : 2b;
147e : 00;
147f : 00;
1480 : 0c;
1481 : 00;
1482 : 00;
1483 : 00;
1484 : 07;
1485 : ff;
1486 : fe;
1487 : 82;
1488 : 9c;
1483 : 04;
1484 : 15;
1485 : 00;
1486 : 00;
1487 : 00;
1488 : 18;
1489 : 60;
148a : ff;
148b : fe;
148c : 18;
148d : 60;
148e : f0;
148f : 00;
1490 : a8;
1491 : 63;
1492 : 15;
1493 : 7d;
1494 : 07;
1495 : ff;
1496 : fe;
1497 : 2d;
1498 : 15;
1499 : 00;
149a : 00;
149b : 00;
149c : 18;
149d : 60;
149e : f0;
148a : f0;
148b : 00;
148c : a8;
148d : 63;
148e : 18;
148f : 90;
1490 : 07;
1491 : ff;
1492 : fe;
1493 : 2e;
1494 : 15;
1495 : 00;
1496 : 00;
1497 : 00;
1498 : 07;
1499 : ff;
149a : ff;
149b : 31;
149c : 15;
149d : 00;
149e : 00;
149f : 00;
14a0 : a8;
14a1 : 63;
14a2 : 18;
14a3 : 72;
14a4 : 07;
14a5 : ff;
14a6 : fe;
14a7 : 29;
14a8 : 15;
14a9 : 00;
14aa : 00;
14ab : 00;
14ac : 07;
14ad : ff;
14ae : fe;
14af : 15;
14b0 : 15;
14b1 : 00;
14b2 : 00;
14a0 : 07;
14a1 : ff;
14a2 : fe;
14a3 : b1;
14a4 : 15;
14a5 : 00;
14a6 : 00;
14a7 : 00;
14a8 : 07;
14a9 : ff;
14aa : fe;
14ab : 79;
14ac : 9c;
14ad : 60;
14ae : ff;
14af : fe;
14b0 : 18;
14b1 : 60;
14b2 : f0;
14b3 : 00;
14b4 : 18;
14b5 : 60;
14b6 : f0;
14b7 : 00;
14b8 : a8;
14b9 : 63;
14ba : 18;
14bb : 8d;
14bc : 07;
14bd : ff;
14be : fe;
14bf : 23;
14c0 : 15;
14c1 : 00;
14c2 : 00;
14b4 : a8;
14b5 : 63;
14b6 : 15;
14b7 : a5;
14b8 : 07;
14b9 : ff;
14ba : fe;
14bb : 24;
14bc : 15;
14bd : 00;
14be : 00;
14bf : 00;
14c0 : 18;
14c1 : 60;
14c2 : f0;
14c3 : 00;
14c4 : 07;
14c5 : ff;
14c6 : fe;
14c7 : 5b;
14c8 : 15;
14c9 : 00;
14ca : 00;
14cb : 00;
14cc : 07;
14cd : ff;
14ce : fe;
14cf : 11;
14d0 : 9c;
14d1 : 60;
14d2 : 00;
14d3 : 2e;
14d4 : 07;
14d5 : ff;
14d6 : fe;
14d7 : 6e;
14d8 : 9c;
14c4 : a8;
14c5 : 63;
14c6 : 18;
14c7 : 9a;
14c8 : 07;
14c9 : ff;
14ca : fe;
14cb : 20;
14cc : 15;
14cd : 00;
14ce : 00;
14cf : 00;
14d0 : 07;
14d1 : ff;
14d2 : fe;
14d3 : 0c;
14d4 : 15;
14d5 : 00;
14d6 : 00;
14d7 : 00;
14d8 : 18;
14d9 : 60;
14da : ff;
14db : ff;
14dc : 07;
14dd : ff;
14de : fe;
14df : 55;
14e0 : 15;
14e1 : 00;
14e2 : 00;
14e3 : 00;
14e4 : 07;
14e5 : ff;
14e6 : fe;
14e7 : 6a;
14e8 : 9c;
14e9 : 60;
14ea : ff;
14eb : fe;
14ec : 07;
14ed : ff;
14ee : fe;
14ef : 51;
14f0 : 15;
14f1 : 00;
14f2 : 00;
14f3 : 00;
14f4 : 07;
14f5 : ff;
14f6 : fe;
14f7 : 66;
14f8 : 9c;
14f9 : 60;
14fa : ff;
14fb : fd;
14fc : 07;
14fd : ff;
14fe : fe;
14ff : 4d;
1500 : 15;
1501 : 00;
1502 : 00;
1503 : 00;
1504 : 07;
1505 : ff;
1506 : fe;
1507 : 62;
1508 : 9c;
1509 : 60;
150a : ff;
150b : fb;
150c : 07;
150d : ff;
150e : fe;
150f : 49;
1510 : 15;
1511 : 00;
1512 : 00;
1513 : 00;
1514 : 07;
1515 : ff;
1516 : fe;
1517 : 5e;
1518 : 9c;
1519 : 60;
151a : ff;
151b : f7;
151c : bc;
151d : 2a;
151e : 00;
151f : 27;
1520 : 18;
1521 : 60;
1522 : f0;
1523 : 00;
1524 : a8;
1525 : 63;
1526 : 15;
1527 : 7d;
1528 : 13;
14da : f0;
14db : 00;
14dc : a8;
14dd : 63;
14de : 18;
14df : b5;
14e0 : 07;
14e1 : ff;
14e2 : fe;
14e3 : 1a;
14e4 : 15;
14e5 : 00;
14e6 : 00;
14e7 : 00;
14e8 : 07;
14e9 : ff;
14ea : fe;
14eb : 52;
14ec : 15;
14ed : 00;
14ee : 00;
14ef : 00;
14f0 : 07;
14f1 : ff;
14f2 : fe;
14f3 : 08;
14f4 : 9c;
14f5 : 60;
14f6 : 00;
14f7 : 2e;
14f8 : 07;
14f9 : ff;
14fa : fe;
14fb : 65;
14fc : 9c;
14fd : 60;
14fe : ff;
14ff : ff;
1500 : 07;
1501 : ff;
1502 : fe;
1503 : 4c;
1504 : 15;
1505 : 00;
1506 : 00;
1507 : 00;
1508 : 07;
1509 : ff;
150a : fe;
150b : 61;
150c : 9c;
150d : 60;
150e : ff;
150f : fe;
1510 : 07;
1511 : ff;
1512 : fe;
1513 : 48;
1514 : 15;
1515 : 00;
1516 : 00;
1517 : 00;
1518 : 07;
1519 : ff;
151a : fe;
151b : 5d;
151c : 9c;
151d : 60;
151e : ff;
151f : fd;
1520 : 07;
1521 : ff;
1522 : fe;
1523 : 44;
1524 : 15;
1525 : 00;
1526 : 00;
1527 : 00;
1528 : 07;
1529 : ff;
152a : ff;
152b : e7;
152c : 9d;
152d : 4a;
152e : 00;
152f : 01;
1530 : 03;
152a : fe;
152b : 59;
152c : 9c;
152d : 60;
152e : ff;
152f : fb;
1530 : 07;
1531 : ff;
1532 : ff;
1533 : e3;
1534 : 9d;
1535 : 40;
1532 : fe;
1533 : 40;
1534 : 15;
1535 : 00;
1536 : 00;
1537 : 00;
1538 : 85;
1539 : 21;
153a : 00;
153b : 00;
153c : 84;
153d : 41;
153e : 00;
153f : 04;
1540 : 85;
1541 : 41;
1538 : 07;
1539 : ff;
153a : fe;
153b : 55;
153c : 9c;
153d : 60;
153e : ff;
153f : f7;
1540 : bc;
1541 : 2a;
1542 : 00;
1543 : 08;
1544 : 44;
1545 : 00;
1546 : 48;
1543 : 27;
1544 : 18;
1545 : 60;
1546 : f0;
1547 : 00;
1548 : 9c;
1549 : 21;
154a : 00;
154b : 18;
154c : 53;
154d : 74;
154e : 61;
154f : 72;
1550 : 74;
1551 : 20;
1552 : 63;
1553 : 6f;
1554 : 70;
1555 : 79;
1556 : 69;
1557 : 6e;
1558 : 67;
1559 : 20;
155a : 53;
155b : 44;
155c : 20;
155d : 69;
155e : 6d;
155f : 61;
1560 : 67;
1561 : 65;
1562 : 20;
1563 : 74;
1564 : 6f;
1565 : 20;
1566 : 44;
1567 : 44;
1568 : 52;
1569 : 20;
156a : 53;
156b : 44;
156c : 52;
156d : 41;
156e : 4d;
156f : 2e;
1570 : 2e;
1571 : 2e;
1572 : 0a;
1573 : 0d;
1574 : 00;
1575 : 42;
1576 : 6c;
1577 : 6f;
1578 : 63;
1579 : 6b;
157a : 73;
157b : 3a;
157c : 00;
157d : 0a;
157e : 0d;
157f : 00;
1580 : 0d;
1581 : 0a;
1548 : a8;
1549 : 63;
154a : 15;
154b : a5;
154c : 13;
154d : ff;
154e : ff;
154f : e7;
1550 : 9d;
1551 : 4a;
1552 : 00;
1553 : 01;
1554 : 03;
1555 : ff;
1556 : ff;
1557 : e3;
1558 : 9d;
1559 : 40;
155a : 00;
155b : 00;
155c : 85;
155d : 21;
155e : 00;
155f : 00;
1560 : 84;
1561 : 41;
1562 : 00;
1563 : 04;
1564 : 85;
1565 : 41;
1566 : 00;
1567 : 08;
1568 : 85;
1569 : 81;
156a : 00;
156b : 0c;
156c : 44;
156d : 00;
156e : 48;
156f : 00;
1570 : 9c;
1571 : 21;
1572 : 00;
1573 : 1c;
1574 : 53;
1575 : 74;
1576 : 61;
1577 : 72;
1578 : 74;
1579 : 20;
157a : 63;
157b : 6f;
157c : 70;
157d : 79;
157e : 69;
157f : 6e;
1580 : 67;
1581 : 20;
1582 : 53;
1583 : 44;
1584 : 20;
1585 : 43;
1586 : 6f;
1587 : 70;
1588 : 79;
1589 : 20;
158a : 44;
158b : 6f;
158c : 6e;
158d : 65;
158e : 21;
158f : 0a;
1590 : 0d;
1591 : 00;
1592 : 44;
1585 : 69;
1586 : 6d;
1587 : 61;
1588 : 67;
1589 : 65;
158a : 20;
158b : 74;
158c : 6f;
158d : 20;
158e : 44;
158f : 44;
1590 : 52;
1591 : 20;
1592 : 53;
1593 : 44;
1594 : 52;
1595 : 20;
1596 : 53;
1597 : 44;
1598 : 52;
1599 : 41;
159a : 4d;
159b : 20;
159c : 61;
159d : 63;
159e : 63;
159f : 65;
15a0 : 73;
15a1 : 73;
15a2 : 65;
15a3 : 73;
15a4 : 20;
15a5 : 73;
15a6 : 68;
15a7 : 6f;
15a8 : 72;
15a9 : 74;
15aa : 20;
15ab : 74;
15ac : 79;
15ad : 70;
15ae : 65;
15af : 20;
15b0 : 45;
15b1 : 72;
15b2 : 72;
1595 : 41;
1596 : 4d;
1597 : 2e;
1598 : 2e;
1599 : 2e;
159a : 0a;
159b : 0d;
159c : 00;
159d : 42;
159e : 6c;
159f : 6f;
15a0 : 63;
15a1 : 6b;
15a2 : 73;
15a3 : 3a;
15a4 : 00;
15a5 : 0a;
15a6 : 0d;
15a7 : 00;
15a8 : 0d;
15a9 : 0a;
15aa : 53;
15ab : 44;
15ac : 20;
15ad : 43;
15ae : 6f;
15af : 70;
15b0 : 79;
15b1 : 20;
15b2 : 44;
15b3 : 6f;
15b4 : 72;
15b5 : 3a;
15b6 : 32;
15b7 : 30;
15b8 : 21;
15b9 : 0a;
15ba : 0d;
15bb : 00;
15bc : 44;
15bd : 44;
15be : 52;
15bf : 20;
15c0 : 53;
15c1 : 44;
15c2 : 52;
15c3 : 41;
15c4 : 4d;
15c5 : 20;
15c6 : 61;
15c7 : 63;
15c8 : 63;
15c9 : 65;
15ca : 73;
15b4 : 6e;
15b5 : 65;
15b6 : 21;
15b7 : 0a;
15b8 : 0d;
15b9 : 00;
15ba : 44;
15bb : 44;
15bc : 52;
15bd : 20;
15be : 53;
15bf : 44;
15c0 : 52;
15c1 : 41;
15c2 : 4d;
15c3 : 20;
15c4 : 61;
15c5 : 63;
15c6 : 63;
15c7 : 65;
15c8 : 73;
15c9 : 73;
15ca : 65;
15cb : 73;
15cc : 65;
15cc : 20;
15cd : 73;
15ce : 20;
15cf : 63;
15d0 : 68;
15d1 : 61;
15d2 : 72;
15d3 : 20;
15d4 : 74;
15d5 : 79;
15d6 : 70;
15d7 : 65;
15d8 : 20;
15d9 : 45;
15ce : 68;
15cf : 6f;
15d0 : 72;
15d1 : 74;
15d2 : 20;
15d3 : 74;
15d4 : 79;
15d5 : 70;
15d6 : 65;
15d7 : 20;
15d8 : 45;
15d9 : 72;
15da : 72;
15db : 72;
15dc : 6f;
15dd : 72;
15de : 3a;
15df : 31;
15e0 : 30;
15e1 : 30;
15e2 : 21;
15e3 : 0a;
15e4 : 0d;
15e5 : 00;
15e6 : 44;
15e7 : 44;
15e8 : 52;
15e9 : 20;
15ea : 53;
15eb : 44;
15ec : 52;
15ed : 41;
15ee : 4d;
15ef : 20;
15f0 : 61;
15f1 : 63;
15f2 : 63;
15f3 : 65;
15f4 : 73;
15db : 6f;
15dc : 72;
15dd : 3a;
15de : 32;
15df : 30;
15e0 : 21;
15e1 : 0a;
15e2 : 0d;
15e3 : 00;
15e4 : 44;
15e5 : 44;
15e6 : 52;
15e7 : 20;
15e8 : 53;
15e9 : 44;
15ea : 52;
15eb : 41;
15ec : 4d;
15ed : 20;
15ee : 61;
15ef : 63;
15f0 : 63;
15f1 : 65;
15f2 : 73;
15f3 : 73;
15f4 : 65;
15f5 : 73;
15f6 : 65;
15f7 : 73;
15f8 : 20;
15f9 : 63;
15fa : 68;
15fb : 61;
15fc : 72;
15fd : 20;
15fe : 74;
15ff : 79;
1600 : 70;
1601 : 65;
1602 : 20;
1603 : 45;
1604 : 72;
15f6 : 20;
15f7 : 63;
15f8 : 68;
15f9 : 61;
15fa : 72;
15fb : 20;
15fc : 74;
15fd : 79;
15fe : 70;
15ff : 65;
1600 : 20;
1601 : 45;
1602 : 72;
1603 : 72;
1604 : 6f;
1605 : 72;
1606 : 6f;
1607 : 72;
1608 : 3a;
1609 : 31;
160a : 30;
160b : 31;
160c : 21;
160d : 0a;
160e : 0d;
160f : 00;
1610 : 44;
1611 : 44;
1612 : 52;
1613 : 20;
1614 : 53;
1615 : 44;
1616 : 52;
1617 : 41;
1618 : 4d;
1619 : 20;
161a : 61;
161b : 63;
161c : 63;
161d : 65;
161e : 73;
1606 : 3a;
1607 : 31;
1608 : 30;
1609 : 30;
160a : 21;
160b : 0a;
160c : 0d;
160d : 00;
160e : 44;
160f : 44;
1610 : 52;
1611 : 20;
1612 : 53;
1613 : 44;
1614 : 52;
1615 : 41;
1616 : 4d;
1617 : 20;
1618 : 61;
1619 : 63;
161a : 63;
161b : 65;
161c : 73;
161d : 73;
161e : 65;
161f : 73;
1620 : 65;
1621 : 73;
1622 : 20;
1623 : 63;
1624 : 68;
1625 : 61;
1626 : 72;
1627 : 20;
1628 : 74;
1629 : 79;
162a : 70;
162b : 65;
162c : 20;
162d : 45;
162e : 72;
1620 : 20;
1621 : 63;
1622 : 68;
1623 : 61;
1624 : 72;
1625 : 20;
1626 : 74;
1627 : 79;
1628 : 70;
1629 : 65;
162a : 20;
162b : 45;
162c : 72;
162d : 72;
162e : 6f;
162f : 72;
1630 : 6f;
1631 : 72;
1632 : 3a;
1630 : 3a;
1631 : 31;
1632 : 30;
1633 : 31;
1634 : 30;
1635 : 32;
1636 : 21;
1637 : 0a;
1638 : 0d;
1639 : 00;
163a : 44;
163b : 44;
163c : 52;
163d : 20;
163e : 53;
163f : 44;
1640 : 52;
1641 : 41;
1642 : 4d;
1643 : 20;
1644 : 61;
1645 : 63;
1646 : 63;
1647 : 65;
1648 : 73;
1634 : 21;
1635 : 0a;
1636 : 0d;
1637 : 00;
1638 : 44;
1639 : 44;
163a : 52;
163b : 20;
163c : 53;
163d : 44;
163e : 52;
163f : 41;
1640 : 4d;
1641 : 20;
1642 : 61;
1643 : 63;
1644 : 63;
1645 : 65;
1646 : 73;
1647 : 73;
1648 : 65;
1649 : 73;
164a : 65;
164b : 73;
164c : 20;
164d : 63;
164e : 68;
164f : 61;
1650 : 72;
1651 : 20;
1652 : 74;
1653 : 79;
1654 : 70;
1655 : 65;
1656 : 20;
1657 : 45;
1658 : 72;
164a : 20;
164b : 63;
164c : 68;
164d : 61;
164e : 72;
164f : 20;
1650 : 74;
1651 : 79;
1652 : 70;
1653 : 65;
1654 : 20;
1655 : 45;
1656 : 72;
1657 : 72;
1658 : 6f;
1659 : 72;
165a : 6f;
165b : 72;
165c : 3a;
165d : 31;
165e : 30;
165f : 33;
1660 : 21;
1661 : 0a;
1662 : 0d;
1663 : 00;
1664 : 44;
1665 : 44;
1666 : 52;
1667 : 20;
1668 : 53;
1669 : 44;
166a : 52;
166b : 41;
166c : 4d;
166d : 20;
166e : 73;
166f : 61;
1670 : 6d;
1671 : 70;
1672 : 6c;
1673 : 65;
165a : 3a;
165b : 31;
165c : 30;
165d : 32;
165e : 21;
165f : 0a;
1660 : 0d;
1661 : 00;
1662 : 44;
1663 : 44;
1664 : 52;
1665 : 20;
1666 : 53;
1667 : 44;
1668 : 52;
1669 : 41;
166a : 4d;
166b : 20;
166c : 61;
166d : 63;
166e : 63;
166f : 65;
1670 : 73;
1671 : 73;
1672 : 65;
1673 : 73;
1674 : 20;
1675 : 74;
1676 : 65;
1677 : 73;
1678 : 74;
1675 : 63;
1676 : 68;
1677 : 61;
1678 : 72;
1679 : 20;
167a : 64;
167b : 6f;
167c : 6e;
167a : 74;
167b : 79;
167c : 70;
167d : 65;
167e : 2e;
167f : 0a;
1680 : 0d;
1681 : 00;
1682 : 0a;
1683 : 0d;
1684 : 0a;
1685 : 09;
1686 : 00;
1687 : 3d;
1688 : 3d;
1689 : 4f;
168a : 70;
168b : 65;
168c : 6e;
168d : 52;
168e : 69;
168f : 73;
1690 : 63;
1691 : 20;
1692 : 31;
1693 : 32;
1694 : 30;
1695 : 30;
1696 : 20;
1697 : 53;
1698 : 4f;
1699 : 43;
169a : 3d;
169b : 3d;
169c : 0a;
169d : 0d;
169e : 0a;
169f : 00;
16a0 : 53;
16a1 : 44;
16a2 : 20;
16a3 : 43;
16a4 : 61;
16a5 : 72;
16a6 : 64;
16a7 : 20;
16a8 : 42;
16a9 : 6f;
16aa : 6f;
16ab : 74;
16ac : 6c;
16ad : 6f;
16ae : 61;
16af : 64;
16b0 : 65;
16b1 : 72;
16b2 : 2c;
16b3 : 20;
16b4 : 76;
16b5 : 30;
16b6 : 2e;
16b7 : 31;
16b8 : 0a;
16b9 : 0d;
16ba : 00;
16bb : 58;
16bc : 69;
16bd : 61;
16be : 6e;
16bf : 66;
16c0 : 65;
16c1 : 6e;
16c2 : 67;
16c3 : 20;
16c4 : 5a;
16c5 : 65;
16c6 : 6e;
16c7 : 67;
16c8 : 2c;
16c9 : 20;
16ca : 32;
16cb : 30;
16cc : 30;
16cd : 39;
16ce : 20;
16cf : 53;
16d0 : 41;
16d1 : 0a;
16d2 : 0d;
16d3 : 00;
16d4 : 58;
16d5 : 69;
167e : 20;
167f : 45;
1680 : 72;
1681 : 72;
1682 : 6f;
1683 : 72;
1684 : 3a;
1685 : 31;
1686 : 30;
1687 : 33;
1688 : 21;
1689 : 0a;
168a : 0d;
168b : 00;
168c : 44;
168d : 44;
168e : 52;
168f : 20;
1690 : 53;
1691 : 44;
1692 : 52;
1693 : 41;
1694 : 4d;
1695 : 20;
1696 : 73;
1697 : 61;
1698 : 6d;
1699 : 70;
169a : 6c;
169b : 65;
169c : 20;
169d : 74;
169e : 65;
169f : 73;
16a0 : 74;
16a1 : 20;
16a2 : 64;
16a3 : 6f;
16a4 : 6e;
16a5 : 65;
16a6 : 2e;
16a7 : 0a;
16a8 : 0d;
16a9 : 00;
16aa : 0a;
16ab : 0d;
16ac : 0a;
16ad : 09;
16ae : 00;
16af : 3d;
16b0 : 3d;
16b1 : 4f;
16b2 : 70;
16b3 : 65;
16b4 : 6e;
16b5 : 52;
16b6 : 69;
16b7 : 73;
16b8 : 63;
16b9 : 20;
16ba : 31;
16bb : 32;
16bc : 30;
16bd : 30;
16be : 20;
16bf : 53;
16c0 : 4f;
16c1 : 43;
16c2 : 3d;
16c3 : 3d;
16c4 : 0a;
16c5 : 0d;
16c6 : 0a;
16c7 : 00;
16c8 : 53;
16c9 : 44;
16ca : 20;
16cb : 43;
16cc : 61;
16cd : 72;
16ce : 64;
16cf : 20;
16d0 : 42;
16d1 : 6f;
16d2 : 6f;
16d3 : 74;
16d4 : 6c;
16d5 : 6f;
16d6 : 61;
16d7 : 6e;
16d8 : 66;
16d9 : 65;
16da : 6e;
16db : 67;
16dc : 40;
16dd : 6f;
16de : 70;
16df : 65;
16e0 : 6e;
16e1 : 63;
16e2 : 6f;
16e3 : 72;
16e4 : 65;
16e5 : 73;
16e6 : 2e;
16e7 : 6f;
16e8 : 72;
16e9 : 67;
16ea : 0a;
16eb : 0d;
16ec : 00;
16ed : 68;
16ee : 74;
16ef : 74;
16f0 : 70;
16f1 : 3a;
16f2 : 2f;
16f3 : 2f;
16f4 : 77;
16f5 : 77;
16f6 : 77;
16f7 : 2e;
16f8 : 6f;
16f9 : 70;
16fa : 65;
16fb : 6e;
16fc : 63;
16fd : 6f;
16fe : 72;
16ff : 65;
1700 : 73;
1701 : 2e;
1702 : 6f;
1703 : 72;
1704 : 67;
1705 : 2f;
16d7 : 64;
16d8 : 65;
16d9 : 72;
16da : 2c;
16db : 20;
16dc : 76;
16dd : 30;
16de : 2e;
16df : 32;
16e0 : 0a;
16e1 : 0d;
16e2 : 00;
16e3 : 58;
16e4 : 69;
16e5 : 61;
16e6 : 6e;
16e7 : 66;
16e8 : 65;
16e9 : 6e;
16ea : 67;
16eb : 20;
16ec : 5a;
16ed : 65;
16ee : 6e;
16ef : 67;
16f0 : 2c;
16f1 : 20;
16f2 : 32;
16f3 : 30;
16f4 : 30;
16f5 : 39;
16f6 : 20;
16f7 : 53;
16f8 : 41;
16f9 : 0a;
16fa : 0d;
16fb : 00;
16fc : 58;
16fd : 69;
16fe : 61;
16ff : 6e;
1700 : 66;
1701 : 65;
1702 : 6e;
1703 : 67;
1704 : 40;
1705 : 6f;
1706 : 70;
1707 : 72;
1708 : 6f;
1709 : 6a;
170a : 65;
170b : 63;
170c : 74;
170d : 2c;
170e : 6f;
170f : 72;
1710 : 31;
1711 : 6b;
1712 : 5f;
1713 : 73;
1714 : 6f;
1715 : 63;
1716 : 5f;
1717 : 6f;
1718 : 6e;
1719 : 5f;
171a : 61;
171b : 6c;
171c : 74;
171d : 65;
171e : 72;
171f : 61;
1720 : 5f;
1721 : 65;
1722 : 6d;
1723 : 62;
1724 : 65;
1725 : 64;
1726 : 64;
1707 : 65;
1708 : 6e;
1709 : 63;
170a : 6f;
170b : 72;
170c : 65;
170d : 73;
170e : 2e;
170f : 6f;
1710 : 72;
1711 : 67;
1712 : 0a;
1713 : 0d;
1714 : 00;
1715 : 68;
1716 : 74;
1717 : 74;
1718 : 70;
1719 : 3a;
171a : 2f;
171b : 2f;
171c : 77;
171d : 77;
171e : 77;
171f : 2e;
1720 : 6f;
1721 : 70;
1722 : 65;
1723 : 6e;
1724 : 63;
1725 : 6f;
1726 : 72;
1727 : 65;
1728 : 64;
1729 : 5f;
172a : 64;
172b : 65;
172c : 76;
172d : 5f;
172e : 6b;
172f : 69;
1730 : 74;
1731 : 0a;
1732 : 0d;
1733 : 00;
1734 : 53;
1735 : 79;
1736 : 73;
1737 : 74;
1738 : 65;
1739 : 6d;
173a : 20;
173b : 43;
173c : 6c;
173d : 6f;
173e : 63;
173f : 6b;
1740 : 3a;
1741 : 20;
1742 : 33;
1743 : 30;
1744 : 4d;
1745 : 48;
1746 : 7a;
1747 : 0a;
1748 : 0d;
1749 : 0a;
174a : 00;
174b : 44;
174c : 44;
174d : 52;
174e : 20;
174f : 53;
1750 : 44;
1751 : 52;
1752 : 41;
1753 : 4d;
1754 : 20;
1755 : 42;
1756 : 61;
1757 : 73;
1758 : 65;
1759 : 20;
175a : 41;
175b : 64;
175c : 64;
175d : 72;
175e : 65;
175f : 73;
1760 : 73;
1761 : 3a;
1728 : 73;
1729 : 2e;
172a : 6f;
172b : 72;
172c : 67;
172d : 2f;
172e : 70;
172f : 72;
1730 : 6f;
1731 : 6a;
1732 : 65;
1733 : 63;
1734 : 74;
1735 : 2c;
1736 : 6f;
1737 : 72;
1738 : 31;
1739 : 6b;
173a : 5f;
173b : 73;
173c : 6f;
173d : 63;
173e : 5f;
173f : 6f;
1740 : 6e;
1741 : 5f;
1742 : 61;
1743 : 6c;
1744 : 74;
1745 : 65;
1746 : 72;
1747 : 61;
1748 : 5f;
1749 : 65;
174a : 6d;
174b : 62;
174c : 65;
174d : 64;
174e : 64;
174f : 65;
1750 : 64;
1751 : 5f;
1752 : 64;
1753 : 65;
1754 : 76;
1755 : 5f;
1756 : 6b;
1757 : 69;
1758 : 74;
1759 : 0a;
175a : 0d;
175b : 00;
175c : 53;
175d : 79;
175e : 73;
175f : 74;
1760 : 65;
1761 : 6d;
1762 : 20;
1763 : 30;
1764 : 78;
1765 : 30;
1766 : 30;
1767 : 30;
1768 : 30;
1769 : 30;
176a : 30;
1763 : 43;
1764 : 6c;
1765 : 6f;
1766 : 63;
1767 : 6b;
1768 : 3a;
1769 : 20;
176a : 33;
176b : 30;
176c : 30;
176d : 20;
176e : 2d;
176f : 20;
1770 : 33;
1771 : 32;
1772 : 4d;
1773 : 42;
1774 : 0a;
1775 : 0d;
1776 : 00;
1777 : 45;
1778 : 74;
1779 : 68;
177a : 65;
177b : 72;
177c : 6e;
177d : 65;
177e : 74;
177f : 20;
1780 : 42;
1781 : 61;
1782 : 73;
1783 : 65;
1784 : 20;
1785 : 41;
1786 : 64;
1787 : 64;
1788 : 72;
1789 : 65;
178a : 73;
178b : 73;
178c : 3a;
178d : 20;
178e : 20;
176c : 4d;
176d : 48;
176e : 7a;
176f : 0a;
1770 : 0d;
1771 : 0a;
1772 : 00;
1773 : 44;
1774 : 44;
1775 : 52;
1776 : 20;
1777 : 53;
1778 : 44;
1779 : 52;
177a : 41;
177b : 4d;
177c : 20;
177d : 42;
177e : 61;
177f : 73;
1780 : 65;
1781 : 20;
1782 : 41;
1783 : 64;
1784 : 64;
1785 : 72;
1786 : 65;
1787 : 73;
1788 : 73;
1789 : 3a;
178a : 20;
178b : 30;
178c : 78;
178d : 30;
178e : 30;
178f : 30;
1790 : 78;
1791 : 32;
1790 : 30;
1791 : 30;
1792 : 30;
1793 : 30;
1794 : 30;
1795 : 30;
1796 : 30;
1797 : 30;
1798 : 30;
1799 : 20;
179a : 20;
179b : 49;
179c : 52;
179d : 51;
179e : 20;
179f : 34;
17a0 : 0a;
17a1 : 0d;
17a2 : 00;
17a3 : 55;
17a4 : 41;
17a5 : 52;
17a6 : 54;
1795 : 20;
1796 : 2d;
1797 : 20;
1798 : 33;
1799 : 32;
179a : 4d;
179b : 42;
179c : 0a;
179d : 0d;
179e : 00;
179f : 45;
17a0 : 74;
17a1 : 68;
17a2 : 65;
17a3 : 72;
17a4 : 6e;
17a5 : 65;
17a6 : 74;
17a7 : 20;
17a8 : 42;
17a9 : 61;
6075,243 → 6075,283
17b4 : 3a;
17b5 : 20;
17b6 : 20;
17b7 : 20;
17b8 : 20;
17b9 : 20;
17ba : 20;
17b7 : 30;
17b8 : 78;
17b9 : 32;
17ba : 30;
17bb : 30;
17bc : 78;
17bd : 33;
17bc : 30;
17bd : 30;
17be : 30;
17bf : 30;
17c0 : 30;
17c1 : 30;
17c2 : 30;
17c3 : 30;
17c4 : 30;
17c5 : 20;
17c1 : 20;
17c2 : 20;
17c3 : 49;
17c4 : 52;
17c5 : 51;
17c6 : 20;
17c7 : 49;
17c8 : 52;
17c9 : 51;
17ca : 20;
17cb : 32;
17cc : 0a;
17cd : 0d;
17ce : 00;
17cf : 47;
17d0 : 50;
17d1 : 49;
17d2 : 4f;
17d3 : 20;
17d4 : 42;
17d5 : 61;
17d6 : 73;
17d7 : 65;
17d8 : 20;
17d9 : 41;
17da : 64;
17db : 64;
17dc : 72;
17dd : 65;
17de : 73;
17df : 73;
17e0 : 3a;
17c7 : 34;
17c8 : 0a;
17c9 : 0d;
17ca : 00;
17cb : 55;
17cc : 41;
17cd : 52;
17ce : 54;
17cf : 20;
17d0 : 42;
17d1 : 61;
17d2 : 73;
17d3 : 65;
17d4 : 20;
17d5 : 41;
17d6 : 64;
17d7 : 64;
17d8 : 72;
17d9 : 65;
17da : 73;
17db : 73;
17dc : 3a;
17dd : 20;
17de : 20;
17df : 20;
17e0 : 20;
17e1 : 20;
17e2 : 20;
17e3 : 20;
17e4 : 20;
17e5 : 20;
17e6 : 20;
17e3 : 30;
17e4 : 78;
17e5 : 33;
17e6 : 30;
17e7 : 30;
17e8 : 78;
17e9 : 34;
17e8 : 30;
17e9 : 30;
17ea : 30;
17eb : 30;
17ec : 30;
17ed : 30;
17ee : 30;
17ef : 30;
17f0 : 30;
17f1 : 20;
17ed : 20;
17ee : 20;
17ef : 49;
17f0 : 52;
17f1 : 51;
17f2 : 20;
17f3 : 49;
17f4 : 52;
17f5 : 51;
17f6 : 20;
17f7 : 33;
17f8 : 0a;
17f9 : 0d;
17fa : 00;
17fb : 53;
17fc : 44;
17fd : 20;
17fe : 43;
17ff : 61;
1800 : 72;
1801 : 64;
1802 : 20;
1803 : 42;
1804 : 61;
1805 : 73;
1806 : 65;
1807 : 20;
1808 : 41;
1809 : 64;
180a : 64;
180b : 72;
180c : 65;
180d : 73;
180e : 73;
180f : 3a;
1810 : 20;
1811 : 20;
1812 : 20;
17f3 : 32;
17f4 : 0a;
17f5 : 0d;
17f6 : 00;
17f7 : 47;
17f8 : 50;
17f9 : 49;
17fa : 4f;
17fb : 20;
17fc : 42;
17fd : 61;
17fe : 73;
17ff : 65;
1800 : 20;
1801 : 41;
1802 : 64;
1803 : 64;
1804 : 72;
1805 : 65;
1806 : 73;
1807 : 73;
1808 : 3a;
1809 : 20;
180a : 20;
180b : 20;
180c : 20;
180d : 20;
180e : 20;
180f : 30;
1810 : 78;
1811 : 34;
1812 : 30;
1813 : 30;
1814 : 78;
1815 : 35;
1814 : 30;
1815 : 30;
1816 : 30;
1817 : 30;
1818 : 30;
1819 : 30;
181a : 30;
181b : 30;
181c : 30;
181d : 0a;
181e : 0d;
181f : 00;
1820 : 53;
1821 : 52;
1822 : 41;
1823 : 4d;
1824 : 20;
1825 : 42;
1826 : 61;
1827 : 73;
1828 : 65;
1829 : 20;
182a : 41;
182b : 64;
182c : 64;
182d : 72;
1819 : 20;
181a : 20;
181b : 49;
181c : 52;
181d : 51;
181e : 20;
181f : 33;
1820 : 0a;
1821 : 0d;
1822 : 00;
1823 : 53;
1824 : 44;
1825 : 20;
1826 : 43;
1827 : 61;
1828 : 72;
1829 : 64;
182a : 20;
182b : 42;
182c : 61;
182d : 73;
182e : 65;
182f : 73;
1830 : 73;
1831 : 3a;
1832 : 20;
1833 : 20;
1834 : 20;
1835 : 20;
1836 : 20;
1837 : 20;
1838 : 30;
1839 : 78;
183a : 46;
182f : 20;
1830 : 41;
1831 : 64;
1832 : 64;
1833 : 72;
1834 : 65;
1835 : 73;
1836 : 73;
1837 : 3a;
1838 : 20;
1839 : 20;
183a : 20;
183b : 30;
183c : 30;
183d : 30;
183c : 78;
183d : 35;
183e : 30;
183f : 30;
1840 : 30;
1841 : 30;
1842 : 20;
1843 : 2d;
1844 : 20;
1845 : 31;
1846 : 36;
1847 : 4b;
1848 : 42;
1849 : 0a;
184a : 0d;
184b : 00;
184c : 0d;
184d : 0a;
184e : 0a;
184f : 00;
1850 : 49;
1851 : 6e;
1852 : 69;
1853 : 74;
1854 : 20;
1855 : 53;
1856 : 44;
1857 : 20;
1858 : 43;
1859 : 61;
185a : 72;
185b : 64;
185c : 3a;
185d : 00;
185e : 50;
185f : 61;
1860 : 73;
1861 : 73;
1862 : 65;
1863 : 64;
1864 : 21;
1865 : 0a;
1866 : 0d;
1867 : 00;
1868 : 46;
1869 : 61;
186a : 69;
186b : 6c;
186c : 65;
186d : 64;
186e : 21;
186f : 0a;
1870 : 0d;
1871 : 00;
1872 : 4a;
1873 : 75;
1874 : 6d;
1875 : 70;
1876 : 20;
1877 : 74;
1878 : 6f;
1879 : 20;
187a : 44;
187b : 44;
187c : 52;
187d : 20;
187e : 53;
187f : 44;
1880 : 52;
1881 : 41;
1882 : 4d;
1883 : 3a;
1884 : 20;
1885 : 30;
1886 : 78;
1887 : 31;
1888 : 30;
1889 : 30;
188a : 0a;
188b : 0d;
188c : 00;
188d : 53;
188e : 68;
188f : 6f;
1890 : 75;
1891 : 6c;
1892 : 64;
1893 : 20;
1894 : 6e;
1895 : 6f;
1896 : 74;
1897 : 20;
1898 : 67;
1899 : 65;
189a : 74;
189b : 20;
189c : 68;
189d : 65;
189e : 72;
189f : 65;
18a0 : 21;
18a1 : 21;
18a2 : 3a;
18a3 : 0a;
18a4 : 0d;
18a5 : 00;
1842 : 30;
1843 : 30;
1844 : 30;
1845 : 0a;
1846 : 0d;
1847 : 00;
1848 : 53;
1849 : 52;
184a : 41;
184b : 4d;
184c : 20;
184d : 42;
184e : 61;
184f : 73;
1850 : 65;
1851 : 20;
1852 : 41;
1853 : 64;
1854 : 64;
1855 : 72;
1856 : 65;
1857 : 73;
1858 : 73;
1859 : 3a;
185a : 20;
185b : 20;
185c : 20;
185d : 20;
185e : 20;
185f : 20;
1860 : 30;
1861 : 78;
1862 : 46;
1863 : 30;
1864 : 30;
1865 : 30;
1866 : 30;
1867 : 30;
1868 : 30;
1869 : 30;
186a : 20;
186b : 2d;
186c : 20;
186d : 31;
186e : 36;
186f : 4b;
1870 : 42;
1871 : 0a;
1872 : 0d;
1873 : 00;
1874 : 0d;
1875 : 0a;
1876 : 0a;
1877 : 00;
1878 : 49;
1879 : 6e;
187a : 69;
187b : 74;
187c : 20;
187d : 53;
187e : 44;
187f : 20;
1880 : 43;
1881 : 61;
1882 : 72;
1883 : 64;
1884 : 3a;
1885 : 00;
1886 : 50;
1887 : 61;
1888 : 73;
1889 : 73;
188a : 65;
188b : 64;
188c : 21;
188d : 0a;
188e : 0d;
188f : 00;
1890 : 46;
1891 : 61;
1892 : 69;
1893 : 6c;
1894 : 65;
1895 : 64;
1896 : 21;
1897 : 0a;
1898 : 0d;
1899 : 00;
189a : 4a;
189b : 75;
189c : 6d;
189d : 70;
189e : 20;
189f : 74;
18a0 : 6f;
18a1 : 20;
18a2 : 44;
18a3 : 44;
18a4 : 52;
18a5 : 20;
18a6 : 53;
18a7 : 44;
18a8 : 52;
18a9 : 41;
18aa : 4d;
18ab : 3a;
18ac : 20;
18ad : 30;
18ae : 78;
18af : 31;
18b0 : 30;
18b1 : 30;
18b2 : 0a;
18b3 : 0d;
18b4 : 00;
18b5 : 53;
18b6 : 68;
18b7 : 6f;
18b8 : 75;
18b9 : 6c;
18ba : 64;
18bb : 20;
18bc : 6e;
18bd : 6f;
18be : 74;
18bf : 20;
18c0 : 67;
18c1 : 65;
18c2 : 74;
18c3 : 20;
18c4 : 68;
18c5 : 65;
18c6 : 72;
18c7 : 65;
18c8 : 21;
18c9 : 21;
18ca : 3a;
18cb : 0a;
18cc : 0d;
18cd : 00;
END;
/soc/prj/altera/setup_prj.tcl
14,7 → 14,7
 
# Quartus II: Generate Tcl File for Project
# File: setup_prj.tcl
# Generated on: Thu Nov 5 13:19:06 2009
# Generated on: Sun Nov 29 16:35:34 2009
 
# Load Quartus II Tcl Project package
package require ::quartus::project
68,16 → 68,16
set_global_assignment -name EDA_DESIGN_INSTANCE_NAME i1 -section_id or1k_soc_top
set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR "600 us" -section_id or1k_soc_top
set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME or1k_soc_top_vlg_vec_tst -section_id or1k_soc_top
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA ON
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA
set_global_assignment -name FITTER_EFFORT "AUTO FIT"
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP OFF
set_global_assignment -name MISC_FILE /opt/workspace/xzeng/esig/trunk/or1k_soc/prj/altera/or1k_soc_top.dpf
set_global_assignment -name EDA_TEST_BENCH_FILE ../../rtl/altera_ddr_ctrl/altera_ddr_phy_alt_mem_phy_pll.v -section_id or1k_soc_top
set_global_assignment -name EDA_TEST_BENCH_FILE ../../rtl/altera_ddr_ctrl/altera_ddr_phy_alt_mem_phy_seq_wrapper.vo -section_id or1k_soc_top
273,9 → 273,11
set_global_assignment -name EDA_TEST_BENCH_FILE ../../../../../altera9.0/quartus/libraries/megafunctions/sld_virtual_jtag.v -section_id or1k_soc_top
set_global_assignment -name ENABLE_SIGNALTAP OFF
set_global_assignment -name USE_SIGNALTAP_FILE sd_loader_test.stp
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF
set_global_assignment -name SEARCH_PATH ../../rtl/altera_ddr_ctrl/.
set_global_assignment -name SEARCH_PATH "../../rtl/altera_ddr_ctrl/ddr_high_performance_controller-library"
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL ON
set_location_assignment PIN_B9 -to wb_clk_pad_i
set_location_assignment PIN_V9 -to ddr_pll_clk_pad_i
set_location_assignment PIN_N2 -to rst_n_pad_i

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.