OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ourisc/trunk/rtl/packages
    from Rev 12 to Rev 5
    Reverse comparison

Rev 12 → Rev 5

/flags.vhd
1,18 → 1,12
----------------------------------------------------------------------------------
-- Engineer: Joao Carlos Nunes Bittencourt
----------------------------------------------------------------------------------
-- Create Date: 13:18:18 03/06/2012
----------------------------------------------------------------------------------
-- Design Name: Flags Package
-- Package Name: flags
----------------------------------------------------------------------------------
-- Project Name: 16-bit uRISC Processor
----------------------------------------------------------------------------------
-- Revision:
-- 1.0 - File Created
-- 2.0 - Project refactoring
--
----------------------------------------------------------------------------------
-- Package File Template
--
-- Purpose: This package defines supplemental types, subtypes,
-- constants, and functions
--
-- To use any of the example code shown below, uncomment the lines and modify as necessary
--
 
library ieee;
use ieee.std_logic_1164.all;
 
/opcodes.vhd
1,22 → 1,16
----------------------------------------------------------------------------------
-- Engineer: Joao Carlos Nunes Bittencourt
----------------------------------------------------------------------------------
-- Create Date: 13:18:18 03/06/2012
----------------------------------------------------------------------------------
-- Design Name: Opcode Package
-- Package Name: flags
----------------------------------------------------------------------------------
-- Project Name: 16-bit uRISC Processor
----------------------------------------------------------------------------------
-- Revision:
-- 1.0 - File Created
-- 2.0 - Project refactoring
--
----------------------------------------------------------------------------------
-- Package File Template
--
-- Purpose: This package defines supplemental types, subtypes,
-- constants, and functions
--
-- To use any of the example code shown below, uncomment the lines and modify as necessary
--
 
library ieee;
use ieee.std_logic_1164.all;
 
package operations is
package Operations is
constant add: std_logic_vector (4 downto 0) := "00000";
constant addinc: std_logic_vector (4 downto 0) := "00001";
constant inca: std_logic_vector (4 downto 0) := "00011";
43,4 → 37,4
constant ones: std_logic_vector (4 downto 0) := "11111";
constant lcl: std_logic_vector (4 downto 0) := "00010"; -- Load constant low
constant lch: std_logic_vector (4 downto 0) := "00111"; -- Load constant high
end operations;
end Operations;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.