OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ourisc/trunk
    from Rev 8 to Rev 9
    Reverse comparison

Rev 8 → Rev 9

/rtl/common/mux2x1.vhd
1,20 → 1,16
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:26:49 04/18/2012
-- Design Name:
-- Module Name: mux2x1 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Engineer: Joao Carlos Nunes Bittencourt
----------------------------------------------------------------------------------
-- Create Date: 13:18:18 03/06/2012
----------------------------------------------------------------------------------
-- Design Name: 2x1 Multiplexer
-- Module Name: mux2x1 - behavioral
----------------------------------------------------------------------------------
-- Project Name: 16-bit uRISC Processor
----------------------------------------------------------------------------------
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
-- 1.0 - File Created
-- 2.0 - Project refactoring
--
----------------------------------------------------------------------------------
library ieee;
/rtl/common/mux3x1.vhd
1,20 → 1,16
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:02:04 04/19/2012
-- Design Name: Multiplexer 3 x 1
-- Engineer: Joao Carlos Nunes Bittencourt
----------------------------------------------------------------------------------
-- Create Date: 13:18:18 03/06/2012
----------------------------------------------------------------------------------
-- Design Name: 3x1 Multiplexer
-- Module Name: mux3x1 - behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
----------------------------------------------------------------------------------
-- Project Name: 16-bit uRISC Processor
----------------------------------------------------------------------------------
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
-- 1.0 - File Created
-- 2.0 - Project refactoring
--
----------------------------------------------------------------------------------
library ieee;
/rtl/common/mux4x1.vhd
1,20 → 1,16
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:02:04 04/19/2012
-- Design Name: Multiplexer 3 x 1
-- Module Name: mux4x1 - Multiplex
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Engineer: Joao Carlos Nunes Bittencourt
----------------------------------------------------------------------------------
-- Create Date: 13:18:18 03/06/2012
----------------------------------------------------------------------------------
-- Design Name: 4x1 Multiplexer
-- Module Name: mux4x1 - behavioral
----------------------------------------------------------------------------------
-- Project Name: 16-bit uRISC Processor
----------------------------------------------------------------------------------
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
-- 1.0 - File Created
-- 2.0 - Project refactoring
--
----------------------------------------------------------------------------------
library ieee;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.