OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pcie_ds_dma/trunk/core/ds_dma64/pcie_src/components/pcie_core
    from Rev 46 to Rev 47
    Reverse comparison

Rev 46 → Rev 47

/pcie_core64_m5.vhd
78,7 → 78,8
 
 
 
 
 
 
93,7 → 94,7
use ieee.std_logic_1164.all;
 
use work.core64_type_pkg.all;
use work.pcie_core64_m4_pkg.all;
--use work.pcie_core64_m4_pkg.all;
use work.core64_pb_transaction_pkg.all;
use work.block_pe_main_pkg.all;
 
142,7 → 143,8
 
 
 
 
 
 
152,6 → 154,62
 
architecture pcie_core64_m5 of pcie_core64_m5 is
 
 
component pcie_core64_m4 is
generic (
 
 
 
 
);
port (
---- PCI-Express ----
txp : out std_logic_vector( 3 downto 0 );
txn : out std_logic_vector( 3 downto 0 );
rxp : in std_logic_vector( 3 downto 0 );
rxn : in std_logic_vector( 3 downto 0 );
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
---- BAR1 ----
 
 
 
 
);
end component;
 
component pcie_core64_m10 is
generic (
 
362,7 → 420,8
lc_wr => lc_wr,
lc_rd => lc_rd,
lc_dma_req => lc_dma_req,
lc_irq => lc_irq
lc_irq => lc_irq,
lc_rd_cfg => lc_rd_cfg
);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.