OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pcie_ds_dma/trunk/core/ds_dma64/pcie_src/components
    from Rev 2 to Rev 17
    Reverse comparison

Rev 2 → Rev 17

/coregen/ctrl_fifo512x64st_v0.ngc
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$2:044<,[o}e~g`n;"2*732(-80!<?40893456789:;8=5?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>;;1;:41=7IJ<:7<?;;069MKVR\3KOH_O31283:42<9=0BB][[:@FGVG:6;3:5=6<>2:11>LHW]]0OEL2<1;2=57=4:3CE\XZ5DH@?74<76890??4@UURVP?BHI59:6=0>3:11>JSSX\^1HBL33083:47<<3CE\XZ5DHRB86<768;087GAPTV9@LVE4:0;2<>44;KMTPR=_LK7?7>11197>LHW]]0\IO2<:1<26>2=G\^[YY4KOQC?7?699;1?6B[[PTV9@JVE4:0;2<5913950?OIX\^1hd`36283:42<>=0DYY^ZT;flqq:1;3:5>68:f:4ph}22|oml85:"309;0>>5<8>049<>1:;7?<67182057AZTQWW>agsiVidycz38;2=6>G1<2KJ:L64A@CB2DGF991JMLONA@C5EDGFIHK37LJKR@>3:<=FLMXJ0<>19:CG@WG;98427LJKR@>26;d<IMNYM1?<:1<:?DBCZH6:?364AEFQE97902KOH_O32?:8EABUI59546OKDSC?0;><IMNYM1;18:CG@WG;>720MIJ]A=5=<>GCL[K74364AEFQE9?902KOH_L30?;8EABUJ5;;245NDEPA847912KOH_L313<a?DBCZK6:?7>19:CG@WD;9:437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>99B@ATE41437LJKRC>::6=FDE30M^WAC^PFC==E]ZUBBKA>;B08G@753JBNOFQCIBGMW@YSQYO97NG;;BNH43=DDB;3<;5LLJ0440=DDB8S46MCK3Z2<53<KEA2<95LLJC6?FJLI8=0OAEN1E04?FJLI8N?;6MCK@3G<1=DDBH>7NBDB078GIMD9<1H@FJ>5:AOOAPd3JF@H[QKIWKGA0=DDBLS46MCKGZ2<55<KEX27NABMHVWAA733JEYIRIJNDPBPLIIW@DMC:5LRDCWAA7<L;1O4n5KAUC\SLBS@VKh7IO[A^UJ@QNXJ;1OE;5KI@>3:2=CAH6:<394DHC?54803MCJ0<<17:FJE9746>1OEL2>4?58@LG;9<4<7IGN<04=3>BNI5;<2:5KI@>2<;1<L@K7=409;EKB84803MCJ0?>17:FJE9466>1OEL2=2?58@LG;::4<7IGN<36=3>BNI58>2:5KI@>12;1<L@K7>:08;EKB87>9?2NBM1<6>79GMD:56>1OEL2<0?;8@LG;;80;2:5KI@>05;0<L@K7?384DHC?0;0<L@K79384DHC?2;0<L@K7;384DHC?<;0<L@K75384DH@?4;1<L@H7==08;EKA8479?2NBN1?=>69GMG:6;7=0HDL315<4?AOE48?5;6JFB=35:2=CAK6:;394DH@?5=803MCI0<716:FJF979?2NBN1<?>69GMG:597=0HDL323<4?AOE4;95;6JFB=07:2=CAK699394DH@?63803MCI0?917:FJF94?6>1OEO2=9?48@LD;:7=0HDL331<:?AOE4:;1<394DH@?74813MCI0>09;EKA81813MCI0809;EKA83813MCI0:09;EKA8=813MCI0408;EKSE969?2NB\L2>>69GMUG;:730HD^N<283:2=CAYK7?394DHRA85803MC[N1?17:FJTG:5601OE]L33;2=3>BNXK682;5KO@>3:2=CGH6:<394DNC?54803MEJ0<<17:FLE9746>1OCL2>4?58@JG;9<4<7IAN<04=3>BHI5;<2:5KO@>2<;1<LFK7=409;EMB84803MEJ0?>17:FLE9466>1OCL2=2?58@JG;::4<7IAN<36=3>BHI58>2:5KO@>12;1<LFK7>:08;EMB87>9?2NDM1<6>79GKD:56>1OCL2<0?;8@JG;;80;2:5KO@>05;0<LFK7?384DNC?0;0<LFK79384DNC?2;0<LFK7;384DNC?<;0<LFK75394DNC\V@A13MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?9?2NDNR\JG69GKUG;87=0HB^N<0<4?AIWI58556J@P@>0>5803ME[M1=17:FLTG:76>1OC]L31?58@JVE4;427IA_B=194;1<LFZI0>0<;DLB7>CIJk1NBR\\TSCN[Dd<MGUY_Y\NM^@24>@4I;LMM?H<01DE454<N>;;7KO<2G204CGA:O:M<85IAMQF6>@C;2LOO95IDBG0?CBW<2LO\H?4G29DJA7<A;1B<?5F139J67=N;;1B8?5F599JJLRWW9:37D@FTQ]35==NF@^[S=<7;HLJPUY7;11BBDZ__16;?LHN\YU;955FNHVS[50?3@DBX]Q?789JJLRT\H^N;6GAIU]342=NF@^T<<94IOKW[5403@DBXR><7:KMMQY7<>1BBDZP0458MKOSW9<<7D@FT^243>OIA]U;4:5FNHV\4<1<AGC_S=O8;HLJPZ6E?2CEEYQ?C69JJLRX8M=0ECG[_1G4?LHN\V:M;6GAIU]242=NF@^T=<94IOKW[4403@DBXR?<7:KMMQY6<>1BBDZP1458MKOSW8<<7D@FT^343>OIA]U:4:5FNHV\5<1<AGC_S<O8;HLJPZ7E?2CEEYQ>C69JJLRX9M=0ECG[_0G4?LHN\V;M;6GAIU]142=NF@^T><94IOKW[7403@DBXR<<7:KMMQY5<>1BBDZP2458MKOSW;<<7D@FT^043>OIA]U94:5FNHV\6<1<AGC_S?O8;HLJPZ4E?2CEEYQ=C69JJLRX:M=0ECG[_3G4?LHN\V8M;6GAIU]042=NF@^T?<94IOKW[6403@DBXR=<7:KMMQY4<>1BBDZP3458MKOSW:<<7D@FT^143>OIA]U84:5FNHV\7<1<AGC_S>O8;HLJPZ5E?2CEEYQ<C69JJLRX;M=0ECG[_2G4?LHN\V9M:6GAIU]B2>OIA]UI56GAIU]EMIC43@D]>6BF6:NLEACC>2FDOFKK7:NLCLEFD=1GYY<;;MWW71=K]]>?7A[[559OQQ023DYLCC;4MTZ@]f=JiceyZh||inl`?Hoig{\n~~g`n39M57=I:;1E8:5AEUULVN2<FFDN=6A7;N,55}bXD81[86^NRUc8TLHXJ\YBHUl4PHL\FPUIIDO27]EPHMWWJH5<X[O=7]]KOOG2?Tg<ZHGI=;LXESc8V@GCWOCY_Y84RDE@AD0<ZLMHIO:4RRVB0>TT\K=0^^Z[YQGf?WUSWYXBC_G[Y^Cf?WUSWYXBC_G[Y^@2?V763ZBYIJQJXUPBGQYIOJo0_E\JG^OJJZUNRL;87^GB_BMOHLUNGGUHDHMDa:QJIZBB^@IN:6]@USAF<>UWI[^JB;94SSTBHZG03ZX]MAQM6:QWEQST<2YRBN:4TSWF0>R^XL?n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!BcnwmpZeh}g~>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|d=8h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~by<:f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'moo#jl/ea,Ifirf}Uhcx`{34d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*Kdg|dSnaznu66b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ikc/f`+ae(Eje~byQlotlw10`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjq02n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$Anaznu]`kphs?<l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}2>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|d5;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQly=2=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'DidyczPcnwmpZe~484=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|dSnw32?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\g|:46?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu1:1659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8081<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWjs7:38;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6;2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>2:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}692;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>0:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6?2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>6:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6=2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}632;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>3:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6:2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>1:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{682;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>7:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6>2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>5:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6<2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>;:0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ue<=<:_hlu1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'Vd;<?;Piot21d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'je~by2?>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*eh}g~7=3;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-`kphs4;4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im cnwmp959=h1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,d`f(ck&nh#naznu>7:0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idycz35?7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|d0;0:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'moo#jl/ea,gjsi|5=59l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`lj$oo"jl/bmvjq:?6<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov\40?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idyczP14;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*eh}g~T>874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.alqkrX;<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov\00?<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idyczP54;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%kim!db-gg*eh}g~T:874U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.alqkrX?<30Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov\<27<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+HkrpVmdeciPelrw}ZVPZV9>SbQBUY]27Zi69?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$k`{w.bcqv|hb|5:5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!hmtz-gdtuqgo0<090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l1.enq}(di{xrbhz32?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+bkrp'ij~waeu>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(ods"jcT0\,di4(j9?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$k`{w.foX5X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n? glw{*bk\:T$la~ bs738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b3,chs&ngP?P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(ods"jcT4\,div(j{<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j;$z<2?>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b3,r4:768?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?31?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;97;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%h="x><3<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`5*p64;4:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$o<!y1=1=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g4)q9595=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#n? v0>7:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+f7(~86?2<8?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+efz{seiy2?>728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b0,chs&jky~t`jt=3=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)`e|r%ol|}yogw878182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d:&mfyu laspzj`r;;7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/en_5[)od;%a<8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+ajS8W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'mfW?S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5'ng~t#ib[2_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/en_1[)ody%a~;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3?4;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';7<3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!l2.t28482>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)d:&|:0<0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c3-u5949=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%}=1<11478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/b0,r4:46<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'j8$z<2<>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+s7;<7?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#{?34?366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`khv7=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(kfg{=;o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#jafnf]fiur~WY]YS>;Po^OV\Z74Wf?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&xjaRkbpu{\bgYn=11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(zhgTi`~{y^k7b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{kfSjPeo]j503<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%ym`Q}d^gm[l7Xklzdx8l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qehYulVoeSd?PcdrlpZOI^V:<<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)Je|rTkn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__><9?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&GfyuQhc1,`kphsS1WTKCJP265\kZkrpV8TCXZ<1478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:66<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by2=>478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:46<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by2;>478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:26<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by29>478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq:06<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~by27>7a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<87^m\ip~X:VE^X1?16b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0?09c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7?38j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX<XY@FMU9;:Q`_lw{[7YH]]682<?9d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7?3<81:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7?3Qmde25g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsS1WTKCJP265\kZkrpV8TCXZ34?4g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0TULBIQ=76]l[hsW;UDYY2;>04`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkr\0TULBIQ=76]l[hsW;UDYY2:>7f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<87^m\ip~X:VE^X1;117a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjq]?UVMEHR<87^m\ip~X:VE^X1816e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0;0>6b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0:09d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7;3?9c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw_=[XOGNT>:9Po^ov|Z4XG\^7439?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh<#m`uovX<XY@FMU9;:Q`_lw{[7YH]]632R]X0468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqY6==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexR<:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[6333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~T88:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]611=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|V<>86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_677?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il0/alqkrX0<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1=2=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb2-gjsi|Vn:0<0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7;:7?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0>0:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=1:1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb64<4>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k9$hcx`{_e3?2;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<28>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc95259:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj:%ob{at^f2[53d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q?_erlp01<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa3*firf}Uo=R?:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo= lotlw[a7X:<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^163>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T8894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni;"naznu]g5Z32?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg5(dg|dSi?P64a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm?.bmvjqYc9V<Th}a{569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmpZb6W>?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad8'idyczPd0]4[avh|<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be7&je~byQk1^:6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T4Rjou57?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.Onq}YuidUmyabPcnoa[BHCW;39SbQBUY]21Zi69?:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.bcqv|hb|5:5:=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!hmtz-gdtuqgo0<090:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.enq}(di{xrbhz32?43?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+bkrp'ij~waeu>0:37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT0\,di4(j9?;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$k`{w.foX5X(`ez$f;?4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> glw{*bk\:T$la~ bs738Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,chs&ngP?P hmr,nw37<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(ods"jcT4\,div(j{<?0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j:$z<2?>448Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,r4:768?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i;#{?31?75?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a3+s7;97;>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"x><3<62>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*p64;4:985Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$o=!y1=1=13=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g5)q9595=8;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> v0>7:00<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f6(~86?2<8?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+efz{seiy2?>728Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,chs&jky~t`jt=3=25=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,g4)`e|r%ol|}yogw878182_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&mfyu laspzj`r;;7<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_5[)od;%a<8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/fov|+ajS8W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+bkrp'mfW?S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6'ng~t#ib[2_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#jczx/en_1[)ody%a~;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"m>/w3?4;313\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*e6';7<3?:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.t28482>2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&|:0<0>549V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} c0-u5949=?1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(k8%}=1<11478Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,r4:46<<0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'j;$z<2<>076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.a2+s7;<7?=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&i:#{?34?366>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`khv7=;1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(kfg{=;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZehekULBIQ=93]l[HS_W8?Tc8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZ`eW`?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&xjaRhzlm]j0c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&xjaRy}_dl\m4123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Onq}Y`kj:%kn>!cnwmp^>ZWNDOS?7?_n]nq}Y5WF__><9:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GfyuQhcb2-cf6)kfexV6R_FLG[7?7WfUfyuQ=_NWW743f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{<0<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf6)kfex1<15`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}6828o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr;<7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw8082i2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at=4=1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~by28>4c8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`4+eh}g~7439>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS1WTKCJP282\kZkrpV8TCXZ31?52?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW5SPGOF\6<6XgVg~tR<POTV?6;163\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[9_\CKBX:0:TcRczx^0\KPR;;7=87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw_=[XOGNT>4>Po^ov|Z4XG\^7?3?>739V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}Q3QRIAD^0:4ZiXe|rT>RAZT=1=620<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad8'idyczT8\]DJAY519UdS`{w_3]LQQ:46Vhoh=9>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsS1WTKCJP282\kZkrpV8TCXZ34?51?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW5SPGOF\6<6XgVg~tR<POTV?0;7092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{atZ:^[BHCW;3;SbQbuy]1[JSS4<4<>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmp^>ZWNDOS?7?_n]nq}Y5WF__080>709V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa3*firf}Q3QRIAD^0:4ZiXe|rT>RAZT=4=37=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~byU7]^EM@Z4>8VeTaxvP2^MVP9099>;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uovX<XY@FMU95=Q`_lw{[7YH]]6<2:<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr\0TULBIQ=91]l[hsW;UDYY28>052?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dW5SPGOF\6<6XgVg~tR<POTV?<;123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{[9_\CKBX:0:TcRczx^0\KPR;07UX[=;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW8?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[73>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_27:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS9;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsW<?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[33>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_67:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg5(dg|dS5;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7<3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7=3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7>3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7?3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;783;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;793;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7:3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;7;3;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;743;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc1,`kphsWm;T<8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkrXl8U:9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm?.bmvjqYc9V8>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn>!cnwmpZb6W:?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo= lotlw[a7X<<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh<#m`uov\`4Y2=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni;"naznu]g5Z02k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj:%ob{at^f2[23d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k9$hcx`{_e3\<05<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%|~R~ats]shpu6494i:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+sgkam$hy| r`ookjv\8T$ym` }/r4\jjoia}$ym`!\DQ,PMHVKMVZYE@?7.scng5=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$ln`i!gcode+h`mji%n`i gocwmsceen$emygye^`ooZkbeVmnb"xnlhf-gvru'{kf`ba[1_-vdk)z&y=Scafnhv-vdk([MZ%_DC_LD]SVLK60'xjaRgastnbp`6d82_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#immf,dfhaf&gmnon mmf-djdrn~lhfk#`nthtf[gjlWdofSjka/wcoma(d{}x$~lcconrX4X(uid$y#~8Pnnkmmq(uid%XH] \ILROAZVUAD;3"ob_hlpqigsm8h=7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&dof#jlb.f`nc+aeenk%bjklc/`nc*aii}c}ioch.ocwmscXjeaTahcPgdl,rdjnl'ixx!}alnlku]6U'xja#| s7]mklhn|'xja"]KP/QJIUJBWYXBA<6!r`o`4>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#{ocie,`wqt(zhggcb~T1\,qeh(u'z<Tbbgaiu,qeh)TLY$XE@^CE^RQMH7?&{kfSd`|umcwa5e73\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY2Y+tfe'x$;Qaohljp+tfe&YO\#]FMQNF[UTNE82%~lcPioqvhdrb9<;0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)elg$koc!tlr\vdkXzmUnb8<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ahc gco-phvXzhgT~iQjn010?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}al]tvZci<j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$bjy}dsqw*btt|'xoS}{_sgd8583k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde?6;2e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef]30g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+tcW{ySkh_36`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}Uyij2?>5a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|Vxnk1?14c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{olS=:m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!ws]qwqYumnU:?i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae re]qwqYf{{ol8=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae re]qwqYf{{olSi?;0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-q`Ztt|Vkx~hiPd31`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySnabb2f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm1268Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"}}su]j[lhq;o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+rtXxg~y0=0Piot2[LHQW9i0Y^K]_WKPMGJB;2\HO45YIDU\P\VB>2]NM1>16:UFE979>2]NM1<18:UFE95=87<0[HO33?48S@D;87<0[HL31?48S@D;:720[HL33;2=2>QBJ595o6Y]IUG\IJBBWHi0[_G[E^OL@@YE9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLD5l2RXXROKV@L@AAYJIJXDSLMLU^@BWLEXEHIYCROKDRWA[C@03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ0<PmhTEih4Xej\Twoj^lxxeb`>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}90Uh}70:]\[]JIEVUT<RQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il0/alqkr\0TULBIQ=91]l[hsW;UDYY2<>35a?ZYXPEDFSRQ>_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn>!cnwmp^>ZWNDOS?98_n]nq}Y5WF__0>0=829\[Z^KFDUTS?QP_T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GfyuQhcb2-cf6)kfexV6R_FLG[7?7WfUfyuQ=_NWW64>73VUTTA@B_^]0[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be7&je~byU7]^EM@Z4>8VeTaxvP2^MVP9099>o0SRQWLOO\[Z2XWV_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Nip~Xoj:%ob{atZ:^[BHCW;=<SbQbuy]1[JSS:8=i7RQPXMLN[ZY2WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf6)kfexV6R_FLG[710WfUfyuQ=_NWW8386>h1TSRVCNL]\[3YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`4+eh}g~Th<Q7_erlp3g<WVUS@CCP_^5\[ZS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc1,`kphsWm;T:Rjou3;?gjlWdofSjka_fgmawodWjy~<64bmi\i`kXoldT|gb_vkgpmY6911i`fQbel]dakYwz`gT{dj{h^02`>dkcVgnaRijn^rqmhYpzhz{iQ|6^32`>dkcVgnaRijn^rqmhYpzhz{iQ|6^0e?gjlWdofSjka_ums51=edbUfi`Qheo]u2Z4Xpz~:?6lck^ofiZoix|~Tnaalk068fimXelgTcxzuu]ahjel;2igg:5lolrbv`733j~y`Rhffn726}03{}U}<<>n3:awviYaaoe>=?v94rv\r5*aaoeTkh`jr`vlvZp?W;&poRokd^ldgZehfz~ymd`{=1.`[dvwd`ijxdaa_u{saZgaz7; nQnpqnjgdrnggUu}kPr`ak95*dWhz{`dmnthmm[qwmVxooe3?,b]btujnkh~bccQ{yqg\sdeo59&hSl~lhabpliiW}s{iRykci?3(fYfxyfbolzfoo]w}ucX{ic1="l_`zj[dbczV}bhyf233.`[d~nWhx~h|Pwhfwl87+kVkseRoxurgq[roc|a7: nQnxh]aqvcuW~coxe3>,b]b|lYci}kT{dj{h<47(fYfp`UbhRyfduj>0)eXiqcT~x}jr^uj`qn:9%iTmugPtxrf95*dWhrbSz{|es]tmaro58&hSlvfs^vgeqgX`nd08;,b]b|luX|moxxRyfduj>0)eXiqcxSygk_vkgpm;?$jUjtd}PtjgftZqnl}b68!mPaykp[quszkU|eizg=5.`[d~n{V~~h|Pwhfwl82+kVkse~Q{yqg>4)eXkfgfccQllnah94*dWje~byQ{yqg>4)eXlh~jSnaznu]tmaro50&hSikiatnw[sgk}l7I`l`dSupjjb*dWmceSzgkti?50)eXl`dT{dj{h^c{mv;6$jUoecQxievk[wgd`499 nQkio]tmaroW{nhd08;,b]gmkYpam~cSzolh<11(fYcagU|eizg_vf`l803$jUoecQxievk[rtd`48'oRj`uu]qwqYqie7; nQkotv\slbs`4<? nQjn``oaZtkgjy6<!mPeocah`Yu{}Uxucm21-a\awthzVcefhm{inl\p|vb59&hSh|}os]jjocd|`eeSywe^c{mv;7$jUn~a}_hliafrnggUu}kPr`ak95*dWlxycQfnkg`pliiW}s{iR|kci?3(fYbz{eySd`eebvjkkYsqyoT{lmg=1.`[`tug{Ubbgklthmm[qwmV}ooe3?,b]fvwiuW`dainzfoo]w}ucX{ic1="l_gcnmi:{ykyxl`9,b]eqijXneklR||t^tbh86+kVbjRocmnqw[`kw|p7; nQgar]bhhit|Vl~`a3?,b]kevYfp`Uj~x}jr<2/gZnf{VkseRoxurgq95*dWakxSlvf_cwpaw;7$jUcm~Qnxh]q`Zeoigdn`0>#c^jbwZgaVx~h|20-a\lduXiqcT{Qlh`lmai;7$jUcm~Qnxh]tqvcu59&hSeo|_`zjwZrci}k6<!mPh`q\e}otW}nny3?,b]kevYfp`yTxdj20-a\lduXiqcxSyejeq?3(fYoizUjtd}Ptmcpp86+kVbjRowir]wv`gcq4;'oRfns^c{mvYs{}xi1="l_icp[d~n{V~~h|20-a\lduXjhi`y}3?,b]kevYci}kTob{at<3/gZnf{VnjxlQlotlwwZgaz7; nQgar]geqgXkfex~Q}abj>4)eX`hyThlzn_bmvjquXzmic1="l_icp[agsiVidycz|_vc`l86+kVbjRjnt`]`kphs{V}ooe3?,b]kevYci}kTob{atr]tvfn:8%iTdl}Piov\gim:8%iTdl}Pm`qwawYdm4:'oRfns^ofilhn|Vlb`h3?,b]kevYh~lxm`by20-a\lduXx{elSkbngr]b|lu:8%iTdl}Ppsmd[cjfozUymnf20-a\lduXx{elSkbngr]q`fn:8%iTdl}Ppsmd[cjfozU|mnf20-a\lduXx{elSkbngr]t`fn:8%iTdl}Ppsmd[cjfozU|~nf20-a\lduXzmUomyoPcnwmp86+kVbjR|k_sqw95*dWakxS}{=0.`[mgtWzfjzhQle<2/gZnf{Vyyy3?,b]kevYrfmoyjaax=1.`[mgtWkgei3?,b]kevYpzVkhg0>#c^jbwZquWmkmRm`uov>4)eX`hyT{Q}su?3(fYneyfnah`{aukljZr~xl7; nQfmqnfi`hsi}cdbRzvpd]b|lu:9%iTe`~celgmpdrnggUu}kPr`ak94*dW`g{`hcjnucwmjhX|pznSjlh<3/gZojxeoficznthmm[qwmV}joe3>,b]jiujbeldmyg`n^vzt`Ypljb6=!mPilroahci|h~bccQ{yqg\sweo58&hSd`ft^uq[uhszV|j`0>#c^kmp`taijoTxt~j=1.`[hcjg{sTxt~j=0.`[hoaWocgiR`nmd?Ahdhl[}xbbj"l_lqdkkYqie7: nQ`puknmgsafdTabjj=1.`[jpbzofd{Rb`w<2/gZvumeejhRbntdl`}87+kVzyiaand^pfcv;7$jU{~dcPfhdl[qwm4?:>u9=,b]svjaXmdzuRzgrdqk[dutm{~Tzlb22-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_`zjw877:;&hS}|`g^gntqX|axneQnsrgqpZpfdVxjoe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]q`fn:9989 nQrne\ahvsqV~c~h}g_`qpawrX~hfT{lmg=0216)eXx{elShctx]wlwct`Vkxh|{_wco[rbd`4;;>?"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Ry}ci?2474+kVzycjQjmqvz[qnumzbTbhintd]uei;4$jU{~biPelrw}Zr~xl7; nQrne\ahvsqV~r|hQnxhq>1)eXx{elShctx]w}ucXzhic18"l_qplcZcjx}sTxt~j_sf`l83+kVzycjQjmqvz[qwmV}joe3:,b]svjaXmdzuRzvpd]t`fn:=%iT|ah_dosp|YsqyoT{mg=4.`[uthoVl~`aQ{hsgplZgt{lxS{oc=433(fYwzfmTjxbc_ujqavnXizyn~yQyam]b|lu:9988 nQrne\bpjkW}byi~fParqfvqYqieUymnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]q`fn:9988 nQrne\bpjkW}byi~fParqfvqYqieU|mnf21100(fYwzfmTjxbc_ujqavnXizyn~yQyam]t`fn:9988 nQrne\bpjkW}byi~fParqfvqYqieU|~nf21100(fYwzfmTjxbc_ujqavnXflmjxhQyam?64<*dWyxdkRhzlm]w}uc:8%iT|ah_gwohZr~xlUjtd}25-a\twi`Wog`Rzvpd]qefn:=%iT|ah_gwohZr~xlUyhnf25-a\twi`Wog`Rzvpd]tefn:=%iT|ah_gwohZr~xlU|hnf25-a\twi`Wog`Rzvpd]tvfn:=%iT~lmg_u{sa86+kVxoSio{a^alqkrX`nd07#c^pg[acw|a7>=?"l_sf\bwcv58&hSjPpovq[roc|a72 nQ}dbj\p|vb59&hSkh_rnjg`YjgmoTmug|=1.`[wc`WzfbohQboeg\vdeo59&hSkh_rnjg`YjgmoT~img=1.`[wc`WzfbohQboeg\sdeo59&hSkh_rnjg`YjgmoT{img=1.`[wc`WzfbohQboeg\sweo59&hSx`kesdokrYkg~7; nQzsd]`khkhfVe}ihcov?3(fYr{lUhc`c`n^wm``tadf}6<!mPurg\```f}e~Thz{ioep95*dW|ynSiazt^ppp87+kVxiRklc<2/gZstmVohoRowir?3(fYr{lUnonQ}abj>4)eX}zoTinmPreak95*dW|ynShml_vc`l86+kVxiRklc^uggm;7$jU~hQjcb]tvfn:8%iTy~kPel`f`accW{ol1="l_tqf[coag8<Tjaohs<2/gZstmVl|jyQkauc\gjsi|4:'oRxnlhf\hjq:8%iT{lmg_u{sa86+kV}ooeQ{yqg>4)eX{UjofQcov?3(fYpzVnjxlQlotlw[roc|a72 nQxr^fftqn:=88'oRy}_egspmYfp`y6==<;,b]tvZbbx}bT~lmg=04/gZquWmo{xeQ}dbj>5543$jU|~Rjjpuj\sdeo58<'oRy}_egspmYpljb6==<;,b]tvZbbx}bT{mg=04/gZquWoxn}0?#c^uq[uhszV}bhyf29-a\swYwf}xT{dj{h^c{mv;68%iT{Qnup\slbs`Vxjoe3;,b]tvZvi|{U|eizg_sf`l877$jU|~R~ats]tmaroW~khd0:#c^uq[uhszV}bhyfPweak946+kV}yS}`{r^uj`qnX{ic19"l_vp\v`uwggynSao{eoaz94*dW~xhdRzvpd?3y7d<k}xgSkgio431|32t|V|; kgio^efj`tf|fxTz5Q=,zg?agsiVidycz30?f8`drfWje~by2>>e9geqgXkfex1<1d:fbpdYdg|d0>0k;ecweZeh}g~783j4d`vb[firf}6>2i5kauc\gjsi|5<5h6jnt`]`kphs4>4m7io{a^alqkr;03:5h6jnt`]`kphs414>7imPsr18`ak13mce0=08;ekm8469?2nbb1?>>69gmk:6:7=0hd`312<4?aoi48>5;6jfn=36:2=cag6::394dhl?52803mce0<617:fjj97>6?1oec2>>69gmk:587=0hd`320<4?aoi4;85;6jfn=00:2=cag698394dhl?60803mce0?817:fjj9406>1oec2=8?58`lh;:04=7iga<3<4?aoi4::5;6jfn=12:2=cag68>394dhl?76803mce0>:17:fjj9526>1oec2<6?58`lh;;>4<7iga<2:=3>bnf5922;5kio>0:2=cag6?<394dhl?04803mce09<17:fjj9246>1oec2;4?58`lh;<<4<7iga<54=3>bnf5><2:5kio>7<;1<l`d78409;ekm81803mce08>17:fjj9366>1oec2:2?58`lh;=:4<7iga<46=3>bnf5?>2:5kio>62;1<l`d79:08;ekm80>9?2nbb1;6>79gmk:26>1oec290?58`lh;>84<7iga<70==>bnf5<86=08;ekm8359>2nbb1816:fjj919>2nbb1616:fjj9?9?2ndyy2?>99gkpr;99437iazt=32:==cg|~7=?07;emvp974611ocxz315<;?air|5;>255kotv?538?3me~x1?8>99gkpr;91437iazt=3::2=cg|~7=364dnww876902ndyy2=1?:8`jss4;8546j`uu>17;><lf0?:18:flqq:5=720hb{{<34=<>bh}}69;364dnww87>902ndyy2=9?58`jss4;437iazt=13:==cg|~7?<07;emvp955611ocxz332<;?air|59?255kotv?708?3me~x1=9>99gkpr;;>437iazt=1;:==cg|~7?408;emvp95902ndyy2;0?:8`jss4=;546j`uu>76;><lf09=18:flqq:3<720hb{{<57=<>bh}}6?:364dnww811902ndyy2;8?:8`jss4=35;6j`uu>7:==cg|~79=07;emvp936611ocxz353<;?air|5?8255kotv?118?3me~x1;:>99gkpr;=?437iazt=74:==cg|~79507;emvp93>6>1ocxz35?:8`jss4?:546j`uu>55;><lf0;<1a:flqq:1;3:546j`uu>57;1<lf0;08;emvp919?2ndyy27>69gkpr;17?0i`~{y048bl`hWnoeio{os]qeh`nnf;97kgio^efj`tf|fxTxb~>3:djbjY`mgoymya}_w:\67`<n`ldSjkaescwkwYq0V8',Ugcioz#GJTB(Noeio{os"27+46?2lbjbQheogqeqiuW2T>Rv|t59eqij>3`d{yyQmlj48i`khzp20bjmmuhnge>ir|ySobdb:pg[goiWjd~85}d^gm<>tcWeelen?=;sf\wqgs}zUm`li|_rq25>tb{l~TnaePr`oemci43{y56{do]`jqte3~xTnd`Pcovq1>quWld37z|Plnejg44<{Uxxlzzs^doebuX{zwKL}967:BC|7bd2O0?6<u\5g81g2<6?<0:??jjac82f2`dsg8h97?4n3a5>3=#:j91>om4}R7g>7e028=>6<==ddca>4d?<91X=;=536494?74:mojn7?m8568W0b=;><1<7?<2egbf?7e0=?0h?jl:182>4}T=o09o:4>748277bbik0:n5=k;wV2<f<7280:6<?i{R7e>7e028=>6<==ddca>4d?;m1/>lh51778R7e32;q~=;j51:w22`<73t.:;:4>9:`1`f<721l15i4>1gyK6db<,8386?jl;[67>6}6>3;<6<h5}%0`6?5092.?;7<ke:&6a?4cl2.:444>;%3;<?4d02c8>:4?:%34=?55>2d:;54?;:k060<72-;<57==6:l23=<632c8>94?:%34=?55>2d:;54=;:k066<72-;<57==6:l23=<432c8><4?:%34=?55>2d:;54;;:k065<72-;<57==6:l23=<232c8=k4?:%34=?55>2d:;549;:k05`<72-;<57==6:l23=<032c8=i4?:%34=?55>2d:;547;:k05f<72-;<57==6:l23=<>32c8=o4?:%34=?55>2d:;54n;:k05d<72-;<57==6:l23=<e32c8=44?:%34=?55>2d:;54l;:k05=<72-;<57==6:l23=<c32c8=;4?:%34=?55>2d:;54j;:k050<72-;<57==6:l23=<a32c8=94?:%34=?55>2d:;54>0:9j745=83.:;44<279m52>=9810e>?=:18'52?=;;<0b<97:008?l5693:1(<96:205?k7003;876g<1183>!701399:6`>79820>=n;9l1<7*>788063=i9>21=854i22f>5<#9>31??84n05;>40<3`9;h7>5$05:>6413g;<47?8;:k04g<72-;<57==6:l23=<6021b?=o50;&23<<4:?1e=:651898m66>290/=:753348j41?28k07d=?8;29 41>2:8=7c?88;3a?>o48>0;6)?89;112>h6?10:o65f31494?"6?008>;5a16:95a=<a::>6=4+16;9770<f8=36<k4;h130?6=,8=26><9;o34<?7a32c8<>4?:%34=?55>2d:;54=0:9j754=83.:;44<279m52>=:810e>>?:18'52?=;;<0b<97:308?l4an3:1(<96:205?k70038876g=fd83>!701399:6`>79810>=n:on1<7*>788063=i9>21>854i3d`>5<#9>31??84n05;>70<3`8mn7>5$05:>6413g;<47<8;:k1bd<72-;<57==6:l23=<5021b>k750;&23<<4:?1e=:652898m7`?290/=:753348j41?2;k07d<i7;29 41>2:8=7c?88;0a?>o5n<0;6)?89;112>h6?109o65f2g694?"6?008>;5a16:96a=<a;l86=4+16;9770<f8=36?k4;h0e6?6=,8=26><9;o34<?4a32c9j<4?:%34=?55>2d:;54<0:9j6c6=83.:;44<279m52>=;810e?ki:18'52?=;;<0b<97:208?l4bm3:1(<96:205?k70039876g=ee83>!701399:6`>79800>=n:li1<7*>788063=i9>21?854i20a>5<#9>31??84n05;>60<3`99m7>5$05:>6413g;<47=8;:k06<<72-;<57==6:l23=<4021b??650;&23<<4:?1e=:653898m645290/=:753348j41?2:k07d=>7;29 41>2:8=7c?88;1a?>o48j0;6)?89;112>h6?108o65f31394?"6?008>;5a16:97a=<a;l=6=4+16;9770<f8=36>k4;h0ff?6=,8=26><9;o34<?5a32c9hl4?::k03a<722c84=4?::k03<<722e8:54?:%34=?51?2d:;54?;:m023<72-;<57=97:l23=<632e8:84?:%34=?51?2d:;54=;:m021<72-;<57=97:l23=<432e8:?4?:%34=?51?2d:;54;;:m024<72-;<57=97:l23=<232e8:=4?:%34=?51?2d:;549;:m01c<72-;<57=97:l23=<032e89h4?:%34=?51?2d:;547;:m01a<72-;<57=97:l23=<>32e89n4?:%34=?51?2d:;54n;:m01g<72-;<57=97:l23=<e32e89l4?:%34=?51?2d:;54l;:m01<<72-;<57=97:l23=<c32e89:4?:%34=?51?2d:;54j;:m013<72-;<57=97:l23=<a32e8984?:%34=?51?2d:;54>0:9l702=83.:;44<669m52>=9810c>;<:18'52?=;?=0b<97:008?j52:3:1(<96:244?k7003;876a<5083>!70139=;6`>79820>=h;<:1<7*>788022=i9>21=854o26e>5<#9>31?;94n05;>40<3f9?i7>5$05:>6003g;<47?8;:m00f<72-;<57=97:l23=<6021d?9l50;&23<<4>>1e=:651898k62f290/=:753758j41?28k07b=;9;29 41>2:<<7c?88;3a?>i4<10;6)?89;153>h6?10:o65`35594?"6?008::5a16:95a=<g:>=6=4+16;9731<f8=36<k4;n171?6=,8=26>88;o34<?7a32e8894?:%34=?51?2d:;54=0:9l715=83.:;44<669m52>=:810c>:>:18'52?=;?=0b<97:308?j5383:1(<96:244?k70038876a<3g83>!70139=;6`>79810>=h;:o1<7*>788022=i9>21>854o21g>5<#9>31?;94n05;>70<3f98o7>5$05:>6003g;<47<8;:m07g<72-;<57=97:l23=<5021d?>o50;&23<<4>>1e=:652898k65>290/=:753758j41?2;k07b=<8;29 41>2:<<7c?88;0a?>i4;?0;6)?89;153>h6?109o65`32794?"6?008::5a16:96a=<g:9?6=4+16;9731<f8=36?k4;n107?6=,8=26>88;o34<?4a32e8??4?:%34=?51?2d:;54<0:9l767=83.:;44<669m52>=;810c>=?:18'52?=;?=0b<97:208?j55n3:1(<96:244?k70039876a<2d83>!70139=;6`>79800>=h;;n1<7*>788022=i9>21?854o24`>5<#9>31?;94n05;>60<3f9=n7>5$05:>6003g;<47=8;:m02d<72-;<57=97:l23=<4021d?;750;&23<<4>>1e=:653898k604290/=:753758j41?2:k07b=:8;29 41>2:<<7c?88;1a?>i4<m0;6)?89;153>h6?108o65`35094?"6?008::5a16:97a=<g:9<6=4+16;9731<f8=36>k4;n11g?6=,8=26>88;o34<?5a32e9i54?:%34=?4b?2d:;54?;:m1a3<72-;<57<j7:l23=<632e9i84?:%34=?4b?2d:;54=;:m1a1<72-;<57<j7:l23=<432e9i>4?:%34=?4b?2d:;54;;:m1a7<72-;<57<j7:l23=<232e9i<4?:%34=?4b?2d:;549;:m1a5<72-;<57<j7:l23=<032e9hk4?:%34=?4b?2d:;547;:m036<722e8:i4?::`1g4<7280;6=u+18196dc<@;hn7E<nd:m233<722wi>n>50;394?6|,8386<>k;I0aa>N5im1d==m50;9~f4?b290?6=4?{%3:7?71k2B9nh5G2`f8m1>=831b:94?::k2<2<722e:;94?::a5d`=8391<7>t$0;0>7dc3A8ii6F=ae9j0=<722c::?4?::m231<722wi=4h50;694?6|,8386<8l;I0aa>N5im1b854?::k50?6=3`;3;7>5;n340?6=3th:n=4?:283>5}#9091>oj4H3`f?M4fl2c?47>5;h356?6=3f;<87>5;|`2e5<72=0;6=u+181953e<@;hn7E<nd:k7<?6=3`<?6=44i0:4>5<<g8=?6=44}c3a5?6=;3:1<v*>9281fa=O:ko0D?ok;h6;>5<<a8<96=44o057>5<<uk;j=7>54;294~"61:0::n5G2cg8L7gc3`>36=44i7694?=n91=1<75`16694?=zj8h96=4<:183!7>;38ih6F=bd9K6db<a=21<75f17094?=h9>>1<75rb0c1>5<3290;w)?63;35g>N5jl1C>lj4i5:94?=n>=0;66g>8683>>i6?=0;66sm1c194?5=83:p(<7<:3`g?M4em2B9mi5f4983>>o6>;0;66a>7583>>{e9h91<7:50;2x 4?428<h7E<me:J1ea=n<10;66g94;29?l7??3:17b?84;29?xd6j=0;6>4?:1y'5<5=:kn0D?lj;I0b`>o303:17d?92;29?j70<3:17pl>a583>1<729q/=4=517a8L7db3A8jh6g;8;29?l032900e<68:188k4132900qo?m5;297?6=8r.:5>4=be9K6gc<@;ko7d:7:188m4052900c<9;:188yg7f=3:187>50z&2=6<6>j1C>ok4H3cg?l2?2900e;:50;9j5=1=831d=::50;9~f4d129086=4?{%3:7?4el2B9nh5G2`f8m1>=831b=;<50;9l522=831vn<l8:180>5<7s-;2?7<md:J1f`=O:hn0e9650;9j534=831d=::50;9~f4e4290>6=4?{%3:7?7712B9nh5G2`f8 47c2;1b884?::k72?6=3`?h6=44i7294?=h9>n1<75rb0a7>5<2290;w)?63;33=>N5jl1C>lj4$03g>7=n<<0;66g;6;29?l3d2900e;>50;9l52b=831vn<m::186>5<7s-;2?7??9:J1f`=O:hn0(<?k:39j00<722c?:7>5;h7`>5<<a?:1<75`16f94?=zj8i=6=4::183!7>;3;;56F=bd9K6db<,8;o6?5f4483>>o3>3:17d;l:188m36=831d=:j50;9~f4e0290>6=4?{%3:7?7712B9nh5G2`f8 47c2;1b884?::k72?6=3`?h6=44i7294?=h9>n1<75rb0a;>5<2290;w)?63;33=>N5jl1C>lj4$03g>7=n<<0;66g;6;29?l3d2900e;>50;9l52b=831vn<m6:186>5<7s-;2?7??9:J1f`=O:hn0(<?k:39j00<722c?:7>5;h7`>5<<a?:1<75`16f94?=zj8ij6=4::183!7>;3;;56F=bd9K6db<,8;o6?5f4483>>o3>3:17d;l:188m36=831d=:j50;9~f4ee290>6=4?{%3:7?7712B9nh5G2`f8 47c2;1b884?::k72?6=3`?h6=44i7294?=h9>n1<75rb0d4>5<3290;w)?63;33e>N5jl1C>lj4$03g>2=n<<0;66g90;29?l70j3:17b?8d;29?xd6n?0;694?:1y'5<5=99k0D?lj;I0b`>"69m0<7d:::188m36=831b=:l50;9l52b=831vn?;j:187>5<7s-;2?7??8:J1f`=O:hn0(<?k:39j00<722c>o7>5;h43>5<<g8=o6=44}c06`?6=<3:1<v*>92824==O:ko0D?ok;%32`?4<a=?1<75f5b83>>o183:17b?8d;29?xd5i10;6>4?:1y'5<5=99=0D?lj;I0b`>N3;2.::o4>939'54b=:2c?97>5;h43>5<<g8=o6=44}c0b3?6=;3:1<v*>928242=O:ko0D?ok;I60?!71j3;2>6*>1e81?l222900e;>50;9l52b=831vn?o9:186>5<7s-;2?7??b:J1f`=O:hn0D9=4$04a>4?53`>>6=44i5494?=n>90;66g>7c83>>i6?m0;66sm2`394?2=83:p(<7<:02b?M4em2B9mi5+10f93>o3=3:17d8?:188m41e2900c<9k:188yg4f83:187>50z&2=6<68h1C>ok4H3cg?!76l3=0e9;50;9j25<722c:;o4?::m23a<722wi>l;50;694?6|,8386<>n;I0aa>N5im1/=<j57:k71?6=3`<;6=44i05a>5<<g8=o6=44}c0b0?6=<3:1<v*>92824d=O:ko0D?ok;%32`?1<a=?1<75f6183>>o6?k0;66a>7e83>>{e:=o1<7:50;2x 4?428<h7E<me:J1ea=n<10;66g94;29?l7??3:17b?84;29?xd5<m0;694?:1y'5<5=9?i0D?lj;I0b`>o303:17d8;:188m4>02900c<9;:188yg43k3:187>50z&2=6<6>j1C>ok4H3cg?l2?2900e;:50;9j5=1=831d=::50;9~f72e290?6=4?{%3:7?71k2B9nh5G2`f8m1>=831b:94?::k2<2<722e:;94?::a61`=83>1<7>t$0;0>40d3A8ii6F=ae9j0=<722c=87>5;h3;3?6=3f;<87>5;|`17g<72=0;6=u+181953e<@;hn7E<nd:k7<?6=3`<?6=44i0:4>5<<g8=?6=44}c00e?6=<3:1<v*>92822f=O:ko0D?ok;h6;>5<<a?>1<75f19594?=h9>>1<75rb31:>5<3290;w)?63;35g>N5jl1C>lj4i5:94?=n>=0;66g>8683>>i6?=0;66sm22:94?2=83:p(<7<:04`?M4em2B9mi5f4983>>o1<3:17d?77;29?j70<3:17pl=3b83>1<729q/=4=517a8L7db3A8jh6g;8;29?l032900e<68:188k4132900qo?j7;290?6=8r.:5>4>6b9K6gc<@;ko7d:7:188m32=831b=5950;9l522=831vn<k9:187>5<7s-;2?7?9c:J1f`=O:hn0e9650;9j21<722c:4:4?::m231<722wi=h;50;694?6|,8386<8l;I0aa>N5im1b854?::k50?6=3`;3;7>5;n340?6=3th:i94?:583>5}#9091=;m4H3`f?M4fl2c?47>5;h47>5<<a82<6=44o057>5<<uk;n47>54;294~"61:0::n5G2cg8L7gc3`>36=44i7694?=n91=1<75`16694?=zj8n?6=4;:183!7>;3;=o6F=bd9K6db<a=21<75f6583>>o60>0;66a>7583>>{e9m91<7:50;2x 4?428<h7E<me:J1ea=n<10;66g94;29?l7??3:17b?84;29?xd6l;0;694?:1y'5<5=9?i0D?lj;I0b`>o303:17d8;:188m4>02900c<9;:188yg7c93:187>50z&2=6<6>j1C>ok4H3cg?l2?2900e;:50;9j5=1=831d=::50;9~f4b2290?6=4?{%3:7?71k2B9nh5G2`f8m1>=831b:94?::k2<2<722e:;94?::a667=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm23d94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>?j50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:;i1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a67d=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm23c94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>?750;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:;21<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a670=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm20a94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi><l50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:8k1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a64?=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm20:94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi><950;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:8<1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a643=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm28194?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>4<50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:0;1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a6<6=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm29d94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>5k50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:1n1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a6=e=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm29`94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>5?50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:1:1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a62`=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm26g94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi>:j50;794?6|,8386<>6;I0aa>N5im1/=<j52:k71?6=3`>=6=44i4a94?=n>90;66a>7e83>>{e:>i1<7;50;2x 4?428:27E<me:J1ea=#98n1>6g;5;29?l212900e8m50;9j25<722e:;i4?::a62d=83?1<7>t$0;0>46>3A8ii6F=ae9'54b=:2c?97>5;h65>5<<a<i1<75f6183>>i6?m0;66sm26c94?3=83:p(<7<:02:?M4em2B9mi5+10f96>o3=3:17d:9:188m0e=831b:=4?::m23a<722wi=k650;194?6|,8386<89;I0aa>N5im1/=<j5a:k24c<722c:==4?::m231<722wi>8h50;194?6|,8386<89;I0aa>N5im1/=<j5a:k24c<722c:==4?::m231<722wi>4m50;694?6|,8386<88;I0aa>N5im1/=<j5479j55`=831b=<>50;9j547=831d=::50;9~f7gf29086=4?{%3:7?71>2B9nh5G2`f8 47c2h1b==h50;9j546=831d=::50;9~f730290>6=4?{%3:7?7102B9nh5G2`f8 47c28h0e<>i:188m4772900e<?>:188m4752900c<9;:188yg42=3:197>50z&2=6<6>11C>ok4H3cg?!76l3;n7d??f;29?l7683:17d?>1;29?l76:3:17b?84;29?xd5=:0;684?:1y'5<5=9?20D?lj;I0b`>"69m0:o6g>0g83>>o6990;66g>1083>>o69;0;66a>7583>>{e:<31<7=50;2x 4?428<=7E<me:J1ea=#98n1=i5f11d94?=n98:1<75`16694?=zj;>?6=4::183!7>;3;=46F=bd9K6db<,8;o6<m4i02e>5<<a8;;6=44i032>5<<a8;96=44o057>5<<uk8?>7>55;294~"61:0::55G2cg8L7gc3-;:h7?j;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n340?6=3th98=4?:483>5}#9091=;64H3`f?M4fl2.:=i4>e:k24c<722c:==4?::k254<722c:=?4?::m231<722wi>>k50;794?6|,8386<87;I0aa>N5im1/=<j51d9j55`=831b=<>50;9j547=831b=<<50;9l522=831vn?:9:180>5<7s-;2?7?96:J1f`=O:hn0(<?k:0f8m46a2900e<??:188k4132900qo?i0;291?6=8r.:5>4>699K6gc<@;ko7)?>d;3f?l77n3:17d?>0;29?l7693:17d?>2;29?j70<3:17pl>ed83>0<729q/=4=517:8L7db3A8jh6*>1e82f>o68o0;66g>1183>>o6980;66g>1383>>i6?=0;66sm1da94?3=83:p(<7<:04;?M4em2B9mi5+10f95f=n99l1<75f10294?=n98;1<75f10094?=h9>>1<75rb0d1>5<4290;w)?63;352>N5jl1C>lj4$03g>4b<a8:m6=44i033>5<<g8=?6=44}c3g`?6==3:1<v*>92822==O:ko0D?ok;%32`?7d3`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;<87>5;|`2`g<72<0;6=u+181953><@;hn7E<nd:&25a<6m2c:<k4?::k255<722c:=<4?::k257<722e:;94?::a5a?=83?1<7>t$0;0>40?3A8ii6F=ae9'54b=9l1b==h50;9j546=831b=<?50;9j544=831d=::50;9~f4b0290>6=4?{%3:7?7102B9nh5G2`f8 47c28o0e<>i:188m4772900e<?>:188m4752900c<9;:188yg7cn3:1?7>50z&2=6<6>?1C>ok4H3cg?!76l3;o7d??f;29?l7683:17b?84;29?xd59;0;6:4?:1y'5<5=9?k0D?lj;I0b`>"69m09?6g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>7583>>{e:8:1<7850;2x 4?428<27E<me:J1ea=#98n1>?5+16c96fc<a8:m6=44i033>5<<a8;:6=44i031>5<<a8;86=44o057>5<<uk8<;7>57;294~"61:0::l5G2cg8L7gc3-;:h7<<;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;h327?6=3`;:87>5;n340?6=3th9;84?:783>5}#9091=;74H3`f?M4fl2.:=i4=2:&23d<5kj1b==h50;9j546=831b=<?50;9j544=831b=<=50;9l522=831vn??;:180>5<7s-;2?7?96:J1f`=O:hn0(<?k:0c8 41f2;in7d??f;29?l7683:17b?84;29?xd59:0;6:4?:1y'5<5=9?k0D?lj;I0b`>"69m09?6g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>o69=0;66a>7583>>{e:>31<7=50;2x 4?428<=7E<me:J1ea=#98n1=l5+16c96fe<a8:m6=44i033>5<<g8=?6=44}c04<?6=?3:1<v*>92822d=O:ko0D?ok;%32`?443`;;j7>5;h324?6=3`;:=7>5;h326?6=3`;:?7>5;h320?6=3f;<87>5;|`114<72<0;6=u+181953><@;hn7E<nd:&25a<23`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;<87>5;|`2ad<72<0;6=u+181953><@;hn7E<nd:&25a<23`;;j7>5;h324?6=3`;:=7>5;h326?6=3f;<87>5;|`2gf<72>0;6=u+181953g<@;hn7E<nd:&25a<68:1b==h50;9j546=831b=<?50;9j544=831b=<=50;9j542=831d=::50;9~f754290<6=4?{%3:7?71i2B9nh5G2`f8 47c28:>7d??f;29?l7683:17d?>1;29?l76:3:17d?>3;29?l76<3:17b?84;29?xd5810;694?:1y'5<5=9?=0D?lj;I0b`>"69m0:<95f11d94?=n98:1<75f10394?=h9>>1<75rb34g>5<3290;w)?63;353>N5jl1C>lj4$03g>4633`;;j7>5;h324?6=3`;:=7>5;n340?6=3th:n44?:483>5}#9091=;64H3`f?M4fl2.:=i49;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n340?6=3th:m;4?:583>5}#9091=;94H3`f?M4fl2.:=i4>8:k24c<722c:==4?::k254<722e:;94?::a5d1=83>1<7>t$0;0>4003A8ii6F=ae9'54b=:91b==h50;9j546=831b=<?50;9l522=831vn<o7:187>5<7s-;2?7?97:J1f`=O:hn0(<?k:328m46a2900e<??:188m4762900c<9;:188yg7f13:187>50z&2=6<6>>1C>ok4H3cg?!76l38;7d??f;29?l7683:17d?>1;29?j70<3:17pl>a`83>1<729q/=4=51758L7db3A8jh6*>1e814>o68o0;66g>1183>>o6980;66a>7583>>{e9hh1<7:50;2x 4?428<<7E<me:J1ea=#98n1>=5f11d94?=n98:1<75f10394?=h9>>1<75rb0c`>5<3290;w)?63;353>N5jl1C>lj4$03g>76<a8:m6=44i033>5<<a8;:6=44o057>5<<uk;jh7>54;294~"61:0:::5G2cg8L7gc3-;:h7<?;h33b?6=3`;:<7>5;h325?6=3f;<87>5;|`2e`<72=0;6=u+1819531<@;hn7E<nd:&25a<582c:<k4?::k255<722c:=<4?::m231<722wi=kl50;194?6|,8386<89;I0aa>N5im1/=<j51e9'52g=:jn0e<>i:188m4772900c<9;:188yg7ak3:187>50z&2=6<6>>1C>ok4H3cg?!76l38;7)?8a;0``>o68o0;66g>1183>>o6980;66a>7583>>{e:?:1<7=50;2x 4?428<=7E<me:J1ea=#98n1=i5+16c96fd<a8:m6=44i033>5<<g8=?6=44}c055?6=<3:1<v*>928222=O:ko0D?ok;%32`?473-;<m7<lb:k24c<722c:==4?::k254<722e:;94?::a65?=83?1<7>t$0;0>40?3A8ii6F=ae9'54b=:81/=:o52bc8m46a2900e<??:188m4762900e<?=:188k4132900qo<?c;292?6=8r.:5>4>689K6gc<@;ko7)?>d;01?!70i38hm6g>0g83>>o6990;66g>1083>>o69;0;66g>1283>>i6?=0;66sm21g94?1=83:p(<7<:04b?M4em2B9mi5+10f966=n99l1<75f10294?=n98;1<75f10094?=n9891<75f10694?=h9>>1<75rb34f>5<2290;w)?63;35<>N5jl1C>lj4$03g>77<,8=j6?m6;h33b?6=3`;:<7>5;h325?6=3`;:>7>5;n340?6=3th9;<4?:783>5}#9091=;74H3`f?M4fl2.:=i4=2:&23d<5k01b==h50;9j546=831b=<?50;9j544=831b=<=50;9l522=831vn?9<:184>5<7s-;2?7?9a:J1f`=O:hn0(<?k:318m46a2900e<??:188m4762900e<?=:188m4742900e<?;:188k4132900qo<=e;290?6=8r.:5>4>669K6gc<@;ko7)?>d;42?!70i38o<6g>0g83>>o6990;66g>1083>>i6?=0;66sm22294?2=83:p(<7<:044?M4em2B9mi5+10f924=#9>k1>i>4i02e>5<<a8;;6=44i032>5<<g8=?6=44}c006?6=<3:1<v*>928222=O:ko0D?ok;%32`?063-;<m7<lf:k24c<722c:==4?::k254<722e:;94?::a671=8391<7>t$0;0>4013A8ii6F=ae9'54b=9<1/=:o52bd8m46a2900e<??:188k4132900qo<nc;296?6=8r.:5>4>609K6gc<@;ko7d??e;29?j70<3:17pl>f`83>7<729q/=4=51738L7db3A8jh6g>0d83>>i6?=0;66sm28794?dd290;w)?63;34a>N5jl1C>lj4Z569g~>=13l1=>4>0;37>47=l3i1i7?=:c8~j34=:=1e:>4=4:lg6?6<fo>1<6*>008243=#9981=4<4$036>1=#98<186*>1687?!7603>0(<?6:59'54g=<2.:=o4;;%32g?2<,8;n695+10d90>"6:90?7)?=1;68 4452=1/=?=54:&261<33-;997:4$005>1=#9;=186*>2987?!7513>0(<<n:59'57d=<2.:>n4;;%31`?2<,88n695+13d90>"6;90?7)?<1;68 4552=1/=>=54:&271<33-;897:4$015>1=#9:=186*>3987?!7413>0(<=n:59'56d=<2.:?n4;;%30`?2<,89n695+12d90>"6<90?7)?;1;68 4252=1/=9=54:&201<33-;?97:4$065>1=#9==186*>4987?!7313>0(<:n:59'51d=<2.:8n4;;%37`?2<,8>n695+15d90>"6=90?7)?:1;68 4352=1/=8=54:&211<33-;>97:4$075>1=#9<=186*>5987?!7213>0(<;n:59'50d=<2.:9n4;;%36`?2<,8?n6>5+14d97>"6>90:;>5+19695<7<,82>6984$0:5>10<,82j69<4$0:a>4>c3-;3i7=4$0:e>6=#:kk1=:h4$3`a>41a3`;3<7>5;h6e>5<<a8:;6=44i0:0>5<<a<>1<75f19394?=n9181<75ffg83>>ofj3:1(<96:`c8j41?2910el750;&23<<fi2d:;54>;:kb<?6=,8=26lo4n05;>7=<ah=1<7*>788be>h6?10876g=b583>!70138i?6`>7983?>o5j;0;6)?89;0a7>h6?10:76g=b083>!70138i?6`>7981?>o5j90;6)?89;0a7>h6?10876ala;29 41>2j30b<97:198kf>=83.:;44l9:l23=<632eh:7>5$05:>f?<f8=36?54ob794?"6?00h56`>7980?>id<3:1(<96:b;8j41?2=10cn=50;&23<<d12d:;54:;:m`6?6=,8=26n74n05;>3=<gj;1<7*>788`=>h6?10<76al0;29 41>2j30b<97:998kg`=83.:;44l9:l23=<>32eii7>5$05:>f?<f8=36l54ocf94?"6?00h56`>798a?>iej3:1(<96:b;8j41?2j10coo50;&23<<d12d:;54k;:ma=?6=,8=26n74n05;>`=<gk21<7*>788`=>h6?10m76am7;29 41>2j30b<97:028?jd1290/=:75c89m52>=9810co;50;&23<<d12d:;54>2:9lf1<72-;<57m6;o34<?7432ei?7>5$05:>f?<f8=36<:4;n`1>5<#9>31o45a16:950=<gm;1<7*>788`=>h6?10::65`d183>!7013i27c?88;34?>idn3:1(<96:b;8j41?28207bmj:18'52?=k01e=:651898kfb=83.:;44l9:l23=<6i21don4?:%34=?e>3g;<47?m;:m`f?6=,8=26n74n05;>4e<3fi<6=4+16;9g<=i9>21=i54oca94?"6?00h56`>7982a>=hj80;6)?89;a:?k7003;m76g=9;29 41>2;20b<97:198m71=83.:;44=8:l23=<632c9:7>5$05:>7><f8=36?54i3794?"6?00946`>7980?>o4:3:1(<96:3:8j41?2=10e>?50;&23<<502d:;54:;:k04?6=,8=26?64n05;>3=<a;l1<7*>7881<>h6?10<76g=e;29 41>2;20b<97:998m7b=83.:;44=8:l23=<>32c9o7>5$05:>7><f8=36l54i3`94?"6?00946`>798a?>o5i3:1(<96:3:8j41?2j10e?:50;&23<<502d:;54k;:k0<?6=,8=26>94n05;>5=<a:<1<7*>78803>h6?10:76g<5;29 41>2:=0b<97:398m62=83.:;44<7:l23=<432c?=7>5$05:>61<f8=36954i5294?"6?008;6`>7986?>o4n3:1(<96:258j41?2?10e>k50;&23<<4?2d:;548;:k0`?6=,8=26>94n05;>==<a:i1<7*>78803>h6?10276g<b;29 41>2:=0b<97:`98m6g=83.:;44<7:l23=<e32c857>5$05:>61<f8=36n54i2194?"6?008;6`>798g?>o>n3:1(<96:8g8j41?2910e4j50;&23<<>m2d:;54>;:k:f?6=,8=264k4n05;>7=<a0k1<7*>788:a>h6?10876g69;29 41>20o0b<97:598m<>=83.:;446e:l23=<232c2;7>5$05:><c<f8=36;54i8494?"6?002i6`>7984?>o>=3:1(<96:8g8j41?2110e4:50;&23<<>m2d:;546;:k:7?6=,8=264k4n05;>d=<a081<7*>788:a>h6?10i76g60;29 41>20o0b<97:b98m=`=83.:;446e:l23=<c32c3i7>5$05:><c<f8=36h54i9f94?"6?002i6`>798e?>o?k3:1(<96:8g8j41?28:07d6m:18'52?=1l1e=:651098m=g=83.:;446e:l23=<6:21b444?:%34=??b3g;<47?<;:k;<?6=,8=264k4n05;>42<3`2<6=4+16;9=`=i9>21=854i`494?"6?002i6`>79822>=ni<0;6)?89;;f?k7003;<76gn4;29 41>20o0b<97:0:8?lg4290/=:759d9m52>=9010el<50;&23<<>m2d:;54>a:9je4<72-;<577j;o34<?7e32cj<7>5$05:><c<f8=36<m4;h;`>5<#9>315h5a16:95a=<a0;1<7*>788:a>h6?10:i65f8783>!70133n7c?88;3e?>ia13:1(<96:g:8j41?2910ck950;&23<<a02d:;54>;:me2?6=,8=26k64n05;>7=<go?1<7*>788e<>h6?10876gm0;29 41>2hl0b<97:198mdc=83.:;44nf:l23=<632cjh7>5$05:>d`<f8=36?54i`a94?"6?00jj6`>7980?>iam3:1(<96:gf8j41?2910ckm50;&23<<al2d:;54>;:mef?6=,8=26kj4n05;>7=<gok1<7*>788e`>h6?10876ajc;29 41>2lh0b<97:198k`g=83.:;44jb:l23=<632en47>5$05:>`d<f8=36?54od594?"6?00nn6`>7980?>ib>3:1(<96:d`8j41?2=10ch;50;&23<<bj2d:;54:;:mf0?6=,8=26hl4n05;>3=<gl91<7*>788ff>h6?10<76aj2;29 41>2lh0b<97:998k`7=83.:;44jb:l23=<>32en<7>5$05:>`d<f8=36l54oed94?"6?00nn6`>798a?>icl3:1(<96:d`8j41?2j10cim50;&23<<bj2d:;54k;:mgf?6=,8=26hl4n05;>`=<gmk1<7*>788ff>h6?10m76ak9;29 41>2lh0b<97:028?jb?290/=:75ec9m52>=9810ci950;&23<<bj2d:;54>2:9l`3<72-;<57km;o34<?7432eo97>5$05:>`d<f8=36<:4;nf7>5<#9>31io5a16:950=<go91<7*>788ff>h6?10::65`f383>!7013oi7c?88;34?>ia93:1(<96:d`8j41?28207bh?:18'52?=mk1e=:651898k``=83.:;44jb:l23=<6i21dih4?:%34=?ce3g;<47?m;:mf`?6=,8=26hl4n05;>4e<3fo26=4+16;9ag=i9>21=i54oeg94?"6?00nn6`>7982a>=hl:0;6)?89;ga?k7003;m76g=b883>!70138i46`>7983?>o5j>0;6)?89;0a<>h6?10:76g=b783>!70138i46`>7981?>o5j<0;6)?89;0a<>h6?10876g8e;29 41>2>n0b<97:198m2e=83.:;448d:l23=<632c<m7>5$05:>2b<f8=36?54i6;94?"6?00<h6`>7980?>o003:1(<96:6f8j41?2=10e:950;&23<<0l2d:;54:;:k42?6=,8=26:j4n05;>3=<a>?1<7*>7884`>h6?10<76g84;29 41>2>n0b<97:998m25=83.:;448d:l23=<>32c<>7>5$05:>2b<f8=36l54i6394?"6?00<h6`>798a?>o1n3:1(<96:6f8j41?2j10e;k50;&23<<0l2d:;54k;:k5`?6=,8=26:j4n05;>`=<a?i1<7*>7884`>h6?10m76g9b;29 41>2>n0b<97:028?l0f290/=:757e9m52>=9810e;750;&23<<0l2d:;54>2:9j2=<72-;<579k;o34<?7432c=;7>5$05:>2b<f8=36<:4;h45>5<#9>31;i5a16:950=<a1?1<7*>7884`>h6?10::65f8583>!7013=o7c?88;34?>o?;3:1(<96:6f8j41?28207d6=:18'52?=?m1e=:651898m=7=83.:;448d:l23=<6i21b4=4?:%34=?1c3g;<47?m;:k4b?6=,8=26:j4n05;>4e<3`=i6=4+16;93a=i9>21=i54i6294?"6?00<h6`>7982a>=n><0;6)?89;5g?k7003;m76sm28:94?dd290;w)?63;34a>N5jl1C>lj4Z569g~>=13l1=>4>0;37>47=l3i1i7?=:c8~j34=:=1e:>4=4:lg6?6<fo>1<6*>008243=#9981=4<4$036>1=#98<186*>1687?!7603>0(<?6:59'54g=<2.:=o4;;%32g?2<,8;n695+10d90>"6:90?7)?=1;68 4452=1/=?=54:&261<33-;997:4$005>1=#9;=186*>2987?!7513>0(<<n:59'57d=<2.:>n4;;%31`?2<,88n695+13d90>"6;90?7)?<1;68 4552=1/=>=54:&271<33-;897:4$015>1=#9:=186*>3987?!7413>0(<=n:59'56d=<2.:?n4;;%30`?2<,89n695+12d90>"6<90?7)?;1;68 4252=1/=9=54:&201<33-;?97:4$065>1=#9==186*>4987?!7313>0(<:n:59'51d=<2.:8n4;;%37`?2<,8>n695+15d90>"6=90?7)?:1;68 4352=1/=8=54:&211<33-;>97:4$075>1=#9<=186*>5987?!7213>0(<;n:59'50d=<2.:9n4;;%36`?2<,8?n6>5+14d97>"6>90:;>5+19695<7<,82>6984$0:5>10<,82j69<4$0:a>4>c3-;3i7=4$0:e>6=#:kk1=:h4$3`a>41a3`;3<7>5;h6e>5<<a8:;6=44i0:0>5<<a<>1<75f19394?=n9181<75ffg83>>ofj3:1(<96:`c8j41?2910el750;&23<<fi2d:;54>;:kb<?6=,8=26lo4n05;>7=<ah=1<7*>788be>h6?10876g=b583>!70138i?6`>7983?>o5j;0;6)?89;0a7>h6?10:76g=b083>!70138i?6`>7981?>o5j90;6)?89;0a7>h6?10876ala;29 41>2j30b<97:198kf>=83.:;44l9:l23=<632eh:7>5$05:>f?<f8=36?54ob794?"6?00h56`>7980?>id<3:1(<96:b;8j41?2=10cn=50;&23<<d12d:;54:;:m`6?6=,8=26n74n05;>3=<gj;1<7*>788`=>h6?10<76al0;29 41>2j30b<97:998kg`=83.:;44l9:l23=<>32eii7>5$05:>f?<f8=36l54ocf94?"6?00h56`>798a?>iej3:1(<96:b;8j41?2j10coo50;&23<<d12d:;54k;:ma=?6=,8=26n74n05;>`=<gk21<7*>788`=>h6?10m76am7;29 41>2j30b<97:028?jd1290/=:75c89m52>=9810co;50;&23<<d12d:;54>2:9lf1<72-;<57m6;o34<?7432ei?7>5$05:>f?<f8=36<:4;n`1>5<#9>31o45a16:950=<gm;1<7*>788`=>h6?10::65`d183>!7013i27c?88;34?>idn3:1(<96:b;8j41?28207bmj:18'52?=k01e=:651898kfb=83.:;44l9:l23=<6i21don4?:%34=?e>3g;<47?m;:m`f?6=,8=26n74n05;>4e<3fi<6=4+16;9g<=i9>21=i54oca94?"6?00h56`>7982a>=hj80;6)?89;a:?k7003;m76g=9;29 41>2;20b<97:198m71=83.:;44=8:l23=<632c9:7>5$05:>7><f8=36?54i3794?"6?00946`>7980?>o4:3:1(<96:3:8j41?2=10e>?50;&23<<502d:;54:;:k04?6=,8=26?64n05;>3=<a;l1<7*>7881<>h6?10<76g=e;29 41>2;20b<97:998m7b=83.:;44=8:l23=<>32c9o7>5$05:>7><f8=36l54i3`94?"6?00946`>798a?>o5i3:1(<96:3:8j41?2j10e?:50;&23<<502d:;54k;:k0<?6=,8=26>94n05;>5=<a:<1<7*>78803>h6?10:76g<5;29 41>2:=0b<97:398m62=83.:;44<7:l23=<432c?=7>5$05:>61<f8=36954i5294?"6?008;6`>7986?>o4n3:1(<96:258j41?2?10e>k50;&23<<4?2d:;548;:k0`?6=,8=26>94n05;>==<a:i1<7*>78803>h6?10276g<b;29 41>2:=0b<97:`98m6g=83.:;44<7:l23=<e32c857>5$05:>61<f8=36n54i2194?"6?008;6`>798g?>o>n3:1(<96:8g8j41?2910e4j50;&23<<>m2d:;54>;:k:f?6=,8=264k4n05;>7=<a0k1<7*>788:a>h6?10876g69;29 41>20o0b<97:598m<>=83.:;446e:l23=<232c2;7>5$05:><c<f8=36;54i8494?"6?002i6`>7984?>o>=3:1(<96:8g8j41?2110e4:50;&23<<>m2d:;546;:k:7?6=,8=264k4n05;>d=<a081<7*>788:a>h6?10i76g60;29 41>20o0b<97:b98m=`=83.:;446e:l23=<c32c3i7>5$05:><c<f8=36h54i9f94?"6?002i6`>798e?>o?k3:1(<96:8g8j41?28:07d6m:18'52?=1l1e=:651098m=g=83.:;446e:l23=<6:21b444?:%34=??b3g;<47?<;:k;<?6=,8=264k4n05;>42<3`2<6=4+16;9=`=i9>21=854i`494?"6?002i6`>79822>=ni<0;6)?89;;f?k7003;<76gn4;29 41>20o0b<97:0:8?lg4290/=:759d9m52>=9010el<50;&23<<>m2d:;54>a:9je4<72-;<577j;o34<?7e32cj<7>5$05:><c<f8=36<m4;h;`>5<#9>315h5a16:95a=<a0;1<7*>788:a>h6?10:i65f8783>!70133n7c?88;3e?>ia13:1(<96:g:8j41?2910ck950;&23<<a02d:;54>;:me2?6=,8=26k64n05;>7=<go?1<7*>788e<>h6?10876gm0;29 41>2hl0b<97:198mdc=83.:;44nf:l23=<632cjh7>5$05:>d`<f8=36?54i`a94?"6?00jj6`>7980?>iam3:1(<96:gf8j41?2910ckm50;&23<<al2d:;54>;:mef?6=,8=26kj4n05;>7=<gok1<7*>788e`>h6?10876ajc;29 41>2lh0b<97:198k`g=83.:;44jb:l23=<632en47>5$05:>`d<f8=36?54od594?"6?00nn6`>7980?>ib>3:1(<96:d`8j41?2=10ch;50;&23<<bj2d:;54:;:mf0?6=,8=26hl4n05;>3=<gl91<7*>788ff>h6?10<76aj2;29 41>2lh0b<97:998k`7=83.:;44jb:l23=<>32en<7>5$05:>`d<f8=36l54oed94?"6?00nn6`>798a?>icl3:1(<96:d`8j41?2j10cim50;&23<<bj2d:;54k;:mgf?6=,8=26hl4n05;>`=<gmk1<7*>788ff>h6?10m76ak9;29 41>2lh0b<97:028?jb?290/=:75ec9m52>=9810ci950;&23<<bj2d:;54>2:9l`3<72-;<57km;o34<?7432eo97>5$05:>`d<f8=36<:4;nf7>5<#9>31io5a16:950=<go91<7*>788ff>h6?10::65`f383>!7013oi7c?88;34?>ia93:1(<96:d`8j41?28207bh?:18'52?=mk1e=:651898k``=83.:;44jb:l23=<6i21dih4?:%34=?ce3g;<47?m;:mf`?6=,8=26hl4n05;>4e<3fo26=4+16;9ag=i9>21=i54oeg94?"6?00nn6`>7982a>=hl:0;6)?89;ga?k7003;m76g=b883>!70138i46`>7983?>o5j>0;6)?89;0a<>h6?10:76g=b783>!70138i46`>7981?>o5j<0;6)?89;0a<>h6?10876g8e;29 41>2>n0b<97:198m2e=83.:;448d:l23=<632c<m7>5$05:>2b<f8=36?54i6;94?"6?00<h6`>7980?>o003:1(<96:6f8j41?2=10e:950;&23<<0l2d:;54:;:k42?6=,8=26:j4n05;>3=<a>?1<7*>7884`>h6?10<76g84;29 41>2>n0b<97:998m25=83.:;448d:l23=<>32c<>7>5$05:>2b<f8=36l54i6394?"6?00<h6`>798a?>o1n3:1(<96:6f8j41?2j10e;k50;&23<<0l2d:;54k;:k5`?6=,8=26:j4n05;>`=<a?i1<7*>7884`>h6?10m76g9b;29 41>2>n0b<97:028?l0f290/=:757e9m52>=9810e;750;&23<<0l2d:;54>2:9j2=<72-;<579k;o34<?7432c=;7>5$05:>2b<f8=36<:4;h45>5<#9>31;i5a16:950=<a1?1<7*>7884`>h6?10::65f8583>!7013=o7c?88;34?>o?;3:1(<96:6f8j41?28207d6=:18'52?=?m1e=:651898m=7=83.:;448d:l23=<6i21b4=4?:%34=?1c3g;<47?m;:k4b?6=,8=26:j4n05;>4e<3`=i6=4+16;93a=i9>21=i54i6294?"6?00<h6`>7982a>=n><0;6)?89;5g?k7003;m76s|36f94?4|V:=o70<n6;34f>{t9?l1<76l{<0`4?77k279m9490:?1e0<18279m=490:?1e4<18279m;490:?10c<1<2798o494:?10f<1<2798i494:?10`<1<279?n494:?17=<1<279?4494:?17d<1<279?o494:?2a=<1<27:i9494:?2a0<1<27:i;494:?2a2<1<27:h8494:?2`4<1<27:h?494:?2`6<1<27:h9494:?1=0<5l279584=c:?1=0<5j279584=a:?1=0<5<279584<c:?1=0<4j279584<a:?1=0<41279584<3:?1=0<>n2795846d:?1=0<>j2795846a:?1=0<>127958468:?1=0<>?27958466:?1=0<>=27958464:?1=0<>;27958462:?1=0<>82795847f:?1=0<?m2795847d:?1=0<?k2795847b:?1=0<?i27958479:?1=0<?027958477:?1=0<f>279584n5:?1=0<f<279584n3:?1=0<f:279584n1:?1=0<f82795846c:?1=0<>927958476:?1=0<e8279584ne:?1=0<fl279584nc:?1=0<5j016>4;52c5897?22;h=70<65;0a1>;51<0:4=5228795=7<5;3>6<6=;<0:<?4c348247<l;<0:<?4e348247<n;<0:<?43348247=l;<0:<?5e348247=n;<0:<?5>348247=<;<0:<?1b3482479;;<0:<?0e3482476<;<0:<??a3482477k;<0:<??e3482477n;<0:<??>34824777;<0:<??034824779;<0:<??23482477;;<0:<??43482477=;<0:<??73482476i;<0:<?>b3482476k;<0:<?>d3482476m;<0:<?>f34824766;<0:<?>?34824768;<0:<?g1348247o:;<0:<?g3348247o<;<0:<?g5348247o>;<0:<?g73482477l;<0:<??634824769;<0:<?ge348247o6;<0:<?g?348247o8;<0:<?d7348247oj;<0:<?gc348247ol;<0:<?4e1279554=b69>6<>=:k<01?77:3`6?84>03;3<63=9982<4=::021=5<4}r06a?6=:rT8;>5224g952b<uz;m:7>511y>5c0=9>n01<h7:033?87f>3;:<63>a68254=:9h21=<?4=0c:>47634;jm7?>1:?2eg<69816=lm5103894gc28;:70?ne;325>;6nh0:<h5228a9547<58ih6<?=;<007?76:27:n44>139~w4`02909wS=9d:?2b2<6?m1v<m=:180[4b027:oo4>7e9>5dc=99l0q~==c;296~X4:j16>4;5d29~w6502909wS=<7:?1=0<cm2wx?9<50;0xZ625348297k6;|q00a<72;qU?9j4=3;6>`b<uz9>47>52z\01==::0?1ih5rs240>5<5sW9=?63=948fb>{t;?31<7<t^24:?84>=3l;7p}<6`83>7}Y;?k01?7::g38yv51j3:1>vP<6c9>6<3=nh1v>8l:181[51k279584i2:p77b=838pR><k;<0:1?`43ty8>h4?:3y]77c<5;3>6i:4}r11b?6=:rT8>k522879`0=z{:9;6=4={_104>;51<0o:6s|32394?4|V:9:70<65;f4?xu4;;0;6?uQ320897?22m20q~=<3;296~X4;:16>4;5d89~w6532909wS=<4:?1=0<aj2wx?>;50;0xZ652348297jn;|q073<72;qU?>84=3;6>ad<uz9847>52z\07==::0?1hn5rs21:>5<5sW98563=948g`>{t;:k1<7<t^21b?84>=3nm7p}<3c83>7}Y;:h01?7::d28yv54k3:1>vP<3b9>6<3=m81v>=k:181[54l279584j2:p76c=838pR>=j;<0:1?`d3ty8?k4?:3y]76`<5;3>6h=4}r174?6=:rT88=522879a1=z{:>:6=4={_175>;51<0n96s|35194?4|V:>870<65;g5?xu4<=0;6?uQ356897?22l=0q~=;5;296~X4<<16>4;5e99~w6212909wS=;6:?1=0<bi2wx?9950;0xZ620348297kl;|q00=<72;qU?964=3;6>cc<uz9?57>52z\00<=::021h>5rs26b>5<5sW9?m63=998ga>{t;=h1<7<t^26a?84>03o27p}<4b83>7}Y;=i01?77:df8yv53m3:1>vP<4d9>6<>=ml1v>:i:181[53n279554jf:p706=838pR>;?;<0:<?`73ty89<4?:3y]707<5;336k<4}r166?6=:rT89?5228:9b6=z{:?86=4={_167>;5110o86s|34694?4|V:??70<68;f6?xu4=<0;6?uQ347897??2m<0q~=:6;296~X4=?16>465d69~w6302909wS=:7:?1==<c02wx?8750;0xZ63>348247jn;|q01d<72;qU?8o4=3;;>ad<uz9>n7>52z\01g=::021hn5rs27`>5<5sW9>o63=998g`>{t;<n1<7<t^27g?84>03nm7p}<5d83>7}Y;<o01?77:d28yv52n3:1>vP<5g9>6<>=m81v>8?:181[518279554j3:p737=838pR>8>;<0:<?c33ty8:?4?:3y]734<5;336h;4}r150?6=:rT8:95228:9a3=z{:<>6=4={_151>;5110n;6s|37494?4|V:<=70<68;g;?xu4>10;6?uQ37:897??2lk0q~<n2;297~;5=m0>o63=5d86g>;5i=0:;i5rs3;e>5<6lr7:o>4:c:?2g1<2k27:o84:c:?2g3<2k27:o:4:c:?2g=<2k27:o44:c:?2gd<2k27:oo4:c:?2b3<6?k16=k9516`897g628=o70<>5;7`?846>3?h70<>7;7`?84603?h70<>9;7`?846i3?h70<>b;7`?846k3?h70<=6;7`?84503?h70<=9;7`?845i3?h70<=b;7`?845k3?h70<=d;7`?845n3?h70<<1;7`?xu5i:0;6<<t=3c6>41c348<m7;l;<04f?3d348<o7;l;<04`?3d348<i7;l;<04b?3d3483<7;l;<0;5?3d3483n7;l;<0;g?3d3483h7;l;<0;a?3d3483j7;l;<0:4?3d3482=7;l;<0:6?3d3482?7;l;|q0<5<72<qU?5>4=37e>46a34;ho7?>0:?176<68o16=o7511d8yv5013:1=>uQ36;894`?28:m70?m9;324>;6i?0:=<521`59546<58k36<??;<3b=?76827:ml4>119>5dd=98:01<ol:033?87fl3;:<63>ad8255=::;o1==h4=313>46a3488>7??f:?162<68o16>4m511d894ed28:m70<<3;324>{t:0h1<7=t=3;`>413348297??0:?1==<6891v?7k:182g~;5?h0?:63=7c872>;5?j0?:63=7e872>;5?l0?:63=7g872>;5090?:63=80872>;50k0?:63=8b872>;50m0?:63=8d872>;50o0?:63=91872>;5180?:63=93872>;51:0?:63=5g8231=::0?1>o:4=3;6>7d5348297<m1:?1=0<5j916>4;5fg9>6<>=:k>01?77:3`1?84>038i=63=9981f5=::021jk5rs3fb>5<4jrT9hl521b1900=:9j>188521b7900=:9j<188521b5900=:9j2188521b;900=:9jk188521b`900=:9o<188521g5900=::<n1885224g900=::h>188522`7900=::h:188522`3900=::h<188522`5900=::h218852207900=::8<18852205900=::821885220;900=::8k1885220`900=::8i18852234900=::;21885223;900=::;k1885223`900=::;i1885223f900=::;l18852223900=::>k1885226`900=::>i1885226f900=::>o1885226d900=::1:18852293900=::1h1885229a900=::1n1885229g900=::1l18852282900=::0;18852280900=::091885228790c=::0?1995228:90c=::021995rs33g>5<bs489:7?8d:?14=<69816=kl511d894`d28;:70<?9;326>;58j0:=>5221g9542<5;8<6<??;<0:1?5c348247=k;<00a?76:27:h:4>139>607=98801<kn:031?xu59l0;6;u223:952b<5;?:6<>i;<0:1?5b348247=j;<00a?76827:h:4>119~w77a290=w0<=9;34`>;5<90:=<521e;9547<5;3>6>h4=3;;>6`<5;?86<>i;|q165<72?q6>?o516f8972728:m70?k9;33b>;51<0?<63=99874>;5=:0:==5rs302>5<1s489n7?8d:?110<69816>9<5103894be28;:70<65;62?84>03>:7p}=2383>3}::;i1=:j4=376>46a348?>7??f:?2`g<68o16>4;5359>6<>=;=1v?<<:184845l3;<h63=568254=::=>1=<?4=0fg>4763489i7?>1:?1=0<4=279554<5:p672=83=p1?<i:05g?84483;:=63=94802>;51108:63=568257=::=>1=<<4=0fg>4753ty9>84?:6y>667=9>n01?==:032?84>=39370<68;1;?84213;:<63=478255=:9ml1=<>4}r0:a?6=<r79m=4>7e9>6<3=91901?77:0:0?84>k3;:<6s|2d`94?4|V;oi70<65;46?xu5n?0;6?uQ2g4897?22>:0q~=?1;296~X48816>4;57c9~w66d2909wS=?c:?1=0<0n2wx?<950;0xZ6703482976?;|q067<72;qU??<4=3;6>=7<uz9947>52z\06==::0?14?5rs20:>5<5sW99563=948;7>{t;;k1<7<t^20b?84>=3k<7p}<2c83>7}Y;;h01?7::968yv4bk3:1>vP=eb9>6<3=0<1v?kk:181[4bl27958496:p6`c=838pR?kj;<0:1?003ty9ik4?:3y]6``<5;3>6;64}r0e4?6=:rT9j=5228792<=z{;l:6=4={_0e5>;51<0=m6s|2g094?4|V;l970<65;4a?xu5n:0;6?uQ2g1897?22h20q~<i4;296~X5n=16>4;56b9~w7`22909wS<i5:?1=0<1l2wx>k950;0xZ7`03482978j;|q1b=<72;qU>k64=3;6>3`<uz8m57>52z\1b<=::0?1;<5rs3db>5<5sW8mm63=94846>{t:oh1<7<t^3da?84>=3=87p}=fb83>7}Y:oi01?7::668yv4al3:1>vP=fe9>6<3=i01v?hj:181[4am27958485:p6c`=838pR?hi;<0:1?113ty8<=4?:3y]756<5;3>6:94}r136?6=:rT8<?5228793==z{::86=4={_137>;51<0<56s|31694?4|V::?70<65;5b?xu48<0;6?uQ317897?22>i0q~=?6;296~X48?16>4;57d9~w6602909wS=?7:?1=0<fj2wx?=650;0xZ66?3482478:;|q04<<72;qU?=74=3;;>26<uz9;m7>52z\04d=::021;o5rs22a>5<5sW9;n63=9984b>{t;9n1<7<t^22g?84>032;7p}<0d83>7}Y;9o01?77:938yv57n3:1>vP<0g9>6<>=0;1v>??:181[56827955474:p747=838pR>?>;<0:<?>23ty8=?4?:3y]744<5;336;84}r127?6=:rT8=>5228:922=z{:;?6=4={_120>;5110=46s|30794?4|V:;>70<68;4:?xu49?0;6?uQ304897??2?k0q~=>8;296~X49116>4656b9~w67>2909wS=>9:?1==<1l2wx?<o50;0xZ67f3482478j;|q05g<72;qU?<l4=3;;>3`<uz9:o7>52z\05f=::021;<5rs23g>5<5sW9:h63=99846>{t;8o1<7<t^23f?84>03=87p}<1g83>7}Y;8l01?77:678yv5583:1>vP<219>6<>=??1v><>:181[55927955487:p775=838pR><<;<0:<?1?3ty8>94?:3y]772<5;336:74}r111?6=:rT8>85228:93d=z{:8<6=4={_113>;5110<o6s|29094?c|5;2i6<9k;<05`?769279:=4>0g9>637=98;01?8j:031?84093;:?63=728251=::hi1==k4=3;6>7c<5;336?k4=31f>47634;o;7?>1:?114<69816=ho51038yv4?;3:1:v3=8b823a=::0?1>k5228:96c=:::o1==h4=0f4>46a34;nm7??f:p6=2=83<p1?6k:05g?84383;:>63>d88257=::0?1?=5228:975=:9li1==h4}r0;1?6=>r794h4>7e9>616=98:01<kl:033?87c13;:<63=94805>;51108=6s|29494?0|5;2m6<9k;<076?76:27:ho4>139>6<3=;;16>465339>5`c=99l0q~<77;292~;5190:;i522509546<58on6<??;<3gf?768279584=5:?1==<5=2wx>5650;4x97?628=o70?i0;326>;51<09:63=99812>;5<=0:<k521ef955`<uz8357>56z?1=7<6?m16>9:5102894`728;;70?kd;324>;51<09;63=99813>{t:1k1<78t=3;0>41c34;m>7?>0:?1=0<51279554=9:?103<68o16=ih511d8yv7al3:15v3=14823a=::;21:=521g`9546<58lh6<??;<03=?769279<n4>139>65c=98901<kn:033?84703;:<6s|1gg94?>|5;;=6<9k;<01=?0734;no7?>1:?2bf<68o16>=751028976d28;;70<?e;324>;5810:<k5rs0de>5<>s48:;7?8d:?16d<18279=?4>129>646=98801??<:031?84713;;j63=0b8254=::9o1=<?4=0g`>4753ty9<=4?:9y>64>=9>n01?<m:728977528;970<>0;325>;58j0:<k5221g9544<58on6<?=;<020?7682wx>=?50;5x977>28=o70<=c;43?846:3;:=63=118255=::9o1==h4=0gf>476348:?7?>1:p654=83<p1??n:05g?87a83;:=63=138255=::8:1==h4=30f>477348:87??f:p655=83?p1??m:05g?87a83;;j63=13824c=::::1=<>4=330>4773ty9<94?:5y>64e=9>n01<h=:02e?846;3;;j63=338255=z{;3?6=4={<0;f?07348jo7?84:p634=833p1?9n:05g?84?k3<;70<:1;324>;5>90:==522739546<5;<n6<?>;<045?76:279;>4>129>63b=98:0q~<93;29<~;5?k0:;i5229f925=::?;1==h4=34f>477348<=7?>0:?136<69916>8=51038970c28:m7p}=6583><}::>i1=:j4=3:f>36<5;=<6<?<;<041?76:279;54>139>63c=99l01?9>:032?840;3;:=63=528257=z{;<>6=47{<04`?70l2794k490:?110<69;16>:951008971228;:70<81;33b>;5?:0:=?5226;9546<uz8=:7>57z?13`<6?m16>4>5619>603=98:01?98:032?840=3;:<63=72824c=::>21=<?4}r053?6=>r79;k4>7e9>6<7=>916>:951028971228:m70<:7;324>;5?00:<k5rs34;>5<2s483<7?8d:?1=7<18279;:4>0g9>601=99l01?97:033?xu5>00;69u2293952b<5;386;>4=35;>46a348>57??f:p60b=83?p1?;k:05g?842n3;:<63>cb8254=:::91=<?4=0`:>4763ty:n54?:`y>5f5=<?16=n:5479>5f3=<?16=n85479>5f1=<?16=n65479>5f?=<?16=no5479>5fd=<?16=o751668yv7><3:1==u218g90==:9hl18552207903=::8<18;52205903=::8218;5220;903=::8k18;5220`903=::8i18;5223:903=::;318;5223c903=::;h18;5223a903=:9o21=::4}r0bf?6==r79o<4>779>61d=<116>>65499>5`2=<116=i?5499~w4df290?w0?6e;47?[4cn27:o>4>7e9>5d0=99l0q~?65;296~;6io0:;9521b1925=z{8k=6=4<{<3:a?7??27:mk4>639>5d0=9>>0q~?6e;297~;61l0:;95218d90==:9k:1855rs0`a>5<3s4;2j78;;_0f4>;6k=0:;i521`5955`<uz;2:7>52z?2f5<6?=16=n:5619~w4g02908w0?6f;3;3>;6j90::?521`59522<uz;2j7>53z?2=c<6?=16=l>5499>5g7=<11v<ll:18787f83<?7S<j1:?2g0<6?m16=l6511d8yv7>?3:1>v3>b08231=:9j?1:=5rs0c;>5<4s4;j<7?77:?2f4<6>;16=l651668yv7f83:1?v3>a18231=:9h;185521c090==z{8ho6=4;{<3b5?033W8n>63>c7823a=:9h31==h4}r3:<?6=:r7:n?4>759>5f0=>91v<o6:18087f93;3;63>b38227=:9h31=::4}r3b5?6=;r7:m<4>759>5d4=<116=o=5499~w4db290?w0?n2;47?[4b;27:o:4>7e9>5dg=99l0q~?69;296~;6j:0:;9521b5925=z{8kj6=4<{<3b6?7??27:n>4>639>5dg=9>>0q~?n2;297~;6i;0:;9521`190==:9k>1855rs0`e>5<3s4;j?78;;_0f0>;6k10:;i521``955`<uz;2m7>52z?2f1<6?=16=n65619~w4ge2908w0?n3;3;3>;6j=0::?521``9522<uz;j?7>53z?2e6<6?=16=l:5499>5g3=<11v<m?:18787f<3<?7S<j5:?2g<<6?m16=lm511d8yv7>j3:1>v3>b48231=:9j31:=5rs0c`>5<4s4;j87?77:?2f0<6>;16=lm51668yv7f<3:1?v3>a58231=:9h?185521c490==z{8i:6=4;{<3b1?033W8n:63>c`823a=:9hn1==h4}r3:g?6=:r7:n;4>759>5fg=>91v<ok:18087f=3;3;63>b78227=:9hn1=::4}r3b1?6=:r7:m84>759>5g1=<11v<7k:18187e?3;<863>cc854>{t9ho1<7<t=0`4>40534;ji7?84:p5c3=839p1<h9:72894`02?:01<ml:057?xu6n=0;6?u21d:9522<58ih6<?;;|q2b6<72;q6=i;5166894ed28;87p}=5b83>6}::<n1:=5224g925=:::91=::4}r06f?6=:r798k4>759>665=9890q~<:a;296~;5;j0:;9522219542<uz8j57>55z?1e5<6?k16>l?516`897gf28=?70<n4;34f>;5i<0:;o5rs3c5>5<4s48j:7?8d:?1e2<18279ml4>0g9~w7g?2909w0<n8;34`>;5ih0:==5rs3c4>5<4s48j:7:9;<0b3?70l279m5490:p61g=838p1?:i:5:8972b28=?7p}=4883>7}::=n1=::4=36f>1><uz8?47>52z?10f<6?=16>9j5499~w7202909w0<;b;340>;5<j0?46s|24494?4|5;>n6<68;<063?70<2wx>8:50;0x972c282<70<:5;340>{t:<81<7<t=36`>4>0348>?7?84:p606=838p1?:m:0:4?84293;<86s|24:94?4|5;>m6<68;<06=?70<2wx>>950;0x975d2=201?=m:057?xu5;?0;6?u222c9522<5;9i6964}r001?6=:r79?44>759>66g=<11v?=;:18184403;<863=3887<>{t:=91<7<t=31a>4>0348?87?84:p617=838p1?=n:0:4?843:3;<86s|22d94?4|5;926<68;<074?70<2wx>>j50;0x975?282<70<<e;340>{t:=?1<7<t=31`>4>0348?:7?84:p5`5=838p1<k7:5:894c028=?7p}>e383>7}:9l<1=::4=0g4>1><uz;n=7>52z?2a0<6?=16=h85499~w4c72909w0?j4;340>;6m<0?46s|1dd94?4|58o<6<68;<3e4?70<2wx=hj50;0x94c1282<70?je;340>{t9lh1<7<t=0g6>4>034;no7?84:p5`?=838p1<k;:0:4?87bi3;<86s|1g394?4|58o36<68;<3e6?70<2wx=i>50;0x94b22=201<j;:057?xu6ko0;6?u21e19522<58n?6964}r3`a?6=:r7:h?4>759>5a5=<11v<mk:18187c93;<863>d387<>{t9mi1<7<t=0f7>4>034;oh7?84:p5ag=838p1<j<:0:4?87cj3;<86s|1e:94?4|58n96<68;<3g=?70<2wx=i850;0x94b6282<70?k7;340>{t9mo1<7<t=0f6>4>034;oj7?84:p65g=83>p1??=:037?84683;:?63=128251=::921=::4}r031?6=:r79=8490:?2bg<6?=1v?>9:181846>3<;70?ic;340>{t:9=1<7<t=334>36<5;:26<9;;|q14g<72;q6><65619>65e=9>>0q~<?d;296~;5900=<63=0d8231=z{;:m6=4={<02e?07348:<7?84:p647=838p1??m:728977528=?7p}=1283>7}::8i1:=522019522<uz8=j7>54z?132<69=16>:;51018971?28;?70<9d;340>{t:?k1<7<t=35b>36<5;<;6<9;;|q12g<72;q6>:l5619>637=9>>0q~<9c;296~;5?j0=<63=6d8231=z{;=;6=4={<04`?07348<=7?84:p624=838p1?9j:728971428=?7p}=7583>7}::>l1:=522679522<uz8<:7>52z?1<5<18279;:4>759~w71?2909w0<71;43?84003;<86s|16294?4|5;;?6<9;;<027?76;2wx=:?50;0x971>28=?70<88;327>{t9o31<7;t=305>10<5;8o6984=30e>10<5;9:6984=0db>4133ty9>:4?:3y>670=>916>?951668yv45m3:1>v3=2d8231=::;n1:=5rs313>5<5s488<7?84:?16c<182wx>><50;0x975528=?70<<1;43?x{i=<31<7?tH3cg?xh2=h0;6<uG2`f8yk32j3:1=vF=ae9~j03d290:wE<nd:m10b=83;pD?ok;|l61`<728qC>lj4}o76b?6=9rB9mi5rn443>5<6sA8jh6sa57394?7|@;ko7p`:6383>4}O:hn0qc;93;295~N5im1vb88;:182M4fl2we9;;50;3xL7gc3td>:;4?:0yK6db<ug?=;7>51zJ1ea=zf<<36=4>{I0b`>{i=?31<7?tH3cg?xh2>h0;6<uG2`f8yk31j3:1=vF=ae9~j00d290:wE<nd:m13b=83;pD?ok;|l62`<728qC>lj4}o75b?6=9rB9mi5rn453>5<6sA8jh6sa56394?7|@;ko7p`:7383>4}O:hn0qc;83;295~N5im1vb89;:182M4fl2we9:;50;3xL7gc3td>;;4?:0yK6db<ug?<;7>51zJ1ea=zf<=36=4>{I0b`>{i=>31<7?tH3cg?xh2?h0;6<uG2`f8yk30j3:1=vF=ae9~j01d290:wE<nd:m12b=83;pD?ok;|l63`<728qC>lj4}o74b?6=9rB9mi5rn4:3>5<6sA8jh6sa59394?7|@;ko7p`:8383>4}O:hn0qc;73;295~N5im1vb86;:182M4fl2we95;50;3xL7gc3td>4;4?:0yK6db<ug?3;7>51zJ1ea=zf<236=4>{I0b`>{i=131<7?tH3cg?xh20h0;6<uG2`f8yk3?j3:1=vF=ae9~j0>d290:wE<nd:m1=b=83;pD?ok;|l6<`<728qC>lj4}o7;b?6=9rB9mi5rn4;3>5<6sA8jh6sa58394?7|@;ko7p`:9383>4}O:hn0qc;63;295~N5im1vb87;:182M4fl2we94;50;3xL7gc3td>5;4?:0yK6db<ug?2;7>51zJ1ea=zf<336=4>{I0b`>{i=031<7?tH3cg?xh21h0;6<uG2`f8yk3>j3:1=vF=ae9~j0?d290:wE<nd:m1<b=83;pD?ok;|l6=`<728qC>lj4}o7:b?6=9rB9mi5rn4c3>5<6sA8jh6sa5`394?7|@;ko7p`:a383>4}O:hn0qc;n3;295~N5im1vb8o;:182M4fl2we9l;50;3xL7gc3td>m;4?:0yK6db<ug?j;7>51zJ1ea=zf<k36=4>{I0b`>{i=h31<7?tH3cg?xh2ih0;6<uG2`f8yk3fj3:1=vF=ae9~j0gd290:wE<nd:m1db=83;pD?ok;|l6e`<728qC>lj4}o7bb?6=9rB9mi5rn4`3>5<6sA8jh6sa5c394?7|@;ko7p`:b383>4}O:hn0qc;m3;295~N5im1vb8l;:182M4fl2we9o;50;3xL7gc3td>n;4?:0yK6db<ug?i;7>51zJ1ea=zf<h36=4>{I0b`>{i=k31<7?tH3cg?xh2jh0;6<uG2`f8yk3ej3:1=vF=ae9~j0dd290:wE<nd:m1gb=83;pD?ok;|l6f`<728qC>lj4}o7ab?6=9rB9mi5rn4a3>5<6sA8jh6sa5b394?7|@;ko7p`:c383>4}O:hn0qc;l3;295~N5im1vb8m;:182M4fl2we9n;50;3xL7gc3td>o;4?:0yK6db<ug?h;7>51zJ1ea=zf<i36=4>{I0b`>{i=j31<7?tH3cg?xh2kh0;6<uG2`f8yk3dj3:1=vF=ae9~j0ed290:wE<nd:m1fb=83;pD?ok;|l6g`<728qC>lj4}o7`b?6=9rB9mi5rn4f3>5<6sA8jh6sa5e394?7|@;ko7p`:d383>4}O:hn0qc;k3;295~N5im1vb8j;:182M4fl2we9i;50;3xL7gc3td>h;4?:0yK6db<ug?o;7>51zJ1ea=zf<n36=4>{I0b`>{i=m31<7?tH3cg?xh2lh0;6<uG2`f8yk3cj3:1=vF=ae9~j0bd290:wE<nd:m1ab=83;pD?ok;|l6``<728qC>lj4}o7gb?6=9rB9mi5rn4g3>5<6sA8jh6sa5d394?7|@;ko7p`:e383>4}O:hn0qc;j3;295~N5im1vb8k;:182M4fl2we9h;50;3xL7gc3td>i;4?:0yK6db<ug?n;7>51zJ1ea=zf<o36=4>{I0b`>{i=l31<7?tH3cg?xh2mh0;6<uG2`f8yk3bj3:1=vF=ae9~j0cd290:wE<nd:m1`b=83;pD?ok;|l6a`<728qC>lj4}o7fb?6=9rB9mi5rn4d3>5<6sA8jh6sa5g394?7|@;ko7p`:f383>4}O:hn0qc;i3;295~N5im1vb8h;:182M4fl2we9k;50;3xL7gc3td>j;4?:0yK6db<ug?m;7>51zJ1ea=zf<l36=4>{I0b`>{i=o31<7?tH3cg?xh2nh0;6<uG2`f8yk3aj3:1=vF=ae9~j0`d290:wE<nd:m1cb=83;pD?ok;|l6b`<728qC>lj4}o7eb?6=9rB9mi5rn723>5<6sA8jh6sa61394?7|@;ko7p`90383>4}O:hn0qc8?3;295~N5im1vb;>;:182M4fl2we:=;50;3xL7gc3td=<;4?:0yK6db<ug<;;7>51zJ1ea=zf?:36=4>{I0b`>{i>931<7?tH3cg?xh18h0;6<uG2`f8yk07j3:1=vF=ae9~j36d290:wE<nd:m25b=83;pD?ok;|l54`<728qC>lj4}o43b?6=9rB9mi5rn733>5<6sA8jh6sa60394?7|@;ko7p`91383>4}O:hn0qc8>3;295~N5im1vb;?;:182M4fl2we:<;50;3xL7gc3td==;4?:0yK6db<ug<:;7>51zJ1ea=zf?;36=4>{I0b`>{i>831<7?tH3cg?xh19h0;6<uG2`f8yk06j3:1=vF=ae9~j37d290:wE<nd:m24b=83;pD?ok;|l55`<728qC>lj4}o42b?6=9rB9mi5rn703>5<6sA8jh6sa63394?7|@;ko7p`92383>4}O:hn0qc8=3;295~N5im1vb;<;:182M4fl2we:?;50;3xL7gc3td=>;4?:0yK6db<ug<9;7>51zJ1ea=zf?836=4>{I0b`>{i>;31<7?tH3cg?xh1:h0;6<uG2`f8yk05j3:1=vF=ae9~j34d290:wE<nd:m27b=83;pD?ok;|l56`<728qC>lj4}o41b?6=9rB9mi5rn713>5<6sA8jh6sa62394?7|@;ko7p`93383>4}O:hn0qc8<3;295~N5im1vb;=;:182M4fl2we:>;50;3xL7gc3td=?;4?:0yK6db<ug<8;7>51zJ1ea=zf?936=4>{I0b`>{i>:31<7?tH3cg?xh1;h0;6<uG2`f8yk04j3:1=vF=ae9~j35d290:wE<nd:m26b=83;pD?ok;|l57`<728qC>lj4}o40b?6=9rB9mi5rn763>5<6sA8jh6sa65394?7|@;ko7p`94383>4}O:hn0qc8;3;295~N5im1vb;:;:182M4fl2we:9;50;3xL7gc3td=8;4?:0yK6db<ug<?;7>51zJ1ea=zf?>36=4>{I0b`>{i>=31<7?tH3cg?xh1<h0;6<uG2`f8yk03j3:1=vF=ae9~j32d290:wE<nd:m21b=83;pD?ok;|l50`<728qC>lj4}o47b?6=9rB9mi5rn773>5<6sA8jh6sa64394?7|@;ko7p`95383>4}O:hn0qc8:3;295~N5im1vb;;;:182M4fl2we:8;50;3xL7gc3td=9;4?:0yK6db<ug<>;7>51zJ1ea=zf??36=4>{I0b`>{i><31<7?tH3cg?xh1=h0;6<uG2`f8yk02j3:1=vF=ae9~j33d290:wE<nd:m20b=83;pD?ok;|l51`<728qC>lj4}o46b?6=9rB9mi5rn743>5<6sA8jh6sa67394?7|@;ko7p`96383>4}O:hn0qc893;295~N5im1vb;8;:182M4fl2we:;;50;3xL7gc3td=:;4?:0yK6db<ug<=;7>51zJ1ea=zf?<36=4>{I0b`>{i>?31<7?tH3cg?xh1>h0;6<uG2`f8yk01j3:1=vF=ae9~j30d290:wE<nd:m23b=83;pD?ok;|l52`<728qC>lj4}o45b?6=9rB9mi5rn753>5<6sA8jh6sa66394?7|@;ko7p`97383>4}O:hn0qc883;295~N5im1vb;9;:182M4fl2we::;50;3xL7gc3td=;;4?:0yK6db<ug<<;7>51zJ1ea=zutwKLNu8968:<0650=hvLMLt0|BCT~{GH
$2g144<,[o}e~g`n;"2*73>(-;0<<<40123456789:;<=>?0163?56789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?012344=69?1:97GAPTV9VAGCL[_7=94?>04850<NFY__6Z\NDEPV842=87;=7<85IORVP?TCIMNY@1?::1<22>712@D[YY4XR@FGVI:6=3:5=6<=;2;23>2=AGZ^X7ZFENDES@GK4:0;2<944;KMTPR=\@ODJKYJAT>0>58a3<|xt8>{fg3273+6981=87GAPTV9@L:1;3:5=?594;KMTPR=l`d7:>4?>00821<H]]Z^X7J@<7194;733?>1CXZ_UU8gkpr;>:0;295952224>>=AGZ^X7JFP=594;77310BB][[:VGO82<768:047GAPTV9S@S;?3:5=<57:NWWTPR=LFZ7;7>1169;>JSSX\^1INM_ASKW]91=87;0595601;2<>?=G\^[YY4kauc\gjsi|521<3<49@18EIJ>3HYRBNQ]EF:8FPUXAGLD=6M=;B41?FC6:2ICINEPLHAFJVCX\PZN>6MF3:AOV<=DGDGBXYKK159@KWCXOLDN^LZFOO]JJCI03JXNMYKK1:F4?ADN\LXY>6JF5:FJ85813MC7==09;EK?54813MC7=?09;EK?56813MC7=909;EK?50813MC7=;09;EK?52813MC7=509;EK?5<823MC7=384DH>14;0<L@69=384DH>16;0<L@69?384DH>10;0<L@699384DH>12;0<L@69;384DH>1<;0<L@6953;4DH>1:3=CA59;2;5KI=12:3=CA5992;5KI=10:3=CA59?2;5KI=16:3=CA59=2;5KI=14:3=CA5932;5KI=1::0=CA595:6JF<52=2>BN4=;5:6JF<50=2>BN4=95:6JF<56=2>BN4=?5:6JF<54=2>BN4==5:6JF<5:=2>BN4=3596JF<5<5?AO;=94=7IG350<5?AO;=;4=7IG352<5?AO;==4=7IG354<5?AO;=?4=7IG356<5?AO;=14=7IG358<6?AO;=7<0HD290?48@L:197<0HD292?:8@L:1;3:5:6JF<71=1>BN4?4>7IG37?78@L:?6<1OE1716:FJT969>2NB\1?16:FJT949>2NB\1=16:FJT929>2NB\1;16:FJT90902NB\1950?48@LV;?7?0HB2?>79GK9776?1OC1?>>79GK9756?1OC1?<>79GK9736?1OC1?:>79GK9716?1OC1?8>79GK97?6?1OC1?6>49GK979>2ND0?>16:FL8779>2ND0?<16:FL8759>2ND0?:16:FL8739>2ND0?816:FL8719>2ND0?616:FL87?9=2ND0?09;EM?75813ME7?<09;EM?77813ME7?>09;EM?71813ME7?809;EM?73813ME7?:09;EM?7=813ME7?40:;EM?7;0<LF6?<384DN>75;0<LF6?>384DN>77;0<LF6?8384DN>71;0<LF6?:384DN>73;0<LF6?4384DN>7=;3<LF6?2;5KO=73:3=CG5?:2;5KO=71:3=CG5?82;5KO=77:3=CG5?>2;5KO=75:3=CG5?<2;5KO=7;:3=CG5?2285KO=7=2>BH4?:5:6J@<73=2>BH4?8546J@<7194;0<LF6=?3;4DN>5:0=CG5=596J@<9<6?AI;17<0HB^30?48@JV;97<0HB^32?48@JV;;7<0HB^34?48@JV;=7<0HB^36?:8@JV;?3:5:6J@P=5=2>BHW[OLo6KLCQCQMQ_;87i0INM_ASKW]979k2OHO]O]IU[?6;e<MJI[M_G[Y=1=g>CDKYKYEYW34?a8AFEWI[C_U1;1c:G@GUGUA]S7:3k4EBASEWOSQ5=1<3m4EBASEWOSQ5=5n6KA_DA@[WCFLj1NBRKLC^Q@VPDd3LDTINMPWSKWA46<N:K9J>>?FGC1BC67:2L?==5IA20EEC4A8::M<K>:;GCOW@4<NM90JIM;;GF@A6=ALY>0JI^J5:Dbhvc63N90KCJ>;H08M54<A880E?<4I208M14<A<20ECG[P^23<>OIA]ZT<<64IOKWTZ6502CEEY^P02:8MKOSXV:?46GAIUR\40><AGC_\R>98:KMMQVX8>20ECG[P^2;<>OIA]ZT<464IOKWTZ6F02CEEY^P0C:8MKOSXV:H46GAIUR\4A><AGC_\R>J8:KMMQVX8O30ECG[SUCWA2=NF@^T<=94IOKW[5703@DBXR>=7:KMMQY7;>1BBDZP0558MKOSW9?<7D@FT^253>OIA]U;;:5FNHV\4=1<AGC_S=78;HLJPZ6F?2CEEYQ?B69JJLRX8J=0ECG[_1F4?LHN\V:N;6GAIU]3B2=NF@^T==94IOKW[4703@DBXR?=7:KMMQY6;>1BBDZP1558MKOSW8?<7D@FT^353>OIA]U:;:5FNHV\5=1<AGC_S<78;HLJPZ7F?2CEEYQ>B69JJLRX9J=0ECG[_0F4?LHN\V;N;6GAIU]2B2=NF@^T>=94IOKW[7703@DBXR<=7:KMMQY5;>1BBDZP2558MKOSW;?<7D@FT^053>OIA]U9;:5FNHV\6=1<AGC_S?78;HLJPZ4F?2CEEYQ=B69JJLRX:J=0ECG[_3F4?LHN\V8N;6GAIU]1B2=NF@^T?=94IOKW[6703@DBXR==7:KMMQY4;>1BBDZP3558MKOSW:?<7D@FT^153>OIA]U8;:5FNHV\7=1<AGC_S>78;HLJPZ5F?2CEEYQ<B69JJLRX;J=0ECG[_2F4?LHN\V9N;6GAIU]0B2=NF@^T8=94IOKW[1703@DBXR:=7:KMMQY3;>1BBDZP4558MKOSW=?<7D@FT^653>OIA]U?;:5FNHV\0=1<AGC_S978;HLJPZ2F?2CEEYQ;B69JJLRX<J=0ECG[_5F4?LHN\V>N;6GAIU]7B2=NF@^T9=94IOKW[0703@DBXR;=7:KMMQY2;>1BBDZP5558MKOSW<?<7D@FT^753>OIA]U>;:5FNHV\1=1<AGC_S878;HLJPZ3F?2CEEYQ:B69JJLRX=J=0ECG[_4F4?LHN\V?N;6GAIU]6B2=NF@^T:=94IOKW[3703@DBXR8=7:KMMQY1;>1BBDZP6558MKOSW??<7D@FT^453>OIA]U=;:5FNHV\2=1<AGC_S;78;HLJPZ0F?2CEEYQ9B69JJLRX>J=0ECG[_7F4?LHN\V<N;6GAIU]5B2=NF@^T;=94IOKW[2703@DBXR9=7:KMMQY0;>1BBDZP7558MKOSW>?<7D@FT^553>OIA]U<;:5FNHV\3=1<AGC_S:78;HLJPZ1F?2CEEYQ8B69JJLRX?J=0ECG[_6F4?LHN\V=N;6GAIU]4B<=NF@^TJDBJ3:KMR6=NGK80@D84LNCGAA1<DFMBOLB;;MWW51=K]]8?7A[[359OQQ233E__:85BSFMM1>KRPJSh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL<2DDBH?4O09S3>V)=1lT@95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN?6^]E09R`>TFEK9=_I^PEYRb?WBFLMXG0=0m;SFB@ATK48:5n6\KAEFQH9766k1YHLJKRM>26;d<ZMKOH_B312<a?WBFLMXG0<:1d:PGEABUD5;>6=0m;SFB@ATK48?5m6\KAEFQH979i2XOMIJ]L=0=e>TCIMNY@1=1a:PGEABUD5>5m6\KAEFQH939i2XOMIJ]L=4=e>TCIMNY@191a:PGEABUD525m6\KAEFQH9?9i2XOMIJ]U=2=f>TCIMNYY1??>c9Q@DBCZ\6:=3l4RECG@WS;9;4i7_JNDEPV8459l2XOMIJ]U=37>58e3[NJHI\Z<06=e>TCIMNYY1?1a:PGEABU]585m6\KAEFQQ959i2XOMIJ]U=6=e>TCIMNYY1;1a:PGEABU]5<5m6\KAEFQQ919i2XOMIJ]U=:=e>TCIMNYY1716:PGGIMK>2XOOAEZ5:PGAKJ23[NNBX94REP@HNJ03[NYOAEZ6:PFCFCK>2XNKNKZ9:Pfwpjs49427_k|umv?5;?<Zly~`y2=>89Qavsk|59556\jstnw818>3[oxyaz35?;8V`urd}6=245]erwop91912Xnxb{<9<2?V2<[HLN46]NVDFBPD1<[KC_I_\>3:QJIZEHDECXEB@PCIG@O==TADUFCIK7;RIJTZVNF?1XCX\LE49PVSGK<2YX^A:4SRPVf>RNMFLM_^\MLc9WM@IANZYYNXj4THGLBCQBIE6;2i5[IDMEBRCFD5;5h6ZFENDES@GK4;4m7YGJOGDTADJ;;3:5h6ZFENDES@GK4:4o7YGJOGDTADS;87n0XDK@FGUFEP:66m1_EHAIFVGBQ949n2^BIBHIWDCV86<76m1_EHAIFVGBQ959<2^R\H8<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im Mymq[fhszVoeS_k|umv213=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*ei|{Unb;:4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQly=2=21=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ hdb,gg*bd'DidyczPcnwmpZe~484=86[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+ack'nh#im MbmvjqYdg|dSnw32?47?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)JkfexRm`uov\g|:46?>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"Clotlw[firf}Uhu1:1659V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+Heh}g~Tob{at^az8081<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/egg+bd'mi$Anaznu]`kphsWjs7:38;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-Ngjsi|VidyczPcx>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6;2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>2:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}692;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>0:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6?2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>6:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}6=2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQcuu>4:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYk}}632;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>3:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6:2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>1:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{682;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>7:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6>2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>5:33<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&Ghcx`{_bmvjqYg{6<2;;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.O`kphsWje~byQwos>;:0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!gea-`f)ck&idycz30?7b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|d0<0:a:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'moo#jl/ea,gjsi|5859l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(`lj$oo"jl/bmvjq:46<k0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-cae)lj%oo"m`uov?0;3f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.ff`*ae(lj%hcx`{<4<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#ikc/f`+ae(kfex1815`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$lhn kc.f`+firf}6<28o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)omi%hn!kc.alqkr;07?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!lotlw[53>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.ff`*ae(lj%hcx`{_07:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|dS?;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-`kphsW:?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!lotlw[13>3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.ff`*ae(lj%hcx`{_47:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"jjl.ea,`f)dg|dS;;6;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&nnh"im db-`kphsW>?27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*bbd&mi$hn!lotlw[=3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6'jky~t`jt=2=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g4)di{xrbhz31?7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+fguzpdnx1<15c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} c0-`ewt~fl~7?38>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/fov|+ajS9W%k`?!m042?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+bkrp'mfW<S!glq-iv063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e6'ng~t#ib[3_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#jczx/en_6[)ody%a~8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m>/fov|+ajS=W%k`}!mr76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;87?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?31?76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;:7?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?33?76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a2+s7;<7?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P0^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P1^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P2^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P3^cm`56788?o7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i:#{?P4^llp56788?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#no}rxlfp969=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(k;%hm|vndv?5;3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5'jky~t`jt=0=1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,g7)di{xrbhz33?42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'mfW=S!gl3-i4063\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5'ng~t#ib[0_-chu)ez<:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&i9#jczx/en_7[)ody%a~8>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/fov|+ajS:W%k`}!mr42?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.a1+bkrp'mfW9S!glq-iv323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';7<3;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3?5;323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';7>3;:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3?7;323\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*e5';783;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\4Zgil9:;<<;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\5Zgil9:;<<;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\6Zgil9:;<<;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\7Zgil9:;<<;k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m=/w3\0Zhh|9:;<<;=;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"m`mq266>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-`khv6=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(zhgTi`~{y^da[l053\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*tfeVof|ywPfc]j[jt789:=?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%ym`Qjmqvz[cdXaVey<=>?14:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/scn[`kw|pUb8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.pbiZtcWldTe<;m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&Ghcx`{_bmvjqYdq5:59o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(Eje~byQlotlw[f;97?n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kdg|dSnaznu]`}979W{~>n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)JkfexRm`uov\g|:56<o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+Heh}g~Tob{at^az878Xz}?i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kdg|dSnaznu]`}959=l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,Ifirf}Uhcx`{_b{?7;Yu|<h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+Heh}g~Tob{at^az8182m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPcx>7:Zts=k1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,Ifirf}Uhcx`{_b{?1;3b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQly=7=[wr2j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPcx>5:0c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/LalqkrXkfexRmv<7<\vq3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQly=5=1`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| MbmvjqYdg|dSnw37?]qp0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/LalqkrXkfexRbzt=2=27=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| MbmvjqYdg|dSa{{<1<\MKPX8<i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+Heh}g~Tob{at^zlv969=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,Ifirf}Uhcx`{_ymq8482k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Ngjsi|VidyczPxnp?6;3d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.O`kphsWje~byQwos>0:0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/LalqkrXkfexRv`r=6=1f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| MbmvjqYdg|dSua}<4<6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!BcnwmpZeh}g~Ttb|36?7`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Clotlw[firf}Usc28>4a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#@m`uov\gjsi|Vrd~1615g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$Anaznu]`kphsWqey050Pru71?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"\jstnw8582:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Qavsk|5;59?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(Zly~`y2=>408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#_k|umv?7;353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.Pfwpjs4=4>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)Umzgx1;1539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$^h}zlu>5:04<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Sgpqir;?7?97X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Tb{|f050:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{<1<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw848292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphs4;4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|d0>0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{<5<65>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw808292_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphs4?4>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|d0:0:1:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{<9<64>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw[5373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.alqkrX9<:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}U99=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexR=:0:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{_573?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"m`uov\106<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjqY1=91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,gjsi|V=><6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dS5;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&idyczPd0>3:02<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjqYc95;5995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(kfexRj><3<60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw[a7;;7??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*eh}g~Th<2;>468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#naznu]g5939==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,gjsi|Vn:0;0:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{_e3?3;333\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.alqkrXl86328=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~byQk1^267>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!lotlw[a7X9<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+firf}Uo=R<:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%hcx`{_e3\705<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/bmvjqYc9V>>?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)dg|dSi?P5418Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#naznu]g5Z02;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-`kphsWm;T;8=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'je~byQk1^:6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*efz{seiy2?>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b2,gdtuqgo0<0:b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.abvwim}6928l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#n> c`pq}kcs4:4==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"ibuy,di^6Z&ng:"`?91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.enq}(`eR;V"jc|.lq55>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`4*aj}q$laV<R.fop*hu192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&mfyu hmZ1^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h<"ibuy,di^2Z&ngx"`}:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.t28582=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:0<0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.t28782=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:0>0:5:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l0.t28182l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S=Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S<Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S?Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S>Qnne234572l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d8&|:S9Qaou234572j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&ij~waeu>3:0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+f7(khxyuck{<0<6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*efz{seiy2=>4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/b3,gdtuqgo0>091:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.enq}(`eR:V"jc>.l355>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*aj}q$laV?R.fop*hu192_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)d9&mfyu hmZ0^*bkt&dy==6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="ibuy,di^5Z&ngx"`}91:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!l1.enq}(`eR>V"jc|.lq61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*p6494>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x><0<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*p64;4>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x><2<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-`5*p64=4>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_1]bja6789;>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_0]bja6789;>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_3]bja6789;>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_2]bja6789;>h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%h="x>_5]mkq6789;>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%hc`~?539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} cnos50g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+wgjWog`Rm`mc7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.pbiZ`rdeUhc`l>5`9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} r`o\bpjkWohTe894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZo3n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#ob_vp\akYn9<h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+Heh}g~Tob{at^az8582j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-Ngjsi|VidyczPcx>2:0c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/LalqkrXkfexRmv<0<\vq3e3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.O`kphsWje~byQly=0=1`=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| MbmvjqYdg|dSnw32?]qp0d<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/LalqkrXkfexRmv<2<6a>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!BcnwmpZeh}g~Tot2<>^pw1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| MbmvjqYdg|dSnw34?7f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Clotlw[firf}Uhu1:1_sv6f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!BcnwmpZeh}g~Tot2:>4g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vir080Pru7a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Clotlw[firf}Uhu1815d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWjs7:3Q}t4`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vir0:0:e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXkp6<2R|{5b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWe0=092:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXd|~7<3QFNW]31f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| MbmvjqYdg|dSua}<1<6g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!BcnwmpZeh}g~Ttb|31?7`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Clotlw[firf}Usc2=>4a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1=15b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$Anaznu]`kphsWqey090:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%Fob{at^alqkrXpfx793;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&Ghcx`{_bmvjqYg{6=28m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'DidyczPcnwmpZ~hz5=59n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(Eje~byQlotlw[}iu414>j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)JkfexRm`uov\|jt;07Uyx8<4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'[oxyaz30?71?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"\jstnw8482:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-Qavsk|5859?5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(Zly~`y2<>408Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#_k|umv?0;353\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Pfwpjs4<4>>6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)Umzgx181539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$^h}zlu>4:04<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Sgpqir;07?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~7<3;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idycz31?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov?6;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkr;;7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~783;>;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idycz35?72?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov?2;363\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkr;?7?:7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~743;?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idyczP0428Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#naznu]215=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZ4282_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsW:?;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~T88>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'je~byQ:519V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^464>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!lotlw[2373\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrX0<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+firf}Uo=1>1559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^f28482<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-`kphsWm;7>3;;;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idyczPd0>0:02<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/bmvjqYc95>5995Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(kfexRj><4<60>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!lotlw[a7;>7??7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~Th<28>468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#naznu]g59>9=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,gjsi|Vn:S=;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&idyczPd0]216=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| cnwmpZb6W;?87X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*eh}g~Th<Q<529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$ob{at^f2[1343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.alqkrXl8U>9>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(kfexRj>_770?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"m`uov\`4Y0=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,gjsi|Vn:S5lk;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/f`n*bdjo'miajo!nfg`g+djo&memygyecod*kgsaoTnaePmdo\c`h(~hfbh#m|ts-qehjhgyQ;Q#|nm/p,r0Yig`dbx#|nm.QGT+QNLLU[^DC<6/llafebz{$XH]:k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/uos[wgjW{nTic:j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"`kb/uos[wgjW{nTic?<3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~lcPre]fj65<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZquWld8h6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlm?<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh<:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyijQk22a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tobcm3e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uhc`l>3d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Uyij2?>2g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~T~hi32?1g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySkh_11g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*tcW{ySkh_31g?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*quW{ySl}}ef63?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*quW{ySl}}ef]g516<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'~xT~~zParpfcZb5;j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea${Q}su]`khd4l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^alig74m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%|~R||t^pfc969;l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea${Q}su]qab:66:n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#z|Prrv\v`aX8:n0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#z|Prrv\v`aX9j1^_H\PVHQJFIC43_IH56XFEV]W]UC13^OG0=09;VGO84813^OG0?09;VGO86813^OG0909;VGO80813^OG0;07;VGO82<76?1\IA28>79TAP:76?1\IX2>>79TAP:56?1\IX2<>79TAP:36?1\IX2:>79TAP:1611\IX28:1<5?RCR4>4j7Z\NDEPO858e3^XJHI\C<02=f>QUIMNY@1?>>c9TVDBCZE6:>3l4WSCG@WJ;9:4i7Z\NDEPO8429l2]YMIJ]L=36>58e3^XJHI\C<07=e>QUIMNY@1?1a:UQEABUD585m6Y]AEFQH959i2]YMIJ]L=6=e>QUIMNY@1;1a:UQEABUD5<5m6Y]AEFQH919i2]YMIJ]L=:=e>QUIMNY@171a:UQEABU]5:5n6Y]AEFQQ9776k1\^LJKRT>25;d<_[KOH_[313<a?RTFLMX^0<=1d:UQEABU]5;?6=0m;VPB@ATR48>5m6Y]AEFQQ979i2]YMIJ]U=0=e>QUIMNYY1=1a:UQEABU]5>5m6Y]AEFQQ939i2]YMIJ]U=4=e>QUIMNYY191a:UQEABU]525m6Y]AEFQQ9?9>2]YOAEC6:UQGIMR=2]YICB:;VPFJP7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML3<PFXHU;5WSUNJF2=_[]ULBI94XRV\RFEe3QUHC_KPIODL2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2igg<:4cupo[coag<;9t;:|t^t355d>3j~y`Rhffn726}03{}U}<!hffn]dakcui}eyS{7P3-y`[dbcWgmhSnaasupbmkr:8%iTm}~cibcwmjhX|pznSlvfs<2/gZgwxechmyg`n^vzt`Yuijb6<!mPaqromfgsafdTxt~j_sf`l86+kVk{|aglaukljZr~xlU|mnf20-a\euvkajkeb`Ptxrf[rbd`4:'oRopmk`eqohfV~r|hQxrbj>4)eXiqcTmij}_vkgpm;4:%iTmugPaswpawYpam~c1<"l_`zj[dqr{lxT{dj{h<3/gZgaVh~h|Pwhfwl87+kVkseRjnt`]tmaro5?>'oRowi^kg[roc|a7? nQnxh]qqvcuW~coxe3>,b]b|lYsqyo6<!mPayk\spubzV}bhyf21-a\e}otW}njxlQxievk932+kVkse~Q{ddqw[roc|a7? nQnxhq\plbX`nd06#c^c{mvYsclo{Szgkti?7(fYfp`yTx~z}b^uj`qn:<%iTmug|_uwpawYpam~c19"l_`zjwZr~xl7; nQlololjZekgja6=!mPcnwmpZr~xl7; nQkauc\gjsi|V}bhyf29-a\```f}e~Tzlbze<@oekmT|{cek!mPdhl\slbs`4<? nQkio]tmaroWhrb0?#c^fjjZqnl}bT~lmg=20/gZbnfV}bhyfPreak932+kVnbbRyfduj\sdeo5:8'oRjfn^uj`qnXmic1;:#c^fjjZqnl}bT{mg=3.`[air|VxxxRxnl<2/gZbh}}U|eizg=76/gZciikfnSb`cr?3(fYbfhhgiR||t^qzjf;6$jUn~a}_hliafrnggUu}k20-a\awthzVcefhm{inl\p|vbWhrb0>#c^gqvjtXag`noyg`n^vzt`Yuijb6<!mPesplvZoiblieb`Ptxrf[wbd`4:'oRk}rnp\mklbk}cdbRzvpd]tefn:8%iTi|`r^kmn`esafdTxt~j_vf`l86+kVoy~b|PiohfgqohfV~r|hQxrbj>4)eXnhgb`t3yisvf|0*dWog`Rhcafq\vvrX~hf6<!mPh`q\eikh{}Una}zv=1.`[mgtWhffc~zPftno95*dWakxSlvf_`pvw`t:8%iTdl}Payk\erstm{7; nQgar]b|lYe}zoy1="l_icp[d~nW{nToeoandn>4)eX`hyTmugPrtqfv86+kVbjRowi^uq[fnffgog1="l_icp[d~nW~xi3?,b]kevYfp`yTxio{a<2/gZnf{Vkse~Q{ddqw95*dWakxSlvfs^vj`86+kVbjRowir]wo`cw59&hSeo|_`zjwZrkiz~6<!mPh`q\e}otW}xnmiw21-a\lduXiqcxSy}{rc?3(fYoizUjtd}Pttqfv86+kVbjRlncjws95*dWakxSio{a^alqkr:9%iTdl}Pd`vb[firf}yTmug|=1.`[mgtWmkmRm`uovp[wgd`4:'oRfns^fbpdYdg|dR|kci?3(fYoizUomyoPcnwmpvYpijb6<!mPh`q\`drfWje~by}Pweak95*dWakxSio{a^alqkrtW~xhd0>#c^jbwZoi|Vigg0>#c^jbwZkf{}oySnk20-a\lduXelgbbdzPfhnf95*dWakxSbxjrgnls86+kVbjR~}of]ehdatWhrb0>#c^jbwZvugnUm`li|_sc`l86+kVbjR~}of]ehdatW{nhd0>#c^jbwZvugnUm`li|_vc`l86+kVbjR~}of]ehdatW~nhd0>#c^jbwZvugnUm`li|_vp`l86+kVbjR|k_ecweZeh}g~6<!mPh`q\vaYu{}7; nQgar]qwq;6$jUcm~Q|l`tf[fc:8%iTdl}Pssqw95*dWakxSx`kesdokr;7$jUcm~Qyamkg95*dWakxSz|Pabi>4)eX`hyT{Qkauc\gjsi|4:'oRfns^uq[wus59&hSdcldofjqgsafdTxt~j=1.`[lkwdlgnbyo{inl\p|vbWhrb0?#c^knticjmg~jxdaa_u{saZtfka7: nQfmqnfi`hsi}cdbRzvpd]q`fn:9%iTe`~celgmpdrnggUu}kPw`ak94*dW`g{`hcjnucwmjhX|pznSzjlh<3/gZojxeoficznthmm[qwmV}yoe3>,b]jjlrX{U{by|Pv`n>4)eXag~n~kole^vzt`;7$jUfi`a}y^vzt`;6$jUfekQiimg\jdkb5Kfjbf]{rhld(fYj{neeS{oc=1.`[jvsadcqmyg`n^ol``;7$jUdzh|ilnu\hjq:8%iT|kco`f\hdrbfjs6=!mPpsgokdbXzlmx1="l_qpjiZ`nnfUu}k2500{37*dWyxdkRkbpu{\pmtb{aUj~k}t^tbh84+kVzycjQjmqvz[qnumzbTm~}jru]ueiYfp`y6==<=,b]svjaXmdzuRzgrdqk[dutm{~TzlbPr`ak9465:%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkW{nhd0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^ubgm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieU|hnf21101(fYwzfmTi`~{y^vkv`uoWhyxizPv`n\sweo58:9>!mPpsmd[`kw|pUdk|h^lfcdrbWkg1>"l_qplcZcjx}sTxt~j=1.`[uthoVof|ywPtxrf[d~n{4:'oR~}of]fiur~W}s{iR|nci?3(fYwzfmTi`~{y^vzt`Yuljb6<!mPpsmd[`kw|pUu}kPw`ak95*dWyxdkRkbpu{\p|vbW~nhd0>#c^rqkbYbey~rSywe^uqgm;7$jU{~biPftno[qnumzbTm~}jru]uei;299&hS}|`g^dvhiYs`{oxdRo|sdpw[sgkWhrb0??22.`[uthoVl~`aQ{hsgplZgt{lxS{oc_sc`l877::&hS}|`g^dvhiYs`{oxdRo|sdpw[sgkW{nhd0??22.`[uthoVl~`aQ{hsgplZgt{lxS{oc_vc`l877::&hS}|`g^dvhiYs`{oxdRo|sdpw[sgkW~nhd0??22.`[uthoVl~`aQ{hsgplZgt{lxS{oc_vp`l877::&hS}|`g^dvhiYs`{oxdR`jg`vf[sgk5<:2 nQrne\bpjkW}s{i0>#c^rqkbYa}efTxt~j_`zjw86+kVzycjQiumn\p|vbW{khd0>#c^rqkbYa}efTxt~j_sf`l86+kVzycjQiumn\p|vbW~khd0>#c^rqkbYa}efTxt~j_vf`l86+kVzycjQiumn\p|vbW~xhd0>#c^pbgmYsqyo6<!mPre]geqgXkfexRyfduj>=)eXzmUoi}zg=431(fYulVlyi|3>,b]q`Zvi|{U|eizg=8.`[wbd`V~r|h3?,b]qabYtd`inS`ake^c{mv;7$jUyijQ|lhaf[hicmVxjoe3?,b]qabYtd`inS`ake^pggm;7$jUyijQ|lhaf[hicmV}joe3?,b]qabYtd`inS`ake^uggm;7$jUyijQ|lhaf[hicmV}yoe3?,b]p}keozfdbvh|Psucda84+kVehh|ilnu\hjq:8%iTy~kPcnonkkYh~lxm`by20-a\qvcXkfgfccQznegqbiip59&hSx}j_egeepjsWzoxd`hs<2/gZstmVndyyQ}su?2(fYr{lUnon3?,b]vw`YbkjUjtd}20-a\qvcXmjiT~lmg=1.`[pubWlihSjlh<2/gZstmVohoRynci?3(fYr{lUnonQxdbj>4)eX}zoTinmPwsak95*dW|ynShcmeeff`Ztbo4:'oR{|e^djbj71Wofjk~3?,b]vw`Yao~Thlzn_bmvjq;7$jU}magk_mmt95*dW~khdRzvpd?3(fYpljbTxt~j=1.`[rtXijaT`by20-a\swYci}kTob{at^uj`qn:1%iT{Qkeqvk9075$jU|~Rjjpuj\e}ot58:98!mPws]gauroW{khd0?9,b]tvZbbx}bT~img=0210)eX{Uoi}zg_vc`l871$jU|~Rjjpuj\saeo58:98!mPws]gauroW~xhd0?9,b]tvZ`umx7: nQxr^rmpwYpam~c14"l_vp\tkruW~coxeQnxhq>55*dW~xT|cz}_vkgpmYuijb68!mPws]sjqtX`ndR|kci?24)eX{U{by|PwhfwlZqfka7? nQxr^rmpwYpam~cSzjlh<33(fYpzVzexQxievk[rtd`4>'oRy}_sgptjhtmVfjxh`ly<3/gZqukaUu}k20|0a?frudVlbjb;>2y47wqYq8%lbjbQheogqeqiuW3T?!urd:fbpdYdg|d0=0k;ecweZeh}g~7=3j4d`vb[firf}692i5kauc\gjsi|595h6jnt`]`kphs4=4o7io{a^alqkr;=7n0hlzn_bmvjq:16m1omyoPcnwmp919n2njxlQlotlw8=<76m1omyoPcnwmp9>9>2nbb1>17:fjj9776>1oec2>1?58`lh;9;4<7iga<01=3>bnf5;?2:5kio>21;1<l`d7=;08;ekm8419?2nbb1?7>69gmk:617<0hd`31?58`lh;:94<7iga<33=3>bnf5892:5kio>17;1<l`d7>908;ekm8739?2nbb1<9>69gmk:5?7=0hd`329<4?aoi4;35:6jfn=0=3>bnf59;2:5kio>05;1<l`d7??08;ekm8659?2nbb1=;>69gmk:4=7=0hd`337<4?aoi4:=5;6jfn=1;:2=cag685384dhl?7;1<l`d78=08;ekm8179?2nbb1:=>69gmk:3;7=0hd`345<4?aoi4=?5;6jfn=65:2=cag6?;394dhl?0=803mce09716:fjj929?2nbb1;?>69gmk:297=0hd`353<4?aoi4<95;6jfn=77:2=cag6>9394dhl?13803mce08917:fjj93?6>1oec2:9?48`lh;=7=0hd`361<4?aoi4?;5;6jfn=41:<=cag6=?7>17:fjj9046?1oec29>79gmk:06?1oec27>79gmk:>6>1ocxz30?:8`jss48:546j`uu>25;><lf0<<18:flqq:6;720hb{{<06=<>bh}}6:9364dnww840902ndyy2>7?:8`jss482546j`uu>2=;1<lf0<07;emvp947611ocxz320<;?air|589255kotv?668?3me~x1<;>99gkpr;:<437iazt=05:==cg|~7>:07;emvp94?611ocxz328<4?air|58546j`uu>04;><lf0>?18:flqq:4:720hb{{<21=<>bh}}688364dnww863902ndyy2<6?:8`jss4:=546j`uu>0<;><lf0>717:flqq:4611ocxz341<;?air|5>:255kotv?078?3me~x1:<>99gkpr;<=437iazt=66:==cg|~78;07;emvp920611ocxz349<;?air|5>22:5kotv?0;><lf08>18:flqq:29720hb{{<40=<>bh}}6>?364dnww802902ndyy2:5?:8`jss4<<546j`uu>63;><lf08618:flqq:217=0hb{{<4<;?air|5<;255kotv?248?3me~x18=>`9gkpr;>:0;255kotv?26803me~x1817:flqq:06>1ocxz38?58`jss404>7hctx78bdjtm;l0jdh`_fgmawgsg{U}5R=# Ykomk~'KFXN,Jkaescwkw&6<'??7k{cl99mcfdraen>7jPeo18vvr23~xTicsO@q5a1>FGp:>i6K4;:0yP62<4<00:484>320b31<50;??wc=;7;38j62?2?1/?9;532d8yV422:>26<6::0106d132;299k5\16697ac=83;8??o84;0;635<[;?1?ik50;3077g0<383>;;4d26a>5<628qX>:4<4882<0<6;:8j;94=837`?sR6k<0;6<4>:01eV402:>26<6::0106d132;299n5+3159523<^:>=6?uz16f95>s6?l0;7p*>878g?g53j3:14k4mc;30b~N48<1/=i;535`8^4c=;r;j6<?5f;'524=l<1/?9:53ea8 74=;=n0(?8535a8m62f2900e>ji:188m6c72900c>jn:188m6c62900c>jk:188k630290/=5653448j4>02910c>;::18'5=>=;<<0b<68:098k633290/=5653448j4>02;10c>;<:18'5=>=;<<0b<68:298k635290/=5653448j4>02=10c>;>:18'5=>=;<<0b<68:498k637290/=5653448j4>02?10c>:i:18'5=>=;<<0b<68:698k62b290/=5653448j4>02110c>j::18'5=>=;m>0b<68:198k6b4290/=5653e68j4>02810c>j=:18'5=>=;m>0b<68:398k6b6290/=5653e68j4>02:10c>mi:18'5=>=;m>0b<68:598k6eb290/=5653e68j4>02<10c>mk:18'5=>=;m>0b<68:798k6ed290/=5653e68j4>02>10c>mm:18'5=>=;m>0b<68:998k6ef290/=5653e68j4>02010c>m6:18'5=>=;m>0b<68:`98k6e?290/=5653e68j4>02k10c>m8:18'5=>=;m>0b<68:b98k6e1290/=5653e68j4>02m10c>m;:18'5=>=;m>0b<68:d98k6e4290/=5653e68j4>02o10c>m=:18'5=>=;m>0b<68:028?j5d93:1(<67:2f7?k7??3;:76a<c183>!7?039o86`>86826>=h;kl1<7*>8980`1=i91=1=>54o2`f>5<#9121?i:4n0:4>42<3f9ih7>5$0:;>6b33g;3;7?:;:m0ff<72-;347=k4:l2<2<6>21d?ol50;&2<=<4l=1e=5951698k6d>290/=5653e68j4>028207b=m8;29 4>?2:n?7c?77;3:?>i4j>0;6)?78;1g0>h60>0:m65`3c494?"60108h95a19595g=<g:h>6=4+19:97a2<f82<6<m4;n1a0?6=,8236>j;;o3;3?7c32e8n>4?:%3;<?5c<2d:4:4>e:9l7g4=83.:454<d59m5=1=9o10c>l>:18'5=>=;m>0b<68:328?j5e83:1(<67:2f7?k7??38:76a<ad83>!7?039o86`>86816>=h;hn1<7*>8980`1=i91=1>>54o2c`>5<#9121?i:4n0:4>72<3f9jn7>5$0:;>6b33g;3;7<:;:m0ed<72-;347=k4:l2<2<5>21d?l750;&2<=<4l=1e=5952698k6g?290/=5653e68j4>02;207b=n7;29 4>?2:n?7c?77;0:?>i4i?0;6)?78;1g0>h60>09m65`3`794?"60108h95a19596g=<g:k86=4+19:97a2<f82<6?m4;n1b6?6=,8236>j;;o3;3?4c32e8m<4?:%3;<?5c<2d:4:4=e:9l7d6=83.:454<d59m5=1=:o10c>7i:18'5=>=;m>0b<68:228?j5>m3:1(<67:2f7?k7??39:76a<9e83>!7?039o86`>86806>=h;0i1<7*>8980`1=i91=1?>54o2;a>5<#9121?i:4n0:4>62<3f92m7>5$0:;>6b33g;3;7=:;:m0`<<72-;347=k4:l2<2<4>21d?i650;&2<=<4l=1e=5953698k6b0290/=5653e68j4>02:207b=k6;29 4>?2:n?7c?77;1:?>i4l90;6)?78;1g0>h60>08m65`3b794?"60108h95a19597g=<g:hj6=4+19:97a2<f82<6>m4;n1bb?6=,8236>j;;o3;3?5c32e8m94?:%3;<?5c<2d:4:4<e:9l7<?=83.:454<d59m5=1=;o10e>7;:18'5=>=;090b<68:198m6?5290/=5653818j4>02810e>7>:18'5=>=;090b<68:398m6?7290/=5653818j4>02:10e>6j:18'5=>=;090b<68:598m6>c290/=5653818j4>02<10e>6l:18'5=>=;090b<68:798m6>e290/=5653818j4>02>10e>6n:18'5=>=;090b<68:998m6>>290/=5653818j4>02010e>67:18'5=>=;090b<68:`98m6>0290/=5653818j4>02k10e>69:18'5=>=;090b<68:b98m6>2290/=5653818j4>02m10e>6<:18'5=>=;090b<68:d98m6>5290/=5653818j4>02o10e>6>:18'5=>=;090b<68:028?l5?83:1(<67:2;0?k7??3;:76g<7g83>!7?0392?6`>86826>=n;>o1<7*>8980=6=i91=1=>54i25g>5<#9121?4=4n0:4>42<3`9<o7>5$0:;>6?43g;3;7?:;:k03g<72-;347=63:l2<2<6>21b?:o50;&2<=<41:1e=5951698m61?290/=5653818j4>028207d=87;29 4>?2:387c?77;3:?>o4??0;6)?78;1:7>h60>0:m65f36794?"601085>5a19595g=<a:=?6=4+19:97<5<f82<6<m4;h147?6=,8236>7<;o3;3?7c32c8;?4?:%3;<?5>;2d:4:4>e:9j727=83.:454<929m5=1=9o10e>9?:18'5=>=;090b<68:328?l51n3:1(<67:2;0?k7??38:76g<6e83>!7?0392?6`>86816>=n;?i1<7*>8980=6=i91=1>>54i24a>5<#9121?4=4n0:4>72<3`9=m7>5$0:;>6?43g;3;7<:;:k02<<72-;347=63:l2<2<5>21b?;650;&2<=<41:1e=5952698m600290/=5653818j4>02;207d=96;29 4>?2:387c?77;0:?>o4><0;6)?78;1:7>h60>09m65f37694?"601085>5a19596g=<a:<96=4+19:97<5<f82<6?m4;h155?6=,8236>7<;o3;3?4c32c8:=4?:%3;<?5>;2d:4:4=e:9j70`=83.:454<929m5=1=:o10e>;j:18'5=>=;090b<68:228?l52l3:1(<67:2;0?k7??39:76g<5b83>!7?0392?6`>86806>=n;<h1<7*>8980=6=i91=1?>54i27b>5<#9121?4=4n0:4>62<3`9>57>5$0:;>6?43g;3;7=:;:k0==<72-;347=63:l2<2<4>21b?4950;&2<=<41:1e=5953698m6?1290/=5653818j4>02:207d=65;29 4>?2:387c?77;1:?>o40o0;6)?78;1:7>h60>08m65f39694?"601085>5a19597g=<a:=26=4+19:97<5<f82<6>m4;h15a?6=,8236>7<;o3;3?5c32c8:>4?:%3;<?5>;2d:4:4<e:9j70>=83.:454<929m5=1=;o10n>:=:182>5<7s-;o97j8;I175>N48<1dh;4?::a715=83;1<7>t$0f6>6613A9?=6F<049l5=2=831vn<hm:186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd6nj0;684?:1y'5a3=l;1C?9?4H226?!ca281b=k4?::k15?6=3`8?6=44i3:94?=h91k1<75rb0dg>5<2290;w)?k5;f1?M5392B8<85+eg82?l7a2900e??50;9j61<722c947>5;n3;e?6=3th:jh4?:483>5}#9m?1h?5G3538L6623-om6<5f1g83>>o593:17d<;:188m7>=831d=5o50;9~f4`a290>6=4?{%3g1?b53A9?=6F<049'ac<63`;m6=44i3394?=n:=0;66g=8;29?j7?i3:17pl=0183>0<729q/=i;5d39K717<@::>7)ki:09j5c<722c9=7>5;h07>5<<a;21<75`19c94?=zj;::6=4::183!7c=3n97E=;1:J040=#mo0:7d?i:188m77=831b>94?::k1<?6=3f;3m7>5;|`147<72<0;6=u+1e79`7=O;=;0D>>:;%ge>4=n9o0;66g=1;29?l432900e?650;9l5=g=831vn?><:186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd6l10;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg7b13:1?7>50z&2`0<4<91C?9?4H226?l442900e<9<:188k4>42900qo?k9;290?6=8r.:h84>7b9K717<@::>7d<<:188m7g=831b=ok50;9l5=5=831vn<kn:180>5<7s-;o97=;0:J004=O;9?0e?=50;9j525=831d=5=50;9~f4bf290?6=4?{%3g1?70k2B88<5G3178m75=831b>l4?::k2f`<722e:4>4?::a5`d=8391<7>t$0f6>6273A9?=6F<049j66<722c:;>4?::m2<6<722wi=il50;694?6|,8n>6<9l;I175>N48<1b>>4?::k1e?6=3`;ii7>5;n3;7?6=3th:in4?:283>5}#9m?1?9>4H262?M57=2c9?7>5;h347?6=3f;3?7>5;|`2`f<72=0;6=u+1e7952e<@:>:7E=?5:k17?6=3`8j6=44i0`f>5<<g8286=44}c3f`?6=;3:1<v*>d48005=O;=;0D>>:;h00>5<<a8=86=44o0:0>5<<uk;oh7>54;294~"6l<0:;n5G3538L6623`886=44i3c94?=n9ko1<75`19194?=zj8on6=4<:183!7c=39?<6F<409K753<a;91<75f16194?=h9191<75rb0ff>5<3290;w)?k5;34g>N4<81C?=;4i3194?=n:h0;66g>bd83>>i60:0;66sm1dd94?5=83:p(<j::263?M5392B8<85f2283>>o6?:0;66a>8283>>{e9ml1<7:50;2x 4b228=h7E=;1:J040=n::0;66g=a;29?l7em3:17b?73;29?xd6n90;6>4?:1y'5a3=;=:0D>:>;I131>o5;3:17d?83;29?j7?;3:17pl>f083>6<729q/=i;53528L6263A9;96g=3;29?l70;3:17b?73;29?xd5:o0;694?:1y'5a3=l:1C?9?4H226?!ca2=1b=k4?::k1<?6=3`;357>5;n3;e?6=3th9>n4?:583>5}#9m?1h>5G3538L6623-om695f1g83>>o503:17d?79;29?j7?i3:17pl=a283>1<729q/=i;5d09K717<@::>7)ki:09j5c<722c987>5;h0;>5<<g82j6=44}c0b0?6=<3:1<v*>d48g5>N4<81C?=;4$dd95>o6n3:17d<;:188m7>=831d=5o50;9~f7`229086=4?{%3g1?b73A9?=6F<049K5a=#9>h1?il4$dd95>o6n3:17d<7:188k4>f2900qo<ie;297?6=8r.:h84k0:J004=O;9?0D<j4$05a>6be3-om6<5f1g83>>o503:17b?7a;29?xd5n=0;6>4?:1y'5a3=l91C?9?4H226?M7c3-;<n7=kb:&fb?7<a8l1<75f2983>>i60h0;66sm2ga94?3=83:p(<j::e68L6263A9;96F>d:&23g<4lk1b=k4?::k15?6=3`836=44i0::>5<<g82j6=44}c0e`?6=;3:1<v*>d48g4>N4<81C?=;4H0f8 41e2:ni7)ki:09j5c<722c947>5;n3;e?6=3th9j>4?:483>5}#9m?1h95G3538L6623A;o7)?8b;1gf>o6n3:17d<>:188m7>=831b=5750;9l5=g=831vn?hm:187>5<7s-;o97j<;I175>N48<1/ik4;;h3e>5<<a;21<75f19;94?=h91k1<75rb3db>5<3290;w)?k5;f0?M5392B8<85+eg87?l7a2900e?650;9j5=?=831d=5o50;9~f663290?6=4?{%3g1?b43A9?=6F<049'ac<33`;m6=44i3:94?=n9131<75`19c94?=zj::86=4;:183!7c=3n87E=;1:J040=#mo0?7d?i:188m7>=831b=5750;9l5=g=831vn?:n:180>5<7s-;o97=;0:J004=O;9?0e?=50;9j525=831d=5=50;9~f72>29086=4?{%3g1?5382B88<5G3178m75=831b=:=50;9l5=5=831vn?=j:187>5<7s-;o97?8c:J004=O;9?0e?=50;9j6d<722c:nh4?::m2<6<722wi>9650;194?6|,8n>6>:?;I175>N48<1b>>4?::k236<722e:4>4?::a66e=83>1<7>t$0f6>41d3A9?=6F<049j66<722c9m7>5;h3aa?6=3f;3?7>5;|`102<72:0;6=u+1e79716<@:>:7E=?5:k17?6=3`;<?7>5;n3;7?6=3th9?l4?:583>5}#9m?1=:m4H262?M57=2c9?7>5;h0b>5<<a8hn6=44o0:0>5<<uk8?:7>53;294~"6l<088=5G3538L6623`886=44i050>5<<g8286=44}c00<?6=<3:1<v*>d4823f=O;=;0D>>:;h00>5<<a;k1<75f1cg94?=h9191<75rb366>5<4290;w)?k5;174>N4<81C?=;4i3194?=n9>91<75`19194?=zj;9=6=4;:183!7c=3;<o6F<409K753<a;91<75f2`83>>o6jl0;66a>8283>>{e:=>1<7=50;2x 4b22:>;7E=;1:J040=n::0;66g>7283>>i60:0;66sm22694?2=83:p(<j::05`?M5392B8<85f2283>>o5i3:17d?me;29?j7?;3:17pl=4283>6<729q/=i;53528L6263A9;96g=3;29?l70;3:17b?73;29?xd5;;0;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg43:3:1?7>50z&2`0<4<91C?9?4H226?l442900e<9<:188k4>42900qo<<1;290?6=8r.:h84>7b9K717<@::>7d<<:188m7g=831b=ok50;9l5=5=831vn?89:186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd5><0;684?:1y'5a3=l;1C?9?4H226?!ca281b=k4?::k15?6=3`8?6=44i3:94?=h91k1<75rb340>5<2290;w)?k5;f1?M5392B8<85+eg82?l7a2900e??50;9j61<722c947>5;n3;e?6=3th9:?4?:483>5}#9m?1h?5G3538L6623-om6<5f1g83>>o593:17d<;:188m7>=831d=5o50;9~f703290>6=4?{%3g1?b53A9?=6F<049'ac<63`;m6=44i3394?=n:=0;66g=8;29?j7?i3:17pl=6083>0<729q/=i;5d39K717<@::>7)ki:09j5c<722c9=7>5;h07>5<<a;21<75`19c94?=zj;<;6=4::183!7c=3n97E=;1:J040=#mo0:7d?i:188m77=831b>94?::k1<?6=3f;3m7>5;|`11`<72<0;6=u+1e79`1=O;=;0D>>:;%ge>1=n9o0;66g=1;29?l4?2900e<66:188k4>f2900qo<:f;291?6=8r.:h84k2:J004=O;9?0(hh51:k2b?6=3`8:6=44i3694?=n:10;66a>8`83>>{e:>21<7;50;2x 4b22m80D>:>;I131>"bn3;0e<h50;9j64<722c987>5;h0;>5<<g82j6=44}c043?6==3:1<v*>d48g6>N4<81C?=;4$dd95>o6n3:17d<>:188m72=831b>54?::m2<d<722wi>:850;794?6|,8n>6i<4H262?M57=2.nj7?4i0d94?=n:80;66g=4;29?l4?2900c<6n:188yg40=3:197>50z&2`0<c:2B88<5G3178 ``=92c:j7>5;h02>5<<a;>1<75f2983>>i60h0;66sm26694?3=83:p(<j::e08L6263A9;96*jf;38m4`=831b><4?::k10?6=3`836=44o0:b>5<<uk8<?7>55;294~"6l<0o>6F<409K753<,ll1=6g>f;29?l462900e?:50;9j6=<722e:4l4?::a624=83?1<7>t$0f6>a4<@:>:7E=?5:&fb?7<a8l1<75f2083>>o5<3:17d<7:188k4>f2900qo<81;291?6=8r.:h84k2:J004=O;9?0(hh51:k2b?6=3`8:6=44i3694?=n:10;66a>8`83>>{e:>:1<7;50;2x 4b22m80D>:>;I131>"bn3;0e<h50;9j64<722c987>5;h0;>5<<g82j6=44}c0ab?6=;3:1<v*>d48005=O;=;0D>>:;h00>5<<a8=86=44o0:0>5<<uk8ii7>53;294~"6l<088=5G3538L6623`886=44i050>5<<g8286=44}c0a7?6=<3:1<v*>d4823f=O;=;0D>>:;h00>5<<a;k1<75f1cg94?=h9191<75rb3`g>5<4290;w)?k5;174>N4<81C?=;4i3194?=n9>91<75`19194?=zj;h:6=4;:183!7c=3;<o6F<409K753<a;91<75f2`83>>o6jl0;66a>8283>>{e:ki1<7=50;2x 4b22:>;7E=;1:J040=n::0;66g>7283>>i60:0;66sm2`d94?2=83:p(<j::05`?M5392B8<85f2283>>o5i3:17d?me;29?j7?;3:17pl=bc83>6<729q/=i;53528L6263A9;96g=3;29?l70;3:17b?73;29?xd5im0;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg4ei3:1?7>50z&2`0<4<91C?9?4H226?l442900e<9<:188k4>42900qo<nb;290?6=8r.:h84>7b9K717<@::>7d<<:188m7g=831b=ok50;9l5=5=831vn?l6:180>5<7s-;o97=;0:J004=O;9?0e?=50;9j525=831d=5=50;9~f7g>290?6=4?{%3g1?70k2B88<5G3178m75=831b>l4?::k2f`<722e:4>4?::a6g>=8391<7>t$0f6>6273A9?=6F<049j66<722c:;>4?::m2<6<722wi>l950;694?6|,8n>6<9l;I175>N48<1b>>4?::k1e?6=3`;ii7>5;n3;7?6=3th9n:4?:283>5}#9m?1?9>4H262?M57=2c9?7>5;h347?6=3f;3?7>5;|`1e3<72=0;6=u+1e7952e<@:>:7E=?5:k17?6=3`8j6=44i0`f>5<<g8286=44}c0gf?6==3:1<v*>d48g6>N4<81C?=;4$dd95>o6n3:17d<>:188m72=831b>54?::m2<d<722wi>io50;794?6|,8n>6i<4H262?M57=2.nj7?4i0d94?=n:80;66g=4;29?l4?2900c<6n:188yg4c03:197>50z&2`0<c:2B88<5G3178 ``=92c:j7>5;h02>5<<a;>1<75f2983>>i60h0;66sm2e594?3=83:p(<j::e08L6263A9;96*jf;38m4`=831b><4?::k10?6=3`836=44o0:b>5<<uk8o57>55;294~"6l<0o>6F<409K753<,ll1=6g>f;29?l462900e?:50;9j6=<722e:4l4?::a6a0=83?1<7>t$0f6>a4<@:>:7E=?5:&fb?7<a8l1<75f2083>>o5<3:17d<7:188k4>f2900qo<k5;291?6=8r.:h84k2:J004=O;9?0(hh51:k2b?6=3`8:6=44i3694?=n:10;66a>8`83>>{e:m91<7;50;2x 4b22m>0D>:>;I131>"bn3>0e<h50;9j64<722c947>5;h3;=?6=3f;3m7>5;|`1`1<72<0;6=u+1e79`7=O;=;0D>>:;%ge>4=n9o0;66g=1;29?l432900e?650;9l5=g=831vn?kk:186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd5mj0;684?:1y'5a3=l;1C?9?4H226?!ca281b=k4?::k15?6=3`8?6=44i3:94?=h91k1<75rb3ga>5<2290;w)?k5;f1?M5392B8<85+eg82?l7a2900e??50;9j61<722c947>5;n3;e?6=3th9il4?:483>5}#9m?1h?5G3538L6623-om6<5f1g83>>o593:17d<;:188m7>=831d=5o50;9~f7c>290>6=4?{%3g1?b53A9?=6F<049'ac<63`;m6=44i3394?=n:=0;66g=8;29?j7?i3:17pl=e983>0<729q/=i;5d39K717<@::>7)ki:09j5c<722c9=7>5;h07>5<<a;21<75`19c94?=zj;o<6=4::183!7c=3n97E=;1:J040=#mo0:7d?i:188m77=831b>94?::k1<?6=3f;3m7>5;|`1a3<72<0;6=u+1e79`7=O;=;0D>>:;%ge>4=n9o0;66g=1;29?l432900e?650;9l5=g=831vn?k::186>5<7s-;o97j=;I175>N48<1/ik4>;h3e>5<<a;;1<75f2583>>o503:17b?7a;29?xd5080;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg4?83:187>50z&2`0<6?j1C?9?4H226?l442900e?o50;9j5gc=831d=5=50;9~f71a290?6=4?{%3g1?70k2B88<5G3178m75=831b>l4?::k2f`<722e:4>4?::a62c=83>1<7>t$0f6>41d3A9?=6F<049j66<722c9m7>5;h3aa?6=3f;3?7>5;|`13a<72=0;6=u+1e7952e<@:>:7E=?5:k17?6=3`8j6=44i0`f>5<<g8286=44}c0:0?6=<3:1<v*>d4823f=O;=;0D>>:;h00>5<<a;k1<75f1cg94?=h9191<75rb3;0>5<3290;w)?k5;34g>N4<81C?=;4i3194?=n:h0;66g>bd83>>i60:0;66sm28094?2=83:p(<j::05`?M5392B8<85f2283>>o5i3:17d?me;29?j7?;3:17pl=9083>1<729q/=i;516a8L6263A9;96g=3;29?l4f2900e<lj:188k4>42900qo<60;290?6=8r.:h84>7b9K717<@::>7d<<:188m7g=831b=ok50;9l5=5=831vn?>l:187>5<7s-;o97?8c:J004=O;9?0e?=50;9j6d<722c:nh4?::m2<6<722wi>=l50;694?6|,8n>6<9l;I175>N48<1b>>4?::k1e?6=3`;ii7>5;n3;7?6=3th9<l4?:583>5}#9m?1=:m4H262?M57=2c9?7>5;h0b>5<<a8hn6=44o0:0>5<<uk8;57>54;294~"6l<0:;n5G3538L6623`886=44i3c94?=n9ko1<75`19194?=zj;:36=4;:183!7c=3;<o6F<409K753<a;91<75f2`83>>o6jl0;66a>8283>>{e:8l1<7:50;2x 4b228=h7E=;1:J040=n::0;66g=a;29?l7em3:17b?73;29?xd59l0;694?:1y'5a3=9>i0D>:>;I131>o5;3:17d<n:188m4db2900c<6<:188yg46l3:187>50z&2`0<6?j1C?9?4H226?l442900e?o50;9j5gc=831d=5=50;9~f77d290?6=4?{%3g1?70k2B88<5G3178m75=831b>l4?::k2f`<722e:4>4?::a64d=83>1<7>t$0f6>41d3A9?=6F<049j66<722c9m7>5;h3aa?6=3f;3?7>5;|`045<72:0;6=u+1e79521<@:>:7E=?5:&fb??<am31<75fd`83>>i60:0;66sm2g594?5=83:p(<j::054?M5392B8<85+eg8:?lb>2900eio50;9l5=5=831vn?h?:187>5<7s-;o97?88:J004=O;9?0(hh5ce9j`<<722com7>5;hfa>5<<g8286=44}c0b1?6=;3:1<v*>d48232=O;=;0D>>:;%ge><=nl00;66gka;29?j7?;3:17pl=9d83>6<729q/=i;51658L6263A9;96*jf;3;?lb>2900eio50;9l5=5=831vn?6m:180>5<7s-;o97?87:J004=O;9?0(hh5199j`<<722com7>5;n3;7?6=3th9>44?:283>5}#9m?1=:94H262?M57=2.nj7?7;hf:>5<<amk1<75`19194?=zj;;=6=4<:183!7c=3;<;6F<409K753<,ll1=55fd883>>oci3:17b?73;29?xd51m0;684?:1y'5a3=9>30D>:>;I131>"bn3;27dj6:188mag=831bho4?::kgg?6=3f;3?7>5;|`1<d<72<0;6=u+1e7952?<@:>:7E=?5:&fb?7>3`n26=44iec94?=nlk0;66gkc;29?j7?;3:17pl=2983>0<729q/=i;516;8L6263A9;96*jf;3:?lb>2900eio50;9j`g<722coo7>5;n3;7?6=3th9=84?:483>5}#9m?1=:74H262?M57=2.nj7?6;hf:>5<<amk1<75fdc83>>ock3:17b?73;29?xd51j0;684?:1y'5a3=9>30D>:>;I131>"bn3;27dj6:188mag=831bho4?::kgg?6=3f;3?7>5;|`1<<<72<0;6=u+1e7952?<@:>:7E=?5:&fb?7>3`n26=44iec94?=nlk0;66gkc;29?j7?;3:17pl=2683>0<729q/=i;516;8L6263A9;96*jf;3:?lb>2900eio50;9j`g<722coo7>5;n3;7?6=3th9=94?:483>5}#9m?1=:74H262?M57=2.nj7?6;hf:>5<<amk1<75fdc83>>ock3:17b?73;29?xd51k0;684?:1y'5a3=9>30D>:>;I131>"bn3;27dj6:188mag=831bho4?::kgg?6=3f;3?7>5;|`1<=<72<0;6=u+1e7952?<@:>:7E=?5:&fb?7>3`n26=44iec94?=nlk0;66gkc;29?j7?;3:17pl=2783>0<729q/=i;516;8L6263A9;96*jf;3:?lb>2900eio50;9j`g<722coo7>5;n3;7?6=3th9=>4?:483>5}#9m?1=:74H262?M57=2.nj7?6;hf:>5<<amk1<75fdc83>>ock3:17b?73;29?xd51h0;684?:1y'5a3=9>30D>:>;I131>"bn3;27dj6:188mag=831bho4?::kgg?6=3f;3?7>5;|`1<2<72<0;6=u+1e7952?<@:>:7E=?5:&fb?7>3`n26=44iec94?=nlk0;66gkc;29?j7?;3:17pl=2483>0<729q/=i;516;8L6263A9;96*jf;3:?lb>2900eio50;9j`g<722coo7>5;n3;7?6=3th9=?4?:483>5}#9m?1=:74H262?M57=2.nj7?6;hf:>5<<amk1<75fdc83>>ock3:17b?73;29?xd5;90;6>4?:1y'5a3=9>=0D>:>;I131>"bn330ei750;9j`d<722e:4>4?::a66`=8381<7>t$0f6>4113A9?=6F<049'ac<>3`n26=44o0:0>5<<uk88h7>52;294~"6l<0:;;5G3538L6623-om645fd883>>i60:0;66sm22`94?4=83:p(<j::055?M5392B8<85+eg8:?lb>2900c<6<:188yg4413:1>7>50z&2`0<6??1C?9?4H226?!ca201bh44?::m2<6<722wi>>950;094?6|,8n>6<99;I175>N48<1/ik46;hf:>5<<g8286=44}c001?6=:3:1<v*>d48233=O;=;0D>>:;%ge><=nl00;66a>8283>>{e::91<7<50;2x 4b228==7E=;1:J040=#mo027dj6:188k4>42900qo<m4;296?6=8r.:h84>779K717<@::>7)ki:89j`<<722e:4>4?::a6g4=8381<7>t$0f6>4113A9?=6F<049'ac<>3`n26=44o0:0>5<<uk8i<7>52;294~"6l<0:;;5G3538L6623-om645fd883>>i60:0;66sm2`g94?4=83:p(<j::055?M5392B8<85+eg8:?lb>2900c<6<:188yg4fk3:1>7>50z&2`0<6??1C?9?4H226?!ca201bh44?::m2<6<722wi>lo50;094?6|,8n>6<99;I175>N48<1/ik46;hf:>5<<g8286=44}c0b<?6=:3:1<v*>d48233=O;=;0D>>:;%ge><=nl00;66a>8283>>{e:=h1<7<50;2x 4b228==7E=;1:J040=#mo027dj6:188k4>42900qo<l0;296?6=8r.:h84>779K717<@::>7)ki:89j`<<722e:4>4?::a5`6=83>1<7>t$0f6>41?3A9?=6F<049'ac<f3`n26=44iec94?=nlk0;66a>8283>>{e9l;1<7:50;2x 4b228=37E=;1:J040=#mo09<6gk9;29?lbf2900eil50;9l5=5=831vn<k=:187>5<7s-;o97?88:J004=O;9?0(hh5219j`<<722com7>5;hfa>5<<g8286=44}c3f7?6=<3:1<v*>d4823==O;=;0D>>:;%ge>76<am31<75fd`83>>ocj3:17b?73;29?xd6m=0;694?:1y'5a3=9>20D>:>;I131>"bn38;7dj6:188mag=831bho4?::m2<6<722wi=h;50;694?6|,8n>6<97;I175>N48<1/ik4=0:kg=?6=3`nj6=44ie`94?=h9191<75rb0g5>5<3290;w)?k5;34<>N4<81C?=;4$dd95g=nl00;66gka;29?lbe2900c<6<:188yg7b?3:187>50z&2`0<6?11C?9?4H226?!ca28h0ei750;9j`d<722con7>5;n3;7?6=3th9>h4?:683>5}#9m?1=:o4H262?M57=2.nj7ml;hf:>5<<amk1<75fdc83>>ock3:17djk:188mac=831d=5=50;9~f7g5290<6=4?{%3g1?70i2B88<5G3178 ``=kl1bh44?::kge?6=3`ni6=44iea94?=nlm0;66gke;29?j7?;3:17pl>e983>1<729q/=i;516:8L6263A9;96*jf;3a?lb>2900eio50;9j`g<722e:4>4?::a5a0=83?1<7>t$0f6>41>3A9?=6F<049'ac<6:2co57>5;hfb>5<<amh1<75fdb83>>i60:0;66sm25394?4=83:p(<j::052?M5392B8<85fd983>>i60:0;66sm2c494?4=83:p(<j::052?M5392B8<85fd983>>i60:0;66sm2dg94?7783:1<v*>d482<g=O;=;0D>>:;[3f>a}b2891;7;58;49g?d=9<0:87??:04952<zfkl1<6*l9;ae?!ef2jl0(nl5cg9'5f6=ko1/=;k53:&22c<43-nm6>5+e180?!c62:1/i?4<;%g0>6=#m=087)k::29'a3<43-o<6>5+e980?!c>2:1/il4<;%ga>6=#mj087)ki:39'5f0=:2.m<7=4$g397>"a:390(k=53:&e0?5<,o?1?6*i6;18 c1=;2.m47=4$g;97>"ai390(kl53:&eg?5<,on1?6*ie;18 c`=;2.:<=4<;%335?5<,8:96>5+11197>"68=087)??5;18 4612:1/==953:&24=<43-;;57=4$02b>6=#99h1?6*>0b80?!77l390(<>j:29'55`=;2.:==4<;%325?5<,8;96>5+10197>"69=087)?>5;18 4712:1/=<953:&25=<43-;:57=4$03b>6=#98h1?6*>1b80?!76l390(<?j:29'54`=;2.:>=4<;%315?5<,8896>5+13197>"6:=087)?=5;18 4412:1/=?953:&26=<43-;957=4$00b>6=#9;h1?6*>2b80?!75l390(<<j:29'57`=;2.:?=4<;%305?5<,8996>5+12197>"6;=087)?<5;18 4512:1/=>953:&27=<43-;857=4$01b>6=#9:h1?6*>3b80?!74l390(<=j:29'56`=;2.:8=4<;%375?5<,8>96>5+15197>"6<=087)?;5;18 4212:1/=9953:&20=<43-;?57=4$06b>6=#9=h1?6*>4b80?!73l390(<:j:29'51`=;2.:9=4<;%365?5<,8?96>5+14197>"6==087)?:5;18 4312:1/=8953:&21=<43-;>57=4$07b>6=#9<h1?6*>5b80?!72l390(<;j:29'50`=;2.::=4<;%355?5<,8<96>5+17197>"6>=087)?95;18 4012:1/=;953:&22=<43-;=57=4$04b>6=#9?h1?6*>6b80?!71l390(<9?:0:1?!7d:3;h7)?l3;3ab>"bl390(hk53:k2f5<722c:mk4?::k07`<722c8?i4?::k2g=<722c:o:4?::k2gd<72A;h865f1b;94?N6k=10e<oj:188m4gc2900e>=l:188m65e2900e<l=:188m4d62900e<l;:188m4d42900c<m>:188k7?=831b::4?:%3;<?013g;3;7>4;h46>5<#9121:;5a19595>=n>=0;6)?78;45?k7??3807d8<:18'5=>=>?1e=5953:9j24<72-;34789;o3;3?2<3`<;6=4+19:923=i91=1965f5g83>!7?03<=7c?77;48?l3b290/=565679m5=1=?21b9i4?:%3;<?013g;3;764;h7`>5<#9121:;5a1959=>=n=k0;6)?78;45?k7??3k07d;n:18'5=>=>?1e=595b:9j1<<72-;34789;o3;3?e<3`?36=4+19:923=i91=1h65f5783>!7?03<=7c?77;g8?l32290/=565679m5=1=n21b994?:%3;<?013g;3;7??;:k67?6=,8236;84n0:4>47<3`?96=4+19:923=i91=1=?54i4394?"6010=:6`>86827>=n=90;6)?78;45?k7??3;?76g;f;29 4>?2?<0b<68:078?l2b290/=565679m5=1=9?10e9j50;&2<=<1>2d:4:4>7:9j0g<72-;34789;o3;3?7?32c?m7>5$0:;>30<f82<6<74;h6:>5<#9121:;5a19595d=<a=21<7*>89852>h60>0:n65f4683>!7?03<=7c?77;3`?>o3>3:1(<67:748j4>028n07d:::18'5=>=>?1e=5951d98m12=83.:45496:l2<2<6n21b8>4?:%3;<?013g;3;7<?;:k76?6=,8236;84n0:4>77<3`>;6=4+19:923=i91=1>?54i2d94?"6010=:6`>86817>=n;l0;6)?78;45?k7??38?76g<d;29 4>?2?<0b<68:378?l5d290/=565679m5=1=:?10e>l50;&2<=<1>2d:4:4=7:9j7d<72-;34789;o3;3?4?32c857>5$0:;>30<f82<6?74;h1;>5<#9121:;5a19596d=<a:=1<7*>89852>h60>09n65f3483>!7?03<=7c?77;0`?>o4<3:1(<67:748j4>02;n07d=<:18'5=>=>?1e=5952d98m64=83.:45496:l2<2<5n21b?<4?:%3;<?013g;3;7=?;:k04?6=,8236;84n0:4>67<3`8m6=4+19:923=i91=1??54i3g94?"6010=:6`>86807>=n:m0;6)?78;45?k7??39?76g=c;29 4>?2?<0b<68:278?l0e290/=565679m5=1=;?10e;o50;&2<=<1>2d:4:4<7:9j2<<72-;34789;o3;3?5?32c=47>5$0:;>30<f82<6>74;h41>5<#9121:;5a19597d=<a<=1<7*>89852>h60>08n65f4b83>!7?03<=7c?77;1`?>o393:1(<67:748j4>02:n07d=9:18'5=>=>?1e=5953d98m7d=83.:45496:l2<2<4n21b;94?:%3;<?143g;3;7>4;h51>5<#9121;>5a19595>=n?80;6)?78;50?k7??3807d9?:18'5=>=?:1e=5953:9j2c<72-;3479<;o3;3?2<3`<n6=4+19:936=i91=1965f6e83>!7?03=87c?77;48?l0d290/=565729m5=1=?21b=4=50;&2<=<61;1e=5950:9j5<7=83.:454>939m5=1=921b=4>50;&2<=<61;1e=5952:9j5=`=83.:454>939m5=1=;21b=5k50;&2<=<61;1e=5954:9j5=b=83.:454>939m5=1==21b=4m50;&2<=<61;1e=5956:9j5<d=83.:454>939m5=1=?21b=4o50;&2<=<61;1e=5958:9j5<?=83.:454>939m5=1=121b=4650;&2<=<61;1e=595a:9j5<1=83.:454>939m5=1=j21b=4850;&2<=<61;1e=595c:9j5<3=83.:454>939m5=1=l21b=4:50;&2<=<61;1e=595e:9j5=e=83.:454>939m5=1=n21b=l=50;&2<=<6i;1e=5950:9j5d7=83.:454>a39m5=1=921b=l>50;&2<=<6i;1e=5952:9j5<`=83.:454>a39m5=1=;21b=4k50;&2<=<6i;1e=5954:9j5de=83.:454>a39m5=1==21b=ll50;&2<=<6i;1e=5956:9j5dg=83.:454>a39m5=1=?21b=l750;&2<=<6i;1e=5958:9j5d>=83.:454>a39m5=1=121b=l950;&2<=<6i;1e=595a:9j5d0=83.:454>a39m5=1=j21b=l;50;&2<=<6i;1e=595c:9j5d2=83.:454>a39m5=1=l21b=4j50;&2<=<6i;1e=595e:9j777=83.:454<219m5=1=821b?<h50;&2<=<4:91e=5951:9j74c=83.:454<219m5=1=:21b?<j50;&2<=<4:91e=5953:9j74e=83.:454<219m5=1=<21b?<l50;&2<=<4:91e=5955:9j77g=83.:454<219m5=1=>21b??750;&2<=<4:91e=5957:9j77>=83.:454<219m5=1=021b??950;&2<=<4:91e=5959:9j770=83.:454<219m5=1=i21b??;50;&2<=<4:91e=595b:9j772=83.:454<219m5=1=k21b??=50;&2<=<4:91e=595d:9j774=83.:454<219m5=1=m21b?<o50;&2<=<4:91e=595f:9j767=83.:454<319m5=1=821b??h50;&2<=<4;91e=5951:9j77c=83.:454<319m5=1=:21b??j50;&2<=<4;91e=5953:9j77e=83.:454<319m5=1=<21b?>o50;&2<=<4;91e=5955:9j76?=83.:454<319m5=1=>21b?>650;&2<=<4;91e=5957:9j761=83.:454<319m5=1=021b?>850;&2<=<4;91e=5959:9j763=83.:454<319m5=1=i21b?>:50;&2<=<4;91e=595b:9j765=83.:454<319m5=1=k21b?><50;&2<=<4;91e=595d:9j77d=83.:454<319m5=1=m21b=i:50;&2<=<6l:1e=5950:J2g1=<a8n96=4+19:95a5<f82<6<5G1b68?l7c93:1(<67:0f0?k7??380D<m;;:k2`5<72-;347?k3:l2<2<43A;h865f1bd94?"6010:oh5a19594>N6k=10e<mk:18'5=>=9jo0b<68:09K5f2<3`;ho7>5$0:;>4eb3g;3;7<4H0a7?>o6kk0;6)?78;3`a>h60>087E?l4:9j74?=83.:454<199m5=1=821b?<950;&2<=<4911e=5951:9j740=83.:454<199m5=1=:21b?<;50;&2<=<4911e=5953:9j742=83.:454<199m5=1=<21b?<=50;&2<=<4911e=5955:9j744=83.:454<199m5=1=>21b?<?50;&2<=<4911e=5957:9j746=83.:454<0g9m5=1=821b?=k50;&2<=<48o1e=5951:9j75b=83.:454<0g9m5=1=:21b?=m50;&2<=<48o1e=5953:9j75d=83.:454<0g9m5=1=<21b?=o50;&2<=<48o1e=5955:9j75?=83.:454<0g9m5=1=>21b?=650;&2<=<48o1e=5957:9lf4<72-;347l?;o3;3?6<3fkm6=4+19:9f5=i91=1=65`ad83>!7?03h;7c?77;08?jgc290/=565b19m5=1=;21dmo4?:%3;<?d73g;3;7:4;ncb>5<#9121n=5a19591>=hi00;6)?78;`3?k7??3<07bo7:18'5=>=j91e=5957:9le2<72-;347l?;o3;3?><3fk=6=4+19:9f5=i91=1565`a483>!7?03h;7c?77;c8?jg3290/=565b19m5=1=j21dm>4?:%3;<?d73g;3;7m4;nc1>5<#9121n=5a1959`>=hi90;6)?78;`3?k7??3o07b7i:18'5=>=j91e=595f:9l=`<72-;347l?;o3;3?7732e2h7>5$0:;>g6<f82<6<?4;n;`>5<#9121n=5a195957=<g0h1<7*>898a4>h60>0:?65`9`83>!7?03h;7c?77;37?>i>13:1(<67:c28j4>028?07b77:18'5=>=j91e=5951798k<1=83.:454m0:l2<2<6?21d584?:%3;<?d73g;3;7?7;:m:0?6=,8236o>4n0:4>4?<3f386=4+19:9f5=i91=1=l54o8094?"6010i<6`>8682f>=h180;6)?78;`3?k7??3;h76a60;29 4>?2k:0b<68:0f8?j>a290/=565b19m5=1=9l10c5k50;&2<=<e82d:4:4>f:9l<a<72-;347l?;o3;3?4732e3o7>5$0:;>g6<f82<6??4;n:b>5<#9121n=5a195967=<g131<7*>898a4>h60>09?65`8983>!7?03h;7c?77;07?>i??3:1(<67:c28j4>02;?07b69:18'5=>=j91e=5952798k=3=83.:454m0:l2<2<5?21d494?:%3;<?d73g;3;7<7;:m;7?6=,8236o>4n0:4>7?<3f296=4+19:9f5=i91=1>l54o9394?"6010i<6`>8681f>=h?o0;6)?78;`3?k7??38h76a8e;29 4>?2k:0b<68:3f8?j1c290/=565b19m5=1=:l10c:m50;&2<=<e82d:4:4=f:9l3g<72-;347l?;o3;3?5732e<m7>5$0:;>g6<f82<6>?4;n5:>5<#9121n=5a195977=<g>21<7*>898a4>h60>08?65`7683>!7?03h;7c?77;17?>i0>3:1(<67:c28j4>02:?07bl::18'5=>=j91e=5953798kg2=83.:454m0:l2<2<4?21dn>4?:%3;<?d73g;3;7=7;:ma6?6=,8236o>4n0:4>6?<3fkh6=4+19:9f5=i91=1?l54o`394?"6010i<6`>8680f>=h1?0;6)?78;`3?k7??39h76a7b;29 4>?2k:0b<68:2f8?j>7290/=565b19m5=1=;l10c:;50;&2<=<e82d:4:4<f:9lf`<72-;347lk;o3;3?6<3fhh6=4+19:9fa=i91=1=65`bc83>!7?03ho7c?77;08?jdf290/=565be9m5=1=;21dn44?:%3;<?dc3g;3;7:4;n`;>5<#9121ni5a19591>=hj>0;6)?78;`g?k7??3<07bl9:18'5=>=jm1e=5957:9lg=<72-;347m8;o3;3?6<3fi=6=4+19:9g2=i91=1=65`c483>!7?03i<7c?77;08?je3290/=565c69m5=1=;21do>4?:%3;<?e03g;3;7:4;na1>5<#9121o:5a19591>=hk80;6)?78;a4?k7??3<07bm?:18'5=>=k>1e=5957:9~w41a290?=v3<438g2>;48:09463<0581<>;5nh09463=fc81<>;5n:09463=fb81<>;5;809?63=43817>;5;;09m63=3581e>;5;?09m63=3981e>;5;h09m63=3b81e>;5;l09m63=a7817>;5j>09?63=a681e>;5i009m63=ac81e>;5im09m63=ag81e>;5j809m63=b281e>;5?m09m63=7d81e>;5?o09m63=8181e>;50809m63=9181e>;51809m63=9381e>;51:09m63=9581e>;58109m63=0881e>;58h09m63=0c81e>;58j09m63=1c81e>;59j09m63=1e81e>;59l09m63=1g81e>;5ml0=o63=ed85`>;5ml0=i63=ed85b>;5ml0<<63=ed845>;5ml0<>63=ed840>;5ml0:n9522dg95g5<5;on6<mn;<0fa?7d1279ih4>d59>6`c=9m801?kj:0f2?84bm3;o<63=ed82gc=::lo1=nj4=3gf>4ed348ni7?lb:p5=6=833p1>:<:0:7?844938j70<n6;0b?840l38870<60;00?847038870<>b;00?84bm3;2?63=ed8064=z{8h>6=4={<3ef?4?34;n57?73:p5g0=838p1<hl:3:894cf28287p}>b683>7}:9on1>5521d`95=5<uz;i47>52z?2b`<5027:in4>829~w4d>2909w0?if;0;?87bl3;3?6s|1cc94?4|5;:;6?64=0gf>4>43ty:no4?:3y>657=:116=hh51918yv7ek3:1>v3=0381<>;6n90:4>5rs0`g>5<5s48;?7<7;<3e5?7?;2wx=i950;cx94`e2;;01<hl:33894`c2;;01<hj:33894`a2;;01?>?:33897662;;01?>=:33897642;;01<j9:0:0?xu6l10;6>u21e:95=5<58n26?=4=0gb>75<uz;o57>53z?2`<<60:16=io5229>5`d=::1v<jn:18087ci3;3?63>dc817>;6mj09?6s|1e`94?5|58ni6<6<;<3gg?4434;nh7<<;|q2`f<72:q6=im5191894bc2;901<kj:318yv7cl3:1?v3>de82<6=:9mo1>>521dd966=z{8nn6=4<{<3ga?7?;27:hk4=3:?2b5<5;2wx=ih50;0x94ba282870?i1;00?xu6m90;6>u21e:95gc<58o26<9<;<3f4?7?;2wx=h?50;1x94b>28hn70?ja;347>;6m80:4>5rs0g1>5<4s4;om7?me:?2ag<6?:16=h<51918yv7b;3:1?v3>dc82f`=:9li1=:=4=0g0>4>43ty:i94?:2y>5ae=9ko01<kk:050?87b<3;3?6s|1d794?5|58no6<lj;<3fa?70;27:i84>829~w4c12908w0?ke;3aa>;6mo0:;>521d495=5<uz;n;7>53z?2`c<6jl16=k>5161894c028287p}>e983>7}:9o;1=:=4=0g;>4>43ty:j?4?:5y]71c<58li6<6n;<3g<?4f34;n<7jn;|q2b6<72=qU?9h4=0d`>4>f34;o57<n;<3f5?bf3ty:j94?:5y]706<58lo6<6n;<3ge?4f34;n>7jn;|q2b0<72=qU?8?4=0df>4>f34;on7<n;<3f7?bf3ty:j;4?:5y]704<58lm6<6n;<3gg?4f34;n87jn;|q2b2<72=qU?8=4=323>4>f34;oh7<n;<3f1?bf3ty:j54?:5y]702<5;::6<6n;<3ga?4f34;n:7j6;|q2b<<72=qU?8;4=321>4>f34;oj7<n;<3f3?b>3ty:jl4?:2y]701<5;:86<6n;<3f<?b>3ty9<94?:3y>65>=91901?>6:318yv47=3:1>v3=0882<6=::9k1>>5rs325>5<5s48;m7?73:?14g<5;2wx>=950;0x976e282870<?c;00?xu58m0;6?u221:95gc<5;;96<6<;|q14`<72;q6>=751cg8977428287p}=0g83>7}::9k1=ok4=337>4>43ty9==4?:3y>65d=9ko01??::0:0?xu5980;6?u221a95gc<5;;=6<6<;|q152<72;q6><l51918977d2;90q~<>8;296~;59j0:4>5220f966=z{;;26=4={<02`?7?;279=h4=3:p64g=838p1??j:0:0?846n3887p}=2183>7}::8h1=ok4=306>4>43ty9><4?:3y>64e=9ko01?<9:0:0?xu5:;0;6?u220f95gc<5;8<6<6<;|q166<72;q6><k51cg8974?28287p}=2583>7}::8l1=ok4=30:>4>43ty9>l4?:3y>65e=91901?<j:eg8yv45j3:1>v3=1g82<6=::;o1hi5rs30`>5<as489o7?7a:?1b5<c1279?=4ka:?2a5<cj27:i;4ka:?2a2<ci27:i54ka:?2`3<c127:i<4kb:?2a7<cj27:i>4kb:?2a1<cj27:i84kb:?16`<ck279m?4kc:p67b=839p1?<l:3:8974a2;201?<j:0:0?xu5:o0;6?uQ3ec8974a282j7p}=3083>6}:::;1=5=4=311>75<5;>86?=4}r006?6=;r79??4>829>662=::16>9:5229~w7542908w0<<3;3;7>;5;;0:nh522519525<uz8887>53z?171<60:16>>85229>613=::1v?=::180844=3;3?63=3582f`=::=>1=:=4}r002?6=;r79?;4>829>66>=::16>985229~w7502908w0<<7;3;7>;5;?0:nh522579525<uz8847>53z?17=<60:16>>o5229>611=::1v?=6:18084413;3?63=3982f`=::=<1=:=4}r00e?6=;r79?l4>829>66e=::16>965229~w75e2908w0<<b;3;7>;5;h0:nh522559525<uz88o7>53z?17f<60:16>>k5229>61?=::1v?=k:180844l3;3?63=3b82f`=::=21=:=4}r00a?6=:r79?h4>829>61g=::1v?=i:180844n3;3?63=3d82f`=::=31=:=4}r074?6=;r79?<4>bd9>614=9>901?:>:0:0?xu5<k0;6?u225`95=5<5;>j6<9<;|q10f<72;q6>8k5299>614=9190q~<;d;296~;5=o09463=4282<6=z{;>n6=4={<054?4?348?87?73:p61`=838p1?8>:3:8972228287p}=5183>7}::?81>55225495=5<uz8>=7>52z?126<502798:4>829~w7352909w0<94;0;?84303;3?6s|24194?4|5;<>6?64=36:>4>43ty9994?:3y>630=:116>9o51918yv42=3:18v3=7181<>;5=l0:4l522379`g=::=;1h55rs375>5<3s48<=7<7;<06b?7?i279>84k9:?176<c12wx>8950;6x97152;201?8?:0:b?845>3ni70<<5;f:?xu5=10;69u226196==::?;1=5o4=305>a?<5;9<6i74}r06=?6=<r79;94=8:?127<60h16>?95dc9>66?=l01v?;n:187840=38370<93;3;e>;5:>0o563=3c8g=>{t:<h1<7:t=355>7><5;<?6<6n;<01<?be3488h7j6;|q11f<72=q6>:95299>633=91k01?<7:e;8975a2m30q~<:d;290~;5?109463=6782<d=::;31hl5225`9`<=z{;<<6=49{<044?7?i2795l4kb:?1<2<cj279=?4kb:?1a`<61016>hk51`;8yv4103:1:v3=7082<d=::0k1h4522959`<=::881h4522dg95<g<5;on6<on;|q12<<72?q6>:<519c897?e2mh01?67:e`897742mh01?kj:0;a?84bm3;jn6s|27c94?0|5;=86<6n;<0:f?b>348347j6;<027?b>348ni7?6c:?1a`<6ij1v?8m:185840<3;3m63=9b8gf>;5000on63=158gf>;5ml0:4i522dg95<c<uz8=o7>56z?130<60h16>4m5d89>6=?=l016><:5d89>6`c=91o01?kj:0;e?xu5>m0;6;u226495=g<5;3o6il4=3:b>ad<5;;>6il4=3gf>4>a348ni7?n0:p63c=83<p1?98:0:b?84>l3n270<7a;f:?846=3n270<je;3:4>;5ml0:m<5rs34e>5<1s48<47?7a:?1=`<ci2794o4ka:?153<ci279ih4>909>6`c=9h90q~<89;296~;5?m0:4>5226g966=z{;=j6=4={<04a?7?;279;k4=3:p62d=838p1?9i:0:0?84?83887p}=7b83>7}::1:1=5=4=3:2>75<uz83>7>52z?13a<6jl16>5951918yv4?;3:1>v3=7d82f`=::121=5=4}r0;0?6=:r79;k4>bd9>6=?=9190q~<75;296~;5090:nh5229c95=5<uz83:7>52z?1<4<6jl16>5l51918yv4?k3:1>v3=9182<6=::0;1>>5rs3:g>5<5s482=7?73:?1=7<5;2wx>5k50;0x97?5282870<63;00?xu50o0;6?u228195=5<5;3?6?=4}r0:1?6=:r795=4>bd9>6<g=9190q~<66;296~;5180:nh5228`95=5<uz82;7>52z?1=7<6jl16>4m51918yv4>03:1>v3=9282f`=::0n1=5=4}r0:=?6=:r79594>bd9>6<c=9190q~<6f;296~;5080:4>522`09`a=z{;k;6=4={<0:0?7?;279m?4ke:p6d7=839p1?o;:3:897g42;201?o=:0:0?xu5i:0;68u22`195=g<5;k>6io4=30f>ad<58n=6io4=3c1>ad<uz8j87>52z\0`a=::h>1=5o4}r0b2?6=;r79m;4>829>6d1=::16>o65229~w7g02908w0<n7;3;7>;5i009?63=b8817>{t:h21<7=t=3c;>4>4348j;7?me:?1f=<6?:1v?o6:18084f13;3?63=ac817>;5jh09?6s|2`c94?5|5;kj6<6<;<0b=?7em279n44>729~w7ge2908w0<nb;3;7>;5im09?63=bc817>{t:hi1<7=t=3c`>4>4348jn7?me:?1fd<6?:1v?ok:18084fl3;3?63=ag817>;5jj09?6s|2`g94?5|5;kn6<6<;<0b`?7em279no4>729~w7ga2908w0<nf;3;7>;5j809?63=be817>{t:k:1<7=t=3`3>4>4348jj7?me:?1ff<6?:1v?l>:18084e93;3?63=b2817>;5jl09?6s|2c094?5|5;h96<6<;<0a5?7em279ni4>729~w7d42909w0<m3;3;7>;5jo09?6s|2c694?5|5;h?6<6<;<0a7?7em279nh4>729~w7d22908w0<n6;3aa>;5j>0:;>522c495=5<uz8h<7>52z?1g5<60:16>oh51618yv4d93:1>v3=d281<>;5j>0:4>5rs3a1>5<5s48o87<7;<0a<?7?;2wx>n=50;0x97b22;201?l6:0:0?xu5k=0;6?u22e496==::kk1=5=4}r0`1?6=:r79h:4=8:?1fg<60:1v?m9:18184c038370<mc;3;7>{t:j=1<7<t=3f:>7><5;ho6<6<;|q1g=<72;q6>io5299>6gc=9190q~<l9;296~;5lk09463=bg82<6=z{;ij6=4;{<0f1?4?348o?7?7a:?1=d<ck279n;4k8:p6fd=83>p1?k9:3:897b3282j70<6a;fb?84f03n27p}=cb83>1}::l=1>5522e795=g<5;3i6im4=3cb>a?<uz8hh7>54z?1a=<50279h;4>8`9>6<d=lh16>lm5d89~w7eb290?w0<j9;0;?84c?3;3m63=9b8gg>;5il0o56s|2bd94?2|5;oj6?64=3f;>4>f3482o7jn;<0a4?b>3ty9h=4?:5y>6`d=:116>i7519c897?c2mi01?l=:e;8yv4c93:18v3=eb81<>;5lh0:4l5228f9`d=::k>1h45rs3f1>5<3s48nh7<7;<0gf?7?i2795h4k9:?1g5<c12wx>im50;4x97c2282j70<77;f`?845=3nh70<>2;f`?84bm399;63=ed8072=z{;no6=49{<0f2?7?i2794:4ka:?160<ci279=?4ka:?1a`<4:116>hk532:8yv4cm3:1:v3=e682<d=::121hn522349`f=::891hn522dg977?<5;on6>=6;|q1`c<72?q6>h6519c897>?2mk01?<9:ec897742mk01?kj:20b?84bm398m6s|2d294?0|5;o26<6n;<0;=?bd3489;7jl;<020?bd348ni7=>b:?1a`<4:j1v?k>:18584bi3;3m63=888ge>;5:>0om63=158ge>;5ml08=n522dg977b<uz8n>7>56z?1ag<60h16>5o5db9>67>=lj16><;5db9>6`c=;8n01?kj:20f?xu5m:0;6;u22da95=g<5;2j6io4=30;>ag<5;;>6io4=3gf>67b348ni7==f:p6`2=83<p1?kk:0:b?84?j3n270<=9;f:?846>3n270<je;12b>;5ml08?<5rs3ge>5<4s48m<7?73:?1a`<6j916>hk51`d8yv4a93:1=8u2262964=::>;1><52260964=::>91><52266964=::>?1><52264964=::>=1><5226:964=::<l1><5224g964=::?:1><52273964=::?>1><52270964=::?91><52277964=::?<1><5222295=5<58n36?=4=0g:>75<uz8m>7>524y>6`3=:816>h85209>6`1=:816>h65209>6`?=:816>ho5209>6`d=:816>hm5209>6`b=:816>i:5209>6a5=:816>i;5209>6a0=:816>i75209>6a1=:816>i65209>6ag=:816>il5209>6d3=91901?kj:232?84bm39:>63=ed8056=::lo1?<:4=3gf>672348ni7=>6:?1a`<49>16>hk530;897cb2::370<je;13=>;5ml08<l522dg975d<5;on6>>l;<0fa?57l279ih4<0d9>6`c=;8:01?kj:21f?84bm398h6s|2g194?5|5;l86<6n;<0e0?4?348m;7j6;|q1b1<72:q6>k=5209>6c2=91k01?h::3:8yv4a=3:1>v3=f482<d=::o=1hl5rs3d5>5<4s48mm7?79:?1bg<60016>k951918yv4a03:18v3=f`82<d=::o:1ho522dg95f><5;on6<m8;|q1b<<728op1<hm:36894`d2;>01<hk:36894`b2;>01<hi:36897672;>01?>>:36897652;>01?><:368974d282270<=f;3;=>;5nk0:4l52262961=::>;1>952260961=::>91>952266961=::>?1>952264961=::>=1>95226:961=::<l1>95224g95=?<5;<;6?:4=342>72<5;<?6?:4=341>72<5;<86?:4=346>72<5;<=6?:4}r0eg?6=;r79ji4=8:?1bf<60h16?=>5d89~w7`c2908w0<id;3;e>;5nj09=63=fd81<>{t:oo1<7<t=3df>4>f349;<7jn;|q1bc<72:q6?==519;89663282270=?0;3;7>{t;9;1<7=t=3c7>72<5;k86?:4=220>4>f3ty8<?4?:01x9663282j70<j5;07?84b>38?70<j7;07?84b038?70<j9;07?84bi38?70<jb;07?84bk38?70<jd;07?84c<38?70<k3;3;=>;5l<09863=d7810>;5l009863=d6810>;5l109863=d`810>;5lk0986s|35c94?25sW9?m63>fc82b>;6nj0:j63>fe82b>;6nl0:j63>fg82b>;5890:j63=0082b>;58;0:j63=0282b>;5:j0:j63=2g82b>;5i=0:j63=a282b>;48:0:j63<0582b>;5nm0:j63=fb82b>;5nl0:j63=f`82b>;5nk0:j63=f282b>;5n=0:j63=f482b>;5?90:j63=7082b>;5?;0:j63=7282b>;5?=0:j63=7482b>;5??0:j63=7682b>;5?10:j63=5g82b>;5=l0:j63=6182b>;5>80:j63=6582b>;5>;0:j63=6282b>;5><0:j63=6782b>;5m<0:j63=e782b>;5m>0:j63=e982b>;5m00:j63=e`82b>;5mk0:j63=eb82b>;5mm0:j63=d582b>;5l:0:j63=d482b>;5l?0:j63=d882b>;5l>0:j63=d982b>;5lh0:j63=dc82b>;5ml0:mh522dg95db<5;on6>=l;<0fa?54j279ih4>b39>6`c=9k;0q~=:8;296~X4=116>hk52c9~w63>2909wS=:9:?1a`<5k2wx?8o50;0xZ63f348ni7<k;|q01g<72;qU?8l4=3gf>7c<uz9>o7>52z\01f=::lo1>k5rs27g>5<5sW9>h63=ed804>{t;<o1<7<t^27f?84bm39:7p}<5g83>7}Y;<l01?kj:208yv5183:1>vP<619>6`c=;:1v>8>:181[519279ih4<4:p734=838pR>8=;<0fa?523ty8:>4?:3y]735<5;on6>84}r150?6=:rT8:9522dg972=z{:<>6=4={_151>;5ml0846s|37494?4|V:<=70<je;1:?xu4>>0;6?uQ375897cb2:k0q~=98;296~X4>116>hk53c9~w60>2909wS=99:?1a`<4k2wx?;o50;0xZ60f348ni7=k;|q02g<72;qU?;l4=3gf>6c<uz9=o7>52z\02f=::lo1?k5rs24g>5<5sW9=h63=ed874>{t;?o1<7<t^24f?84bm3>:7p}<6g83>7}Y;?l01?kj:508yv5083:1>vP<719>6`c=<:1v>9>:181[509279ih4;4:p724=838pR>9=;<0fa?223ty8;>4?:3y]725<5;on6984}r140?6=:rT8;9522dg902=z{:=>6=4={_141>;5ml0?46s|36494?4|V:==70<je;6:?xu4?>0;6?uQ365897cb2=k0q~=88;296~X4?116>hk54c9~w61>2909wS=89:?1a`<3k2wx?:o50;0xZ61f348ni7:k;|q03g<72;qU?:l4=3gf>1c<uz9<o7>52z\03f=::lo18k5rs25g>5<5sW9<h63=ed864>{t;>o1<7<t^25f?84bm3?:7p}<7g83>7}Y;>l01?kj:408yv5?83:1>vP<819>6`c==:1v>6>:181[5?9279ih4:4:p7=4=838pR>6=;<0fa?323ty84>4?:3y]7=5<5;on6884}r1;0?6=:rT849522dg912=z{:2>6=4={_1;1>;5ml0>46s|39494?4|V:2=70<je;7:?xu40>0;6?uQ395897cb2<k0q~=78;296~X40116>hk55c9~w6>>2909wS=79:?1a`<2k2wx?5o50;0xZ6>f348ni7;k;|q0<g<72;qU?5l4=3gf>0c<uz93o7>52z\0<f=::lo19k5rs2:g>5<5sW93h63=ed854>{t;1o1<7<t^2:f?84bm3<:7p}<8g83>7}Y;1l01?kj:708yv5>83:1>vP<919>6`c=>:1v>7>:181[5>9279ih494:p7<4=838pR>7=;<0fa?023ty8594?:3y]7<2<5;on6;94}r1:1?6=:rT858522dg92==z{:3=6=4={_1:2>;5ml0=56s|38594?4|V:3<70<je;4b?xu4110;6?uQ38:897cb2?h0q~=69;296~X41016>hk5749~w6?f2909wS=6a:?1a`<0>2wx?4l50;0xZ6?e348ni798;|q0=f<72;qU?4m4=3gf>2><uz92h7>52z\0=a=::lo1;45rs2;f>5<5sW92i63=ed84e>{t;0l1<7<t^2;e?84bm3=i7p}<a183>7}Y;h:01?kj:6a8yv5f93:1>vP<a09>6`c=?m1v>o=:181[5f:279ih48e:p7d5=838pR>o<;<0fa?1a3ty8m94?:3y]7d2<5;on65>4}r1b1?6=:rT8m8522dg9<4=z{:k=6=4={_1b2>;5ml03>6s|3`594?4|V:k<70<je;:0?xu4i10;6?uQ3`:897cb21>0q~=n9;296~X4i016>hk5849~w6gf2909wS=na:?1a`<?>2wx?ll50;0xZ6ge348ni768;|q0ef<72;qU?lm4=3gf>=><uz9jh7>52z\0ea=::lo1445rs2cf>5<5sW9ji63=ed8;e>{t;hl1<7<t^2ce?84bm32i7p}<b183>7}Y;k:01?kj:9a8yv5e93:1>vP<b09>6`c=0m1v>l=:181[5e:279ih47e:p7g5=838pR>l<;<0fa?>a3ty8n94?:3y]7g2<5;on64>4}r1a1?6=:rT8n8522dg9=4=z{:h=6=4={_1a2>;5ml02>6s|3c594?4|V:h<70<je;;0?xu4j10;6?uQ3c:897cb20>0q~=m9;296~X4j016>hk5949~w6df2909wS=ma:?1a`<>>2wx?ol50;0xZ6de348ni778;|q0ff<72;qU?om4=3gf><><uz9ih7>52z\0fa=::lo1545rs2`f>5<5sW9ii63=ed8:e>{t;kl1<7<t^2`e?84bm33i7p}<c183>7}Y;j:01?kj:8a8yv5d93:1>vP<c09>6`c=1m1v>m=:181[5d:279ih46e:p7f5=838pR>m<;<0fa??a3ty8o94?:3y]7f2<5;on6l>4}r1`1?6=:rT8o8522dg9e4=z{:i=6=4={_1`2>;5ml0j>6s|3b594?4|V:i<70<je;c0?xu4k10;6?uQ3b:897cb2h>0q~=l9;296~X4k016>hk5a49~w6ef2909wS=la:?1a`<f>2wx?nl50;0xZ6ee348ni7o8;|q0gf<72;qU?nm4=3gf>d><uz9hh7>52z\0ga=::lo1m45rs2af>5<5sW9hi63=ed8be>{t;jl1<7<t^2ae?84bm3ki7p}<d183>7}Y;m:01?kj:`a8yv5c93:1>vP<d09>6`c=im1v>j=:181[5c:279ih4ne:p7a5=838pR>j<;<0fa?ga3ty8h84?:3y]7a3<5;on6o?4}r1g2?6=:rT8h;522dg9f7=z{:n<6=4={_1g3>;5ml0i?6s|3e:94?4|V:n370<je;`7?xu4l00;6?uQ3e;897cb2k?0q~=kf;29b~X4lo16>k>5d`9>666=l016=h85dc9>5`1=lk16>l<5d`9>5`>=lk16=i85db9>5`6=l016=h?5d89>5`4=l016=h=5d89>5`2=l016=h;5d89>67c=l01v>k?:180[5b8279j>4>889>6ce=9130q~=j1;291~X4m816>l;5d89>67c=lh16=i85dc9>6d4=l01vqc;<7;295~N48<1vb8=7:182M57=2we9>750;3xL6623td>?l4?:0yK753<ug?8n7>51zJ040=zf<9h6=4>{I131>{i=:n1<7?tH226?xh2;l0;6<uG3178yk34n3:1=vF<049~j027290:wE=?5:m117=83;pD>>:;|l607<728qC?=;4}o777?6=9rB8<85rn467>5<6sA9;96sa55794?7|@::>7p`:4783>4}O;9?0qc;;7;295~N48<1vb8:7:182M57=2we99750;3xL6623td>8l4?:0yK753<ug??n7>51zJ040=zf<>h6=4>{I131>{i==n1<7?tH226?xh2<l0;6<uG3178yk33n3:1=vF<049~j037290:wE=?5:m107=83;pD>>:;|l617<728qC?=;4}o767?6=9rB8<85rn477>5<6sA9;96sa54794?7|@::>7p`:5783>4}O;9?0qc;:7;295~N48<1vb8;7:182M57=2we98750;3xL6623td>9l4?:0yK753<ug?>n7>51zJ040=zf<?h6=4>{I131>{i=<n1<7?tH226?xh2=l0;6<uG3178yk32n3:1=vF<049~j007290:wE=?5:m137=83;pD>>:;|l627<728qC?=;4}o757?6=9rB8<85rn447>5<6sA9;96sa57794?7|@::>7p`:6783>4}O;9?0qc;97;295~N48<1vb887:182M57=2we9;750;3xL6623td>:l4?:0yK753<ug?=n7>51zJ040=zf<<h6=4>{I131>{i=?n1<7?tH226?xh2>l0;6<uG3178yk31n3:1=vF<049~j017290:wE=?5:m127=83;pD>>:;|l637<728qC?=;4}o747?6=9rB8<85rn457>5<6sA9;96sa56794?7|@::>7p`:7783>4}O;9?0qc;87;295~N48<1vb897:182M57=2we9:750;3xL6623td>;l4?:0yK753<ug?<n7>51zJ040=zf<=h6=4>{I131>{i=>n1<7?tH226?xh2?l0;6<uG3178yk30n3:1=vF<049~j0>7290:wE=?5:m1=7=83;pD>>:;|l6<7<728qC?=;4}o7;7?6=9rB8<85rn4:7>5<6sA9;96sa59794?7|@::>7p`:8783>4}O;9?0qc;77;295~N48<1vb867:182M57=2we95750;3xL6623td>4l4?:0yK753<ug?3n7>51zJ040=zf<2h6=4>{I131>{i=1n1<7?tH226?xh20l0;6<uG3178yk3?n3:1=vF<049~j0?7290:wE=?5:m1<7=83;pD>>:;|l6=7<728qC?=;4}o7:7?6=9rB8<85rn4;7>5<6sA9;96sa58794?7|@::>7p`:9783>4}O;9?0qc;67;295~N48<1vb877:182M57=2we94750;3xL6623td>5l4?:0yK753<ug?2n7>51zJ040=zf<3h6=4>{I131>{i=0n1<7?tH226?xh21l0;6<uG3178yk3>n3:1=vF<049~j0g7290:wE=?5:m1d7=83;pD>>:;|l6e7<728qC?=;4}o7b7?6=9rB8<85rn4c7>5<6sA9;96sa5`794?7|@::>7p`:a783>4}O;9?0qc;n7;295~N48<1vb8o7:182M57=2we9l750;3xL6623td>ml4?:0yK753<ug?jn7>51zJ040=zf<kh6=4>{I131>{i=hn1<7?tH226?xh2il0;6<uG3178yk3fn3:1=vF<049~j0d7290:wE=?5:m1g7=83;pD>>:;|l6f7<728qC?=;4}o7a7?6=9rB8<85rn4`7>5<6sA9;96sa5c794?7|@::>7p`:b783>4}O;9?0qc;m7;295~N48<1vb8l7:182M57=2we9o750;3xL6623td>nl4?:0yK753<ug?in7>51zJ040=zf<hh6=4>{I131>{i=kn1<7?tH226?xh2jl0;6<uG3178yk3en3:1=vF<049~j0e7290:wE=?5:m1f7=83;pD>>:;|l6g7<728qC?=;4}o7`7?6=9rB8<85rn4a7>5<6sA9;96sa5b794?7|@::>7p`:c783>4}O;9?0qc;l7;295~N48<1vb8m7:182M57=2we9n750;3xL6623td>ol4?:0yK753<ug?hn7>51zJ040=zf<ih6=4>{I131>{i=jn1<7?tH226?xh2kl0;6<uG3178yk3dn3:1=vF<049~j0b7290:wE=?5:m1a7=83;pD>>:;|l6`7<728qC?=;4}o7g7?6=9rB8<85rn4f7>5<6sA9;96sa5e794?7|@::>7p`:d783>4}O;9?0qc;k7;295~N48<1vb8j7:182M57=2we9i750;3xL6623td>hl4?:0yK753<ug?on7>51zJ040=zf<nh6=4>{I131>{i=mn1<7?tH226?xh2ll0;6<uG3178yk3cn3:1=vF<049~j0c7290:wE=?5:m1`7=83;pD>>:;|l6a7<728qC?=;4}o7f7?6=9rB8<85rn4g7>5<6sA9;96sa5d794?7|@::>7p`:e783>4}O;9?0qc;j7;295~N48<1vb8k7:182M57=2we9h750;3xL6623td>il4?:0yK753<ug?nn7>51zJ040=zf<oh6=4>{I131>{i=ln1<7?tH226?xh2ml0;6<uG3178yk3bn3:1=vF<049~j0`7290:wE=?5:m1c7=83;pD>>:;|l6b7<728qC?=;4}o7e7?6=9rB8<85rn4d7>5<6sA9;96sa5g794?7|@::>7p`:f783>4}O;9?0qc;i7;295~N48<1vb8h7:182M57=2we9k750;3xL6623td>jl4?:0yK753<ug?mn7>51zJ040=zf<lh6=4>{I131>{i=on1<7?tH226?xh2nl0;6<uG3178yk3an3:1=vF<049~j367290:wE=?5:m257=83;pD>>:;|l547<728qC?=;4}o437?6=9rB8<85rn727>5<6sA9;96sa61794?7|@::>7p`90783>4}O;9?0qc8?7;295~N48<1vb;>7:182M57=2we:=750;3xL6623td=<l4?:0yK753<ug<;n7>51zJ040=zf?:h6=4>{I131>{i>9n1<7?tH226?xh18l0;6<uG3178yk07n3:1=vF<049~j377290:wE=?5:m247=83;pD>>:;|l557<728qC?=;4}o427?6=9rB8<85rn737>5<6sA9;96sa60794?7|@::>7p`91783>4}O;9?0qc8>7;295~N48<1vb;?7:182M57=2we:<750;3xL6623td==l4?:0yK753<ug<:n7>51zJ040=zf?;h6=4>{I131>{i>8n1<7?tH226?xh19l0;6<uG3178yk06n3:1=vF<049~j347290:wE=?5:m277=83;pD>>:;|l567<728qC?=;4}o417?6=9rB8<85rn707>5<6sA9;96sa63794?7|@::>7p`92783>4}O;9?0qc8=7;295~N48<1vb;<7:182M57=2we:?750;3xL6623td=>l4?:0yK753<ug<9n7>51zJ040=zf?8h6=4>{I131>{i>;n1<7?tH226?xh1:l0;6<uG3178yk05n3:1=vF<049~j357290:wE=?5:m267=83;pD>>:;|l577<728qC?=;4}o407?6=9rB8<85rn717>5<6sA9;96sa62794?7|@::>7p`93783>4}O;9?0qc8<7;295~N48<1vb;=7:182M57=2we:>750;3xL6623td=?l4?:0yK753<ug<8n7>51zJ040=zf?9h6=4>{I131>{i>:n1<7?tH226?xh1;l0;6<uG3178yk04n3:1=vF<049~j327290:wE=?5:m217=83;pD>>:;|l507<728qC?=;4}o477?6=9rB8<85rn767>5<6sA9;96sa65794?7|@::>7p`94783>4}O;9?0qc8;7;295~N48<1vb;:7:182M57=2we:9750;3xL6623td=8l4?:0yK753<ug<?n7>51zJ040=zf?>h6=4>{I131>{i>=n1<7?tH226?xh1<l0;6<uG3178yk03n3:1=vF<049~j337290:wE=?5:m207=83;pD>>:;|l517<728qC?=;4}o467?6=9rB8<85rn777>5<6sA9;96sa64794?7|@::>7p`95783>4}O;9?0qc8:7;295~N48<1vb;;7:182M57=2we:8750;3xL6623td=9l4?:0yK753<ug<>n7>51zJ040=zf??h6=4>{I131>{i><n1<7?tH226?xh1=l0;6<uG3178yk02n3:1=vF<049~j307290:wE=?5:m237=83;pD>>:;|l527<728qC?=;4}o457?6=9rB8<85rn747>5<6sA9;96sa67794?7|@::>7p`96783>4}O;9?0qc897;295~N48<1vb;87:182M57=2we:;750;3xL6623td=:l4?:0yK753<ug<=n7>51zJ040=zf?<h6=4>{I131>{i>?n1<7?tH226?xh1>l0;6<uG3178yk01n3:1=vF<049~j317290:wE=?5:m227=83;pD>>:;|l537<728qC?=;4}o447?6=9rB8<85rn757>5<6sA9;96sa66794?7|@::>7psr}AB@2d22h88<?m<7|BCF~6zHIZpqMN
/coregen/ctrl_fifo512x64st_v0.vhd
1,2520 → 1,281
--------------------------------------------------------------------------------
-- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: O.61xd
-- \ \ Application: netgen
-- / / Filename: ctrl_fifo512x64st_v0.vhd
-- /___/ /\ Timestamp: Wed Oct 19 14:27:28 2011
-- \ \ / \
-- \___\/\___\
--
-- Command : -w -sim -ofmt vhdl D:/TMP/08/SVN/00/tmp/_cg/ctrl_fifo512x64st_v0.ngc D:/TMP/08/SVN/00/tmp/_cg/ctrl_fifo512x64st_v0.vhd
-- Device : 6slx45tfgg484-3
-- Input file : D:/TMP/08/SVN/00/tmp/_cg/ctrl_fifo512x64st_v0.ngc
-- Output file : D:/TMP/08/SVN/00/tmp/_cg/ctrl_fifo512x64st_v0.vhd
-- # of Entities : 1
-- Design Name : ctrl_fifo512x64st_v0
-- Xilinx : C:\Xilinx\13.2\ISE_DS\ISE\
--
-- Purpose:
-- This VHDL netlist is a verification model and uses simulation
-- primitives which may not represent the true implementation of the
-- device, however the netlist is functionally correct and should not
-- be modified. This file cannot be synthesized and should only be used
-- with supported simulation tools.
--
-- Reference:
-- Command Line Tools User Guide, Chapter 23
-- Synthesis and Simulation Design Guide, Chapter 6
--
--------------------------------------------------------------------------------
 
 
-- synthesis translate_off
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
use UNISIM.VPKG.ALL;
 
entity ctrl_fifo512x64st_v0 is
port (
clk : in STD_LOGIC := 'X';
rst : in STD_LOGIC := 'X';
wr_en : in STD_LOGIC := 'X';
rd_en : in STD_LOGIC := 'X';
full : out STD_LOGIC;
empty : out STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 63 downto 0 );
dout : out STD_LOGIC_VECTOR ( 63 downto 0 );
data_count : out STD_LOGIC_VECTOR ( 8 downto 0 )
);
end ctrl_fifo512x64st_v0;
 
architecture STRUCTURE of ctrl_fifo512x64st_v0 is
signal N1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_2 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_4 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count2 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count3 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count4 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count5 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count6 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count7 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count8 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count9 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_35_o_MUX_13_o : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_292_o_MUX_15_o : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_223 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_224 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_225 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_Q_270 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_1_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_2_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_4_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_5_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_6_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_7_Q : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_Q_279 : STD_LOGIC;
signal N2 : STD_LOGIC;
signal N4 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0_dpot_283 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6_dpot_284 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7_dpot_285 : STD_LOGIC;
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8_dpot_286 : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_1_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_0_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_15_UNCONNECTED : STD_LOGIC;
signal NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_7_UNCONNECTED : STD_LOGIC;
signal NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count : STD_LOGIC_VECTOR ( 8 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg : STD_LOGIC_VECTOR ( 1 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1 : STD_LOGIC_VECTOR ( 8 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1 : STD_LOGIC_VECTOR ( 8 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count : STD_LOGIC_VECTOR ( 8 downto 1 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1 : STD_LOGIC_VECTOR ( 0 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count : STD_LOGIC_VECTOR ( 8 downto 1 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut : STD_LOGIC_VECTOR ( 8 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy : STD_LOGIC_VECTOR ( 7 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet : STD_LOGIC_VECTOR ( 3 downto 0 );
signal U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1 : STD_LOGIC_VECTOR ( 4 downto 0 );
begin
data_count(8) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(8);
data_count(7) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(7);
data_count(6) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(6);
data_count(5) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(5);
data_count(4) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(4);
data_count(3) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(3);
data_count(2) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(2);
data_count(1) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(1);
data_count(0) <= NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(0);
full <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_2;
empty <= U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_4;
XST_VCC : VCC
port map (
P => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv
);
XST_GND : GND
port map (
G => N1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_0_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(0),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_0_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_1_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(0),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(1),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_1_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(0),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count2
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_2_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(1),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(2),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_2_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(1),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count3
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_3_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(2),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(3),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_3_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(2),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_4_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(3),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(4),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_4_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(3),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count5
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_5_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(4),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(5),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(5),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_5_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(4),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(5),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count6
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_6_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(5),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(6),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_6_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(5),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count7
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy_7_Q : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(6),
DI => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(7),
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_7_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(6),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count8
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_xor_8_Q : XORCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_cy(7),
LI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count9
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count1,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count2,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count3,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count4,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count5,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count6,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count7,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count8,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count9,
Q => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_35_o_MUX_13_o,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_i_4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_35_o_MUX_13_o,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_292_o_MUX_15_o,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_i_2
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i : FDC
generic map(
INIT => '0'
)
port map (
C => clk,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_292_o_MUX_15_o,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2 : FD
generic map(
INIT => '0'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_225,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_224
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1 : FD
generic map(
INIT => '0'
)
port map (
C => clk,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_223,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_225
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg : FDPE
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d1_225,
D => N1,
PRE => rst,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_223
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg_1 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg_0 : FDP
generic map(
INIT => '1'
)
port map (
C => clk,
D => N1,
PRE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_3_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(2),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_2_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(1),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_1_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(0),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_0_gm1_m1 : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_srst_i_inv,
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_gm_4_gms_ms : MUXCY
port map (
CI => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_gmux_carrynet(3),
DI => N1,
S => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8_dpot_286,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7_dpot_285,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6_dpot_284,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0_dpot_283,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_Q_270,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_2_Q,
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1_0 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0),
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_Q_279,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_7 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_7_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_6 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_6_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_5 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_5_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_4 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_4_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_3 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_2 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_2_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_1 : FDCE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
CLR => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_wr_rst_reg(1),
D => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_1_Q,
Q => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_ram_wr_en_i1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en1 : LUT3
generic map(
INIT => X"CE"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb1 : LUT2
generic map(
INIT => X"2"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_223,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_asreg_d2_224,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_rd_rst_comb
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_3_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_2_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_1_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_4_1 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_3_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_2_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_1_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_0_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1_4_1 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c0_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_3_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_2_1 : LUT4
generic map(
INIT => X"8241"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_1_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_4_1 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_3_1 : LUT4
generic map(
INIT => X"8421"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_2_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_1_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_0_1 : LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1_4_1 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c1_v1(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_7_1 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_6_1 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_7_1 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_7_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_6_1 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_6_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_SW0 : LUT2
generic map(
INIT => X"8"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
O => N2
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_Q : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I4 => N2,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_8_Q_270
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_SW0 : LUT2
generic map(
INIT => X"8"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(6),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
O => N4
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_Q : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(8),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I4 => N4,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_8_Q_279
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1_0_1 : LUT4
generic map(
INIT => X"0990"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_c1_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1_0_1 : LUT4
generic map(
INIT => X"0990"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_c2_v1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_Mmux_going_empty_PWR_35_o_MUX_13_o11 : LUT6
generic map(
INIT => X"F3A2FFA2F300FF00"
)
port map (
I0 => rd_en,
I1 => wr_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp0,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_comp1,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_going_empty_PWR_35_o_MUX_13_o
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_Mmux_ram_full_comb_GND_292_o_MUX_15_o11 : LUT6
generic map(
INIT => X"FA32F030FAF2F0F0"
)
port map (
I0 => wr_en,
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp1,
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_comp0,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_comb_GND_292_o_MUX_15_o
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_11 : LUT3
generic map(
INIT => X"F7"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_bdd0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_11 : LUT3
generic map(
INIT => X"F7"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_bdd0
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv1 : LUT4
generic map(
INIT => X"0AC6"
)
port map (
I0 => wr_en,
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_gwss_wsts_ram_full_fb_i_178,
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_n0025_inv
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_0_Q : LUT3
generic map(
INIT => X"65"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
I2 => rd_en,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_1_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(1),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(1)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_2_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(2),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(2)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_3_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(3),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(3)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_4_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(4),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(4)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_5_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(5),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(5)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_6_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(6),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(6)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_7_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(7),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(7)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut_8_Q : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => NlwRenamedSig_OI_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_count(8),
I1 => rd_en,
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_gdc_dc_dc_Mcount_count_lut(8)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_8_GND_277_o_mux_2_OUT21 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_Mmux_gc0_count_8_GND_277_o_mux_2_OUT31 : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_8_GND_290_o_mux_2_OUT21 : LUT2
generic map(
INIT => X"9"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_1_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_Mmux_gcc0_gc0_count_8_GND_290_o_mux_2_OUT31 : LUT3
generic map(
INIT => X"A6"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_2_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_2 : LUT4
generic map(
INIT => X"AA6A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_4_1 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_5_1 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(1),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_8_GND_277_o_mux_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_2 : LUT4
generic map(
INIT => X"AA6A"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_3_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_4_1 : LUT5
generic map(
INIT => X"AAAA6AAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_4_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_5_1 : LUT6
generic map(
INIT => X"AAAAAAAA6AAAAAAA"
)
port map (
I0 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(5),
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(2),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(3),
I3 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(4),
I4 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count(1),
I5 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_8_GND_290_o_mux_2_OUT_5_Q
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6_dpot : LUT3
generic map(
INIT => X"D8"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(6),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_6_dpot_284
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7_dpot : LUT3
generic map(
INIT => X"D8"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(7),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_7_dpot_285
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8_dpot : LUT3
generic map(
INIT => X"D8"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count(8),
I2 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_8_dpot_286
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0_dpot : LUT2
generic map(
INIT => X"6"
)
port map (
I0 => rd_en,
I1 => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1_0_dpot_283
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_wr_pntr_0_inv1_INV_0 : INV
port map (
I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wr_pntr_plus1(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot_INV_0 : INV
port map (
I => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_grss_rsts_ram_empty_fb_i_3,
O => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_ram_rd_en_i1_cepot
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 36,
DATA_WIDTH_B => 36,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => din(35),
DIPA(2) => din(26),
DIPA(1) => din(17),
DIPA(0) => din(8),
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(4) => N1,
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
ADDRB(4) => N1,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_0_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) => dout(35),
DOPB(2) => dout(26),
DOPB(1) => dout(17),
DOPB(0) => dout(8),
DOB(31) => dout(34),
DOB(30) => dout(33),
DOB(29) => dout(32),
DOB(28) => dout(31),
DOB(27) => dout(30),
DOB(26) => dout(29),
DOB(25) => dout(28),
DOB(24) => dout(27),
DOB(23) => dout(25),
DOB(22) => dout(24),
DOB(21) => dout(23),
DOB(20) => dout(22),
DOB(19) => dout(21),
DOB(18) => dout(20),
DOB(17) => dout(19),
DOB(16) => dout(18),
DOB(15) => dout(16),
DOB(14) => dout(15),
DOB(13) => dout(14),
DOB(12) => dout(13),
DOB(11) => dout(12),
DOB(10) => dout(11),
DOB(9) => dout(10),
DOB(8) => dout(9),
DOB(7) => dout(7),
DOB(6) => dout(6),
DOB(5) => dout(5),
DOB(4) => dout(4),
DOB(3) => dout(3),
DOB(2) => dout(2),
DOB(1) => dout(1),
DOB(0) => dout(0),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => din(34),
DIA(30) => din(33),
DIA(29) => din(32),
DIA(28) => din(31),
DIA(27) => din(30),
DIA(26) => din(29),
DIA(25) => din(28),
DIA(24) => din(27),
DIA(23) => din(25),
DIA(22) => din(24),
DIA(21) => din(23),
DIA(20) => din(22),
DIA(19) => din(21),
DIA(18) => din(20),
DIA(17) => din(19),
DIA(16) => din(18),
DIA(15) => din(16),
DIA(14) => din(15),
DIA(13) => din(14),
DIA(12) => din(13),
DIA(11) => din(12),
DIA(10) => din(11),
DIA(9) => din(10),
DIA(8) => din(9),
DIA(7) => din(7),
DIA(6) => din(6),
DIA(5) => din(5),
DIA(4) => din(4),
DIA(3) => din(3),
DIA(2) => din(2),
DIA(1) => din(1),
DIA(0) => din(0)
);
U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram :
RAMB16BWER
generic map(
DATA_WIDTH_A => 36,
DATA_WIDTH_B => 36,
DOA_REG => 0,
DOB_REG => 0,
EN_RSTRAM_A => FALSE,
EN_RSTRAM_B => TRUE,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
RSTTYPE => "SYNC",
RST_PRIORITY_A => "CE",
RST_PRIORITY_B => "CE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "SPARTAN6",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST",
WRITE_MODE_B => "READ_FIRST"
)
port map (
REGCEA => N1,
CLKA => clk,
ENB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_tmp_ram_rd_en,
RSTB => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_rstblk_ngwrdrst_grst_rd_rst_reg_0_Q,
CLKB => clk,
REGCEB => N1,
RSTA => N1,
ENA => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DIPA(3) => N1,
DIPA(2) => N1,
DIPA(1) => N1,
DIPA(0) => N1,
WEA(3) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(2) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(1) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
WEA(0) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_ram_wr_en,
DOA(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_31_UNCONNECTED
,
DOA(30) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_30_UNCONNECTED
,
DOA(29) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_29_UNCONNECTED
,
DOA(28) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_28_UNCONNECTED
,
DOA(27) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_27_UNCONNECTED
,
DOA(26) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_26_UNCONNECTED
,
DOA(25) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_25_UNCONNECTED
,
DOA(24) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_24_UNCONNECTED
,
DOA(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_23_UNCONNECTED
,
DOA(22) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_22_UNCONNECTED
,
DOA(21) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_21_UNCONNECTED
,
DOA(20) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_20_UNCONNECTED
,
DOA(19) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_19_UNCONNECTED
,
DOA(18) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_18_UNCONNECTED
,
DOA(17) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_17_UNCONNECTED
,
DOA(16) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_16_UNCONNECTED
,
DOA(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_15_UNCONNECTED
,
DOA(14) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_14_UNCONNECTED
,
DOA(13) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_13_UNCONNECTED
,
DOA(12) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_12_UNCONNECTED
,
DOA(11) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_11_UNCONNECTED
,
DOA(10) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_10_UNCONNECTED
,
DOA(9) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_9_UNCONNECTED
,
DOA(8) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_8_UNCONNECTED
,
DOA(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_7_UNCONNECTED
,
DOA(6) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_6_UNCONNECTED
,
DOA(5) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_5_UNCONNECTED
,
DOA(4) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_4_UNCONNECTED
,
DOA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_3_UNCONNECTED
,
DOA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_2_UNCONNECTED
,
DOA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_1_UNCONNECTED
,
DOA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOA_0_UNCONNECTED
,
ADDRA(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(8),
ADDRA(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(7),
ADDRA(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(6),
ADDRA(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(5),
ADDRA(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(4),
ADDRA(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(3),
ADDRA(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(2),
ADDRA(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(1),
ADDRA(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_wr_wpntr_gcc0_gc0_count_d1(0),
ADDRA(4) => N1,
ADDRA(3) => N1,
ADDRA(2) => N1,
ADDRA(1) => N1,
ADDRA(0) => N1,
ADDRB(13) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(8),
ADDRB(12) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(7),
ADDRB(11) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(6),
ADDRB(10) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(5),
ADDRB(9) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(4),
ADDRB(8) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(3),
ADDRB(7) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(2),
ADDRB(6) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(1),
ADDRB(5) => U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_gl0_rd_rpntr_gc0_count_d1(0),
ADDRB(4) => N1,
ADDRB(3) => N1,
ADDRB(2) => N1,
ADDRB(1) => N1,
ADDRB(0) => N1,
DIB(31) => N1,
DIB(30) => N1,
DIB(29) => N1,
DIB(28) => N1,
DIB(27) => N1,
DIB(26) => N1,
DIB(25) => N1,
DIB(24) => N1,
DIB(23) => N1,
DIB(22) => N1,
DIB(21) => N1,
DIB(20) => N1,
DIB(19) => N1,
DIB(18) => N1,
DIB(17) => N1,
DIB(16) => N1,
DIB(15) => N1,
DIB(14) => N1,
DIB(13) => N1,
DIB(12) => N1,
DIB(11) => N1,
DIB(10) => N1,
DIB(9) => N1,
DIB(8) => N1,
DIB(7) => N1,
DIB(6) => N1,
DIB(5) => N1,
DIB(4) => N1,
DIB(3) => N1,
DIB(2) => N1,
DIB(1) => N1,
DIB(0) => N1,
DOPA(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_3_UNCONNECTED
,
DOPA(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_2_UNCONNECTED
,
DOPA(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_1_UNCONNECTED
,
DOPA(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPA_0_UNCONNECTED
,
DIPB(3) => N1,
DIPB(2) => N1,
DIPB(1) => N1,
DIPB(0) => N1,
DOPB(3) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_3_UNCONNECTED
,
DOPB(2) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_2_UNCONNECTED
,
DOPB(1) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_1_UNCONNECTED
,
DOPB(0) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOPB_0_UNCONNECTED
,
DOB(31) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_31_UNCONNECTED
,
DOB(30) => dout(63),
DOB(29) => dout(62),
DOB(28) => dout(61),
DOB(27) => dout(60),
DOB(26) => dout(59),
DOB(25) => dout(58),
DOB(24) => dout(57),
DOB(23) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_23_UNCONNECTED
,
DOB(22) => dout(56),
DOB(21) => dout(55),
DOB(20) => dout(54),
DOB(19) => dout(53),
DOB(18) => dout(52),
DOB(17) => dout(51),
DOB(16) => dout(50),
DOB(15) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_15_UNCONNECTED
,
DOB(14) => dout(49),
DOB(13) => dout(48),
DOB(12) => dout(47),
DOB(11) => dout(46),
DOB(10) => dout(45),
DOB(9) => dout(44),
DOB(8) => dout(43),
DOB(7) =>
NLW_U0_xst_fifo_generator_gconvfifo_rf_grf_rf_gntv_or_sync_fifo_mem_gbm_gbmg_gbmga_ngecc_bmg_gnativebmg_native_blk_mem_gen_valid_cstr_ramloop_1_ram_r_s6_noinit_ram_SDP_SIMPLE_PRIM18_ram_DOB_7_UNCONNECTED
,
DOB(6) => dout(42),
DOB(5) => dout(41),
DOB(4) => dout(40),
DOB(3) => dout(39),
DOB(2) => dout(38),
DOB(1) => dout(37),
DOB(0) => dout(36),
WEB(3) => N1,
WEB(2) => N1,
WEB(1) => N1,
WEB(0) => N1,
DIA(31) => N1,
DIA(30) => din(63),
DIA(29) => din(62),
DIA(28) => din(61),
DIA(27) => din(60),
DIA(26) => din(59),
DIA(25) => din(58),
DIA(24) => din(57),
DIA(23) => N1,
DIA(22) => din(56),
DIA(21) => din(55),
DIA(20) => din(54),
DIA(19) => din(53),
DIA(18) => din(52),
DIA(17) => din(51),
DIA(16) => din(50),
DIA(15) => N1,
DIA(14) => din(49),
DIA(13) => din(48),
DIA(12) => din(47),
DIA(11) => din(46),
DIA(10) => din(45),
DIA(9) => din(44),
DIA(8) => din(43),
DIA(7) => N1,
DIA(6) => din(42),
DIA(5) => din(41),
DIA(4) => din(40),
DIA(3) => din(39),
DIA(2) => din(38),
DIA(1) => din(37),
DIA(0) => din(36)
);
 
end STRUCTURE;
 
-- synthesis translate_on
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file ctrl_fifo512x64st_v0.vhd when simulating
-- the core, ctrl_fifo512x64st_v0. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
 
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY ctrl_fifo512x64st_v0 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
END ctrl_fifo512x64st_v0;
-- synthesis translate_off
ARCHITECTURE ctrl_fifo512x64st_v0_a OF ctrl_fifo512x64st_v0 IS
 
COMPONENT wrapped_ctrl_fifo512x64st_v0
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
END COMPONENT;
 
-- Configuration specification
FOR ALL : wrapped_ctrl_fifo512x64st_v0 USE ENTITY XilinxCoreLib.fifo_generator_v8_2(behavioral)
GENERIC MAP (
c_add_ngc_constraint => 0,
c_application_type_axis => 0,
c_application_type_rach => 0,
c_application_type_rdch => 0,
c_application_type_wach => 0,
c_application_type_wdch => 0,
c_application_type_wrch => 0,
c_axi_addr_width => 32,
c_axi_aruser_width => 1,
c_axi_awuser_width => 1,
c_axi_buser_width => 1,
c_axi_data_width => 64,
c_axi_id_width => 4,
c_axi_ruser_width => 1,
c_axi_type => 0,
c_axi_wuser_width => 1,
c_axis_tdata_width => 64,
c_axis_tdest_width => 4,
c_axis_tid_width => 8,
c_axis_tkeep_width => 4,
c_axis_tstrb_width => 4,
c_axis_tuser_width => 4,
c_axis_type => 0,
c_common_clock => 1,
c_count_type => 0,
c_data_count_width => 9,
c_default_value => "BlankString",
c_din_width => 64,
c_din_width_axis => 1,
c_din_width_rach => 32,
c_din_width_rdch => 64,
c_din_width_wach => 32,
c_din_width_wdch => 64,
c_din_width_wrch => 2,
c_dout_rst_val => "0",
c_dout_width => 64,
c_enable_rlocs => 0,
c_enable_rst_sync => 1,
c_error_injection_type => 0,
c_error_injection_type_axis => 0,
c_error_injection_type_rach => 0,
c_error_injection_type_rdch => 0,
c_error_injection_type_wach => 0,
c_error_injection_type_wdch => 0,
c_error_injection_type_wrch => 0,
c_family => "virtex5",
c_full_flags_rst_val => 0,
c_has_almost_empty => 0,
c_has_almost_full => 0,
c_has_axi_aruser => 0,
c_has_axi_awuser => 0,
c_has_axi_buser => 0,
c_has_axi_rd_channel => 0,
c_has_axi_ruser => 0,
c_has_axi_wr_channel => 0,
c_has_axi_wuser => 0,
c_has_axis_tdata => 0,
c_has_axis_tdest => 0,
c_has_axis_tid => 0,
c_has_axis_tkeep => 0,
c_has_axis_tlast => 0,
c_has_axis_tready => 1,
c_has_axis_tstrb => 0,
c_has_axis_tuser => 0,
c_has_backup => 0,
c_has_data_count => 1,
c_has_data_counts_axis => 0,
c_has_data_counts_rach => 0,
c_has_data_counts_rdch => 0,
c_has_data_counts_wach => 0,
c_has_data_counts_wdch => 0,
c_has_data_counts_wrch => 0,
c_has_int_clk => 0,
c_has_master_ce => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_prog_flags_axis => 0,
c_has_prog_flags_rach => 0,
c_has_prog_flags_rdch => 0,
c_has_prog_flags_wach => 0,
c_has_prog_flags_wdch => 0,
c_has_prog_flags_wrch => 0,
c_has_rd_data_count => 0,
c_has_rd_rst => 0,
c_has_rst => 1,
c_has_slave_ce => 0,
c_has_srst => 0,
c_has_underflow => 0,
c_has_valid => 0,
c_has_wr_ack => 0,
c_has_wr_data_count => 0,
c_has_wr_rst => 0,
c_implementation_type => 0,
c_implementation_type_axis => 1,
c_implementation_type_rach => 1,
c_implementation_type_rdch => 1,
c_implementation_type_wach => 1,
c_implementation_type_wdch => 1,
c_implementation_type_wrch => 1,
c_init_wr_pntr_val => 0,
c_interface_type => 0,
c_memory_type => 1,
c_mif_file_name => "BlankString",
c_msgon_val => 0,
c_optimization_mode => 0,
c_overflow_low => 0,
c_preload_latency => 1,
c_preload_regs => 0,
c_prim_fifo_type => "512x72",
c_prog_empty_thresh_assert_val => 2,
c_prog_empty_thresh_assert_val_axis => 1022,
c_prog_empty_thresh_assert_val_rach => 1022,
c_prog_empty_thresh_assert_val_rdch => 1022,
c_prog_empty_thresh_assert_val_wach => 1022,
c_prog_empty_thresh_assert_val_wdch => 1022,
c_prog_empty_thresh_assert_val_wrch => 1022,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_type => 0,
c_prog_empty_type_axis => 0,
c_prog_empty_type_rach => 0,
c_prog_empty_type_rdch => 0,
c_prog_empty_type_wach => 0,
c_prog_empty_type_wdch => 0,
c_prog_empty_type_wrch => 0,
c_prog_full_thresh_assert_val => 510,
c_prog_full_thresh_assert_val_axis => 1023,
c_prog_full_thresh_assert_val_rach => 1023,
c_prog_full_thresh_assert_val_rdch => 1023,
c_prog_full_thresh_assert_val_wach => 1023,
c_prog_full_thresh_assert_val_wdch => 1023,
c_prog_full_thresh_assert_val_wrch => 1023,
c_prog_full_thresh_negate_val => 509,
c_prog_full_type => 0,
c_prog_full_type_axis => 0,
c_prog_full_type_rach => 0,
c_prog_full_type_rdch => 0,
c_prog_full_type_wach => 0,
c_prog_full_type_wdch => 0,
c_prog_full_type_wrch => 0,
c_rach_type => 0,
c_rd_data_count_width => 9,
c_rd_depth => 512,
c_rd_freq => 1,
c_rd_pntr_width => 9,
c_rdch_type => 0,
c_reg_slice_mode_axis => 0,
c_reg_slice_mode_rach => 0,
c_reg_slice_mode_rdch => 0,
c_reg_slice_mode_wach => 0,
c_reg_slice_mode_wdch => 0,
c_reg_slice_mode_wrch => 0,
--c_synchronizer_stage => 2,
c_underflow_low => 0,
c_use_common_overflow => 0,
c_use_common_underflow => 0,
c_use_default_settings => 0,
c_use_dout_rst => 1,
c_use_ecc => 0,
c_use_ecc_axis => 0,
c_use_ecc_rach => 0,
c_use_ecc_rdch => 0,
c_use_ecc_wach => 0,
c_use_ecc_wdch => 0,
c_use_ecc_wrch => 0,
c_use_embedded_reg => 0,
c_use_fifo16_flags => 0,
c_use_fwft_data_count => 0,
c_valid_low => 0,
c_wach_type => 0,
c_wdch_type => 0,
c_wr_ack_low => 0,
c_wr_data_count_width => 9,
c_wr_depth => 512,
c_wr_depth_axis => 1024,
c_wr_depth_rach => 16,
c_wr_depth_rdch => 1024,
c_wr_depth_wach => 16,
c_wr_depth_wdch => 1024,
c_wr_depth_wrch => 16,
c_wr_freq => 1,
c_wr_pntr_width => 9,
c_wr_pntr_width_axis => 10,
c_wr_pntr_width_rach => 4,
c_wr_pntr_width_rdch => 10,
c_wr_pntr_width_wach => 4,
c_wr_pntr_width_wdch => 10,
c_wr_pntr_width_wrch => 4,
c_wr_response_latency => 1,
c_wrch_type => 0
);
BEGIN
U0 : wrapped_ctrl_fifo512x64st_v0
PORT MAP (
clk => clk,
rst => rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
dout => dout,
full => full,
empty => empty,
data_count => data_count
);
 
END ctrl_fifo512x64st_v0_a;
-- synthesis translate_on
/coregen/ctrl_fifo512x64st_v0.xco
1,7 → 1,7
##############################################################
#
# Xilinx Core Generator version 13.2
# Date: Wed Oct 19 11:25:05 2011
# Xilinx Core Generator version 14.5
# Date: Sat Apr 20 16:42:54 2013
#
##############################################################
#
12,7 → 12,7
#
##############################################################
#
# Generated from component: xilinx.com:ip:fifo_generator:8.2
# Generated from component: xilinx.com:ip:fifo_generator:9.3
#
##############################################################
#
22,21 → 22,21
SET busformat = BusFormatAngleBracketNotRipped
SET createndf = false
SET designentry = VHDL
SET device = xc6slx45t
SET devicefamily = spartan6
SET device = xc5vsx50t
SET devicefamily = virtex5
SET flowvendor = Other
SET formalverification = false
SET foundationsym = false
SET implementationfiletype = Ngc
SET package = fgg484
SET package = ff1136
SET removerpms = false
SET simulationfiles = Structural
SET speedgrade = -3
SET simulationfiles = Behavioral
SET speedgrade = -1
SET verilogsim = false
SET vhdlsim = true
# END Project Options
# BEGIN Select
SELECT Fifo_Generator xilinx.com:ip:fifo_generator:8.2
SELECT FIFO_Generator xilinx.com:ip:fifo_generator:9.3
# END Select
# BEGIN Parameters
CSET add_ngc_constraint_axi=false
54,7 → 54,7
CSET component_name=ctrl_fifo512x64st_v0
CSET data_count=true
CSET data_count_width=9
CSET disable_timing_violations=false
CSET disable_timing_violations=true
CSET disable_timing_violations_axi=false
CSET dout_reset_value=0
CSET empty_threshold_assert_value=2
83,12 → 83,6
CSET enable_ecc_wach=false
CSET enable_ecc_wdch=false
CSET enable_ecc_wrch=false
CSET enable_handshake_flag_options_axis=false
CSET enable_handshake_flag_options_rach=false
CSET enable_handshake_flag_options_rdch=false
CSET enable_handshake_flag_options_wach=false
CSET enable_handshake_flag_options_wdch=false
CSET enable_handshake_flag_options_wrch=false
CSET enable_read_channel=false
CSET enable_read_pointer_increment_by2=false
CSET enable_reset_synchronization=true
157,19 → 151,19
CSET overflow_sense_axi=Active_High
CSET performance_options=Standard_FIFO
CSET programmable_empty_type=No_Programmable_Empty_Threshold
CSET programmable_empty_type_axis=Empty
CSET programmable_empty_type_rach=Empty
CSET programmable_empty_type_rdch=Empty
CSET programmable_empty_type_wach=Empty
CSET programmable_empty_type_wdch=Empty
CSET programmable_empty_type_wrch=Empty
CSET programmable_empty_type_axis=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_rdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wach=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wdch=No_Programmable_Empty_Threshold
CSET programmable_empty_type_wrch=No_Programmable_Empty_Threshold
CSET programmable_full_type=No_Programmable_Full_Threshold
CSET programmable_full_type_axis=Full
CSET programmable_full_type_rach=Full
CSET programmable_full_type_rdch=Full
CSET programmable_full_type_wach=Full
CSET programmable_full_type_wdch=Full
CSET programmable_full_type_wrch=Full
CSET programmable_full_type_axis=No_Programmable_Full_Threshold
CSET programmable_full_type_rach=No_Programmable_Full_Threshold
CSET programmable_full_type_rdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wach=No_Programmable_Full_Threshold
CSET programmable_full_type_wdch=No_Programmable_Full_Threshold
CSET programmable_full_type_wrch=No_Programmable_Full_Threshold
CSET rach_type=FIFO
CSET rdch_type=FIFO
CSET read_clock_frequency=1
184,6 → 178,8
CSET reset_pin=true
CSET reset_type=Asynchronous_Reset
CSET ruser_width=1
CSET synchronization_stages=2
CSET synchronization_stages_axi=2
CSET tdata_width=64
CSET tdest_width=4
CSET tid_width=8
211,7 → 207,7
CSET wuser_width=1
# END Parameters
# BEGIN Extra information
MISC pkg_timestamp=2011-03-14T07:12:32.000Z
MISC pkg_timestamp=2012-11-19T12:39:56Z
# END Extra information
GENERATE
# CRC: c15403dd
# CRC: e84e4d4d
/pcie_core/pcie_core64_wishbone_m8.vhd
0,0 → 1,305
-------------------------------------------------------------------------------
--
-- Title : pcie_core64_wishbone_m8
-- Author : Dmitry Smekhov
-- Company : Instrumental Systems
-- E-mail : dsmv@insys.ru
--
-- Version : 1.0
--
-------------------------------------------------------------------------------
--
-- Description : PCI Express controller
-- Modification 8 - Wishbone - Virtex 5 PCI Express v1.1 x8
--
-------------------------------------------------------------------------------
--
-- Version 1.0 20.04.2013
-- Created from pcie_core64_wishbone v1.3
--
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
 
package pcie_core64_wishbone_m8_pkg is
 
component pcie_core64_wishbone_m8 is
generic
(
 
 
 
is_simulation : integer:=0 --! 0 - synthesis, 1 - simulation
);
port
(
---- PCI-Express ----
txp : out std_logic_vector( 7 downto 0 );
txn : out std_logic_vector( 7 downto 0 );
rxp : in std_logic_vector( 7 downto 0 );
rxn : in std_logic_vector( 7 downto 0 );
mgt250 : in std_logic; -- reference clock 250 MHz from PCI_Express
perst : in std_logic; -- 0 - reset
 
 
 
---- Wishbone SYS_CON -----
o_wb_clk : out std_logic;
o_wb_rst : out std_logic;
---- Wishbone BUS -----
ov_wbm_addr : out std_logic_vector(31 downto 0);
ov_wbm_data : out std_logic_vector(63 downto 0);
ov_wbm_sel : out std_logic_vector( 7 downto 0);
o_wbm_we : out std_logic;
o_wbm_cyc : out std_logic;
o_wbm_stb : out std_logic;
ov_wbm_cti : out std_logic_vector( 2 downto 0); -- Cycle Type Identifier Address Tag
ov_wbm_bte : out std_logic_vector( 1 downto 0); -- Burst Type Extension Address Tag
iv_wbm_data : in std_logic_vector(63 downto 0);
i_wbm_ack : in std_logic;
i_wbm_err : in std_logic; -- error input - abnormal cycle termination
i_wbm_rty : in std_logic; -- retry input - interface is not ready
i_wdm_irq_0 : in std_logic;
iv_wbm_irq_dmar : in std_logic_vector( 1 downto 0)
);
end component pcie_core64_wishbone_m8;
 
end package pcie_core64_wishbone_m8_pkg;
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
 
use work.core64_type_pkg.all;
use work.pcie_core64_m1_pkg.all;
use work.core64_pb_wishbone_pkg.all;
use work.block_pe_main_pkg.all;
 
entity pcie_core64_wishbone_m8 is
generic
(
 
 
 
 
);
port
(
---- PCI-Express ----
txp : out std_logic_vector( 7 downto 0 );
txn : out std_logic_vector( 7 downto 0 );
rxp : in std_logic_vector( 7 downto 0 );
rxn : in std_logic_vector( 7 downto 0 );
mgt250 : in std_logic; -- reference clock 250 MHz from PCI_Express
perst : in std_logic; -- 0 - reset
 
 
 
---- Wishbone SYS_CON -----
o_wb_clk : out std_logic;
o_wb_rst : out std_logic;
---- Wishbone BUS -----
ov_wbm_addr : out std_logic_vector(31 downto 0);
ov_wbm_data : out std_logic_vector(63 downto 0);
ov_wbm_sel : out std_logic_vector( 7 downto 0);
o_wbm_we : out std_logic;
o_wbm_cyc : out std_logic;
o_wbm_stb : out std_logic;
ov_wbm_cti : out std_logic_vector( 2 downto 0); -- Cycle Type Identifier Address Tag
ov_wbm_bte : out std_logic_vector( 1 downto 0); -- Burst Type Extension Address Tag
iv_wbm_data : in std_logic_vector(63 downto 0);
i_wbm_ack : in std_logic;
i_wbm_err : in std_logic; -- error input - abnormal cycle termination
i_wbm_rty : in std_logic; -- retry input - interface is not ready
i_wdm_irq_0 : in std_logic;
iv_wbm_irq_dmar : in std_logic_vector( 1 downto 0)
);
end pcie_core64_wishbone_m8;
 
architecture pcie_core64_wishbone_m8 of pcie_core64_wishbone_m8 is
-------------------------------------------------------------------------------
--
 
 
 
 
 
 
 
 
 
 
 
 
 
 
signal pb_reset : std_logic;
signal brd_mode : std_logic_vector( 15 downto 0 );
 
signal bp0_data : std_logic_vector( 31 downto 0 );
-------------------------------------------------------------------------------
--
-- Declare Global SYS_CON stuff:
signal clk : std_logic;
signal reset : std_logic;
signal dcm_rst_out : std_logic;
signal reset_p : std_logic;
signal reset_p_z1 : std_logic;
signal reset_p_z2 : std_logic;
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
--
-- Instantiate CORE64_M6 module with PB BUS:
--
CORE : pcie_core64_m1
generic map
(
is_simulation => is_simulation --! 0 - synthesis, 1 - simulation
)
port map
(
---- PCI-Express ----
txp => txp,
txn => txn,
rxp => rxp,
rxn => rxn,
mgt250 => mgt250,
perst => perst,
px => px,
pcie_lstatus => pcie_lstatus,
pcie_link_up => pcie_link_up,
 
clk_out => clk, -- S6 PCIE x1 module clock output
reset_out => reset, --
dcm_rstp => dcm_rst_out, -- S6 PCIE x1 module INV trn_reset_n_c
---- BAR1 (PB bus) ----
aclk => clk, -- !!! same clock as clk_out
aclk_lock => '1', --
pb_master => pb_master, --
pb_slave => pb_slave, --
 
bp_host_data => bp_host_data,
bp_data => bp_data,
bp_adr => bp_adr,
bp_we => bp_we,
bp_rd => bp_rd,
bp_sel => bp_sel,
bp_reg_we => bp_reg_we,
bp_reg_rd => bp_reg_rd,
bp_irq => bp_irq
);
 
reset_p <= not reset;
reset_p_z1 <= reset_p after 1 ns when rising_edge( clk );
reset_p_z2 <= reset_p_z1 after 1 ns when rising_edge( clk );
 
-- Deal with CORE BP Input data:
bp_data <= bp0_data when bp_sel="00" else (others=>'0');
-------------------------------------------------------------------------------
--
-- Instantiate PE_MAIN module:
--
PE_MAIN : block_pe_main
generic map
(
 
 
 
 
)
port map
(
---- Global ----
 
 
 
---- HOST ----
 
 
 
 
 
 
);
-------------------------------------------------------------------------------
--
-- Instantiate PB BUS <-> WB BUS translator module:
--
PW_WB : core64_pb_wishbone
port map
(
 
 
---- BAR1 ----
 
 
---- Wishbone BUS -----
ov_wbm_addr => ov_wbm_addr,
ov_wbm_data => ov_wbm_data,
ov_wbm_sel => ov_wbm_sel,
o_wbm_we => o_wbm_we,
o_wbm_cyc => o_wbm_cyc,
o_wbm_stb => o_wbm_stb,
ov_wbm_cti => ov_wbm_cti, -- Cycle Type Identifier Address Tag
ov_wbm_bte => ov_wbm_bte, -- Burst Type Extension Address Tag
iv_wbm_data => iv_wbm_data,
i_wbm_ack => i_wbm_ack,
i_wbm_err => i_wbm_err, -- error input - abnormal cycle termination
i_wbm_rty => i_wbm_rty, -- retry input - interface is not ready
i_wdm_irq_0 => i_wdm_irq_0,
iv_wbm_irq_dmar => iv_wbm_irq_dmar
);
-------------------------------------------------------------------------------
--
-- Module Output route:
--
o_wb_clk <= clk; -- route from PW_WB wrk clock
--
pr_o_wb_rst: process( reset_p, clk ) begin
if( reset_p='1' ) then
o_wb_rst <= '1' after 1 ns;
elsif( rising_edge( clk ) ) then
o_wb_rst <= reset_p_z2 after 1 ns;
end if;
end process;
 
 
-------------------------------------------------------------------------------
end pcie_core64_wishbone_m8;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.