URL
https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk
Subversion Repositories pcie_ds_dma
Compare Revisions
- This comparison shows the changes necessary to convert path
/pcie_ds_dma/trunk/core/ds_dma64/pcie_src/components
- from Rev 46 to Rev 47
- ↔ Reverse comparison
Rev 46 → Rev 47
/rtl/core64_pb_transaction.vhd
5,7 → 5,7
-- Company : Instrumental Systems |
-- E-mail : dsmv@insys.ru |
-- |
-- Version : 1.1 |
-- Version : 1.2 |
-- |
------------------------------------------------------------------------------- |
-- |
18,6 → 18,11
-- |
------------------------------------------------------------------------------- |
-- |
-- Version 1.2 14.12.2011 |
|
-- |
--------------------------------------------------------------------------------- |
-- |
-- Version 1.1 28.09.2011 Dmitry Smekhov |
|
-- |
46,7 → 51,8
|
|
|
|
|
|
|
|
); |
82,7 → 88,8
|
|
|
|
|
|
|
|
); |
136,7 → 143,8
|
rd_start <= cnt_start and not cnt(9); |
|
xrdz: srl16 port map( q=>rd_start_z, clk=>clk, d=>rd_start, a3=>'0', a2=>'1', a1=>'0', a0=>'1' ); |
--xrdz: srl16 port map( q=>rd_start_z, clk=>clk, d=>rd_start, a3=>'0', a2=>'1', a1=>'0', a0=>'1' ); |
xrdz: srl16 port map( q=>rd_start_z, clk=>clk, d=>rd_start, a3=>lc_rd_cfg(3), a2=>lc_rd_cfg(2), a1=>lc_rd_cfg(1), a0=>lc_rd_cfg(0) ); |
|
pb_slave.stb0 <= pb_master.stb0 after 1 ns when rising_edge( clk ); |
pb_slave.stb1 <= rd_start_z after 1 ns when rising_edge( clk ); |
/pcie_core/pcie_core64_m5.vhd
78,7 → 78,8
|
|
|
|
|
|
|
|
|
93,7 → 94,7
use ieee.std_logic_1164.all; |
|
use work.core64_type_pkg.all; |
use work.pcie_core64_m4_pkg.all; |
--use work.pcie_core64_m4_pkg.all; |
use work.core64_pb_transaction_pkg.all; |
use work.block_pe_main_pkg.all; |
|
142,7 → 143,8
|
|
|
|
|
|
|
|
|
152,6 → 154,62
|
architecture pcie_core64_m5 of pcie_core64_m5 is |
|
|
component pcie_core64_m4 is |
generic ( |
|
|
|
|
|
); |
|
port ( |
|
---- PCI-Express ---- |
txp : out std_logic_vector( 3 downto 0 ); |
txn : out std_logic_vector( 3 downto 0 ); |
|
rxp : in std_logic_vector( 3 downto 0 ); |
rxn : in std_logic_vector( 3 downto 0 ); |
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
---- BAR1 ---- |
|
|
|
|
|
|
|
); |
end component; |
|
component pcie_core64_m10 is |
generic ( |
|
362,7 → 420,8
lc_wr => lc_wr, |
lc_rd => lc_rd, |
lc_dma_req => lc_dma_req, |
lc_irq => lc_irq |
lc_irq => lc_irq, |
lc_rd_cfg => lc_rd_cfg |
); |
|
|