OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pcie_ds_dma/trunk/projects
    from Rev 18 to Rev 21
    Reverse comparison

Rev 18 → Rev 21

/ambpex5_sx50t_wishbone/src/testbench/test_pkg.vhd
172,7 → 172,7
write( str, string'("TEST_READ_REG" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
231,6 → 231,9
write( str, string'("TEST_DSC_INCORRECT" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
---- Init block of descriptor ---
for ii in 0 to 127 loop
adr:= x"00100000";
290,6 → 293,10
write( str, string'("TEST_READ_4KB" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
---- Init block of descriptor ---
for ii in 0 to 127 loop
adr:= x"00100000";
442,6 → 449,9
write( str, string'("TEST_ADM_READ_8KB" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
---- Init block of descriptor ---
for ii in 0 to 127 loop
adr:= x"00100000";
955,6 → 965,9
write( str, string'("TEST_ADM_WRITE_16KB" ));
writeline( log, str );
block_write( cmd, ret, 0, 8, x"0000000F" ); -- BRD_MODE, reset off
wait for 100 ns;
 
for ii in 0 to 256 loop
adr:= x"00100000";
/ambpex5_sx50t_wishbone/src/testbench/stend_ambpex5_wishbone.vhd
37,7 → 37,7
 
entity stend_ambpex5_wishbone is
generic(
 
 
 
);
end stend_ambpex5_wishbone;
/sp605_lx45t_wishbone/sp605_lx45t_wishbone.aws
9,7 → 9,7
[Expand]
sp605_lx45t_wishbone=0
ambpex5_sx50t_wishbone=1
ambpex5_v20_sx50t_core=1
ambpex5_v20_sx50t_core=0
[Browser]
sort=order
mode=none

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.