OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pcie_ds_dma/trunk/soft/linux/application
    from Rev 41 to Rev 54
    Reverse comparison

Rev 41 → Rev 54

/adm_test/bin/test_main.cfg
17,7 → 17,7
 
trdNo 0 ; Number of trd ( 0 - TRD_MAIN, 6 - TRD_DIO64_IN )
 
strmNo 1 ; Number of stream ( 0 or 1 )
strmNo 0 ; Number of stream ( 0 or 1 )
 
ISVI_FILE data_main ; file name
 
/adm_test/src/Makefile
25,7 → 25,7
INCLUDE := $(addprefix -I, $(INCDIR))
 
CFLAGS := -D__LINUX__ -O2 -Wall $(INCLUDE)
LFLAGS := -Wl -ldl -lrt -lpthread -lm -lncurses
LFLAGS := -ldl -lrt -lpthread -lm -lncurses
 
SRCFILE := $(wildcard *.cpp)
SRCFILE += $(wildcard ../../../common/board/*.cpp)
/adm_test/src/work/tf_teststrm.cpp
225,11 → 225,11
void* TF_TestStrm::ThreadFunc( void* lpvThreadParm )
{
TF_TestStrm *test=(TF_TestStrm*)lpvThreadParm;
UINT ret;
uint32_t ret;
if( !test )
return 0;
ret=test->Execute();
return (void*)ret;
return (void*)(size_t)ret;
}
 
//-----------------------------------------------------------------------------
237,13 → 237,13
void* TF_TestStrm::ThreadFuncIsvi( void* lpvThreadParm )
{
TF_TestStrm *test=(TF_TestStrm*)lpvThreadParm;
UINT ret;
uint32_t ret;
if( !test )
return 0;
 
Sleep( 200 );
ret=test->ExecuteIsvi();
return (void*)ret;
return (void*)(size_t)ret;
}
 
//-----------------------------------------------------------------------------
/adm_test/src/work/tf_teststrmout.cpp
138,7 → 138,7
if( !test )
return 0;
ret=test->Execute();
return (void*)ret;
return (void*)(size_t)ret;
}
 
 
/board_exam/Makefile
21,7 → 21,7
 
#CFLAGS := -D__linux__ -D__VERBOSE__ -g -Wall $(INCLUDE)
CFLAGS := -D__linux__ -O2 -Wall $(INCLUDE)
LFLAGS := -Wl,-rpath $(LIBDIR) -L"$(LIBDIR)" -lboard -ldl -lpthread
LFLAGS := -L"$(LIBDIR)" -lboard -ldl -lpthread
 
#EXTFILES := ../common/net/net_board.cpp
#EXTFILES := ../common/net/netcmn.cpp
/board_exam/main.cpp
78,25 → 78,6
brd->brd_init();
brd->brd_pld_info();
 
std::cout << "Reset FPGA..." << std::endl;
brd->brd_reg_poke_ind(0,0,1);
brd->brd_delay(100);
brd->brd_reg_poke_ind(0,0,0);
brd->brd_delay(100);
 
std::cout << "Init FPGA..." << std::endl;
for( int trd=0; trd<8; trd++ ) {
brd->brd_reg_poke_ind( trd, 0, 1 );
}
for( int trd=0; trd<8; trd++ ) {
for( int ii=1; ii<32; ii++ ) {
brd->brd_reg_poke_ind( trd, ii, 0 );
}
}
for( int trd=0; trd<8; trd++ ) {
brd->brd_reg_poke_ind( trd, 0, 0 );
}
 
std ::cout << "Press enter to allocate DMA memory..." << endl;
getchar();
 
112,12 → 93,11
NULL,
};
 
brd->dma_alloc(dmaChan, &sSCA);
brd->dma_allocate_memory(dmaChan, &sSCA);
 
brd->dma_set_local_addr(DmaChan, 0x1000);
brd->dma_stop(DmaChan);
brd->dma_reset_fifo(DmaChan);
brd->dma_reset_fifo(DmaChan);
 
std ::cout << "Press enter to start DMA channel..." << endl;
getchar();
143,7 → 123,7
 
std ::cout << "DMA data buffer " << j << ":" << endl;
buffer = (u32*)pBuffers[j];
for(unsigned i=0; i<32; i++) {
for(unsigned i=0; i<8; i++) {
std::cout << hex << buffer[i] << " ";
}
std ::cout << endl;
/wb_test/src/Makefile
24,7 → 24,7
INCLUDE := $(addprefix -I, $(INCDIR))
 
CFLAGS := -D__LINUX__ -O2 -Wall $(INCLUDE)
LFLAGS := -Wl -ldl -lrt -lpthread -lm
LFLAGS := -ldl -lrt -lpthread -lm
 
SRCFILE := $(wildcard *.cpp)
SRCFILE += $(wildcard ../../../common/board/*.cpp)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.