OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /phr/trunk/codigo/demos
    from Rev 263 to Rev 278
    Reverse comparison

Rev 263 → Rev 278

/projects/S3Demo/S3demo.ngr
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$32710<'aefi"bzir-lt`hdg{ox#}f}/upvjn)dgmclc"jjmnq,twimmj~x#^=Kelm,W6bbef$}di:8;.jli`)k}`y$c}kacnpfw*voz&~yyce cnfjcj)cmdex#}|`jdaww*U4Llgd#fl=vifo*snc<11$dbcj/mwjw*iwmgid~h} pip,pwsic&idhdi`/egnkv)wzf`noy} S2Ffij)qohUfmd`!vif;?46799:;<<=4118JJUSS2MkmL26:1<24>772F__\XZ5Q=;94;g<990co1750?36?46={acmx_khShe1846=97k0==4yc=;94;g<98:;<<>?01785476901:=<?>103250=693ycekz]efQjc4:683:5=<5>3;MVPUSS2X6:>7>1f:30>fjlL`|7=?4?>03861<H]]Z^X7_32283:c=5<3iggigy<3194;b<;z8;<lx~112.144<<3CE\XZ5aefqE95=87;;794FNQWW>gri4:0;2i5;:LW[KPR;;3:5j6:5OTVSQQ<V4:0;2<>44;MVPUSS2hd7?7>19:69ek:4294i794nnj`?7?69i2>1ny`33;2=f>2=kg~y0>4?>0383?IR\Y__6iaN<783:44<?3E^X][[:rqfc90=87k0;7jfg=494;d<?3yxij29:1<g?2<t{lm`n1850?33?=<NFY__6~y{<683:47<03E^X][[:mgg82<768;047AZTQWW>vu`4>0;2h57:VcjpWc`4>0;2l57:mgg82<76h136~}h<683:6=FOK90MAL;;@LG63=FFM8I=;5NNE0A63=FFM9I?95NNE65?DHC<K;=7L@K4C05?DHC<K9=7L@K4C66?Ded}d20NX]PIODL5>E53JO87NBD3:AOV<=DGDGBXYKK7:AQADRBL:1H`f;4CmiFj4=C<2NMJ<:4DGD11>Bf|hK37Io{a@>3:==Ci}kJ0<07;EcweD:5611OmyoN<2<;?AgsiH6?255KaucB808?3MkmL29>99GeqgF4>437Io{a@>;:d=Ci}kJ044?>99GeqgF40497KJ<;GF@0>@CKL?0JIMP129E@W7<A;1B<?5F139J67=N;;1B8?5F539J27=N?;1B4>5FNW08OF5<CJC97FJ<;JFJa>MBMYUCEH\NRBJZ3>JHO@IJ@:5B.62g[I?<E\RDYY2?>`9NQ]IR\5:5=o5BUYMVP96998h0AXV@UU>3:77f3D_SCXZ30?1g?HS_G\^7<3Qfmq;8IP^H]]6:2l5BUYMVP9799k1FYUAZT=3=54d<E\RDYY2>>33b?HS_G\^7=3=k;LW[KPR;97Uba}74MTZLQQ:56h1FYUAZT=0=5g=J]QE^X1<110`8IP^H]]692??n;LW[KPR;:79o7@[WOTV?6;Yneyh0AXV@UU>0>58>3D_SCXZ33?c8IP^H]]682<l4MTZLQQ:468;i7@[WOTV?7;46i2G^TB[[<2<0`>KRPF__0>0Pilr2=>Kdgdzj~Rxfdnl\ghvXo}:;<=?>9:O`khvfzV|bhb`Pclr\cq6788;:56ClolrbvZpnlfdTo`~Plu23457612Ghc`~nr^tj`jhXkdzT`y>?003:?HtheVnbk<o4Msmn[vubo880B<:4NNP:5>I43FX9=6^;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH?4Q59R85823X6:<3;4Q=32:2=V4881<3;4Q=31:0=V489596_315<6?T:6=7?0]1?9>49R8419=2[7=50:;P>2=;2<Y5;596_321<6?T:597?0]1<=>69R875=87?0]1<<>59R87813X686=0;;P>0:1=V4=4?7\2:>59R83833X6<295^<9<5?T:>294?7\26>09Q7>TT\:1YXA84S2ffijd<[@MIY^QFNGMb?VO@WK_XEIVm;RKD[GSTFHGN86]lls68P\VB;2\HO45YIDU\P\VBi2]jey\jg=2=e>Qfa}Xnk1?1a:UbmqTbo585m6YniuPfc959i2]jey\jg=6=e>Qfa}Xnk1;1a:UbmqTbo5<5o6YniuPfc91=87k0[lg{Rde?3;?<_hc^hiP089TelrUmnU:56YniuPfcZ4>3^kbx_kh_2;8SdosZlmT845XahvQabY212]jey\jg^4:?Rgn|[olS:?=;VcjpWc`Wjg{Sh?01227>Qfa}XnkRmbp^gr45679890[lg{Rde\ghvXmx:;<=<>3:UbmqTboVif|Rk~0123740<_hc^hiPclr\at6789Uba}?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG7b3QY_SDC_LDOFJQGSAFDTOB@\TSCJJQU682RXXR\[L^RQKUCU\P=0T^ZPVBA5?]beW@n:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq?<Pz~LAbjjl49b`atF02kohO30?:8eabuI5;546okdsC?6;g<imnyM1=50?:8eabuI59596oa<1<5?dh;87;<7l`30?323>gi4949=;5nn=2=7<=ff5:5Sdc5:cm84813hd7=3?8;`l?5;76?2ke0<0=179bj979;01jb1?1_hos1>gi4;4=7l`32?35?dh;:7827l`32?]jiu1<ig686=0:;`l?7;0<ig682<84ao>0:7?<ig682Rgbp0g8ekY7Wds<=>?_hos[dhX8Vg~t=>?0058ekme494<7l`db=3==>gick686=0<;cnv5f=ed|Ujbi>?01]jiuYed|Ujbi>?013f?gjrWjg{Sh?012e?gjrWjg{Sh?0122b>dk}Vif|Rk~01236c=ed|Uha}Qjq12346753kf~Snc_ds3456Xadz=7oza<1<5?gri484=7oza<3<;?gri4:0;2;5mto>0:2=ddbNbz<m4cmiGms:6:3:556mckEku86813jf`hdx8;bnh`lp6k2iggigy<3194;g<keaoe{2=3?g8gimcaUecy>?0001?fjll`|Tbbz?013\mhvXkeaoe{Qaou2344723jd~<94covq85803jd~1?17:ampw:5601hby|33;2=3>ei|{682k5lnup\ghvXmx:;<=<;;blwvZejxVoz<=>?_hos[fhszVif|Rk~012352=dg|di84dhe?4;0<l`m7=384dhe?6;0<l`m7?384dhe?0;0<l`m79364dhe?2?69>2nbk1819:fjv`esafd87iaN6:flE969>2ndM1?16:flE949>2ndM1=16:flE929>2ndM1;18:flE90=87<0hbO36?58cwcf|lx87j|a1b9dvkYffm:;<=Qfmq]dvkYffm:;<=?j;fpm[fkwWl{;<=>i;fpm[fkwWl{;<=>>f:eqjZejxVoz<=>?2g9dvkYdeyUn}=>?0231?btiWjg{Sh?012\mhv43ai:96fl<1<6?me;97?0dn2=>49kg959=2bh090:;ia?1;3<`j6=285gc=5=1>nd414<7em39;2=1>nd404o7emPclr\at6789o0dnQlmq]fu56788o0dnQlmq]fu5678;o0dnQlmq]fu5678:;:7emPclr\at6789Uba}<4hr03?muXkdzTi|>?01]jiuYo{Vif|Rk~012356=lj880gn<4kea8o`eghxoZejc6:nf`969>2fnh1?16:nf`949>2fnh1=16:nf`929>2fnh1;16:nf`90902fnh1950?48h`b;?7>0`h}|4:o`hn1<xffToae6;qmo[fjlmg20|bbPsbnqe>vhz}Ujon{b9:rlvqYflmx37}a}t^aood=wg{~Toaejn89skwrXlh~j56~`ru]gkpr?3yeyxRaa79skwrXyk1{czPrdqvhq?<xfxS~mcr29qaa7d3{ooSl`k0123[lkwW{ooSl`k01235`=ummUha}Qjq1234c=ummUha}Qjq12344`<zlnTo`~Pep23454a3{ooSnc_ds345649;1yiiQlmq]fu5678Vcf|>5}ol:8vqjX|pznj6}gigvQabUno86;2<<4sikepWc`[`m:0<>50?33?vnnn}Xnk^gh1=33:c=t``l^hi\if3?5;`<{acmx_khShe2878a3zbbjy\jgRkd5959n2ycekz]efQjc4:36o1xddh{RdePmb7;=7l0egitSgdWla64?4m7~fffuPfcVo`95=5j6}gigvQabUno8632k5|hhdwV`aTan;753k4sikepWc`[`m:S=k4sikepWc`[`m:S<h4sikepWc`[`m:S<>j;rjjbqTboZcl=R<j;rjjbqTboZcl=R=j;rjjbqTboZcl=R:j;rjjbqTboZcl=R;j;rjjbqTboZcl=R8j;rjjbqTboZcl=R9j;rjjbqTboZcl=R6j;rjjbqTboZcl=R7>2:qkmcrUmnYbk?2>0;3=55=t``l^hi\if0?558a3zbbjy\jgRkd6979n2ycekz]efQjc7:56o1xddh{RdePmb4;;7l0egitSgdWla54=4m7~fffuPfcVo`:5?5j6}gigvQabUno;6=2k5|hhdwV`aTan87;3h4sikepWc`[`m9050i;rjjbqTboZcl>171e:qkmcrUmnYbk?Q>f:qkmcrUmnYbk?Q>0d9pll`sZlmXej<P2d9pll`sZlmXej<P3d9pll`sZlmXej<P4d9pll`sZlmXej<P5d9pll`sZlmXej<P6d9pll`sZlmXej<P7d9pll`sZlmXej<P8d9pll`sZlmXej<P959pmbh33zcqik5|otp`aZ`ndldjahj4snwqg`Ykagoen:5|sde?4;1<{zol0<08;rqfc949?2yxij2<>69pw`a;<7=0~kh<4<:?vubo5<1<394srgd838>3zynkfl30?;8wvc`ck6:245|sdehf94912yxijem<2<:?vubobh78374srgdog:26k1xhidb=494;?<{zolgo29>79pwb:76?1xj2>>79pwb:56?1xj2<>79pwb:36?1xj2:>79pwb:1611xj28:1<5?vu`4>4o7~}h_1]nq}6789o0~iP0^ov|56788l0~iP0^ov|56788;m7~}h_1]nq}67898:i6}|g^2\ip~789:8=<5|sf]3[hs89:;Sdcd:qpcZ7Xe|r;<=>j;rqd[4Yj}q:;<=?i;rqd[4Yj}q:;<=?>f:qpcZ7Xe|r;<=>=1d9pwbY6Wds<=>?3038wvaX9Vg~t=>?0^knta=t{nU9S`{w0123a>utoV8Taxv?0122b>utoV8Taxv?01225c=t{nU9S`{w012364c<{zmT>Rczx12346763zylS?Qbuy2345Yneyn0~iP3^ov|5678l1xjQ<_lw{45679o1xjQ<_lw{456798l0~iP3^ov|5678;;n7~}h_2]nq}67899:=6}|g^1\ip~789:Te`~k;rqd[1Yj}q:;<=k4sre\0Zkrp9:;<<h4sre\0Zkrp9:;<<?i;rqd[1Yj}q:;<=<>e:qpcZ2Xe|r;<=><109pwbY3Wds<=>?_hos`>utoV?Taxv?012f?vu`W<Ufyu>?013e?vu`W<Ufyu>?0132b>utoV?Taxv?01215`=t{nU>S`{w0123747<{zmT9Rczx1234Zojxm1xjQ9_lw{4567m2yxkR8Pmtz34566n2yxkR8Pmtz345669o1xjQ9_lw{4567:8o0~iP6^ov|5678:;:7~}h_7]nq}6789Uba}84svv?4;0<{~~7=384svv?6;0<{~~7?384svv?0;0<{~~79384svv?2;><{~~7;7>16:qtp91902ediaee08qu?<~hf~iRab29ug43<~j6;285yc=3=1>pd4;4>7{m33?78rf:36<1}o1;15:t`83823i7;3;4vb>;:2=qk531<3;4vb>::d=qkVkeh=>?00c8rfYffm:;<=Qfmq]ugZgil9:;<<j4vb]`iuYby9:;<h5yc^antZcv89:;=h5yc^antZcv89:;>h5yc^antZcv89:;?<?4vb]`iuYby9:;<Rgbp59ucd7c3mjOb`{rnnoaw3<~`ndbi5yiemm[dhc89:;>=5yiemm[dhc89:;Sdc_wkgkkYffm:;<=?k;wkgkkYffm:;<<<?;wkgkkYffm:;<<Qfmq]umaiiWhdo<=>>1028rlbhfVif|Ri{012355=qameeSnc_fv3457682|bhb`Pclr\hq6789;;7{gkoo]`iuYk|9:;=<k4vhfljZiu89:;Sdc_wkgkkYhz9:;<<<4vr03?suXkdzTi|>?01]jiuYq{Vif|Rk~01235==q{ldjnak>0:tpakgedlUecy>?0005?subfhhgiR``t1235ZojxV|xicomld]mkq6788;>7zgkti33?}e4{;:;m ;"vp334xFGx=no7MNwcg8E>7<6sZ936nk561827636l?084l>?{oa`>4=ikm0=7)mm:b48yV502jo1:=4>3272`3<40h:97io7:182>4}T;10hi78?:01014b12:2j<=5yF`594?7=93:p_>65cd854?74;<;o:7=7a128 d`==o1/484>1:`b<?6=:10:=7=:{Ma;>4}#0<09<>5rLb;95~"60m0;7p*nd;c;?_552<q::7<=:33956<583wQm446{05960<5<3;26<651182`?7a28k1qd?<b;29?j7403:17d?<c;29?j7al3:17b?=0;29?j72>3:17d?;8;29?jca2900ch950;&;3?c13g2=6=54od094?"??3o=7c69:098kae=83.3;7k9;o:5>7=<gm<1<7*77;g5?k>12:10c<o8:18'<2<6i?1e4;4?;:m2e0<72-2<6<o9;o:5>4=<g8k?6=4+8682e3=i0?0976a>a283>!>028k=7c69:298k4g5290/4:4>a79m<3<332e:m<4?:%:4>4g13g2=6854o0c3>5<#0>0:m;5a8785?>i61o0;6)68:0c5?k>12>10c<:9:18'<2<6<<1e4;4?;:m201<72-2<6<::;o:5>4=<g8>86=4+868200=i0?0976a>4383>!>028>>7c69:298k426290/4:4>449m<3<332e:8=4?:%:4>4223g2=6854o01e>5<#0>0:885a8785?>i6;l0;6)68:066?k>12>10eko50;&;3?`>3g2=6=54ig:94?"??3l27c69:098mc1=83.3;7h6;o:5>7=<ao<1<7*77;d:?k>12:10e<jn:18'<2<6l01e4;4?;:k2`=<72-2<6<j6;o:5>4=<a8n<6=4+8682`<=i0?0976g>d783>!>028n27c69:298m4b2290/4:4>d89m<3<332c:h94?:%:4>4b>3g2=6854i0f0>5<#0>0:h45a8785?>o6l;0;6)68:0f:?k>12>10n<h>:185>4?=:jqGo54>{I7f?xJd138pb<6j:4f8 4>c291v(lj51g08^d?=:r<147s`12:94?=h9on1<75`13294?=h9<<1<75f15:94?=hmo0;66lla;295?6=8r.jh7oj;Ia4?j>32900qohj:180>5<7s-ko69o4Hb58Hf?=:r.:4i4=;o3;a?263tc8?7>5;h1a>5<<g121<75rb0de>5<3290;w)ok:5g8Lf1<Dj31>v*>8e81?k7?m3>i7pg<3;29?l5e2900el;50;9l<=<722wi=?950;a94?6|Dj31nv*>5d824f=#98?1=im4$073>6d<,8>h6>?4$070>=><,8>n6>74n06:>4=#9<?1mn5a15`95>"60m097c?7e;6b?x"fl3;9;6T<2;3x1?{n;00;66gnc;29?j>>290/4:4n3:l;2??<3f3>6=4+868b7>h?>3207b7m:18'<2<f;2d3:794;n;g>5<#0>0j?6`76;48?j?b290/4:4n3:l;2?3<3f3m6=4+868b7>h?>3>07bo?:18'<2<f;2d3:7=4;nc2>5<#0>0j?6`76;08?jg5290/4:4n3:l;2?7<3fk?6=4+868b7>h?>3:07pl>dd83>a<729qGo44k{%36a?77k2.:=84>db9'506=;k1/=9m5309'505=011/=9k5389m51?=92.:8k4<a:l20d<63-;>97ol;o37f?7<,82o6?5a19g91<=z,hn1=ik4Z2095~3=u`926=44i2c94?=nij0;66a79;29 =1=i:1e4;46;:m:1?6=,1=1m>5a878;?>i>j3:1(595a29m<3<032e2h7>5$959e6=i0?0=76a6e;29 =1=i:1e4;4:;:m:b?6=,1=1m>5a8787?>if83:1(595a29m<3<432ej=7>5$959e6=i0?0976an2;29 =1=i:1e4;4>;:mb0?6=,1=1m>5a8783?>{e?j0;6o4?:1yOg<<0s-;>>7=j;%3g`??<,8??6?94$07f>4203-;3n7?kb:&2<a<53g;3i78:;|&b`?1d3S996<u;:|k0b?6=,1=1855a878:?>o383:1(595499m<3<?32c?=7>5$9590==i0?0<76g;2;29 =1=<11e4;49;:k77?6=,1=1855a8786?>o3<3:1(595499m<3<332c?97>5$9590==i0?0876g;6;29 =1=<11e4;4=;:k73?6=,1=1855a8782?>o313:1(595499m<3<732e9;7>5;|`4e?6=j3:1<vBl9;5x 4352:o0(<jk:29'502=:?1/=8k510d8 4>e28ni7)?7d;08j4>b2??0q)ok:6c8^64=9r>1qd=i:18'<2<302d3:774;h63>5<#0>0?46`76;:8?l26290/4:4;8:l;2?1<3`>96=4+8687<>h?>3<07d:<:18'<2<302d3:7;4;h67>5<#0>0?46`76;68?l22290/4:4;8:l;2?5<3`>=6=4+8687<>h?>3807d:8:18'<2<302d3:7?4;h6:>5<#0>0?46`76;28?j412900qo9k:18a>5<7sEi26:u+14097`=#9mn1n6*>55813>"6=l0:8:5+19`95ad<,82o6?5a19g920=z,hn1;i5U33821<za:l1<7*77;6;?k>12010e9>50;&;3?2?3g2=6554i5394?"??3>37c69:698m14=83.3;7:7;o:5>3=<a=91<7*77;6;?k>12<10e9:50;&;3?2?3g2=6954i5794?"??3>37c69:298m10=83.3;7:7;o:5>7=<a==1<7*77;6;?k>12810e9750;&;3?2?3g2=6=54o3594?=zj>h1<7l50;2xHf?=?r.:9?4<e:&2`a<f3-;>87<9;%36a?76n2.:4o4>dc9'5=b=:2d:4h495:'ea<0j2P8>7?t4;j7c<72-2<6964n949=>=n<90;6)68:5:8j=0=021b8<4?:%:4>1><f1<1;65f4383>!>02=20b5856:9j06<72-2<6964n9491>=n<=0;6)68:5:8j=0=<21b884?:%:4>1><f1<1?65f4783>!>02=20b5852:9j02<72-2<6964n9495>=n<00;6)68:5:8j=0=821d>;4?::a561=83h1?7jt$`f9561<g8986=44i00;>5<<a8826=44i00b>5<<a88i6=44i00`>5<<a88o6=44i00f>5<<a88m6=44i013>5<<a8996=44b017>5<2290;w)ok:3f8Lf1<a<:1<75f5083>>o2:3:17d;<:188k=4=831vn<=::186>5<7s-ko6>>4Hb58m06=831b9<4?::k66?6=3`?86=44o9094?=zj89=6=4::183!gc2;o0Dn94i4294?=n=80;66g:2;29?l342900c5<50;9~w4542909wS?<3:?273<?:2wx=?650;0xZ44?34;897;?;|q26<<72;qU=?74=017>06<uz;9m7>52z\26d=:9:?19<5rs00a>5<5sW;9n63>34866>{t9;i1<7<t^00`?874=3?87p}>2e83>7}Y9;n01<=;:438yv75m3:1>vP>2d9>560==91v<<i:181[75n27:?;4:1:p566=838pR<=?;<300?353ty:??4?:3y]564<589?68=4}r300?6=:r7:?9472:?273<2:2wx=>;50;0x945221801<=9:418yxda=3:1n7=5dz&b`?`23fl:6=44i0fe>5<<a8o;6=44i0g2>5<<a8o96=44i0g0>5<<a8o?6=44i0g6>5<<a8o=6=44i0g4>5<<a8o26=44bg094?3=83:p(lj52e9Kg2=n=90;66g:1;29?l352900e8=50;9l<7<722wij>4?:483>5}#im08<6Fl7:k64?6=3`?:6=44i4094?=n=:0;66a72;29?xda<3:197>50z&b`?4b3Ai<7d;?:188m07=831b9?4?::k67?6=3f296=44}rd2>5<5sWl:70h;:908yv7cn3:1>vP>dg9>b7<292wx=h>50;0xZ4c734l968>4}r3f5?6=:rT:i<52f2864>{t9l81<7<t^0g1?8`42<;0q~?j3;296~X6m:16j>4:2:p5`2=838pR<k;;<d1>04<uz;n97>52z\2a0=:n:0>?6s|1d494?4|V8o=70h;:428yv7b?3:1>vP>e69>b7<2;2wx=h750;0xZ4c>34l?68?4}rd1>5<5s4l965<4=g6917=z{o91<7<t=g19<7=:n=0>?6srb005>5<e2:0ow)ok:005?j75:3:17d?=8;29?l7513:17d?=a;29?l75j3:17d?=c;29?l75l3:17d?=e;29?l75n3:17d?<0;29?l74:3:17o?=3;291?6=8r.jh7<j;Ia4?l372900e8?50;9j17<722c>?7>5;n:1>5<<uk;987>55;294~"fl39;7Em8;h73>5<<a<;1<75f5383>>o2;3:17b6=:188yg75=3:197>50z&b`?4b3Ai<7d;?:188m07=831b9?4?::k67?6=3f296=44}r316?6=:rT:>?521379<7=z{8836=4={_31<>;6::0>=6s|13;94?4|V88270?=3;73?xu6:h0;6?uQ13c894442<80q~?=b;296~X6:k16=?:5519~w44d2909wS?=c:?261<292wx=?j50;0xZ44c34;987;<;|q26`<72;qU=?k4=007>04<uz;9j7>52z\26c=:9;?19=5rs013>5<5sW;8<63>22867>{t9:81<7<t^011?875=3?:7p}>2283>7}:9;914?52137917=z{88?6=4={<310?>534;997;<;|a50e=83h1?7jt$`f950e<g8?36=44i00;>5<<a8826=44i00b>5<<a88i6=44i00`>5<<a88o6=44i00f>5<<a88m6=44i013>5<<a8996=44b07:>5<2290;w)ok:3a8Lf1<a<:1<75f5083>>o2:3:17d;<:188k=4=831vn<;n:186>5<7s-ko6?h4Hb58m06=831b9<4?::k66?6=3`?86=44o9094?=zj8?i6=4::183!gc2;o0Dn94i4294?=n=80;66g:2;29?l342900c5<50;9~w43?2909wS?:8:?21g<?:2wx=?650;0xZ44?34;>m7;>;|q26<<72;qU=?74=07b>06<uz;9m7>52z\26d=:9<319=5rs00a>5<5sW;9n63>58865>{t9;i1<7<t^00`?872i3?97p}>2e83>7}Y9;n01<;6:418yv75m3:1>vP>2d9>50d==91v<<i:181[75n27:944:2:p566=838pR<=?;<36f?363ty:??4?:3y]564<58?j68=4}r36=?6=:r7:94472:?21g<2:2wx=8o50;0x943f21801<;m:418yxd6n90;6o4<:ey'ea<6n91d=hm50;9j5a`=831b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831b=h850;9j5`1=831b=h750;9a5`b=83?1<7>t$`f96a=Ok>1b9=4?::k65?6=3`?96=44i4194?=h0;0;66sm1dg94?3=83:p(lj5319Kg2=n=90;66g:1;29?l352900e8=50;9l<7<722wi=hh50;794?6|,hn1>h5Gc69j15<722c>=7>5;h71>5<<a<91<75`8383>>{t9li1<7<t^0g`?87bn3297p}>dg83>7}Y9ml01<kk:438yv7b83:1>vP>e19>5`b==91v<k>:181[7b927:ih4:0:p5`4=838pR<k=;<3f`?353ty:i>4?:3y]5`5<58on68?4}r3f0?6=:rT:i9521dg916=z{8o>6=4={_3f1>;6ml0>>6s|1d494?4|V8o=70?jf;73?xu6m>0;6?uQ1d5894ca2<;0q~?j9;296~X6m016=hj5529~w4cc2909w0?jd;:1?87bn3?97p}>ed83>7}:9lo14?521dd916=zuk;nn7>53;294~"fl3837Em8;h73>5<<a<;1<75`8383>>{e9:31<7:50;2x db=:k1Co:5f5183>>o293:17d;=:188k=4=831vn<hj:18b>5<7s-ko65?4Hb58m06=831b9<4?::k66?6=3`?86=44i4694?=n=<0;66g:6;29?l302900e8650;9l<7<722wij=4?:283>5}#im0946Fl7:k64?6=3`?:6=44o9094?=zj8l>6=4<:183!gc2;20Dn94i4294?=n=80;66a72;29?xd6n>0;6>4?:1y'ea<502Bh;6g:0;29?l362900c5<50;9~f4`d29086=4?{%cg>=5<@j=0e8>50;9j14<722e3>7>5;|`264<72:0;6=u+ae81<>Nd?2c><7>5;h72>5<<g181<75rb074>5<4290;w)ok:3:8Lf1<a<:1<75f5083>>i?:3:17pl=0083>7<729q/mi4:9:J`3>o3n3:17b6=:188yg77;3:1>7>50z&b`?3>3Ai<7d:i:188k=4=831v<=7:181[74027:?4472:p5cb=838pR<hk;<3ea?>53ty:>=4?:3y]576<588:65<4}r362?6=:rT:9;521459<7=z{8>36=4={_37<>;am3987p}jf;296~Xbn27m<76=;|q2bd<72;q6;n4=7:?2b0<282wx=kl50;0x92b=:>16=k95519~w4`4290?w0h?:43894`d21801<<>:43894302<;0q~?i8;296~;0i38=70?i5;72?xu6n00;6?u27c812>;6n>0>=6s|f083>7}:n<0m=63i0;73?xu68;0;6?u2fd80f>;68:03>6s|12194?5|588<6lm4=014>454348;=7:i;|q21=<72;q6=8m514:894302<:0q~?jc;296~;6n90:in521d`915=z{;:;6=4={<3eb?g2348;=76=;|q267<72;q6=?85130894462<:0q~?if;297~;6no03463>dd80e>;6mk0>=6s|fd83>0}:nl03463>fg807>;6:>08563>dd80=>;68:0?j6s|1g694?4|58l>65<4=0d`>06<uz;m:7>52z?2b2<?:27:jn4:1:p5`g=838p1<jj:`a894ce2180q~?<2;293~;6:>0j8638c;6:?81f2=301<=8:011?875>3;8>63>5b8277=:9:319?5+ac8274=iih0;7p}>3183>2}:9;=1m?527b873>;0i3><70?<7;304>;6:?0:?=5214a9566<589268?4$``9567<fhk1=6s|13d94?1|588<6l?4=6a903=:?h0?:63>36826c=:9;<1=?h4=07`>44a34;857;?;%ca>4563gkj6?5rs00f>5<1s4;9;7o?;<5`>13<5>k18852125957c<588=6<<j;<36g?75m2.jn7?<1:lbe?5<uz;9h7>56z?262<>n27<o7:;;<5b>12<589<6<<k;<312?75l27:9n4>2e9'eg<6;81eml4;;|q26f<72?q6=?959d9>3f<3;27<m7:<;<303?75k27:>;4>2b9>50e=9;i0(ll51238jdg==2wx=?l50;4x944020n01:m5439>3d<3:27:?:4>2c9>570=9;h01<;l:00a?!ge289:7con:79~w44f290=w0?=7;;a?81d2=;01:o5409>561=9;k01<<9:00b?872k3;9m6*nb;305>hfi3=0q~?=9;292~;6:>029638c;63?81f2=:01<=8:00:?875>3;9563>5b826<=#ik0:?<5aa`8;?xu6:10;6;u21359<<=:?j08j638a;1e?874?3;9463>27826==:9<i1=?64$``9567<fhk156s|1d;94?0|58nn6l:4=6f90<=:?k0?563i5;3f=>;6n90:i4521gg91==#ik0:i55aa`83?xu6m>0;6;u21eg9e7=:?m0?;638b;64?8`228o<70?i0;3f3>;6nl0>;6*nb;3f<>hfi3;0q~?j6;292~;6ll0j=638d;65?81e2=<01k;51d4894`728o=70?ie;75?!ge28o37con:39~w4c2290=w0?ke;c3?81c2=?01:l5449>b0<6m<16=k>51d7894`b2<?0(ll51d:8jdg=;2wx=h:50;4x94bb20l01:j5459>3g<3<27m97?j4:?2b5<6m=16=kk5559'eg<6m11eml4;;|q2a6<72?q6=ik59d9>3a<3;27<n7:<;<d6>4c434;m<7?j3:?2b`<2;2.jn7?j8:lbe?3<uz;n>7>56z?2``<>l27<h7:=;<5a>14<5o?1=h<4=0d3>4c534;mi7;=;%ca>4c?3gkj6;5rs0g2>5<1s4;oi77m;<5g>17<5>h18<52f482a4=:9o:1=h?4=0df>07<,hh1=h64n`c93>{t9l:1<78t=0ff><3<5>n18=527c874>;a=3;n<63>f182a5=:9oo19=5+ac82a==iih037p}>dg83>0}:9mo144527e80b>;0j39m70h::0fe?87a83;oj6*nb;3f<>hfi330q~6?:1818ef21>01<hi:2`8yxd6;h0;6k4=9;17Ie?28qC9h5rLb;96~h60l0=86*>8e83?x"fl3;8h6T<2;0x53<6k3wQm448{0595`<b28>1o7<<:68~m63=831bm;4?::k6e?6=3`?h6=44od594?"??3o=7c69:198k`4=83.3;7k9;o:5>4=<gmi1<7*77;g5?k>12;10ci850;&;3?c13g2=6>54o0;5>5<#0>0:585a8783?>i61=0;6)68:0;6?k>12810c<7<:18'<2<61<1e4;4=;:m2=7<72-2<6<7:;o:5>6=<g83:6=4+8682=0=i0?0?76a>9183>!>0283>7c69:498k4>a290/4:4>949m<3<132h8h7>54;294~"fl3>i7Em8;Ma:>7}#91n1=6`>8d877>{n;:0;66g<6;29?l5e2900c5650;9~f6e=83>1<7>t$`f90g=Ok>1Go44={%3;`?7<f82n69=4}h10>5<<a:<1<75f3c83>>i?03:17pl:b;290?6=8r.jh7:m;Ia4?Ie>2;q/=5j51:l2<`<3;2wb?>4?::k02?6=3`9i6=44o9:94?=zj<n1<7:50;2x db=<k1Co:5Cc881!7?l3;0b<6j:518yl542900e>850;9j7g<722e347>5;|`22g<72=0;6=u+ae87`>Nd?2Fh57<t$0:g>4=i91o18k5ri2194?=n;?0;66g<b;29?j>?2900qo?9c;290?6=8r.jh7:k;Ia4?Ie>2;q/=5j51:l2<`<3n2wb?>4?::k02?6=3`9i6=44o9:94?=zj8<n6=4;:183!gc2=n0Dn94Lb;96~"60m0:7c?7e;6e?xo4;3:17d=9:188m6d=831d454?::a53`=83>1<7>t$`f90a=Ok>1Go44={%3;`?7<f82n69h4}h10>5<<a:<1<75f3c83>>i?03:17pl>7183>1<729q/mi4;d:J`3>Jd138p(<6k:09m5=c=<o1ve>=50;9j73<722c8n7>5;n:;>5<<uk;<=7>54;294~"fl3>o7Em8;Ma:>7}#91n1=6`>8d87b>{n;:0;66g<6;29?l5e2900c5650;9~f415290?6=4?{%cg>1b<@j=0@n752z&2<a<63g;3i7:i;|k07?6=3`9=6=44i2`94?=h010;66sm16194?2=83:p(lj54e9Kg2=Kk009w)?7d;38j4>b2=l0qd=<:188m60=831b?o4?::m;<?6=3th:;94?:583>5}#im0?h6Fl7:N`=?4|,82o6<5a19g90c=za:91<75f3783>>o4j3:17b67:188yg70=3:187>50z&b`?2c3Ai<7Am6:3y'5=b=92d:4h4;f:j76<722c8:7>5;h1a>5<<g121<75rb04g>5<3290;w)ok:5f8Lf1<Dj31>v*>8e82?k7?m3>m7pg<3;29?l512900e>l50;9l<=<722wi=5?50;694?6|,hn18i5Gc69Og<<5s-;3h7?4n0:f>06<u`986=44i2494?=n;k0;66a78;29?xd60:0;694?:1y'ea<3l2Bh;6Bl9;0x 4>c281e=5k5519~m65=831b?;4?::k0f?6=3f236=44}c3;0?6=<3:1<v*nd;6g?Me03Ei26?u+19f95>h60l0><6sf3283>>o4>3:17d=m:188k=>=831vn<6::187>5<7s-ko69j4Hb58Hf?=:r.:4i4>;o3;a?373tc8?7>5;h15>5<<a:h1<75`8983>>{e91<1<7:50;2x db=<m1Co:5Cc881!7?l3;0b<6j:428yl542900e>850;9j7g<722e347>5;|`2<2<72=0;6=u+ae87`>Nd?2Fh57<t$0:g>4=i91o19=5ri2194?=n;?0;66g<b;29?j>?2900qo?78;290?6=8r.jh7:k;Ia4?Ie>2;q/=5j51:l2<`<282wb?>4?::k02?6=3`9i6=44o9:94?=zj8226=4;:183!gc2=n0Dn94Lb;96~"60m0:7c?7e;73?xo4;3:17d=9:188m6d=831d454?::a5=g=83>1<7>t$`f90a=Ok>1Go44={%3;`?7<f82n68>4}h10>5<<a:<1<75f3c83>>i?03:17pl>8383>1<729q/mi4;d:J`3>Jd138p(<6k:09m5=c==91ve>=50;9j73<722c8n7>5;n:;>5<<ukh26=4::183!gc2=i0Dn94Lb;96~"60m0:7c?7e;7g?xo4;3:17d=;:188m60=831b?o4?::m;<?6=3thim7>55;294~"fl3>h7Em8;Ma:>7}#91n1=6`>8d86`>{n;:0;66g<4;29?l512900e>l50;9l<=<722wino4?:483>5}#im0?o6Fl7:N`=?4|,82o6<5a19g91a=za:91<75f3583>>o4>3:17d=m:188k=>=831vnom50;794?6|,hn18n5Gc69Og<<5s-;3h7?4n0:f>0b<u`986=44i2694?=n;?0;66g<b;29?j>?2900qolk:186>5<7s-ko69m4Hb58Hf?=:r.:4i4>;o3;a?3c3tc8?7>5;h17>5<<a:<1<75f3c83>>i?03:17plme;291?6=8r.jh7:l;Ia4?Ie>2;q/=5j51:l2<`<2l2wb?>4?::k00?6=3`9=6=44i2`94?=h010;66smbg83>0<729q/mi4;c:J`3>Jd138p(<6k:09m5=c==m1ve>=50;9j71<722c8:7>5;h1a>5<<g121<75rbb294?3=83:p(lj54b9Kg2=Kk009w)?7d;38j4>b2<n0qd=<:188m62=831b?;4?::k0f?6=3f236=44}cda>5<b290;wAm6:8y'50c=99i0(<?::0f`?!72839i7)?;c;12?!72;3237)?;e;1:?k7313;0(<6k:09m5=c=;11v(lj5fc9Y77<6sm0ve>750;9l<<<72-2<65m4n949g>=h1<0;6)68:9a8j=0=j21d5o4?:%:4>=e<f1<1m65`9e83>!>021i0b5859:9l=`<72-2<65m4n949<>=h1o0;6)68:9a8j=0=?21dm=4?:%:4>=e<f1<1:65`a083>!>021i0b5855:9le7<72-2<65m4n9490>=hi=0;6)68:9a8j=0=;21d4l4?:%:4>=e<f1<1>65`8c83>!>021i0b5851:9l<a<72-2<65m4n9494>=zj>l1<7l50;2xHf?=?r.:9h4>5e9'51b=l91/=8?51048j4>d28:0b?>=:69'5=b=92d:4h49c:'ea<0n2P8>7<t1382f?{nl80;6)68:e68j=0=;21bh?4?:%:4>a2<f1<1>65fd283>!>02m>0b5851:9j`0<72-2<6i:4n9494>=h98=1<7*77;32`>h?>3<07b?>8;29 =1=98n0b5855:9l54?=83.3;7?>d:l;2?2<3f;:m7>5$95954b<f1<1?65`10`94?"??3;:h6`76;08?j76k3:1(59510f8j=0=921d=<k50;&;3?76l2d3:7>4;|`4=?6=<391:v*nd;5:?j132900eim50;9jf=<722ci?7>5;c5;>5<4290;w)ok:3:8Lf1<a<:1<75f5083>>i?:3:17pl86;297?6=8r.jh7<6;Ia4?l372900e8?50;9l<7<722wi;:4?:283>5}#im03?6Fl7:k64?6=3`?:6=44o9094?=z{>>1<7<t^668921=0;1vim50;1xZae<5>219=5277864>{tj10;6?uQb99>3=<292wxn>4?:3y]f6=:??0>=6s|7483>7}:?103>6387;73?xu0>3:1>v386;:1?8102<;0qpl82;290?5=>r.jh79=;n4g>5<<ami1<75fb783>>oe:3:17o9>:180>5<7s-ko6?64Hb58m06=831b9<4?::m;6?6=3th=j7>53;294~"fl3827Em8;h73>5<<a<;1<75`8383>>{e?90;6>4?:1y'ea<?;2Bh;6g:0;29?l362900c5<50;9~w3b=838pR;j4=629<7=z{mi1<7=t^ea8927==916:k4:0:pf3<72;qUn;5270865>{tj;0;6?uQb39>2c<292wx:h4?:3y>34<?:27<<7;?;|q5b?6=:r7=j76=;<53>07<uth=o7>54;192~"fl3<h7b88:188mae=831bn84?::ka5?6=3k<i6=4<:183!gc2;20Dn94i4294?=n=80;66a72;29?xd113:1?7>50z&b`?4>3Ai<7d;?:188m07=831d4?4?::a2d<72:0;6=u+ae8;7>Nd?2c><7>5;h72>5<<g181<75rs7594?4|V?=01;o5839~wae=839pRim4=7`915=:>00><6s|b483>7}Yj<16:o4:1:pf4<72;qUn<5268865>{t>10;6?u26c8;6>;1i3?;7p}99;296~;11329708n:438yxd1>3:187=56z&b`?013f<:6=44iea94?=nj=0;66gm0;29?g0229086=4?{%cg>7><@j=0e8>50;9j14<722e3>7>5;|`57?6=;3:1<v*nd;0:?Me03`?;6=44i4394?=h0;0;66sm6583>6<729q/mi473:J`3>o283:17d;>:188k=4=831v;?50;0xZ37<5?>14?5rsea94?5|Vmi01;;5519>26<282wxn94?:3y]f1=:><0>=6s|b183>7}Yj916:>4:1:p27<72;q6:8472:?50?373ty=?7>52z?57?>534<?68?4}|``1?6=1391nv*nd;a6?je62900e<97:188m41>2900e<9n:188m41e2900e<9l:188m41c2900e<9j:188m41a2900nn<50;794?6|,hn1>n5Gc69j15<722c>=7>5;h71>5<<a<91<75`8383>>{ek:0;684?:1y'ea<482Bh;6g:0;29?l362900e8<50;9j16<722e3>7>5;|``0?6=;3:1<v*nd;0;?Me03`?;6=44i4394?=h0;0;66s|c083>7}Yk816o9472:p52>=838pR<97;<a0>06<uz;<57>52z\23<=:k:0>=6s|16c94?4|V8=j70m<:408yv70j3:1>vP>7c9>g6<2;2wx=:m50;0xZ41d34i968>4}r34`?6=:rT:;i52c3865>{t9>o1<7<t^05f?8e52<80q~?8f;296~X6?o16o?4:3:pg7<72;q6o?472:?`0?373tyh?7>52z?`7?>534i?68?4}|`ff?6=:3:1<v*nd;7:?Me03`>m6=44o9094?=z{:?1<7<t^2789cd=;01vl850;01[g1349o6>84=2a973=:=k08:63:d;15?871j39=70?9c;15?871m39=70?9f;15?870839=70?81;15?870:39=70?83;15?870<39=70?85;15?871l39=70?71;15?87?;39=70?74;15?87?=39=70?76;15?87??39=70?78;15?87?139=70?7a;15?87?:39=70l6:2489gg=;?16no4<6:?ag?5134ho6>84=cg973=:jo08:63l0;15?xu2i3:1>vP:a:?0`?5e3ty>o7>52z\6g>;4k39i7p}<c;296~;4k32370;k:2`8yv5c2909w0=k:9:890d=;k1vn?50;;x9g?=;=16nl4<4:?af?5334hh6>:4=cf971=:jl08863mf;17?8e72:>01n;5c09~w0d=83;nw0;m:9:8940e2:901<8l:218940b2:901<8i:21894172:901<9>:21894152:901<9<:21894132:901<9::218940c2:901<6>:21894>42:901<6;:21894>22:901<69:21894>02:901<67:21894>>2:901<6n:21894>52:901o75329>fd<4;27in7=<;<``>65<5kn1?>52bd807>;en39870m?:218yv3c2909w0;k:9:8940c2:h0q~jl:184[bd34li65j4=6;9`f=:?;0oo639c;f`?8012mi01hl54g9'eg<b>2djm7<4}rf5>5<5sWn=70km:908 dd=m?1eml4<;|q2=3<72;qU=484=6d954c<,hh1=4;4n`c94>{t90>1<7<t^0;7?81a28;h7)om:0;6?kgf281v<7<:181[7>;27<j7?>b:&bf?7>=2djm7<4}r3:6?6=:rT:5?527g825d=#ik0:585aa`80?xu6180;6?uQ183892`=9830(ll51878jdg=<2wx=4>50;0xZ4?734=m6<?7;%ca>4?23gkj685rs0:e>5<5sW;3j638f;323>"fj3;296`na;48yv`c290>w0=k:21896e=;:169o4<3:?6`?5434li64j4$``9bf=iih027p}84;296~;0n3n>7096:668 dd=?:1eml4?;|q5`?6=:r7<j7j<;<51>3b<,hh1;>5aa`82?xu1?3:1>v38f;f1?80d2?=0(ll5729med<53ty==7>52z?4b?b634<=6;?4$``936=iih087p}>6083>7}:9>?1?o5217f9<==#ik0::=5aa`83?xu6>h0;6?u216697g=:9>?1455+ac8225=iih0:7p}>6883>6}:9>91?o521669<==:k908n6*nb;354>hfi380q~?98;297~;6?;08n63>728;<>;en39i7)om:043?kgf2:1v<88:180870939i70?82;:;?8db2:h0(ll51728jdg=<2wx=;850;1x94172:h01<9>:9:89gb=;k1/mo4>619med<23ty::84?:2y>53`=;k16=:>5899>ff<4j2.jn7?90:lbe?0<uz;=87>53z?22`<4j27::k478:?af?5e3-ki6<8?;ocb>2=z{8<86=4<{<35g?5e34;=i767;<`b>6d<,hh1=;>4n`c9<>{t9?81<7=t=04a>6d<58<h6564=c;97g=#ik0::=5aa`8:?xu6=o0;6?u217`9<==:9181?o5+ac8225=iih0j7p}m8;296~;d83237096:c:8 dd=j>1eml4?;|qa2?6=:r7ij767;<51>g0<,hh1n:5aa`82?xue=3:1>v3me;:;?80d2k?0(ll5b69med<53tyi87>52z?a`?>?34<=6o:4$``9f2=iih087p}m3;296~;ek3237096:c18 dd=j>1eml4;;|qa6?6=:r7in767;<51>g4<,hh1n:5aa`86?xue93:1>v3ma;:;?80d2k;0(ll5b69med<13tyi<7>52z?a=?>?34<=6o>4$``9f2=iih0<7p}>7683>7}:91k1?o521909<==#ik0:;;5aa`83?xu6090;6?u219;97g=:91k1455+ac8233=iih0:7p}>7g83>6}:9121?o5219;9<==:k<0:;k5+ac8233=iih097p}>7d83>6}:91=1?o5219:9<==:k<0:;h5+ac8233=iih087p}>7e83>6}:91<1?o521959<==:k<0:;i5+ac8233=iih0?7p}>7b83>6}:91?1?o521949<==:k<0:;n5+ac8233=iih0>7p}>7c83>6}:91>1?o521979<==:k<0:;o5+ac8233=iih0=7p}>7`83>6}:9191?o521969<==:k<0:;l5+ac8233=iih0<7p}>7883>6}:91;1?o521919<==:k<0:;45+ac8233=iih037p}>7983>7}:91;14552c4823==#ik0:;;5aa`8:?x{eno0;6<750;2xHf?=1r.:9h4>0b9'543=9mi0(<;?:2`8 42d2:;0(<;<:9:8 42b2:30b<:6:09'5=b=82d:4h475:'ea<an2P8>7?tf;j7<<722e357>5$959=<=i0?0:;65`9483>!>02030b5851798k<d=83.3;776;o:5>43<3f3o6=4+868:=>h?>3;?76a6e;29 =1=101e4;4>3:9l=c<72-2<6474n94957=<gh:1<7*77;;:?k>128;07bo>:18'<2<>12d3:7??;:mb6?6=,1=1545a878e?>if<3:1(595989m<3<b32e3m7>5$959=<=i0?0o76a7b;29 =1=101e4;4l;:m;`?6=,1=1545a878a?>i?m3:1(595989m<3<f32e3j7>5$959=<=i0?0276a60;29 =1=101e4;47;:m:5?6=,1=1545a8784?>i>:3:1(595989m<3<132e2?7>5$959=<=i0?0>76a64;29 =1=101e4;4;;:m:2?6=,1=1545a8780?>i>?3:1(595989m<3<532e247>5$959=<=i0?0:76a6a;29 =1=101e4;4?;:a552=83<1<7>tLb;9f~"6=l0:<n5+10795ae<,8?;6>l4$06`>67<,8?86564$06f>6?<f8>26<5+1479ef=i9=h1=6*>8e83?k7?m32m7p*nd;330>\4:3;p=84ri2;94?=nij0;66a79;29 =1=1j1e4;4<;:m:1?6=,1=15n5a8781?>i>j3:1(5959b9m<3<632e2h7>5$959=f=i0?0;76sm7d83>g<729qGo448{%36a?72l2.:8i4k0:&214<69?1e=5m5119m654=?2.:4i4?;o3;a?0a3t.jh79j;[11>7}6:3;i6pgk1;29 =1=l=1e4;4<;:kg6?6=,1=1h95a8781?>oc;3:1(595d59m<3<632co97>5$959`1=i0?0;76a>1683>!>028;o7c69:798k47?290/4:4>1e9m<3<232e:=44?:%:4>47c3g2=6954o03b>5<#0>0:=i5a8780?>i69k0;6)68:03g?k>12;10c<?l:18'<2<69m1e4;4>;:m25`<72-2<6<?k;o:5>5=<ukoj6=4<:387!gc2lk0ch950;9j56c=831bjl4?::`f<?6=;3:1<v*nd;0:?Me03`?;6=44i4394?=h0;0;66sme883>6<729q/mi473:J`3>o283:17d;>:188k=4=831vh950;0xZ`1<5l314?5rs01f>5<4sW;8i63j8;73?8c>2<:0q~hn:181[`f34o368?4}rg;>5<5s4o365<4=d;914=zuko>6=4<:387!gc2l?0ch<50;9j56c=831bj54?::`f7?6=;3:1<v*nd;0:?Me03`?;6=44i4394?=h0;0;66sme583>6<729q/mi473:J`3>o283:17d;>:188k=4=831vh<50;0xZ`4<5l>14?5rs01f>5<4sW;8i63j3;73?8c32<:0q~h7:181[`?34o868?4}rg0>5<5s4o865<4=d6914=zuko:6=4;:285!gc2l;0cim50;9j56c=831bj:4?::kf`?6=3ko;6=4<:183!gc2;30Dn94i4294?=n=80;66a72;29?xdcm3:1?7>50z&b`?4?3Ai<7d;?:188m07=831d4?4?::a`c<72:0;6=u+ae8;7>Nd?2c><7>5;h72>5<<g181<75rsea94?4|Vmi01ih5839~w45b2908wS?<e:?f4?3734nn68>4}rd4>5<5sWl<70k?:438yvcc2909wSkk;<ff>07<uzno6=4={<g3>=4<5ml19=5rseg94?4|5mo14?52dg865>{zjmh1<7:53;4x db=lk1dh;4?::k27`<722c:m:4?::kfg?6=3knj6=4<:183!gc2;30Dn94i4294?=n=80;66a72;29?xdc03:1?7>50z&b`?4?3Ai<7d;?:188m07=831d4?4?::a`<<72:0;6=u+ae8;7>Nd?2c><7>5;h72>5<<g181<75rse494?4|Vm<01i75839~w45b2908wS?<e:?ge?3734n368>4}r3b3?6=:rT:m:52d`865>{tmj0;6?uQeb9>`=<292wxh:4?:3y>`d<?:27o57;?;|qg<?6=:r7o476=;<f:>07<uth:mi4?:580>3}#im0:mi5`18d94?=n9:o1<75f11f94?=n90=1<75m1`a94?5=83:p(lj52`9Kg2=n=90;66g:1;29?j>52900qo?na;297?6=8r.jh7<7;Ia4?l372900e8?50;9l<7<722wi=ll50;194?6|,hn14>5Gc69j15<722c>=7>5;n:1>5<<uz;2j7>52z\2=c=:9hh14?5rs01f>5<4sW;8i63>ab864>;6ih0><6s|11f94?4|V8:o70?nc;72?xu61>0;6?uQ185894gf2<;0q~?n8;296~;6ij03>63>ac864>{t9h31<7<t=0cb>=4<58ki68?4}|`2f6<72=086;u+ae82f6=h9h:1<75f12g94?=n99o1<75f18:94?=e9k81<7=50;2x db=:h1Co:5f5183>>o293:17b6=:188yg7e83:1?7>50z&b`?4?3Ai<7d;?:188m07=831d4?4?::a5g7=8391<7>t$`f9<6=Ok>1b9=4?::k65?6=3f296=44}r3b4?6=:rT:m=521c39<7=z{89n6=4<{_30a>;6j;0><63>b1864>{t99o1<7<t^02f?87e:3?:7p}>9983>7}Y90201<l?:438yv7fm3:1>v3>b38;6>;6j80><6s|1`d94?4|58h;65<4=0`2>07<uth:n44?:580>3}#im0:n45`1`394?=n9:o1<75f11d94?=n9031<75m1c:94?5=83:p(lj52`9Kg2=n=90;66g:1;29?j>52900qo?m6;297?6=8r.jh7<7;Ia4?l372900e8?50;9l<7<722wi=o950;194?6|,hn14>5Gc69j15<722c>=7>5;n:1>5<<uz;j=7>52z\2e4=:9k=14?5rs01f>5<4sW;8i63>b9864>;6j?0><6s|11d94?4|V8:m70?m8;72?xu6100;6?uQ18;894d12<;0q~?m4;296~;6j103>63>b6864>{t9k?1<7<t=0`5>=4<58h<68?4}|`2fc<72=086;u+ae82fc=h9h81<75f12g94?=n98:1<75f18c94?=e9ko1<7=50;2x db=:h1Co:5f5183>>o293:17b6=:188yg7ek3:1?7>50z&b`?4?3Ai<7d;?:188m07=831d4?4?::a5gb=8391<7>t$`f9<6=Ok>1b9=4?::k65?6=3f296=44}r3b6?6=:rT:m?521cf9<7=z{89n6=4<{_30a>;6jl0><63>bb864>{t98:1<7<t^033?87em3?:7p}>9`83>7}Y90k01<ll:438yv7ei3:1>v3>bd8;6>;6jm0><6s|1c`94?4|58hh65<4=0`g>07<uth:o84?:580>3}#im0:o85`1`194?=n9:o1<75f10394?=n90h1<75m1b694?5=83:p(lj52`9Kg2=n=90;66g:1;29?j>52900qo?l2;297?6=8r.jh7<7;Ia4?l372900e8?50;9l<7<722wi=n=50;194?6|,hn14>5Gc69j15<722c>=7>5;n:1>5<<uz;j?7>52z\2e6=:9j914?5rs01f>5<4sW;8i63>c5864>;6k;0><6s|10394?4|V8;:70?l4;72?xu61k0;6?uQ18`894e52<;0q~?l0;296~;6k=03>63>c2864>{t9j;1<7<t=0a1>=4<58i868?4}|`2gg<72=086;u+ae82gg=h9h>1<75f12g94?=n9881<75f18a94?=e9jk1<7=50;2x db=:h1Co:5f5183>>o293:17b6=:188yg7d03:1?7>50z&b`?4?3Ai<7d;?:188m07=831d4?4?::a5f?=8391<7>t$`f9<6=Ok>1b9=4?::k65?6=3f296=44}r3b0?6=:rT:m9521b;9<7=z{89n6=4<{_30a>;6kh0><63>c9864>{t9881<7<t^031?87di3?:7p}>9b83>7}Y90i01<m7:438yv7d>3:1>v3>c`8;6>;6k00><6s|1b594?4|58i365<4=0a:>07<uth:h<4?:580>3}#im0:h<5`1`794?=n9:o1<75f10694?=n90o1<75m1e294?5=83:p(lj52`9Kg2=n=90;66g:1;29?j>52900qo?le;297?6=8r.jh7<7;Ia4?l372900e8?50;9l<7<722wi=nh50;194?6|,hn14>5Gc69j15<722c>=7>5;n:1>5<<uz;j97>52z\2e0=:9jl14?5rs01f>5<4sW;8i63>d1864>;6kl0><6s|10694?4|V8;?70?k0;72?xu61l0;6?uQ18g894eb2<;0q~?lc;296~;6l903>63>cg864>{t9jn1<7<t=0af>=4<58im68?4}|`24g<72<0;6=u+ae81a>Nd?2c><7>5;h72>5<<a<81<75f5283>>i?:3:17p}>3c83>7}Y9:h01<=n:4c8yv7403:1>vP>399>5c7=9:20q~?<c;296~X6;j16=>o55b9~w4`c2909wS?id:?2b4<6nm1v<<?:181[75827:j<4>219~w4312909wS?:6:?2b4<6=?1v<:7:187[73027:j<4>499>56g=;<16jk4<9:pac<72;qUik521g39ac=z{8:j6=4={<330?gd34;;n76=;|qf3?6=:rTn;63ja;g4?!ge2l<0blo50:pa7<72;qUi?52e48f6>"fj3o=7con:09~wae=838pRim4=d39`f=#ik0n:6`na;08yvb12909wSj9;<fa>a0<,hh1i;5aa`80?xu6i<0;6?uQ1`7894b628k>7)om:0c5?kgf281v<o;:181[7f<27:oo4>a59'eg<6i?1eml4=;|q2e6<72;qU=l=4=0a6>4g43-ki6<o9;ocb>6=z{8k96=4={_3b6>;6jo0:m?5+ac82e3=iih0?7p}>a083>7}Y9h;01<l6:0c2?!ge28k=7con:49~w4g72909wS?n0:?2f6<6i91/mo4>a79med<13ty:5k4?:3y]5<`<58ko6<7i;%ca>4g13gkj6:5rs065>5<5sW;?:6P>d`9'eg<6<<1eml4?;|q201<72;qU=9:4^0f;?!ge28>>7con:09~w4242909wS?;3:\2`2=#ik0:885aa`81?xu6<;0;6?uQ1508Z4b13-ki6<::;ocb>6=z{8>:6=4={_375>X6l<1/mo4>449med<33ty:8=4?:3y]516<V8n?7)om:066?kgf2<1v<=i:181[74n2T:h>5+ac8200=iih0=7p}>3d83>a}Y9:o0R<j=;<gb>45b34o>6<=j;<g2>45b34ni6<=j;<3b`?74m27:n>4>3d9>5g?=9:o01<li:01f?87d=3;8i63>cc827`=:9m;1=>k4$``9513<fhk1;6s|f`83>7}Ynh16il4ia:&bf?`>3gkj6=5rsg:94?4|Vo201h;5f99'eg<a12djm7?4}rd4>5<5sWl<70k>:g58 dd=n01eml4=;|q2e2<72=qUj;5Q1`58945f2h<01il51`58 dd=9h<0blo50:paa<72;q6=>o5db9>a4<bl2.jn7kj;ocb>7=z{li1<7<t=01b>a0<5mh1in5+ac8fa>hfi390q~??1;296~;an33j70??4;1:?!ge28:;7con:19~w4732909w09j:03f?87c93;:86*nb;327>hfi3:0q~?>2;296~;0m3;:o63>cc8257=#ik0:=>5aa`82?xu6980;6?u27d825g=:9j?1=<?4$``9545<fhk1>6s|10294?4|5>o1=<o4=0`e>4773-ki6<?<;ocb>6=z{8:m6=4={<5f>47>34;i57??f:&bf?76;2djm7:4}r33a?6=:r7<i7?>8:?2f6<68l1/mo4>129med<23ty:<i4?:3y>3`<69>16=lj511f8 dd=9890blo56:p5<c=838p1<=n:0;5?87c93;2i6*nb;3:`>hfi3:0q~?6c;296~;6;h0:59521b`95<e<,hh1=4j4n`c95>{t90h1<7<t=01b>4?434;h97?6b:&bf?7>l2djm7<4}r3:e?6=:r7:?l4>939>5g`=90k0(ll518f8jdg=;2wx=4750;0x945f283:70?m9;3:=>"fj3;2h6`na;68yv7>03:1>v3>3`82=5=:9k91=464$``95<b<fhk196s|18594?4|589j6<6i;<3b`?7>?2.jn7?6d:lbe?0<uz;;57>53z?241<>l27<i7j:;<33f?343-ki6<>7;ocb>5=z{8:<6=4<{<330??e34=n6i=4=02a>07<,hh1==64n`c95>{t99<1<7=t=027><3<5>o1h?5211`915=#ik0:<55aa`81?xu68<0;6>u21169<<=:?l0o=63>0c866>"fj3;;46`na;18yx{zuIJHw9jk:4070g?6;tJKNv>r@ARxyEF
$2;31b<'aefi"bhu`lvgj)hxldhck|/qjq+qtrfb%hcigho.ffiju(x{eainz|/R1Gahi(Lh~jOcz}l/tk`06<'aefi"bhu`lvgj)hxldhck|/qjq+qtrfb%hcigho.ffiju(x{eainz|/R1Gahi(ZZ88>_kiCnos*snc<h1$dbcj/meveksdg&e{icm`rdq,tmt(|{eg"m`dhel+acjgz%{~bdjcuq,W6Bbef%X?ikbo/tk`1d<'aefi"bhu`lvgj)hxldhck|/qjq+qtrfb%hcigho.ffiju(x{eainz|/R1Gahi(ck8}dib!vif7g>)ogdo$`j{nntal+jvbfjeyi~!hs-wvphl'jeoeja ddolw*vugcohx~!\3Egnk*p`iVgjec yhe3844=602;;<<>?0130?46=AGZ^X7Jnt`C?=?69991:<7AZTQWW>T:>294j7<>5hb>:>58b38:1~i]]ef>:>586=2;;6~fffuPfcVo`:5;;6<0n;029rf:>294j7<??0133456238;:=<741032547698?0=<4|hhdwV`aTan;7==4?>g925?ra[[ol0<>50?34?47=|oYYijQbuy2345:683:5=<5>3;MVPUSS2X6:>7>1f:30>fjlL`|7=?4?>09154=5<3E^X][[:P>17?69n28?6nbddht?66<76818h6=|212brt778$?:79?=;58JJUSS2hno~L2<:1<24>2=AGZ^X7l{n=194;b<<3G^TB[[<283:c=32F__\XZ5Q=194;773=0DYY^ZT;cm86<7601?6l`33;2=f>2=igai0>4?>`97>gri4:0;2o5;:blwv95=87k087m{r=194;c<<3njxlM{r=194;c<<3xH`fJfv=194;e<<3~mOy|33;2=5>36<2?1EC^ZT;`wjZc|5>1<3k45;`wjZc|5>1<3?4609454=02F__\XZ5dnC?2?699;1<6B[[PTV9wvc`4?0;2l58:ekd83<76k1<6~}jg=494;b<?3yxijem<783:==?2M6<6=0m;98GFLH;?3:5i665IORVP?B;?3:5=<57:HLSQQ<CJ@D7;7>1109;>LHW]]0Omyo37;2=55=?2@D[YY4|wu>4>586;221CXZ_UU8GFJSS4>0;2<<48;MVPUSS2EOO_1950?d8<?IR\Y__6\28:1<25>>=G\^[YY4cee>4>586=221CXZ_UU8oaaYbp}6<6=0>1::9KPRW]]0xj28:1<;?=<V4>0;2h57:VcjpWc`4>0;2h57:e`JjVo`4>0;2k57:e`LqqUno5=1<3o48;nf`91=87o047bjd^g{p91=87i047|kRde?3?69i221~i37;2=e>>={~~7;7>1f:;9KPRW]]0Z054?>e9:>fjlL`|747>13:CDF6=FDK>0MCJ=6:CM@7D6>2KEH?L=4:CM@60<IGN8N<84AOF0F62<IGN?:6OAD5@22>GIL=H9:6OAD5@02>GIL=H?96Olcto;?GSTW@DMC<5L2:AF7>EKC:1H@_74CNONMQRBL>1H^HO[EE18Gim23Jf`Ic94Cmi\BVK63M>0H1>14:F?5;2<L58586J33?68@929<2N793:4D=4=2>B;?3:586J37?58@GOI494<7ILFN=3=3>BEAG692:5KBHL?7;1<LKCE0908;E@JJ939?2NIEC29>89GFLH;?3:5;6JMIO>4:==CJF__0=07;E@LQQ:6611ONB[[<3<;?ADH]]68255KBNWW818?3MHDYY2:>99GFJSS4?4j7IL@UU>4>58?3MHDYY28>59GBC733MLM>95Kauc4?Agsi5:5;6Jnt`>2:2=Ci}k7>394D`vb86803Mkm1:17:Fbpd:26>1Omyo36?;8@drf4>0;2:5Kauc?3;3<Lh~jM55KaucB858?3MkmL2>>99GeqgF4;437Io{a@>0:==Ci}kJ0907;EcweD:2611OmyoN<7<;?AgsiH6<255KaucB8=8f3MkmL26:1<;?AgsiH62245Kauc@jqtk:2LO?6HKC59E@FC23ONHS<=4FEG0?CBU:2LN56HJ_np3456602LNSb|?012\mhvXNLUd~=>?0068BCb6?2LMh<#Fn99EBa7*Ag;27KHk1,Km54713OLo= Ga1^kntZ@Al8'Bb<?7;GDg5(Oi:01MJi?"Io02<>@Al8'Bb>l4FGf2)LhXadz:86HId0/JjZojxVLMh<#Fn068BCb5?2LMh?#Fn99EBa4*Ag;27KHk2,Km54713OLo> Ga1^kntZ@Al;'Bb<?6;GDg6(Oi:820JKj=-Hl0f>@Al;'BbRgbp068BCb5%@dTe`~PFGf1)Lh6;2LXAn5ISL]3)ugumg~h7K]B_0/sewci|j1M_@Q=-qcqakr63N80KH:4G`vf2>Af|lOe=6G=;H21?L753@897D==;H61?L353@<97D9=;H:7?LHN\:1BB[=4Io20?Lh6;2Ce>?5DC29HGL4<CM90GIGj;JGFTZNNM[KYOEW:;MFF[42<DMU:;6BJDR>3:2=KMMY7=394LDFP87803EOO_1=17:NF@V:36>1GII]35?58H@BT4?427AKKS=594;1<DLNX0:08;MMDMFGKj2F[ARBNTBJ\5g=KXDUGMYMG_3`8HUKXDH^HDR=8;L,44aYK12G^TB[[<1<b?HS_G\^7<3?m;LW[KPR;87;:n6CZXNWW85859h1FYUAZT=2=7a=J]QE^X1>1_hos=>KRPF__0<0n;LW[KPR;97;i7@[WOTV?5;76j2G^TB[[<0<15d=J]QE^X1?13e9NQ]IR\5;5Sdc9:OV\JSS4;4j7@[WOTV?6;7e3D_SCXZ32?32f>KRPF__0?0=1`9NQ]IR\585?i5BUYMVP949W`g{n6CZXNWW86<7601FYUAZT=1=e>KRPF__0>0>b:OV\JSS4:4:=o5BUYMVP959:8k0AXV@UU>0:6b<E\RDYY2<>^knt4?<Ejef|l|PvhfljZejxVm<=>?10;8IfijxhxTzdj`n^antZas89::=<74MbmntdtX~`ndbRmbp^nw45679830Anabp`p\rlbhfVif|Rb{01225<=JzfgThdi>a:OqkhYt{lm:=<5Bxnp\tdtXpfx;<=>>2:L36>H6<2DD^4?4O39LA6=HZ;?0C_<M129LV62<G|~;i6Azt1]jiuYH}}::86Azt0g8Kpr6W`g{SB{{1068Kpr5m2E~x?Qfmq]Lqq46<2E~x>k4Otv0[lkwWF?<?4P59SEWR53YOj7]GA_CWPMA^e3YCESO[\N@OF5>W33X6;285^<02=1>W;984<7\2>2;2=1>W;9;4>7\2>3?78U9736<1Z0<;15:S?53823X6:;3;4Q=3;:0=V483586_31?78U9476<1Z0??15:S?67803X69?7>15:S?66833X692;5^<283:1=V4:4?7\2;>59R80833X6=2;5^<683:1=V4>4=7\27:1<7?T:?6?1Z044?>59R8<863[80^I=4REC:?WU5;;Zycgm4RR006WcaKfg{?6\\T29QPI5<ZQN=7_k|umv0?Wus>2Y8hhc`b:QJCGSTW@DMCl5\IF]AQVOCPk1XEJQMURLBI@2<[jfy46]lsu]EWH5<\KOj7YLJ_np34566i2^IIRa}0123[lkwW]HNSb|?01227>R_L=1_U]K;;TCQP6=QKJ30ZDKX_U[SA7=PZh1\mdz]ef>3:d=Pi`~Yij2>>`9TelrUmn692l5XahvQab:46h1\mdz]ef>7:d=Pi`~Yij2:>`9TelrUmn6=2n5XahvQab:0294j7ZoftSgd828>3^kbx_kh_1;8SdosZlmT=45XahvQabY512]jey\jg^1:?Rgn|[olS974W`kwV`aX=01\mdz]ef]5=>Qfa}XnkR9>2:UbmqTboVif|Rk~012356=Pi`~YijQlmq]fu56788;87ZoftSgd[fkwWl{;<=>=129TelrUmnUha}Qjq12346713^kbx_kh_bos[`w789:Te`~>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG9;YQWHLD6m2RXXRGBPMGNAKRF\@EESNAASUPBMKRT991S_YQ]TM]SVJVBZ]S<7U][_WA@2>^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo18\vr>3QyK@akem78eabuI11jhi|N<1<;?dbczH6:255ndepB878f3hno~L2<:1<;?dbczH68285nn=2=2>gi494:;6oa<1<252=ff5:5><84ao>3:6?<ig6;2Rgbp49bj979>2ke0<0>7:cm84869>1jb1?12048ek:66:30mc2>>^knt0=ff585:6oa<3<22>gi4;4956oa<3<\mhv03hd7?7>15:cm86813hd7?3?9;`l?7;4>3hd7?3Qfmq3f?dhX8Vg~t=>?0^kntZgiW9Ufyu>?0134?dhlj5:5;6oakc>2:<=ffbh7?7>13:`oq4e<jeTmcj?012\mhvXjeTmcj?0122a>dk}Vif|Rk~0123b>dk}Vif|Rk~01235c=ed|Uha}Qjq12347`<jeTo`~Pep234556:2hgyRmbp^gr4567W`g{:6l{n=2=2>dsf5;5:6l{n=0=<>dsf591<384bul?7;g<j}dTiuz30?c8fqhXmq~7=3o4bul\a}r;:7k0ny`Peyv?7;e<j}dTiuz34;2=e>dsfVosx1:17:aooAoq901h`fJfv=2=g>ekcMc}0<<50?;8gimCa6:245lljFjr94912iggIgy<2<:?fjlL`|78374cmiGms:2601h`fJfv=4==>ekcMc}0:0m;bnh@lp;03:556mckEku8=8692iggIgy_bos[`w789::>6mckEku[fkwWl{;<=>>139`hnBn~Vif|Rk~0123644<keaOe{Qlmq]fu5678:;>7nbdDht\ghvXmx:;<=Qfmq48gimca=0oaekiw3`?fjll`|7>>4?>`9`hnbn~5882h5lljfjrZhh|9:;=?<4cmigmsYig}:;<<Qfmq]`hnbn~Vddx=>?1078gkru9>1hby|30?58gkru484<7n`{r=0==>ei|{686=08;blwv959n2iexQlmq]fu5678;>0ocz}_bos[`w789:Te`~Pcovq[fkwWl{;<=>>7:alqkrbz<1hx_}{6:awVvr6?2i^~z>179`pWus:>1hx_}{2058gqTt|:;=7nz]su624>esZz~To`~Pep2345413j~YyQlmq]fu5678Vcf|Rm{Rrv\ghvXmx:;<=?6;bvQwqYney>0oy|>6:awv969>2i~1?16:awv94902i~1=50?48gqt;;720hlznCup2e>bf|hI~1>1a:fbpdEsz5;5m6jnt`Awv949k2njxlM{r=194;g<lh~jOy|33?:8`drfAgiy=;5kaucJjftXadzThlznIoaq52=ci}kY_Y?;;ecweWUSW`g{Sio{aSQW52=cj@dXejo4dcKmWla;87k0hoGaShe?5;g<lkCe_di32?c8`gOi[`m7?3o4dcKmWla;<7k0hoGaShe?1;g<lkCe_di36?a8`gOi[`m7;7>1a:faMkUno5=5n6jmOtvPmb:76k1onB{{She?5;d<lkE~x^gh<3<a?adH}}Ybk1=1b:faKprTan6?2o5kbNwwWla;=7h0hoAztRkd838c3mhDyy]fg=594;d<lkE~x^gh<6<5?ao`494=7igh<0<5?ao`4;4=7igh<2<5?ao`4=4=7igh<4<;?ao`4?0;2;5kif>5:<=ca{ohxdaa3:flE3=cgH6;2;5ko@>2:3=cgH692;5ko@>0:3=cgH6?2;5ko@>6:==cgH6=6=09;emB83803nxnmyk}3:eqj4e<o{dTmcj?012\mhvXo{dTmcj?0122a>aufVif|Rk~0123b>aufVif|Rk~01235c=`zgUha}Qjq12347`<o{dTo`~Pep234556:2mybRmbp^gr4567W`g{?6fl149kg969=2bh0<0:;ia?6;3<`j68285gc=6=1>nd4<4>7em36?78lf:06<1co1617:j`8<<76<1co171d:j`[fkwWl{;<=>j;ia\ghvXmx:;<=?j;ia\ghvXmx:;<=<j;ia\ghvXmx:;<==>1:j`[fkwWl{;<=>Pilr1?mu582bxSnc_ds3456XadzTd~Qlmq]fu5678890go?=;ja1?nbd3bornbo}dWjgh0=ki}ic:6bjd=2=2>jbl5;5:6bjd=0=2>jbl595:6bjd=6=2>jbl5?5:6bjd=4=<>jbl5=1<384ldf?3;g<dlnTiuz30?c8h`bXmq~7=3?n;mgg[`~s484Te`~Pldf\a}r;97;j7akk_dzw878f3eooShv{<2<2e>jblVosx1=1_hos[iccWlr0>0>a:nf`Zc|5>5m6bjd^g{p9399h1giiQjxu>6:ZojxVfnhRkwt=7=5d=kmmUnty29>b9oaaYbp}6<6=0n;mgg[`~s4>4946bjd^g{pZ0Xff~;<=>Pilr\h`bXmq~T:R``t123444?3eooShv{_6]mkq6789Uba}Qcee]f|qY0Wge<=>?159oavu33eejh95bcmia?uguWqey<=>?7:rlhZekc01{caQlljgm<>vhdVmjxh64pnn\wfjui2zd~yQncbwn=>vhz}Ujhi|7;qmqpZekch1{czPcmifj<=wg{~Thlzn9:rlvqYcg|~27}a}t^ebp`><xfxSb~n6:rlvqYvj2zd~yQ}erwop<=wg{~Tnb}4:p@hn><zJf`Hdx>c:p@hnBn~591<3o4rBnh@lp;;7i0~Nbd_omw45669l1yOaePnnv3457XadzT~Nbd_omw4566911yh_kh<1<;?wbUmn6:255}dSgd878?3{nYij2<>99q`Wc`4=437j]ef>6:==ul[ol0;0n;sfQab:029437j]ef>4:2=ul[olS=94rePfcZ703{nYijQ=7:pgV`aX;>1yh_kh_558vaTboV?<7j]ef]53>tcZlmT;i5}dSgd[dhc89:;>=5}dSgd[dhc89:;Sdc_sfQabYffm:;<=?6;sfPV`a;8730~i]]ef>2:<=ulZXnk1<19:pgWWc`4:427j\Rde?0;?<zmYYij2:>89q`VTbo5<556|kSSgd828>3{nX^hi38?`8vaUUmn626=06;sfPV`a;1720~i]]ef]3<>tc[[olS<64reQQabY502xo__kh_2:8vaUUmnU?46|kSSgd[0><zmYYijQ98:pgWWc`W>20~i]]ef];<>tc[[olS4;4reQjc3=ulhYbk>5}ee3`?wccWhdo<=>?_hos[wccWhdo<=>?1d9qaaYdeyUn}=>?0g9qaaYdeyUn}=>?00d8v`bXkdzTi|>?010e?wccWjg{Sh?012057=ummUha}Qjq1234Zojx:1yc`64run\p|vb;2xsh85|hhdwb>uoao~Yij]fg0>3:44<{acmx_khShe2846=87;;7~fffuPfcVo`95;;2k5|hhdwV`aTan;7=3h4sikepWc`[`m:0?0i;rjjbqTboZcl=1=1f:qkmcrUmnYbk<2;>g9pll`sZlmXej?35?d8wmoa|[ol_di><7<e?vnnn}Xnk^gh1=5=b>uoao~Yij]fg0>;:c=t``l^hi\if3?=;c<{acmx_khShe2[5c<{acmx_khShe2[4`<{acmx_khShe2[46b3zbbjy\jgRkd5Z4b3zbbjy\jgRkd5Z5b3zbbjy\jgRkd5Z2b3zbbjy\jgRkd5Z3b3zbbjy\jgRkd5Z0b3zbbjy\jgRkd5Z1b3zbbjy\jgRkd5Z>b3zbbjy\jgRkd5Z?6:2ycekz]efQjc7:683;5==5|hhdwV`aTan87==0i;rjjbqTboZcl>1?1f:qkmcrUmnYbk?2=>g9pll`sZlmXej<33?d8wmoa|[ol_di=<5<e?vnnn}Xnk^gh2=7=b>uoao~Yij]fg3>5:c=t``l^hi\if0?3;`<{acmx_khShe18=8a3zbbjy\jgRkd69?9m2ycekz]efQjc7Y6n2ycekz]efQjc7Y68l1xddh{RdePmb4X:l1xddh{RdePmb4X;l1xddh{RdePmb4X<l1xddh{RdePmb4X=l1xddh{RdePmb4X>l1xddh{RdePmb4X?l1xddh{RdePmb4X0l1xddh{RdePmb4X1=1xej`;;rkyac=tg|xhiRhfldlbi`b<{fyohQciogmf2=t{lm7<394srgd84803zynk1<17:qpab:46>1xhi34?58wvc`4<427~}jg=494;1<{zol0;06;rqfcnd;8730~khkc>2:<=t{lm`n1<19:qpabme4:427~}jgj`?0;?<{zolgo2:>c9pw`alj5<1<374srgdog:16?1xj2?>79pwb:66?1xj2=>79pwb:46?1xj2;>79pwb:26?1xj29>99pwb:0294=7~}h<6<g?vu`W9Ufyu>?01g8wvaX8Vg~t=>?00d8wvaX8Vg~t=>?003e?vu`W9Ufyu>?0102a>utoV:Taxv?012054=t{nU;S`{w0123[lkwl2yxkR?Pmtz3456b3zylS<Qbuy23457a3zylS<Qbuy234576n2yxkR?Pmtz345659l1xjQ>_lw{4567;8;0~iP1^ov|5678Vcf|i5|sf]1[hs89:;i6}|g^0\ip~789::j6}|g^0\ip~789::=k5|sf]1[hs89:;><k4sre\6Zkrp9:;<>?>;rqd[7Yj}q:;<=Qfmqf8wvaX;Vg~t=>?0d9pwbY4Wds<=>?1g9pwbY4Wds<=>?10d8wvaX;Vg~t=>?033f?vu`W:Ufyu>?01125>utoV9Taxv?012\mhvc3zylS9Qbuy2345c<{zmT8Rczx12344`<{zmT8Rczx123447a3zylS9Qbuy234546m2yxkR:Pmtz34564981xjQ;_lw{4567W`g{h6}|g^7\ip~789:n7~}h_4]nq}6789;m7~}h_4]nq}6789;:j6}|g^7\ip~789:9=h5|sf]6[hs89:;?<?4sre\1Zkrp9:;<Rgbpe9pwbY1Wds<=>?e:qpcZ0Xe|r;<=>>f:qpcZ0Xe|r;<=>>1g9pwbY1Wds<=>?20g8wvaX>Vg~t=>?0232?vu`W?Ufyu>?01]jiu1<{}I~~R>9;rvMa}r5>2yBhv{_1]nq}6789Uba}Q|tOg{pZ6Xe|r;<=>>7:qwf`Erz880yljCtp\ghvXmx:;<=?=;rvaaFsuWjg{Sh?01026>usjlI~~Rmbp^gr4564>2y~N{}109ppwErzVif|Rk~01236==t|{I~~Rmbp^gr4567W`g{S~z}Ctp\ghvXmx:;<=?>1:qwvFsuWjg{Sh?0131<>uszJySnc_ds3457XadzTy|Lus]`iuYby9:;=<?>;rvqGptXkdzTi|>?0332?vruK|xTo`~Pep2346763z~yOx|Pclr\at678=<0yzLus32?vrsK|xTo`~Pep23454?3z~Ox|Pclr\at6789Uba}Q|tuAvvZejxVoz<=>?179psq:76?1x{y2>>79psq:56?1x{y2<>79psq:36?1x{y2:>79psq:1611x{y28:1<5?vqs4>4=7yhLts3;?q`D|{6;255{fBvq848?3}lHx2=>`9wbFru4:0;255{fBvq868>3}lX^hi30?a8pcUUmn6:<7>19:veWWc`48427yh\Rde?6;?<|oYYij2<>89wbVTbo5>556ziSSgd808>3}lX^hi36?;8pcUUmn6<245{fRPfc9>912~m__kh<8<;?q`TZlmT<55{fRPfcZ7?3}lX^hiP299wbVTboV937yh\Rde\0==snZXnkR;7;udPV`aX>11j^\jg^5;?q`TZlmT455{fRPfcZ?b3}lX^hiPaof34565:2~m__kh_`lg4567W`g{Syh\Rde\ekb789::=<5{fRPfcZkrp9:;<1>1299wbVTboVg~t=>?0=2=[lkwW}lX^hiPmtz3456;87;:86ziSSgd[hs89:;0<>50?32?q`TZlmTaxv?012?5;753}lX^hiPmtz3456;97;:?6ziSSgd[hs89:;0<0>1018pcUUmnUfyu>?01>2:776:2~m__kh_lw{45674848=85{fRPfcZkrp9:;<1?1_hos54=snZXnkRczx12349499;1j^\jg^ov|5678585=<=4tgQQabYj}q:;<=2=>0327>ra[[olS`{w012387859880xk]]ef]nq}6789692>?:;udPV`aXe|r;<=>32?]jiu763}lX^hiPmtz3456;;7;97yh\Rde\ip~789:7?3?>3:veWWc`Wds<=>?<2<2545<|oYYijQbuy2345:46;;:>6ziSSgd[hs89:;0>0<149wbVTboVg~t=>?0=1=[lkw981j^\jg^ov|56785>5=?5{fRPfcZkrp9:;<1:11018pcUUmnUfyu>?01>7:476;2~m__kh_lw{45674=49=<<4tgQQabYj}q:;<=2;>236?q`TZlmTaxv?012?0;Yney;:7yh\Rde\ip~789:793?=;udPV`aXe|r;<=>35?327>ra[[olS`{w012380869890xk]]ef]nq}67896>2??>2:veWWc`Wds<=>?<4<050=snZXnkRczx1234939W`g{=<5{fRPfcZkrp9:;<181139wbVTboVg~t=>?0=4=545<|oYYijQbuy2345:168;:?6ziSSgd[hs89:;0;0=1008pcUUmnUfyu>?01>5:6723}lX^hiPmtz3456;>7Uba}?>;udPV`aXe|r;<=>37?31?q`TZlmTaxv?012?3;76;2~m__kh_lw{45674>4:=<=4tgQQabYj}q:;<=28>3326>ra[[olS`{w012382849<1j^\jg^ov|56785=5Sdc109wbVTboVg~t=>?0=:=57=snZXnkRczx12349>99890xk]]ef]nq}6789632<?>3:veWWc`Wds<=>?<9<1544<|oYYijQbuy2345:?6:;>7yh\Rde\ip~789:743Qfmq32?q`TZlmTaxv?012?=;753}lX^hiPmtz3456;17;:>6ziSSgd[hs89:;040=149wbVTboVg~t=>?0=;=[lkwm2~m__kh_omw4566:;1j^\jg^llp5679Vcf|RziSSgd[kis89::=>5{xe:8qkunogoo>6{9:tbhpcXgyh87{m>5:t`85823i7=3;4vb>1:0=qk59596xl<5<6?se;=7?0zn29>49ug919=2|h0508;wa?=?69=2|h040n;wa\ekb789::m6xl_`lg4567W`g{S{mPaof34566l2|hSnc_ds3456b3iTo`~Pep23457b3iTo`~Pep23454b3iTo`~Pep23455692|hSnc_ds3456Xadz?7{in1e9ucdEhf}xd`ak}5:tj`jhc3coccQnne2345473coccQnne2345YneyU}eiaa_`lg45679m1}eiaa_`lg4566:91}eiaa_`lg4566W`g{S{gkoo]bja6788;:<6xfdnl\ghvXo}:;<=??;wkgkkYdeyUlx=>?1028rlbhfVif|Rb{012355=qameeSnc_mv34576m2|bhb`Pos2345YneyU}eiaa_np34566:2|x>=5ys^antZcv89:;Sdc_wq\ghvXmx:;<=?7;wqfjddkm8:0z~kaacnf[kis89::>;5ysdlbficXff~;<=?Pilr\rvciikfnSca{012250=pam~c==5wc2q145g*=$|z==><;ymqyEFw1o80LMv>6c8E>7<6sZ?n6<8n:eg956529mh1=8<;{o35<?7<f8<26;5+1759534<uZ?o6<8n:eg956529mh1=8<9;e30`?6=93;p_8k517c9``<6;:?:ho4>5368rC7413:1=7?50zQ6a?71i3nn6<=<50fa>435<2.:8l4ka:&255<6i2h:?i4?:25952<3:rF::94>{%324?5?m2wG=;;51z&1ec<53t.:8:4>3e9Y1=<0s;:1?h4<d;1`>4b=:h0847sU12g9g~462=<1894;3;00>7d=<>09>7?7:22977<5<3wb>:h50;9j64>=831d><;50;9j64?=831d?;;50;9l7=>=831d=kj50;9l620=831b>>;50;9l5=d=831d=5=50;&256<60;1e=<<50:9l52c=83.:=>4>839m544=921d=:650;&256<60;1e=<<52:9l524=83.:=>4>839m544=;21d>n750;&256<5k11e=<<50:9l6f1=83.:=>4=c99m544=921d>n850;&256<5k11e=<<52:9l6f3=83.:=>4=c99m544=;21d>n:50;&256<5k11e=<<54:9l6f5=83.:=>4=c99m544==21d>n<50;&256<5k11e=<<56:9l6f7=83.:=>4=c99m544=?21d>>>50;&256<5:o1e=<<50:9l67c=83.:=>4=2g9m544=921d>?m50;&256<5:o1e=<<52:9l67d=83.:=>4=2g9m544=;21d>?750;&256<5:o1e=<<54:9l67>=83.:=>4=2g9m544==21d>?850;&256<5:o1e=<<56:9l673=83.:=>4=2g9m544=?21d>?:50;&256<5::1e=<<50:9l674=83.:=>4=229m544=921d>??50;&256<5::1e=<<52:9l676=83.:=>4=229m544=;21d><h50;&256<5::1e=<<54:9l64c=83.:=>4=229m544==21d><j50;&256<5::1e=<<56:9l64e=83.:=>4=229m544=?21b=4850;&256<61<1e=<<50:9j5<2=83.:=>4>949m544=921b=4=50;&256<61<1e=<<52:9j5<4=83.:=>4>949m544=;21b=4m50;&256<61k1e=<<50:9j5<g=83.:=>4>9c9m544=921b=4750;&256<61k1e=<<52:9j5<>=83.:=>4>9c9m544=;21b=4950;&256<61k1e=<<54:9j75c=83.:=>4<0e9m544=821b?=m50;&256<48m1e=<<51:9j75d=83.:=>4<0e9m544=:21b?=o50;&256<48m1e=<<53:9j75?=83.:=>4<0e9m544=<21b?=650;&256<48m1e=<<55:9j751=83.:=>4<0e9m544=>21b?=850;&256<48m1e=<<57:9a72e=83<1=44=czN221<6sAin7pB>6481k4e83<o7)<nf;08y!73?39<h6T>3d81d<c2te9=84?::m0<=<722e:ji4?::m133<722c9?84?::m2<g<722h::;4?:083>5}#9==1=974H040?j77n3:17pl>ad83>6<729q/=995969K535<D8<>6?u+2`d90>h5j90?=6sf5883>>o1:3:17b?>4;29?xd40h0;694?:1y'511=1j1C=;=4L046>7}#:hl186`=b187f>{n=00;66g92;29?l74;3:17b?>4;29?xd58=0;6n4?:1yO533=jr.9;h4>bb9'5c4=;?=0(?=i:708 75e2<=0(?:<:037?!44l3?m7c<<7;38 72228>:7c<<a;38 7ga2=1e>o>54`9~ 4202;:?7W;7:0y:>xo2n3:17d?;1;29?j76=3:1(<?<:012?k76:3307b?=1;29 474289:7c?>2;:8?j75?3:1(<?<:012?k76:3=07b?=9;29 474289:7c?>2;48?j75i3:1(<?<:012?k76:3?07b?=b;29 474289:7c?>2;68?j75k3:1(<?<:012?k76:3907b?=e;29 474289:7c?>2;08?j7483:1(<?<:012?k76:3;07b?<2;29 474289:7c?>2;28?xd4>00;6i4?:1yO533=lr.9;h4>bb9'5c4=;?=0(?=i:708 75e2<=0(?:<:037?!44l3?m7c<<7;38 75b2?:0b?=7:09'613=9=;0b?=n:09'6d`=<2d9n=4:9:'511=;?30V8651z;9yl3a2900e;>50;9j517=831d=<;50;&256<6;81e=<<59:9l577=83.:=>4>309m544=021d=?950;&256<6;81e=<<57:9l57?=83.:=>4>309m544=>21d=?o50;&256<6;81e=<<55:9l57d=83.:=>4>309m544=<21d=?m50;&256<6;81e=<<53:9l57c=83.:=>4>309m544=:21d=>>50;&256<6;81e=<<51:9l564=83.:=>4>309m544=821vnko50;`94?6|D8<>6:u+2509<d=#;?21i6*=4587f>"5?l09?>5+2`f9730<,;km695a2c2920=z,8><6ko4Z4:95~>=u`2i6=4+1019=1=i9881565f8b83>!76;33?7c?>2;:8?l>c290/=<=5959m544=?21b4h4?:%327??33g;:>784;h:e>5<#9891595a10091>=n190;6)?>3;;7?k76:3>07d7>:18'545=1=1e=<<53:9j=7<72-;:?77;;o326?4<3`386=4+1019=1=i9881=65f9483>!76;33?7c?>2;28?j2e2900qoh7:18a>5<7sE;=979t$361>=g<,:<36:5+25690d=#:>o1=km4$3cg>6013-8jj7:4n3`3>33<u-;?;7h7;[7;>4}?2tc3n7>5$030><2<f8;96454i9a94?"69:0286`>138;?>o?l3:1(<?<:868j4752>10e5k50;&256<><2d:=?49;:k;b?6=,8;864:4n031>0=<a0:1<7*>128:0>h69;0?76g61;29 47420>0b<?=:298m<4=83.:=>464:l257<532c2?7>5$030><2<f8;96<54i8794?"69:0286`>1383?>i3i3:17plib;29f?6=8rF::848{%076?>f3-9=47??;%070?2e3-8<i7<<3:&1ea<4>?1/>lh54:l1f5<1=2w/=995fc9Y1=<6s10ve5l50;&256<><2d:=?46;:k;g?6=,8;864:4n031>==<a1n1<7*>128:0>h69;0<76g7e;29 47420>0b<?=:798m=`=83.:=>464:l257<232c2<7>5$030><2<f8;96954i8394?"69:0286`>1380?>o>:3:1(<?<:868j4752;10e4=50;&256<><2d:=?4>;:k:1?6=,8;864:4n031>5=<g=h1<75rbg;94?d=83:p@<8::6y'614=0h1/?;65f:&101<3i2.9;h4>fb9'6db=;?<0(?oi:59m6g6=><1v(<:8:g;8^0>=9r21qd6m:18'545=1=1e=<<59:9j<f<72-;:?77;;o326?><3`2o6=4+1019=1=i9881;65f8d83>!76;33?7c?>2;48?l>a290/=<=5959m544==21b5=4?:%327??33g;:>7:4;h;2>5<#9891595a10097>=n1;0;6)?>3;;7?k76:3807d7<:18'545=1=1e=<<51:9j=0<72-;:?77;;o326?6<3f>j6=44}c020?6=j391hv*>468151=h:8:1<75f21794?=n:9<1<75f21594?=n:921<75f21;94?=n:9k1<75f21`94?=n:9i1<75f21f94?=n:9l1<75m20394?3=83:p(<:8:418L4043`hh6=44icf94?=njl0;66gmf;29?j77:3:17pl=1383>0<729q/=995579K535<aki1<75fbe83>>oem3:17dli:188k4652900qo<>3;291?6=8r.:8:4:4:J226=njj0;66gmd;29?ldb2900eoh50;9l554=831v???:181[468279=>4>039~w7622909wS<?5:?157<ek2wx>=850;0xZ761348:=7ll;|q142<72;qU>=94=331>gb<uz8;47>52z\14==::881nh5rs32:>5<5sW8;563=138ab>{t:9k1<7<t^32b?84693ho7p}=0c83>7}Y:9h01??<:ca8yv47k3:1>vP=0b9>645=jm1v?>k:181[47l279=<4me:p65`=838pR?>i;<025?da3ty9=<4?:3y>647=99801??<:cg8yv46:3:1>v3=138247=::891nk5r}c3:5?6=j391hv*>4682=4=h91n1<75f37c94?=n;?h1<75f37a94?=n;?n1<75f37g94?=n;?l1<75f36294?=n;>;1<75f36094?=n;>>1<75m19g94?3=83:p(<:8:418L4043`hh6=44icf94?=njl0;66gmf;29?j77:3:17pl>8g83>0<729q/=995579K535<aki1<75fbe83>>oem3:17dli:188k4652900qo?60;291?6=8r.:8:4:4:J226=njj0;66gmd;29?ldb2900eoh50;9l554=831v<6k:181[7?l27:5=4>039~w60f2909wS=9a:?2<`<el2wx?;l50;0xZ60e34;3i7ll;|q02f<72;qU?;m4=0:e>ge<uz9=h7>52z\02a=:91l1ni5rs24f>5<5sW9=i63>8g8aa>{t;?l1<7<t^24e?87?m3hn7p}<7183>7}Y;>:01<6i:cd8yv5093:1>vP<709>5<6=jj1v>9=:181[50:27:4h4mf:p722=838pR>9;;<3:4?dc3ty:4h4?:3y>5=c=99801<7?:cg8yv7?n3:1>v3>8g8247=:90:1nk5r}c037?6=j391hv*>468146=h9ol1<75f21794?=n:9<1<75f21594?=n:921<75f21;94?=n:9k1<75f21`94?=n:9i1<75f21f94?=n:9l1<75m21294?3=83:p(<:8:468L4043`hh6=44icf94?=njl0;66gmf;29?j77:3:17pl=0083>0<729q/=995579K535<aki1<75fbe83>>oem3:17dli:188k4652900qo<?2;291?6=8r.:8:4:4:J226=njj0;66gmd;29?ldb2900eoh50;9l554=831v<hi:181[7an279<?4>039~w7622909wS<?5:?145<el2wx>=850;0xZ761348;<7ll;|q142<72;qU>=94=323>gc<uz8;47>52z\14==::9;1nn5rs32:>5<5sW8;563=008a`>{t:9k1<7<t^32b?84793hm7p}=0c83>7}Y:9h01?>>:cg8yv47k3:1>vP=0b9>654=jj1v?>k:181[47l279<=4mf:p65`=838pR?>i;<036?dc3ty9<=4?:3y>656=99801?>=:cg8yv4793:1>v3=008247=::981nk5r}c04g?6=j391hv*>46813f=h:>21<75f21794?=n:9<1<75f21594?=n:921<75f21;94?=n:9k1<75f21`94?=n:9i1<75f21f94?=n:9l1<75m26;94?3=83:p(<:8:408L4043`hh6=44icf94?=njl0;66gmf;29?j77:3:17pl=7`83>0<729q/=995549K535<aki1<75fbe83>>oem3:17dli:188k4652900qo<8b;291?6=8r.:8:4:4:J226=njj0;66gmd;29?ldb2900eoh50;9l554=831v?97:181[400279;o4>039~w7622909wS<?5:?13d<el2wx>=850;0xZ761348<m7ll;|q142<72;qU>=94=35:>ge<uz8;47>52z\14==::>31ni5rs32:>5<5sW8;563=7`8aa>{t:9k1<7<t^32b?84013hm7p}=0c83>7}Y:9h01?9m:ca8yv47k3:1>vP=0b9>62?=jl1v?>k:181[47l279;o4md:p65`=838pR?>i;<04e?da3ty9;44?:3y>62?=99801?9m:cg8yv40i3:1>v3=7`8247=::>h1nk5r}c14f?6=j391hv*>46803g=h;>=1<75f37c94?=n;?h1<75f37a94?=n;?n1<75f37g94?=n;?l1<75f36294?=n;>;1<75f36094?=n;>>1<75m36:94?3=83:p(<:8:418L4043`hh6=44icf94?=njl0;66gmf;29?j77:3:17pl<7883>0<729q/=995579K535<aki1<75fbe83>>oem3:17dli:188k4652900qo=8a;291?6=8r.:8:4:4:J226=njj0;66gmd;29?ldb2900eoh50;9l554=831v>98:181[50?278;l4>039~w60f2909wS=9a:?03=<el2wx?;l50;0xZ60e349<47ll;|q02f<72;qU?;m4=25:>ge<uz9=h7>52z\02a=:;>21nh5rs24f>5<5sW9=i63<788a`>{t;?l1<7<t^24e?85013hm7p}<7183>7}Y;>:01>96:cg8yv5093:1>vP<709>72g=jj1v>9=:181[50:278;l4md:p722=838pR>9;;<14<?da3ty8;54?:3y>72>=99801>9n:cg8yv5013:1>v3<788247=:;>k1nk5r}c142?6=;3:1<v*>4687g>N6>:1bnn4?::ka`?6=3f;;>7>5;|`153<72=0;6=u+155914=O9?90eom50;9jfa<722cii7>5;n336?6=3th8444?:`83>5}#9==1==?4H040?ldd2900eoj50;9jf`<722cij7>5;ha3>5<<aj;1<75fc383>>od;3:17dm;:188k4652900qo?7c;297?6=8r.:8:4;c:J226=njj0;66gmd;29?j77:3:17pl<8183>6<729q/=9954b9K535<aki1<75fbe83>>i68;0;66sm39094?5=83:p(<:8:5a8L4043`hh6=44icf94?=h9981<75rb2:4>5<4290;w)?;7;330>N6>:1bnn4?::ka`?6=3f;;>7>5;|`2b`<72:0;6=u+15590f=O9?90eom50;9jfa<722e:<?4?::a621=8391<7>t$064>1e<@8<87dll:188mgb=831d==<50;9~f6>d29096=4?{%373?e13A;=?6gmb;29?j77:3:17pl>b283>7<729q/=995c79K535<akh1<75`11094?=z{;;>6=4={_021>;59?0:<?5rs2:;>5<5sW93463<888247=z{8lo6=4={_3e`>;6nl0:<?5rs355>5<5sW8<:63=768247=z{;9>6=4={_001>;6il0>56s|19`94?4|V82i70?7c;336>{t;1?1<7<t=gc90g=:;1:1nn5rs2:5>5<5s4li69l4=2:1>ge<uz9<i7>54z?2<f<el2784:4>039>5cc=jm16>:95be9~w6>42909w0h7:5c896>72kn0q~=74;296~;a13>j70=72;`g?xu60m0;6?u218395=b<582h6om4}r3a6?6=:r7:mh492:?2f6<68;1v???:180847<3;?=63=158155=:;1i1no5rs35;>5<5s48<o7<88:?132<ek2wx?:950;0x961e2:=<70=86;``?xu40k0;6?u239c9565<5:2h6<>=;|q2bc<72;q6>==51gd894`b2ki0q~=7a;297~;40h0:=95237;925=:;><1ni5rs0cf>5<2s4;ji7?>4:?0<d<21279<94:f:?02<<2n27:n>4mb:p72`=838p1>6?:021?85??3hh7p}<8083>7}:;181==<4=2:4>gb<uz9<97>52z?02<<6<816?:851108yv47n3:1;v3=058277=:nh02963i8;;6?846<38;j63=02814c=::>i1>=h4=335>gc<,8>;6?>j;o30b?6<uz8;h7>57z?141<6;916jl463:?e<??4348:87<?d:?146<58m16>:m521f897712kn0(<:?:32f?k74n3;0q~<?c;293~;58=0:>h52f`8:6>;a033970<>4;03g>;58:09<n5226a965e<5;;=6om4$063>76b3g;8j7<4}r03f?6=>r79<94>2b9>bd<>927m477>;<020?47j279<>4=0c9>62e=:9h0(<:?:32f?k74n390q~<?a;292~;58=0:>o52f`8:4>;a033;70<>4;03e>;58:09<l5226a965g<,8>;6?>j;o30b?2<uz8;57>56z?141<6:h16jl47f:?e<?>a348:87<?9:?146<58016>:m521;8 4272;:n7c?<f;78yv4703:1:v3=05826<=:nh03i63i8;:f?846<38;463=02814==::>i1>=64$063>76b3g;8j784}r033?6=>r79<94>269>bd<?l27m476k;<020?47?279<>4=069>62e=:9=0(<:?:32f?k74n3=0q~<?6;292~;58=0:><52f`8;g>;a032h70<>4;032>;58:09<;5226a9650<,8>;6?>j;o30b?><uz8;97>56z?141<69<16jl47b:?e<?>e348:87<?5:?146<58<16>:m52178 4272;:n7c?<f;;8yv50<3:1:v3<688277=:nk02963i9;;6?87>939<863<7c8031=:;131o95+1529725<f89m6=5rs251>5<1s49=57?<0:?ef??434l264=4=0;2>615349<n7=82:?0<<<d;2.:8=4<729m56`=92wx?:?50;4x960>288n70hm:8089c?=1;16=4?53638961e2:=:70=79;a1?!73839<?6`>3g81?xu4?90;6;u237;957e<5oh15<52f88:5>;61808;=5236`9726<5:226n?4$063>6143g;8j7=4}r15b?6=>r78:44>2c9>bg<>827m577?;<3:5?51n278;o4<6g9>7=?=k91/=9>53618j45a2=1v>8j:18585113;9m63ib;:e?8`>21l01<7>:24f?850j39=i63<888ab>"6<908;>5a12d91>{t;?n1<78t=24:>44>34li65k4=g;9<`=:90;1?;j4=25a>60c349357lj;%374?50;2d:?k49;|q02f<72?q6?;7513589cd=0m16j447d:?2=4<4>j16?:l537a896>>2kn0(<:?:250?k74n3=0q~=9b;292~;4>00:><52fc8;g>;a132h70?61;15f>;4?k08:o5239;9ff=#9=:1?:=4n01e>==z{:<j6=4:{<15=?76=27mn76m;<d:>=d<583:6>8n;<14f?51i2.:8=4<729m56`=12wx==>50;0x940128:m70=7a;41?x{e:8=1<7h528800~J6>=0:wEmj;|N220<5sg8i<79;;%0bb?4<u-;?;7<>a:X6<?4|:909j7sU12g93~462:;1=84>e;32>16=k3wb9o4?::k27=<722chm7>5;ha`>5<<g8286=4+10195=4<f8;96=54o05f>5<#9891=5<4n031>4=<g8=36=4+10195=4<f8;96?54o051>5<#9891=5<4n031>6=<g;h36=4+10196g1<f8;96=54o3`5>5<#9891>o94n031>4=<g;h>6=4+10196g1<f8;96?54o3`7>5<#9891>o94n031>6=<g;h86=4+10196g1<f8;96954o3`1>5<#9891>o94n031>0=<g;h:6=4+10196g1<f8;96;54b6d94?2=83:p(<:8:8:8L4043E;=97<t$3ce>6=i:k:18>5ri4;94?=n=j0;66g92;29?j76<3:17pl8e;290?6=8r.:8:468:J226=K9??1>v*=ag80?k4e83>87pg:9;29?l3d2900e;<50;9l542=831vnnl50;694?6|,8><6464H040?I71=38p(?oi:29m6g6=<:1ve8750;9j1f<722c=>7>5;n320?6=3thhh7>54;294~"6<>0246F>629O533=:r.9mk4<;o0a4?243tc>57>5;h7`>5<<a?81<75`10694?=zj;2o6=4;:183!73?33j7E?93:N220<5s-8jj7=4n3`3>1`<u`?26=44i4a94?=n>;0;66a>1583>>{e:1o1<7:50;2x 42020k0D<8<;M351?4|,;km6>5a2c290c=za<31<75f5b83>>o1:3:17b?>4;29?xd5190;694?:1y'511=1h1C=;=4L046>7}#:hl1?6`=b187b>{n=00;66g:c;29?l052900c<?;:188yg4>93:187>50z&202<>i2B::>5C17796~"5io087c<m0;6e?xo213:17d;l:188m34=831d=<:50;9~f7?5290?6=4?{%373??f3A;=?6B>6481!4fn390b?l?:5d8yl3>2900e8m50;9j27<722e:=94?::a6<5=83>1<7>t$064><g<@8<87A?95;0x 7ga2:1e>o>54g9~m0?=831b9n4?::k56?6=3f;:87>5;|`1=1<72=0;6=u+1559=d=O9?90@<8::3y'6d`=;2d9n=4;f:j1<<722c>o7>5;h41>5<<g8;?6=44}c0:1?6=<3:1<v*>468:e>N6>:1G=;;52z&1ec<43g8i<7:i;|k6=?6=3`?h6=44i7094?=h98>1<75rb3;5>5<3290;w)?;7;;b?M71;2F::84={%0bb?5<f;h;69h4}h7:>5<<a<i1<75f6383>>i69=0;66sm28594?2=83:p(<:8:8c8L4043E;=97<t$3ce>6=i:k:18k5ri4;94?=n=j0;66g92;29?j76<3:17pl=8g83>1<729q/=9959`9K535<D8<>6?u+2`d97>h5j90?j6sf5883>>o2k3:17d8=:188k4732900qo<n3;290?6=8r.:8:46a:J226=K9??1>v*=ag80?k4e83?;7pg:9;29?l3d2900e;<50;9l542=831vn?o::187>5<7s-;?;77n;I357>J6><09w)<nf;18j7d72<:0qd;6:188m0e=831b:?4?::m251<722wi>l850;694?6|,8><64o4H040?I71=38p(?oi:29m6g6==91ve8750;9j1f<722c=>7>5;n320?6=3th9m:4?:583>5}#9==15l5G1718H4022;q/>lh53:l1f5<282wb944?::k6g?6=3`<96=44o037>5<<uk8j47>54;294~"6<>02m6F>629O533=:r.9mk4<;o0a4?373tc>57>5;h7`>5<<a?81<75`10694?=zj;k26=4;:183!73?33j7E?93:N220<5s-8jj7=4n3`3>06<u`?26=44i4a94?=n>;0;66a>1583>>{e:hk1<7:50;2x 42020k0D<8<;M351?4|,;km6>5a2c2915=za<31<75f5b83>>o1:3:17b?>4;29?xd5ik0;694?:1y'511=1h1C=;=4L046>7}#:hl1?6`=b1864>{n=00;66g:c;29?l052900c<?;:188yg4fk3:187>50z&202<>i2B::>5C17796~"5io087c<m0;73?xo213:17d;l:188m34=831d=<:50;9~f7g3290?6=4?{%373??f3A;=?6B>6481!4fn390b?l?:428yl3>2900e8m50;9j27<722e:=94?::a503=83?1<7>t$064><?<@8<87A?95;0x 7ga2:1e>o>55e9~m0?=831b9l4?::k6g?6=3`<96=44o037>5<<uk;>:7>55;294~"6<>0256F>629O533=:r.9mk4<;o0a4?3c3tc>57>5;h7b>5<<a<i1<75f6383>>i69=0;66sm14594?3=83:p(<:8:8;8L4043E;=97<t$3ce>6=i:k:19i5ri4;94?=n=h0;66g:c;29?l052900c<?;:188yg7203:197>50z&202<>12B::>5C17796~"5io087c<m0;7g?xo213:17d;n:188m0e=831b:?4?::m251<722wi=8750;794?6|,8><6474H040?I71=38p(?oi:29m6g6==m1ve8750;9j1d<722c>o7>5;h41>5<<g8;?6=44}c36e?6==3:1<v*>468:=>N6>:1G=;;52z&1ec<43g8i<7;k;|k6=?6=3`?j6=44i4a94?=n>;0;66a>1583>>{e9<h1<7;50;2x 4202030D<8<;M351?4|,;km6>5a2c291a=za<31<75f5`83>>o2k3:17d8=:188k4732900qo?:c;291?6=8r.:8:469:J226=K9??1>v*=ag80?k4e83?o7pg:9;29?l3f2900e8m50;9j27<722e:=94?::a5<b=83o1<7>tL046><}#:>o1=om4$0d1>6003-88j78=;%00f?303-8??7?>4:&17a<2n2d9?:4>;%0bb?5<f;h;6>64}%373?7>l2P>47?t158~m0`=831d=<;50;&256<6911e=<<5c:9l577=83.:=>4>199m544=j21d=?950;&256<6911e=<<5a:9l57?=83.:=>4>199m544=121d=?o50;&256<6911e=<<58:9l57d=83.:=>4>199m544=?21d=?m50;&256<6911e=<<56:9l57c=83.:=>4>199m544==21d=>>50;&256<6911e=<<54:9l564=83.:=>4>199m544=;21d=<850;&256<6911e=<<52:9l541=83.:=>4>199m544=921d=<750;&256<6911e=<<50:9~fcb=83h1<7>tL046>2}#:>o1>:j4$31`>40d3-8?<7?i3:l1e`<682d84i48;%0bb?5<f;h;6;m4}%373?`c3S?36?u>c;0f>xo6>m0;6)?>3;344>h69;0876g>6d83>!76;3;<<6`>1381?>o6>o0;6)?>3;344>h69;0:76g>7083>!76;3;<<6`>1383?>i6n=0;6)?>3;3ee>h69;0=76a>f483>!76;3;mm6`>1386?>i6n?0;6)?>3;3ee>h69;0?76a>f683>!76;3;mm6`>1380?>i6n10;6)?>3;3ee>h69;0976a>f883>!76;3;mm6`>1382?>i6nk0;6)?>3;3ee>h69;0;76smf683>1<42?q/=995f69lb7<722c:;54?::k211<722c:8k4?::`e2?6=;3:1<v*>4687g>N6>:1bnn4?::ka`?6=3f;;>7>5;|`e0?6=;3:1<v*>4687`>N6>:1bnn4?::ka`?6=3f;;>7>5;|`e1?6=;3:1<v*>468241=O9?90eom50;9jfa<722e:<?4?::pb7<72;qUj?52f48247=z{8=36=4<{_34<>;a>3hh70h;:ca8yv72<3:1>vP>559>b3<el2wx=9h50;0xZ42a34l?6oj4}rd0>5<5s4l=6<>=;<d6>ge<uzl?6=4={<d7>46534l>6oj4}|`e4?6=<391:v*>468e4>ibj3:17d?88;29?l72:3:17d?;e;29?gca29086=4?{%373?2d3A;=?6gmc;29?ldc2900c<>=:188ygcc29086=4?{%373?2c3A;=?6gmc;29?ldc2900c<>=:188ygcb29086=4?{%373?77<2B::>5fbb83>>oel3:17b??2;29?xubj3:1>vPjb:?fa?77:2wx=:650;1xZ41?34om6om4=df9ff=z{8?96=4={_366>;bn3ho7p}>4d83>7}Y9=o01hj5be9~w`e=838p1hh511089`c=jj1vhj50;0x9`b=99801hk5be9~ygcf290?6>49{%373?cf3fo>6=44i05;>5<<a8?:6=44i06g>5<<jl31<7=50;2x 4202=i0D<8<;h``>5<<akn1<75`11094?=zjl=1<7=50;2x 4202=n0D<8<;h``>5<<akn1<75`11094?=zjl21<7=50;2x 42028:?7E?93:kag?6=3`ho6=44o021>5<<uzo>6=4={_g6?8c?28:97p}>7983>6}Y9>201h75bb9>a2<ek2wx=8?50;0xZ43634o26oj4}r37`?6=:rT:8i52e68a`>{tm?0;6?u2e88247=:m10io6s|e683>7}:m>0:<?52e98a`>{zjl>1<7:53;4x 4202l>0cih50;9j52>=831b=8>50;9j51e=831ii>4?:283>5}#9==18n5G1718mge=831bni4?::m247<722wii<4?:283>5}#9==18i5G1718mge=831bni4?::m247<722wii?4?:283>5}#9==1==:4H040?ldd2900eoj50;9l554=831vih50;0xZa`<5l81==<4}r34<?6=;rT:;552e28ag>;b93hh7p}>5183>7}Y9<:01h=5be9~w42d2909wS?;c:?f5?dc3tyn<7>52z?f7?77:27n>7ll;|qf5?6=:r7n=7??2:?f6?dc3twi=;?50;;97?d|,8><6<8>;n36`?6=3`82m7>5;h0:f?6=3`82o7>5;h0:`?6=3`82i7>5;h0:b?6=3`8j<7>5;h0b5?6=3k;>i7>55;294~"6<>0>>6F>629jff<722cih7>5;h`f>5<<akl1<75`11094?=zj8?m6=4::183!73?3?=7E?93:kag?6=3`ho6=44icg94?=njo0;66a>0383>>{e9?:1<7=50;2x 4202=i0D<8<;h``>5<<akn1<75`11094?=z{8?o6=4={_36`>;6>90:<?5rs3;b>5<5sW82m63>5g8ag>{t:0h1<7<t^3;a?872n3ho7p}=9b83>7}Y:0i01<;i:cg8yv4>l3:1>vP=9e9>50`=jo1v?7j:181[4>m27:9h4mc:p6<`=838pR?7i;<36a?dc3ty9m=4?:3y]6d6<58?n6ok4}r0b5?6=:rT9m<5214g9fc=z{8?n6=4={<36a?77:27::=4mc:p50`=838p1<;i:021?87183ho7psm19594?4=83:p(<:8:b48L4043`hi6=44o021>5<<uz?i6=4={_7a?87>l3?m7p}>3983>74|V893709i:4a892c==j16oo4:c:?``?3d3483h7;l;<0;a?3d3482<7;l;<0:5?3d3482>7;l;<0:7?3d348287;l;<0:1?3d3482:7;l;<0:3?3d3483j7;l;<0b7?3d348j97;l;<0b2?3d348j;7;l;<0b<?3d348j57;l;<0be?3d348jn7;l;<0bg?3d348j87;l;<361?3d34;>:7;l;<363?3d34;>47;l;<36=?3d34;>m7;l;<36f?3d34;>o7;l;|q`e?6=:rThm638f;41?xudk3:1>vPlc:?4a?053ty<i7>52z?4a?76<27hh78=;|q4b?6=:r7<j7?>4:?`f?053ty:9i4?:8y>503==h16=8855`9>501==h16=8655`9>50?==h16=8o55`9>50d==h16=8m55`9>537=9<n0q~mm:182a~;dj3;:863=8e86=>;50l0>563=9186=>;5180>563=9386=>;51:0>563=9586=>;51<0>563=9786=>;51>0>563=8g86=>;5i:0>563=a486=>;5i?0>563=a686=>;5i10>563=a886=>;5ih0>563=ac86=>;5ij0>563=a586=>;6=<0>563>5786=>;6=>0>563>5986=>;6=00>563>5`86=>;6=k0>563>5b86=>{tkm0;6?u2ce8251=::1l1:?5rs05;>5<0sW;<463>9e825<=:n>0:;552f1823==:mh0:;552e5823==:91=1no5+15295=4<f89m6?5rs051>5<5sW;<>63>868247=#9=:1=5<4n01e>6=z{;h36=4={_0a<>;al3;mn6*>4181f2=i9:l1<6s|2c494?4|V;h=70hk:0d:?!73838i;6`>3g82?xu5j<0;6?uQ2c789cb=9o20(<:?:3`4?k74n380q~<m4;296~X5j=16ji4>f69'516=:k=0b<=i:29~w7d42909wS<m3:?e`?7a>2.:8=4=b69m56`=<2wx>o<50;0xZ7d534lo6<h:;%374?4e?2d:?k4:;|q1f4<72;qU>o?4=gf95c2<,8>;6?l8;o30b?0<uz;j>7>55z?4b?3>34=n6874=b`91<=:km0>563>9e826<=#9=:1=4h4n01e><=z{o81<7<t=gf9527<5o=1j?5+1529b4=i9:l1<6s|ec83>7}:nm0::k52f18ff>"6<90m=6`>3g82?xub=3:1>v3id;35a>;bi3o>7)?;0;d2?k74n380q~ji:1818`c28<o70k;:ed8 4272o;0b<=i:29~w7>42909w0<67;41?84?n3;:86*>4181<7=i9:l1<6s|29a94?4|5;3=6;<4=3;4>4733-;?<7<72:l27c<63ty94o4?:2y>6<3=>;16>4851068943d2?80(<:?:3:1?k74n380q~<7a;297~;51=0=>63=948251=:9<h1:?5+15296=4<f89m6>5rs3::>5<4s482?78=;<0:0?76<27:9l492:&205<50;1e=>h54:p6=>=839p1?7=:70897?428;?70?:9;41?!738383>6`>3g86?xu50>0;6>u2283927=::081=<:4=07;>34<,8>;6?6=;o30b?0<uz83:7>53z?1=5<1:2795<4>159>501=>;1/=9>52908j45a2>1v?6::18084?m3<970<60;320>;6=?0=>6*>4181<7=i9:l146s|29694?5|5;2o6;<4=3:f>47334;>978=;%374?4?:2d:?k46;|q1<4<72;q6>5j5106897g32?80(<:?:3:1?k74n3k0q~?:4;296~;6=j0:=952f68211=#9=:1=8=4n01e>5=z{8?96=4={<36f?76<27m<7?:2:&205<6=:1e=>h51:p507=838p1<;n:037?8cf28?:7)?;0;367>h6;o097p}>5183>7}:9<31=<:4=d69506<,8>;6<;<;o30b?5<uz;?j7>52z?21=<69=16j:4>4g9'516=9<90b<=i:59~w42b2909w0?:7;320>;a83;?i6*>418216=i9:l196s|15f94?4|58?=6<?;;<gb>42c3-;?<7?:3:l27c<13ty:8n4?:3y>503=98>01h:515a8 42728?87c?<f;58yv4>13:1>v3=ab856>;5i=0:=95+15296<><f89m6=5rs3c1>5<5s48jn78=;<0bg?76<2.:8=4=999m56`=92wx>l?50;1x97gf2?801?om:037?871938j=6*>4181===i9:l1>6s|2`294?5|5;k26;<4=3cb>47334;==7<n0:&205<5111e=>h53:p6<`=839p1?o7:70897g>28;?70?91;0:b>"6<909555a12d90>{t:0o1<7=t=3c4>34<5;k36<?;;<355?4>m2.:8=4=999m56`==2wx>4j50;1x97g12?801?o8:037?8719382h6*>4181===i9:l1:6s|28a94?5|5;k>6;<4=3c5>47334;==7<6c:&205<5111e=>h57:p6<d=839p1?o<:70897g228;?70?91;0:f>"6<909555a12d9<>{t:0k1<7<t=3c0>47334;==7<6a:&205<5111e=>h59:~f451290h694>7zN221<6sAin7pB>6481k4e83=i7)<nf;08y!73?33=7W;7:0y0e?{]9:o1>v:=:539yl3e2900e<=7:188m45f2900c<:9:188ka?=83.:=>4k8:l257<732eo;7>5$030>a><f8;96<54oe494?"69:0o46`>1381?>ic=3:1(<?<:e:8j4752:10ci:50;&256<c02d:=?4;;:mg7?6=,8;86i64n031>0=<gm81<7*>128g<>h69;0=76ak1;29 4742m20b<?=:698f42?290:n7:>:77xH40328qCoh5rL046>7}i:k:1n?5+2`d94>{#9==1=>94Z4:96~5>2:<1qW?<e;cx00<4<3;96?95128a>70=:<0947:6:|)270<722!:8>4?::k6f?6=3f3o6=44i01;>5<<a89j6=44o020>5<<g8;:6=44i017>5<<g8>=6=44i06a>5<<g>n1<7*>1284g>h69;0;76a8b;29 4742>i0b<?=:098k2g=83.:=>48c:l257<532e<57>5$030>2e<f8;96>54o6:94?"69:0<o6`>1387?>i0?3:1(<?<:6a8j4752<10c:850;&256<0k2d:=?49;:m41?6=,8;86:m4n031>2=<a>>1<7*>12847>h69;0;76g82;29 4742>90b<?=:098m27=83.:=>483:l257<532c<<7>5$030>25<f8;96>54i7d94?"69:0<?6`>1387?>o1m3:1(<?<:618j4752<10e;j50;&256<0;2d:=?49;:k5g?6=,8;86:=4n031>2=<j8<=6=4>:183!73?3;?56F>629l55`=831vn>><:185>4<1sE;=87?tHbg8yI71=38p(?oi:09m6g6=9?i0q)?;7;`7?l3a2900e<=l:188k4602900c<>6:188mf1=831bo54?::`a7?6=>3>14vB>6582Meb3t.:8:4<029jg2<722ch47>5;h42>5<<a8>96=44o024>5<<g8:26=44b`294?2=83:p(<:8:8a8L4043E;=87?t$b791>{n=00;66g92;29?l74;3:17b?>4;29?xdfi3:187>50z&202<>k2B::>5C17695~"d=3?0qd;6:188m34=831b=>=50;9l542=831vnl650;696?3|,8><6l64i024>5<<aj21<75f11;94?=hi80;66ln5;297?6=8r.:8:4;d:J226=njj0;66gmd;29?j77:3:17pln3;297?6=8r.:8:4>059K535<aki1<75fbe83>>i68;0;66s|11594?4|V8:<70o<:cf8yve?2909wSm7;<c6>ge<uz;;57>52z\24<=:i<0ih6s|a083>7}Yi816m>4>039~wd4=838p1l;511089d5=jj1vqol=:187>5<7s-;?;7;>;I357>oek3:17dlk:188mgc=831d==<50;9~wf1=838pRn94=c09ff=z{j21<7<t^b:89d>=k11v;?50;1xZ37<5h:19452a`86=>{t9=81<7=t^061?8g7289870on:010?xu68>0;69uQ11589dg=98>01l6511589g4=jm1v<>6:187[77127j<7?>4:?b<?77127i>7lj;|qb5?6=:r7j<78=;<c;>d7<uzki6=4={<cb>34<5k81==<4}|q6b?6=:rT>j63m3;42?xu6;j0;6?uQ12a89g5=9=80q~??7;296~X68>16n>4>069~w46>2909wS??9:?a7?7712wxo:4?:3y]g2=:j:0h;6s|c983>7}Yk116n>4l8:~f7`029036<47{M350?7|@jo0qA?95;0x 7ga281e>o>513`8y!73?3h>7d;i:188m45d2900c<>8:188k46>2900c<>m:188k46c2900en950;9jg=<722hi?7>58;:9g~J6>=0:wEmj;|&202<5n>1bo:4?::k`<?6=3`<:6=44i061>5<<g8:<6=44o02:>5<<g8:i6=44o02g>5<<jh:1<7:50;2x 42020i0D<8<;M350?7|,j?196sf5883>>o1:3:17d?<3;29?j76<3:17plna;290?6=8r.:8:46c:J226=K9?>1=v*l5;78yl3>2900e;<50;9j565=831d=<:50;9~fd>=83?1?79t$064>d><ahk1<75fc983>>of83:17dhi:188kd7=831im:4?:283>5}#9==18n5G1718mge=831bni4?::m247<722wim>4?:283>5}#9==18n5G1718mge=831bni4?::m247<722wim;4?:283>5}#9==1==:4H040?ldd2900eoj50;9l554=831vlo50;0xZdg<5h=1nn5rsb:94?4|Vj201l95be9~wd6=838pRl>4=`19ff=z{ol1<7<t^gd89d5=jm1vl?50;0xZd7<5h<1==<4}rc1>5<5s4k<6<>=;<c5>ge<uzk86=4={<c0>46534k=6oj4}|`a5?6==391;v*>468a5>ofi3:17dm7:188md6=831bjk4?::mbf?6=3kh;6=4<:183!73?3>h7E?93:kag?6=3`ho6=44o021>5<<ukko6=4<:183!73?3>o7E?93:kag?6=3`ho6=44o021>5<<ukkm6=4<:183!73?3;;86F>629jff<722cih7>5;n336?6=3tyjm7>52z\be>;e83hh7p}l8;296~Xd027i<7lk;|qb4?6=:rTj<63nd;``?xuan3:1>vPif:?b`?dc3tyjn7>52z\bf>;fn3;;>6s|ab83>7}:j90:<?52ag8ag>{tim0;6?u2ae8247=:io0ih6srb02;>5<4290;w)?;7;6f?M71;2cio7>5;h`g>5<<g8:96=44}c33g?6=;3:1<v*>4687g>N6>:1bnn4?::ka`?6=3f;;>7>5;|`24`<72:0;6=u+15590a=O9?90eom50;9jfa<722e:<?4?::ae1<72:0;6=u+1559552<@8<87dll:188mgb=831d==<50;9~wd6=83<p1l>510689d>=i916n<4n0:?24=<el27:<n4md:?24`<el2wxml4?:6y>ed<69=16m54na:?a5?gf34;;47ll;<33g?dd34;;i7ll;<c7>ge<uzi<6=4={_a4?8g32kn0q~m7:180[e?34k36n64=c39g==z{?;1<7=t^7389d6==016ml4:9:p514=839pR<:=;<c3>45434kj6<=<;|q242<72;qU==94=02;>4653ty:<o4?:3y]55d<58:h6<>=;|q24a<72;qU==j4=02f>4653tyj=7>52z?b4?0534k36l?4}rca>5<5s4kj6;<4=c39eg=z{ol1<7=t=`:9bc=:j80mj63n4;336>{z{<l1<7<t^4d89g5=>81v<=l:181[74k27i?7?;2:p551=838pR<>8;<`0>4603ty:<44?:3y]55?<5k91==74}r33f?6=:rT:<o52b2824g=z{8:o6=4={_33`>;e;3;;h6s|c683>7}Yk>16n>4l7:pg=<72;qUo552b28`<>{zj;li6=46:08:I71<3;pDnk4}M351?4|,;km6<5a2c29`f=z,8><6o84i4d94?=n9:i1<75`11594?=h9931<75`11`94?=h99n1<75fc683>>od03:17dm6:188fg5=8331m7htL047>4}Okl1v(<:8:3da?le02900en650;9jg<<722c==7>5;h376?6=3f;;;7>5;n33=?6=3f;;n7>5;n33`?6=3kk;6=4;:183!73?33h7E?93:N221<6s-i>685ri4;94?=n>;0;66g>3283>>i69=0;66sma`83>1<729q/=9959b9K535<D8<?6<u+c486?xo213:17d8=:188m4542900c<?;:188ygd6290>6>48{%373?d63`kj6=44ib;94?=ni90;66g>0183>>ifj3:17ol?:180>5<7s-;?;7:k;I357>oek3:17dlk:188k4652900qook:180>5<7s-;?;7:k;I357>oek3:17dlk:188k4652900qooi:180>5<7s-;?;7??4:J226=njj0;66gmd;29?j77:3:17p}na;296~Xfi27i<7lk;|q`=?6=:rTh563m0;``?xuf83:1>vPn0:?b`?dd3ty:<=4?:3y]556<5hn1ni5rs``94?4|Vhh01lh51108yvgd2909w0l?:021?8ga2ki0q~ok:1818gc28:970oi:cf8yxd6810;6>4?:1y'511=<l1C=;=4ica94?=njm0;66a>0383>>{e99k1<7=50;2x 4202=n0D<8<;h``>5<<akn1<75`11094?=zj8:h6=4<:183!73?3>h7E?93:kag?6=3`ho6=44o021>5<<uk;;i7>53;294~"6<>0?h6F>629jff<722cih7>5;n336?6=3thj87>53;294~"6<>0:<95G1718mge=831bni4?::m247<722wim44?:283>5}#9==18n5G1718mge=831bni4?::m247<722wimh4?:283>5}#9==1==;4H040?ldd2900eoj50;9l554=831vl>50;5x9d6=98>01o?5a19>55>=jm16==o5bb9>55e=jm16==k5be9>e1<ek2wxml4?:9y>ed<69=16n<4na:?24=<ek27:<l4md:?24f<ek27:<h4mc:?b=?dd34kn6oj4}ra4>5<5sWi<70oj:ca8yve?2909wSm7;<c7>gb<uzi26=4={_a:?8d62j30q~8>:180[0634k;6874=`c91<=z{8>96=4<{_376>;f83;8?63na;307>{t99=1<7<t^024?87703;;>6s|11;94?4|V8:270??a;336>{t99h1<7<t^02a?877k3;;>6s|11f94?4|V8:o70??e;336>{ti80;6?u2a1856>;f13;;>6s|ac83>7}:ih0=>63m1;ca?xuan3:1>v3n4;336>;f13ho7p}>0183>7}:j80:<=52ad8247=zuz?m6=4={_7e?8d42?;0q~?<c;296~X6;j16n>4>439~w4602909wS??7:?a7?77?2wx==750;0xZ46>34h86<>6;|q24g<72;qU==l4=c1955d<uz;;h7>52z\24a=:j:0:<i5rsb594?4|Vj=01o=5c69~wf>=838pRn64=c19g==z{j31<7<t^b;89g5=k01vqo?;3;297?7=;rF::94>{Iaf?xJ6><0<wc<m0;30=>"5?l09=o5+22d927=#:=91=<:4$362>g?<f;926=5+2`d95>{#9==1ho5f6383>>i69=0;66gm9;29?g3=8391<7>t$064>a6<@8<87A?94;3x f3=>2wb:?4?::ka3?6=3f;:87>5;|q56?6=:rT=>63::708yv76<3:1>vP>159>1?76<2wxn44?:3y]f<=:=3h<7psm25494?2=83:p(<:8:8`8L4043E;=87?t$b791>{K9??1>v*=ag82?k4e83237pg:9;29?l3f2900e;<50;9l542=831vn?8n:187>5<7s-;?;77m;I357>J6>=0:w)m::79~H4022;q/>lh51:l1f5<d>2wb944?::k6e?6=3`<96=44o037>5<<uk8=n7>54;294~"6<>02n6F>629O532=9r.h9784}M351?4|,;km6<5a2c29g3=za<31<75f5`83>>o1:3:17b?>4;29?xd5>j0;694?:1y'511=1k1C=;=4L047>4}#k<0=7pB>6481!4fn3;0b?l?:b48yl3>2900e8o50;9j27<722e:=94?::a63b=83>1<7>t$064><d<@8<87A?94;3x f3=>2wG=;;52z&1ec<63g8i<7m9;|k6=?6=3`?j6=44i7094?=h98>1<75rb34f>5<3290;w)?;7;;a?M71;2F::94>{%a6>3=zD8<>6?u+2`d95>h5j90h:6sf5883>>o2i3:17d8=:188k4732900qo<9f;290?6=8r.:8:46b:J226=K9?>1=v*l5;48yI71=38p(?oi:09m6g6=k?1ve8750;9j1d<722c=>7>5;n320?6=3th9;=4?:583>5}#9==15o5G1718H40328q/o849;|N220<5s-8jj7?4n3`3>f0<u`?26=44i4c94?=n>;0;66a>1583>>{e:>;1<7:50;2x 42020h0D<8<;M350?7|,j?1:6sC17796~"5io0:7c<m0;a5?xo213:17d;n:188m34=831d=<:50;9~f715290?6=4?{%373??e3A;=?6B>6582!e22?1v@<8::3y'6d`=92d9n=4l6:j1<<722c>m7>5;h41>5<<g8;?6=44}c047?6=<3:1<v*>468:f>N6>:1G=;:51z&`1?0<uE;=97<t$3ce>4=i:k:1o;5ri4;94?=n=h0;66g92;29?j76<3:17pl>3483>0<729q/=995989K535<D8<>6?u+2`d95>h5j90i86sf5883>>o2i3:17d;l:188m34=831d=<:50;9~f647290?6=4?{%373??e3A;=?6B>6582!e22?1v@<8::3y'6d`=92d9n=4>639~m0?=831b9l4?::k56?6=3f;:87>5;|`064<72=0;6=u+1559=g=O9?90@<8;:0y'g0<13tF::84={%0bb?7<f;h;6<8=;|k6=?6=3`?j6=44i7094?=h98>1<75rb201>5<3290;w)?;7;;a?M71;2F::94>{%a6>3=zD8<>6?u+2`d95>h5j90::?5ri4;94?=n=h0;66g92;29?j76<3:17pl<2283>1<729q/=9959c9K535<D8<?6<u+c485?xJ6><09w)<nf;38j7d728<97pg:9;29?l3f2900e;<50;9l542=831vn><;:187>5<7s-;?;77m;I357>J6>=0:w)m::79~H4022;q/>lh51:l1f5<6>;1ve8750;9j1d<722c=>7>5;n320?6=3th8>84?:583>5}#9==15o5G1718H40328q/o849;|N220<5s-8jj7?4n3`3>4053tc>57>5;h7b>5<<a?81<75`10694?=zj:8=6=4;:183!73?33i7E?93:N221<6s-i>6;5rL046>7}#:hl1=6`=b18227=za<31<75f5`83>>o1:3:17b?>4;29?xd4:>0;694?:1y'511=1k1C=;=4L047>4}#k<0=7pB>6481!4fn3;0b?l?:041?xo213:17d;n:188m34=831d=<:50;9~f64?290?6=4?{%373??e3A;=?6B>6582!e22?1v@<8::3y'6d`=92d9n=4>639~m0?=831b9l4?::k56?6=3f;:87>5;|`06<<72=0;6=u+1559=g=O9?90@<8;:0y'g0<13tF::84={%0bb?7<f;h;6<8=;|k6=?6=3`?j6=44i7094?=h98>1<75rb376>5<3290;w)?;7;;a?M71;2F::94>{%a6>0=zD8<>6?u+2`d95>h5j90io6sf5883>>o2i3:17d8=:188k4732900qo<:6;290?6=8r.:8:46b:J226=K9?>1=v*l5;78yI71=38p(?oi:09m6g6=jj1ve8750;9j1d<722c=>7>5;n320?6=3th99:4?:583>5}#9==15o5G1718H40328q/o84:;|N220<5s-8jj7?4n3`3>ge<u`?26=44i4c94?=n>;0;66a>1583>>{e:<21<7:50;2x 42020h0D<8<;M350?7|,j?196sC17796~"5io0:7c<m0;``?xo213:17d;n:188m34=831d=<:50;9~f73>290?6=4?{%373??e3A;=?6B>6582!e22<1v@<8::3y'6d`=92d9n=4mc:j1<<722c>m7>5;h41>5<<g8;?6=44}c06e?6=<3:1<v*>468:f>N6>:1G=;:51z&`1?3<uE;=97<t$3ce>4=i:k:1nn5ri4;94?=n=h0;66g92;29?j76<3:17pl=5c83>1<729q/=9959c9K535<D8<?6<u+c486?xJ6><09w)<nf;38j7d72ki0qd;6:188m0g=831b:?4?::m251<722wi>8m50;694?6|,8><64l4H040?I71<3;p(n;55:O533=:r.9mk4>;o0a4?dd3tc>57>5;h7b>5<<a?81<75`10694?=zj83o6=4m:183I71=3hp(?9j:0``?!7a:39=;6*=3g856>"5;k0>;6*=428251=#::n19k5a22595>"5<<0:8<5a22c95>"5io0:7c<m0;5g?x"6<>0:5i5U59821>=u`?m6=44i062>5<<g8;>6=4+101957`<f8;96554o002>5<#9891=?h4n031>2=<g88<6=4+101957`<f8;96;54o00:>5<#9891=?h4n031>0=<g88j6=4+101957`<f8;96954o00a>5<#9891=?h4n031>6=<g88h6=4+101957`<f8;96?54o00f>5<#9891=?h4n031>4=<g89;6=4+101957`<f8;96=54}c3``?6=?3:1<vB>648g!40m3;io6*>f38022=#::l1:?5+22`912=#:=91=<:4$31g>0`<f;9<6<5+22g925=i::21=6*=448204=i::k1=6*=ag82?k4e83n;7p*>4682ga=]=10:w<h5}h7e>5<<a?:1<75f15394?=h98?1<7*>12826==i9881?65`13394?"69:0:>55a10096>=h9;=1<7*>12826==i9881=65`13;94?"69:0:>55a10094>=zj;><6=4::183I71=33p(?9j:0``?!7a:39=;6*=3g856>"5;k0>;6*=428251=#::n19k5a22595>"5io0:7c<m0;;1?x"6<>098:5U59824`=u`?m6=44o036>5<#9891=?64n031>6=<g88:6=4+101957><f8;96?54o004>5<#9891=?64n031>4=<g8826=4+101957><f8;96=54}c3`3?6=>3:1<vB>648a!40m3;io6*>f38022=#::l1:?5+22`912=#:=91=<:4$31g>0`<f;9<6<5+2579517<f;9j6<5+2`d95>h5j902o6s+15595f1<R<21=v?i:|k6b?6=3`;?=7>5;n321?6=,8;86<<7;o326?5<3f;9=7>5$030>44?3g;:>7<4;n313?6=,8;86<<7;o326?7<3f;957>5$030>44?3g;:>7>4;|`04c<72?0;6=uC1779f~"5?l0:nn5+1g09731<,;9m6;<4$31a>01<,;>86<?;;%00`?3a3g88;7?4$366>4263g88m7?4$3ce>4=i:k:1m:5r$064>66a3S?36<u>f;j1c<722c:8<4?::m250<72-;:?7?=8:l257<432e:><4?:%327?7502d:=?4=;:m262<72-;:?7?=8:l257<632e:>44?:%327?7502d:=?4?;:ab`<7200;6=uC17793~"5?l084k5+22d9<5=#:=>1=>l4n3cf>==i;1n1=6*=ag82?k4e83=>7p*>468ea>\203;p?:4ri9394?"69:0346`>1384?>o?:3:1(<?<:9:8j4752?10e5=50;&256<?02d:=?4:;:k;0?6=,8;86564n031>1=<a1?1<7*>128;<>h69;0876g76;29 4742120b<?=:398m=1=83.:=>478:l257<632c357>5$030>=><f8;96=54o01a>5<<uk;h:7>57;69e~"6<>0:o;5`1cf94?=n;9:1<75f12c94?=n;9;1<75f2ga94?=n;981<75f1b694?=e9j91<7=50;2x 4202=n0D<8<;h``>5<<akn1<75`11094?=zj8hm6=4<:183!73?3>h7E?93:kag?6=3`ho6=44o021>5<<uk;h=7>53;294~"6<>0?o6F>629jff<722cih7>5;n336?6=3th:o?4?:583>5}#9==1==84H040?ldd2900eoj50;9jf`<722e:<?4?::p5gb=838pR<lk;<3`6?77:2wx?=>50;0xZ66734;h?7lk;|q27d<72;qU=>o4=0a0>ge<uz9;=7>52z\044=:9kl1nn5rs3d`>5<5sW8mo63>bg8a`>{t;981<7<t^221?87d93hh7p}>c583>7}Y9j>01<m>:cf8yv7em3:1>v3>c28247=:9j81nn5rs0`e>5<5s4;ij7??2:?2g7<el2wx=n>50;0x94e628:970?l2;`f?x{e;?81<7=52;6x 4202:<97b=:f;29?l4?83:17d<<6;29?g5183:1?7>50z&202<3m2B::>5fbb83>>oel3:17b??2;29?xd4>80;6>4?:1y'511=99>0D<8<;h``>5<<akn1<75`11094?=z{:?m6=4={_16b>;4>80:<?5rs3:3>5<4sW83<63<618ag>;4>80io6s|22494?4|V;9=70=90;`g?xu4>90;6?u23729554<5:<:6oj4}|`01`<72=086;u+155970c<g:?26=44i3:3>5<<a:;m6=44i6694?=e;<n1<7=50;2x 4202=i0D<8<;h``>5<<akn1<75`11094?=zj:?i6=4<:183!73?3>o7E?93:kag?6=3`ho6=44o021>5<<uk9>o7>53;294~"6<>0:<95G1718mge=831bni4?::m247<722wx?8750;0xZ63>349>o7??2:p6=6=839pR?6?;<16`?dd349>n7ll;|q05c<72;qU?<h4=27g>gb<uz=?6=4={_57?852j3ho7p}<5`83>7}:;<n1==<4=27`>ge<uz9>n7>52z?01g<68;16?8m5be9~yg5203:187=56z&202<4=11d?8=50;9j6=6=831b?<k50;9j37<722h89:4?:283>5}#9==18n5G1718mge=831bni4?::m247<722wi?8;50;194?6|,8><69j4H040?ldd2900eoj50;9l554=831vn>;9:180>5<7s-;?;7??4:J226=njj0;66gmd;29?j77:3:17p}<5283>7}Y;<901>;9:021?xu5090;6>uQ292896302ki01>;::ca8yv56m3:1>vP<1d9>701=jm1v:<50;0xZ24<5:?>6oj4}r160?6=:r789:4>039>700=jj1v>;::181852=3;;>63<578a`>{zj:?96=4;:285!73?39>>6a<4e83>>o5090;66g<1e83>>o093:17o=:1;297?6=8r.:8:4;c:J226=njj0;66gmd;29?j77:3:17pl<4g83>6<729q/=9954e9K535<aki1<75fbe83>>i68;0;66sm34294?5=83:p(<:8:027?M71;2cio7>5;h`g>5<<g8:96=44}r17`?6=:rT88i523429554<uz83<7>53z\1<5=:;<;1nn5235d9ff=z{:;o6=4={_12`>;4=80ih6s|7083>7}Y?816?9h5be9~w62b2909w0=:1;336>;4=90io6s|35d94?4|5:>m6<>=;<164?dc3twi?9m50;697?0|,8><6>:l;n173?6=3`83<7>5;h12g?6=3`=;6=44b26a>5<4290;w)?;7;6`?M71;2cio7>5;h`g>5<<g8:96=44}c17=?6=;3:1<v*>4687`>N6>:1bnn4?::ka`?6=3f;;>7>5;|`00d<72:0;6=u+1559552<@8<87dll:188mgb=831d==<50;9~w6202909wS=;7:?00d<68;1v?6?:180[4?82788o4mc:?00<<ek2wx?<m50;0xZ67d349?n7lk;|q44?6=:rT<<63<488a`>{t;=21<7<t=26a>465349?m7ll;|q00<<72;q6?9751108962f2kn0qpl<4783>1<42?q/=9953548k6262900e?6?:188m67e2900e;h50;9a713=8391<7>t$064>1e<@8<87dll:188mgb=831d==<50;9~f62429086=4?{%373?2c3A;=?6gmc;29?ldc2900c<>=:188yg53<3:1?7>50z&202<68=1C=;=4ica94?=njm0;66a>0383>>{t;=;1<7<t^262?853<3;;>6s|29294?5|V;2;70=;5;``?853;3hh7p}<1c83>7}Y;8h01>:::cf8yv0a2909wS8i;<177?dc3ty88?4?:3y>713=99801>:;:ca8yv53;3:1>v3<428247=:;=>1ni5r}c174?6=<391:v*>468005=h;:h1<75f29294?=n;8k1<75f6d83>>d4;o0;6>4?:1y'511=<j1C=;=4ica94?=njm0;66a>0383>>{e;:n1<7=50;2x 4202=n0D<8<;h``>5<<akn1<75`11094?=zj:9n6=4<:183!73?3;;86F>629jff<722cih7>5;n336?6=3ty8?o4?:3y]76d<5:9n6<>=;|q1<5<72:qU>5>4=21e>ge<5:9o6om4}r12e?6=:rT8=l5232d9fa=z{?o1<7<t^7g8965c2kn0q~=<c;296~;4;o0:<?5232g9ff=z{:9o6=4={<10`?77:278?h4md:~f65f290?6>49{%373?54i2e8?84?::k1<5<722c8=44?::k5`?6=3k9857>53;294~"6<>0?o6F>629jff<722cih7>5;n336?6=3th8?:4?:283>5}#9==18i5G1718mge=831bni4?::m247<722wi?>650;194?6|,8><6<>;;I357>oek3:17dlk:188k4652900q~=<5;296~X4;<16?>651108yv4?83:1?vP=819>76?=jj16?>95bb9~w67>2909wS=>9:?07<<el2wx:i4?:3y]2a=:;:=1ni5rs215>5<5s49857??2:?07=<ek2wx?>950;0x965028:970=<8;`g?x{e;:>1<7:53;4x 4202:9?7b==f;29?l4?83:17d=>8;29?l0d2900n>=<:180>5<7s-;?;7:l;I357>oek3:17dlk:188k4652900qo=<1;297?6=8r.:8:4;d:J226=njj0;66gmd;29?j77:3:17pl<3383>6<729q/=9951168L4043`hh6=44icf94?=h9981<75rs20e>5<5sW99j63<338247=z{;2;6=4<{_0;4>;4;:0io63<308ag>{t;821<7<t^23;?854;3ho7p}9c;296~X1k278?<4md:p766=838p1>=<:021?854:3hh7p}<3083>7}:;:;1==<4=211>gb<uth:mi4?:`80>f}#9==1=lj4o0c:>5<<a83n6=44i0c3>5<<a8k:6=44i0c1>5<<a8k86=44i0c7>5<<a8k>6=44i0c5>5<<a8k36=44b0cb>5<2290;w)?;7;71?M71;2cio7>5;h`g>5<<ako1<75fbg83>>i68;0;66sm1``94?3=83:p(<:8:448L4043`hh6=44icf94?=njl0;66gmf;29?j77:3:17pl>ab83>1<729q/=995519K535<aki1<75fbe83>>oem3:17b??2;29?xu6i00;6?uQ1`;894gd28:97p}>9d83>7}Y90o01<on:cf8yv7f83:1>vP>a19>5dg=jj1v<o>:181[7f927:mo4mc:p5d4=838pR<o=;<3bf?dc3ty:m>4?:3y]5d5<58ki6ok4}r3b0?6=:rT:m9521`c9f`=z{8k>6=4={_3b1>;6ik0ij6s|1`494?4|V8k=70?na;`e?xu6i10;6?uQ1`:894gd2ki0q~?na;296~;6ih0:<?521`a9fa=z{8ki6=4={<3bf?77:27:mn4me:~f7`a290>6=4?{%373?333A;=?6gmc;29?ldc2900eok50;9jfc<722e:<?4?::a6cb=83>1<7>t$064>1`<@8<87dll:188mgb=831bnh4?::m247<722wi?=;50;794?6|,8><68:4H040?ldd2900eoj50;9jf`<722cij7>5;n336?6=3th:h94?:283>5}#9==18n5G1718mge=831bni4?::m247<722wi=i850;194?6|,8><69m4H040?ldd2900eoj50;9l554=831vn><k:180>5<7s-;?;7:l;I357>oek3:17dlk:188k4652900qo7i:180>5<7s-;?;7??4:J226=njj0;66gmd;29?j77:3:17pl=5d83>6<729q/=9954e9K535<aki1<75fbe83>>i68;0;66sm15794?5=83:p(<:8:027?M71;2cio7>5;h`g>5<<g8:96=44}c3`1?6==3:1<v*>46866>N6>:1bnn4?::ka`?6=3`hn6=44icd94?=h9981<75rb20a>5<4290;w)?;7;6f?M71;2cio7>5;h`g>5<<g8:96=44}c150?6=:3:1<v*>468`2>N6>:1bno4?::m247<722wi>9l50;094?6|,8><6n84H040?lde2900c<>=:188yv74=3:1>vP>349>563=98>0q~?;3;297~X6<:16?==5c69>515=98>0q~;m:187[3e348m;7;i;<072?3>34;2h7;i;|q27=<72<qU=>64=220>45d348m;7?<c:?1bg<6;j165k4md:p56g=83>pR<=n;<0ef?e0348<?78=;<3`2?74i2wx=>:50;0xZ453343m6om4}r37f?6=:rT:8o522g59g2=z{8k26=4<{<072?3f34;2h7?;1:?2ea<6i01v<:;:181873;3h270?;5;336>{t::<1<7<t=gg956d<5:<96?=9;|q6e?6=;r79jo4>0e9>563==h16>8k5be9~w7>7290hw0=?3;33=>;4>;094=5234g96=6<5:?36?6?;<166?4?82788n4=819>710=:1:01>:?:3:3?854i383<63<3581<5=:;;n1nn5233`9fa=z{;>=6=4>9z?1bg<2n2798;4>159>63g==016>;l5589>63e==016>;j5589>63c==016>;h5589>626==016>:?5589>624==016>:=5589>563==016>8;5589>600==016>895589>60>==016>875589>60g==016>8l5589>60e==016=nj55g9>611==o16=n955g9>61d=jk1v?h7:18184a?3;;;63>42856>{t1l0;6>u212791f=:1o0:<?5224g9ff=z{;l26=4={<0e3?77j27:884mc:p6cg=838p1?h8:02g?873=3ho7p}>c583>6}:9j<1=n:4=0f7>gb<58i>6<>=;|q1bf<72=q6>kl5c99>5f0=:oi01?hk:021?87c>3ho7p}=fd83>7}::oh1o4522gd9554<uz9;<7>52z?1bg<68>16=n853128yv5793:1?v3=fc824<=:9j<1?=?4=0f5>ge<uz9;>7>53z?1bg<68k16=n85310894b32ki0q~==a;296~;4:k0:<?523769fg=z{8n86=4l{<05e?3f348=n7;n;<05g?3f348=h7;n;<05a?3f348=j7;n;<044?3f348<=7;n;<046?3f348<?7;n;<3``?0734;o87??2:p60b=833p1?;::4c897312<k01?;8:4c8973?2<k01?;6:4c8973f2<k01?;m:4c8973d2<k01?;j:021?xu5;=0;69u23119551<5;l<6n64=22e>4263499n7ll;|q2fa<72;q6=n95153894e128ho7p}=4`83>7}::=<1:?5225`9554<uz9;87>52z?046<d0278<84>039~w604290iw0==0;7b?85593?j70==2;7b?855;3?j70==4;7b?855=3?j70==6;7b?855?3?j70==8;7b?85513?j70=94;336>{t9m?1<7<t=0ag>42634;o:7??2:p602=838pR:j4=37`>4733-;?<7<:3:l27c<73ty99?4?:3y]3g=::<h1=<:4$063>7343g;8j7?4}r065?6=:rT<m63=5`8251=#9=:1>8=4n01e>7=z{;?;6=4={_5:?84213;:86*>418116=i9:l1?6s|25d94?4|V>201?;7:037?!73838>?6`>3g87?xu5<l0;6?uQ769>601=98>0(<:?:370?k74n3?0q~<;d;296~X0>2799;4>159'516=:<90b<=i:79~w72d2909wS9:;<061?76<2.:8=4=529m56`=?2wx;94?:2y]31=:nl03=63<5d840>"6<90<?6`>3g83?xu0:3:1?vP82:?ea?>5349>479=;%374?143g;8j7?4}r52>5<4sW=:70hj:91896352>;0(<:?:618j45a2;1v:>50;1xZ26<5oo1495235a935=#9=:1;>5a12d97>{t>o0;6>uQ6g9>b`<?=2788;49f:&205<0;2d:?k4;;|q5a?6=;rT=i63ie;:5?85383<n7)?;0;50?k74n3?0q~8k:180[0c34ln6594=21b>3b<,8>;6:=4n01e>3=z{?i1<7=t^7a89cc=0016?>:56b9'516=?:1e=>h57:p74`=838p1><6:037?852m39:j6*>418053=i9:l1=6s|30g94?4|5:836<?;;<16<?56m2.:8=4<179m56`=:2wx?<j50;0x964028;?70=:2;12`>"6<908=;5a12d97>{t;8i1<7<t=205>473349?o7=>c:&205<49?1e=>h54:p74d=838p1><::037?853>39:n6*>418053=i9:l196s|30c94?4|5:8?6<?;;<174?56i2.:8=4<179m56`=>2wx?<750;0x964428;?70=<a;12=>"6<908=;5a12d93>{t;821<7<t=201>473349887=>8:&205<49?1e=>h58:p741=838p1><>:037?855l3ho7)?;0;122>h6;o027p}<1483>7}Y9=<01><?:037?!73839::6`>3g8b?xu5<00;6iu231191c=:;;:1945233391<=:;;81945233191<=:;;>1945233791<=:;;<1945233591<=:;;21945233;91<=::==1=?74=22e>0`<,8>;6?:7;o30b?6<uz9>j7>52z?06<<1:278:?4<5g9'516=;;o0b<=i:09~w63>2909w0==8;41?852m39>56*>41806`=i9:l1>6s|34194?4|5:8<6;<4=27;>6343-;?<7==e:l27c<43ty88i4?:3y>770=>;16?8<535f8 4272:8n7c?<f;68yv53?3:1>v3<24856>;4<j088:5+152977c<f89m685rs262>5<5s499878=;<172?5392.:8=4<2d9m56`=>2wx?>l50;0x96442?801>:?:21a?!738399i6`>3g84?xu4;<0;6?u2330927=:;:k1?>;4$063>64b3g;8j764}r11b?6=:r78><492:?071<4:o1/=9>533g8j45a201v><l:18185583<970==d;336>"6<908>h5a12d9e>{t:?31<7<t=351>34<5;=86<?;;%374?4102d:?k4?;|q122<72;q6>:?5639>624=98>0(<:?:34;?k74n3;0q~<96;297~;5?90=>63=708251=::<i1:?5+152963><f89m6?5rs346>5<4s48=j78=;<044?76<2799o492:&205<5>11e=>h53:p632=839p1?8j:708970a28;?70<:a;41?!73838=46`>3g87?xu5>:0;6>u227f927=::?o1=<:4=37:>34<,8>;6?87;o30b?3<uz8=>7>53z?12f<1:279:i4>159>60>=>;1/=9>527:8j45a2?1v?8>:180841j3<970<9c;320>;5=>0=>6*>41812==i9:l1;6s|27294?5|5;<j6;<4=34a>473348>:78=;%374?4102d:?k47;|q11c<72;q6>;o5106897322?80(<:?:34;?k74n330q~?k2;296~;6km0:>4522gd9fc=#9=:1=i?4n01e>5=z{8n;6=4={<3``?75?279jk4md:&205<6l81e=>h51:p5f`=838p1<mk:002?84an3hn7)?;0;3g5>h6;o097p}>cd83>7}:9jn1=<;4=3de>ge<,8>;6<j>;o30b?5<uz;ho7>52z?2g2<6:016=n;5bg9'516=9jh0b<=i:19~w4ef2908w0?l7;313>;5nm0ii63>c48aa>"6<90:oo5a12d95>{t9j31<7=t=0a4>446348mh7lk;<3`1?dc3-;?<7?lb:l27c<53ty:o54?:2y>5f1=98?01?hk:ca894e22ki0(<:?:0aa?k74n390q~=>4;296~;48o0:>4523179fc=#9=:1?<=4n01e>5=z{:;96=4={<13b?75?278<84me:&205<49:1e=>h51:p747=838p1>>i:002?857=3ho7)?;0;127>h6;o097p}<1183>7}:;9l1=<;4=226>ge<,8>;6>?<;o30b?5<uz;j47>52z?2=a<6;916=lj51`:8 42728k<7c?<f;28yv7f>3:1>v3>9e826`=:9hn1=l84$063>4g03g;8j7?4}r3b1?6=:r7:5i4>2b9>5db=9h?0(<:?:0c4?k74n380q~?n4;296~;61m0:>o521`f95d2<,8>;6<o8;o30b?5<uz;j?7>52z?2=a<6:h16=lj51`18 42728k<7c?<f;68yv7f:3:1>v3>9e826<=:9hn1=l<4$063>4g03g;8j7;4}r3b5?6=:r7:5i4>269>5db=9h;0(<:?:0c4?k74n3<0q~?n0;296~;61m0:><521`f95d6<,8>;6<o8;o30b?1<uz;2i7>52z?2=a<69<16=lj518g8 42728k<7c?<f;:8yv7783:1>v3>67824c=:9:?1:?5r}c3g3?6=9;036<<tL047>4}Okl1v@<8::6ym6g6=n:1/>:k520`8 75a2?80(?:<:037?!4393h27c<<9;38 7ga291v(<:8:ea8^0>=:r9i6>;5}[30a?4|;o08?7sfb`83>>oe13:17b?=e;29 474288o7c?>2;28?j75k3:1(<?<:00g?k76:3;07b?=b;29 474288o7c?>2;08?j75i3:1(<?<:00g?k76:3907b?=9;29 474288o7c?>2;68?j75?3:1(<?<:00g?k76:3?07b?=1;29 474288o7c?>2;48?j76=3:1(<?<:00g?k76:3=07d8m:18'545=>h1e=<<50:9j2<<72-;:?78n;o326?7<3`<36=4+10192d=i9881>65f6683>!76;3<j7c?>2;18?l01290/=<=56`9m544=<21b:84?:%327?0f3g;:>7;4;h47>5<#9891:l5a10092>=n>:0;6)?>3;4b?k76:3=07o;50;695?2|D8<?6<uGcd9~H4022<q/>:k520`8 75a2?80(?:<:037?!4393h27c<<9;28y!73?3no7d8=:188k4732900eoo50;9jf<<722h>6=4;:183!73?3im7E?93:k56?6=3`h<6=44ic:94?=h98>1<75rs7094?4|V?8018492:p542=838pR<?;;<79542<uzhj6=4={_`b?83=j11vo750;0xZg?<5<0i;6srb783>1<62=qG=;:51zJ`a>{K9??19v*=7d815g=#::l1:?5+2519542<,;>:6o74n31:>5=z,8><6ij4i7094?=h98>1<75fb`83>>oe13:17o;50;694?6|,8><6nh4H040?l052900eo950;9jf=<722e:=94?::p27<72;qU:?525;41?xu69=0;6?uQ106890<69=1voo50;0xZgg<5<0i46s|b883>7}Yj01697l8;|a53<72=0:69uC17695~Ndm2wG=;;55z&13`<59k1/>>h5639'615=98>0(?:>:c;8j75>291v(<:8:ef8m34=831d=<:50;9jfd<722ci57>5;c794?2=83:p(<:8:bd8L4043`<96=44ic594?=nj10;66a>1583>>{t>;0;6?uQ639>1?053ty:=94?:3y]542<5<0:=95rscc94?4|Vkk0184m8:pf<<72;qUn4525;`4?x{e900;694>:5yO532=9rBhi6sC17791~"5?l09=o5+22d927=#:=91=<:4$362>g?<f;926=5r$064>ab<a?81<75`10694?=njh0;66gm9;29?g3=83>1<7>t$064>f`<@8<87d8=:188mg1=831bn54?::m251<722wx:?4?:3y]27=:=3<97p}>1583>7}Y98>0184>159~wgg=838pRoo4=48a<>{tj00;6?uQb89>1?d03twi=o4?:582>1}K9?>1=vFle:O533==r.9;h4=1c9'66`=>;1/>9=51068 7262k30b?=6:19~ 4202mn0e;<50;9l542=831bnl4?::ka=?6=3k?1<7:50;2x 4202jl0D<8<;h41>5<<ak=1<75fb983>>i69=0;66s|6383>7}Y>;16978=;|q251<72;qU=<:4=48251=z{kk1<7<t^cc890<e02wxn44?:3y]f<=:=3h<7psm2883>1<62=qG=;:51zJ`a>{K9??19v*=7d815g=#::l1:?5+2519542<,;>:6o74n31:>5=z,8><6ij4i7094?=h98>1<75fb`83>>oe13:17o;50;694?6|,8><6nh4H040?l052900eo950;9jf=<722e:=94?::p27<72;qU:?525;41?xu69=0;6?uQ106890<69=1voo50;0xZgg<5<0i46s|b883>7}Yj01697l8;|a6f<72=0:69uC17695~Ndm2wG=;;55z&13`<59k1/>>h5639'615=98>0(?:>:c;8j75>291v(<:8:ef8m34=831d=<:50;9jfd<722ci57>5;c794?2=83:p(<:8:bd8L4043`<96=44ic594?=nj10;66a>1583>>{t>;0;6?uQ639>1?053ty:=94?:3y]542<5<0:=95rscc94?4|Vkk0184m8:pf<<72;qUn4525;`4?x{e:m0;694>:5yO532=9rBhi6sC17791~"5?l09=o5+22d927=#:=91=<:4$362>g?<f;926=5r$064>ab<a?81<75`10694?=njh0;66gm9;29?g3=83>1<7>t$064>f`<@8<87d8=:188mg1=831bn54?::m251<722wx:?4?:3y]27=:=3<97p}>1583>7}Y98>0184>159~wgg=838pRoo4=48a<>{tj00;6?uQb89>1?d03twxnl4?:8y]fd=:=3h27085b89>53<e127:57l6;<3a>g?<5;31n4522b8a=>;5l3h27p}m9;29=~Xe127>6oo4=78ae>;6>3hj70?6:cc894d=jh16>44ma:?1g?df348o6oo4}r31a?6=:rT:>h522e8251=#9=:1=?j4n01e>5=z{88h6=4={_31g>;5k3;:86*>41826a=i9:l1=6s|13`94?4|V88i70<6:037?!7383;9h6`>3g81?xu6:h0;6?uQ13c894d=98>0(<:?:00g?k74n390q~?=9;296~X6:016=44>159'516=9;n0b<=i:59~w4402909wS?=7:?22?76<2.:8=4>2e9m56`==2wx=??50;0xZ44634<1=<:4$063>44c3g;8j784}r321?6=:rT:=8525;320>"6<90:>i5a12d93>{t>k0;6?uQ6c9>6a<1:2.:8=49a:l27c<73ty=57>52z\5=>;5k3<97)?;0;4b?k74n3;0q~87:181[0?34826;<4$063>3g<f89m6?5rs7594?4|V?=01<l5639'516=>h1e=>h53:p23<72;qU:;5218856>"6<90=m6`>3g87?xu1=3:1>vP95:?22?053-;?<78n;o30b?3<uz<?6=4={_47?80=>;1/=9>56`9m56`=>2wx:>4?:3y]26=:=3<97)?;0;4b?k74n3=0qpl=f583>1<729q/=9959b9K535<D8<?6<u+c486?xJ6><09w)<nf;28j7d72jo0qd;6:188m34=831b=>=50;9l542=831vn?h9:180>5<7s-;?;7:k;I357>oek3:17dlk:188k4652900q~;m:180[3e34;?47;m;<0e0?3>3ty:?54?:2y]56><58>36<=7;<0e0?74;2wx=>o50;0xZ45f34;?47?<a:p510=838pR<:9;<37<?73>2wx>:;50;1x942?289>70?k7;`:?84a>3ho7p}=f483>7}::o>1:?522g49554<uz8<87>55z?20=<6;=16=96515`894b02kk01?h;:037?84a>3hh7p}>e883>6}Yl816=9657e9>5a1=>k1/=9>51d:8j45a291v<k8:180[b534;?479m;<3g3?0>3-;?<7?j8:l27c<63ty:i;4?:2y]`6=:9=21;l521e592==#9=:1=h64n01e>7=z{8o>6=4<{_f7?87303=270?k7;44?!7383;n46`>3g80?xu6m=0;6>uQd49>51>=?116=i95679'516=9l20b<=i:59~w4c42908wSj9;<37<?1034;o;78:;%374?7b02d:?k4:;|q2a7<72:qUh:5215:933=:9m=1:95+15295`><f89m6;5rs0g2>5<4sWn270?;8;56?87c?3<87)?;0;3f<>h6;o0<7p}>e183>7}:9=21;9521e5957c<,8>;6<ji;o30b?6<uz;oi7>52z?20=<0:27:h:4>2b9'516=9ml0b<=i:09~w4bc2909w0?;8;52?87c?3;9n6*>4182`c=i9:l1>6s|1ea94?4|58>36:>4=0f4>44f3-;?<7?kf:l27c<43ty:ho4?:3y>51>=>o16=i9513;8 42728nm7c?<f;68yv7ci3:1>v3>4985a>;6l>0:>:5+15295a`<f89m685rs0f:>5<5s4;?478k;<3g3?7592.:8=4>dg9m56`=>2wx=i650;0x942?2?i01<j8:036?!7383;oj6`>3g84?x{e9hl1<7?6:183I71=33p(?9j:0``?!7a:39=;6*=3g856>"5;k0>;6*=428251=#::n19k5a22595>"5io097c<m0;;g?x"6<>0:mk5U598241=u`?m6=44o036>5<#9891=?;4n031>41<3f;9=7>5$030>4423g;:>7?9;:m262<72-;:?7?=5:l257<6=21d=?750;&256<6:<1e=<<51598k44f290/=<=51378j47528907b?=b;29 474288>7c?>2;31?>i6:j0;6)?>3;311>h69;0:=65`13g94?"69:0:>85a100955=<g89;6=4+1019573<f8;96k54o011>5<#9891=?;4n031>`=<g8;=6=4+1019573<f8;96i54o034>5<#9891=?;4n031>f=<g8;26=4+1019573<f8;96o54o03b>5<#9891=?;4n031>d=<g8;i6=4+1019573<f8;96454o03`>5<#9891=?;4n031>==<g8;o6=4+1019573<f8;96:54o03f>5<#9891=?;4n031>3=<g8;m6=4+1019573<f8;96854o003>5<#9891=?;4n031>1=<g8896=4+1019573<f8;96>54o000>5<#9891=?;4n031>7=<g88?6=4+1019573<f8;96<54o005>5<#9891=?;4n031>5=<uk;i87>56;294~J6><0iw)<8e;3ag>"6n;08::5+22d927=#::h19:5+2519542<,;9o68h4n314>4=#:=?1=9?4n31b>4=#:hl1>6`=b18b3>{#9==1=o:4Z4:95~7a2tc>j7>5;h375?6=3f;:97>5$030>44?3g;:>7=4;n315?6=,8;86<<7;o326?4<3f;9;7>5$030>44?3g;:>7?4;n31=?6=,8;86<<7;o326?6<3thmo7>5b;294~J6><0<w)<8e;04`>"5;j0::n5+25295c5<f;kn6<>4n2:g>2=#:hl1>6`=b18;3>{#9==1jn5U59814e=:l0ve<8k:18'545=9>:0b<?=:298m40b290/=<=51628j4752;10e<8i:18'545=9>:0b<?=:098m416290/=<=51628j4752910c<h;:18'545=9ok0b<?=:798k4`2290/=<=51gc8j4752<10c<h9:18'545=9ok0b<?=:598k4`0290/=<=51gc8j4752:10c<h7:18'545=9ok0b<?=:398k4`>290/=<=51gc8j4752810c<hm:18'545=9ok0b<?=:198yg7?>3:1?7<54z&202<60?1d=5=50;9j750=831b=4850;9a5=2=8391<7>t$064>1b<@8<87dll:188mgb=831d==<50;9~f4>229086=4?{%373?77<2B::>5fbb83>>oel3:17b??2;29?xu60:0;6?uQ191894>228:97p}<0783>6}Y;9<01<6;:ca894>22ki0q~?66;296~X61?16=5:5be9~w4>32909w0?74;336>;60<0ih6srb0:2>5<42;0?w)?;7;3;5>i6?l0;66g<0783>>o61=0;66l>7g83>6<729q/=9954e9K535<aki1<75fbe83>>i68;0;66sm19294?5=83:p(<:8:027?M71;2cio7>5;h`g>5<<g8:96=44}r34a?6=:rT:;h521929554<uz9;:7>53z\043=:9>l1nn521929ff=z{83?6=4={_3:0>;6?o0ih6s|16d94?4|58=m6<>=;<3;4?dc3twi=:j50;697?0|,8><6<9k;n34<?6=3`9;:7>5;h3:7?6=3`;357>5;c34g?6=;3:1<v*>4687`>N6>:1bnn4?::ka`?6=3f;;>7>5;|`23d<72:0;6=u+15590f=O9?90eom50;9jfa<722e:<?4?::a52d=8391<7>t$064>4633A;=?6gmc;29?ldc2900c<>=:188yv7003:1>vP>799>52d=9980q~=?6;297~X48?16=:m5bb9>52g=jj1v<7<:181[7>;27:;n4md:p5=?=838pR<66;<34e?dc3ty:;44?:3y>52e=99801<9m:ca8yv70i3:1>v3>7`8247=:9>h1ni5r}c343?6=<391:v*>468232=h9>81<75f31494?=n:j31<75f19:94?=e9><1<7=50;2x 4202=n0D<8<;h``>5<<akn1<75`11094?=zj8=?6=4<:183!73?3>h7E?93:kag?6=3`ho6=44o021>5<<uk;<97>53;294~"6<>0:<95G1718mge=831bni4?::m247<722wx=:<50;0xZ41534;<97??2:p750=839pR>>9;<342?dd34;<87ll;|q1g<<72;qU>n74=055>gb<uz;347>52z\2<==:9>>1ni5rs050>5<5s4;<:7??2:?230<ek2wx=::50;0x941328:970?85;`g?x{e:jl1<7:53;4x 4202;im7b<l1;29?l57>3:17d?ja;29?l4e13:17o<le;297?6=8r.:8:4;e:J226=njj0;66gmd;29?j77:3:17pl=cb83>6<729q/=9954b9K535<aki1<75fbe83>>i68;0;66sm2bf94?5=83:p(<:8:027?M71;2cio7>5;h`g>5<<g8:96=44}r0`5?6=:rT9o<522bf9554<uz9;:7>53z\043=::jo1nn522ba9ff=z{8oj6=4={_3fe>;5kl0ih6s|2c;94?4|V;h270<lc;`g?xu5kh0;6?u22bg9554<5;io6om4}r0`f?6=:r79on4>039>6fb=jm1vqo<k5;290?5=>r.:8:4=d49l6f4=831b?=850;9j5`d=831b>oo50;9a6a2=8391<7>t$064>1c<@8<87dll:188mgb=831d==<50;9~f7b529086=4?{%373?2d3A;=?6gmc;29?ldc2900c<>=:188yg4c;3:1?7>50z&202<68=1C=;=4ica94?=njm0;66a>0383>>{t:j81<7<t^3a1?84c;3;;>6s|31494?5|V::=70<k4;``?84c:3hh7p}>ec83>7}Y9lh01?j;:cf8yv4ei3:1>vP=b`9>6a4=jm1v?j?:18184c<3;;>63=d28ag>{t:m;1<7<t=3f1>465348o?7lk;|a6ad=83>1?78t$064>7be3f8h?7>5;h132?6=3`;no7>5;h0af?6=3k8om7>53;294~"6<>0?i6F>629jff<722cih7>5;n336?6=3th9h54?:283>5}#9==18n5G1718mge=831bni4?::m247<722wi>i750;194?6|,8><6<>;;I357>oek3:17dlk:188k4652900q~<l3;296~X5k:16>i751108yv57>3:1?vP<079>6ag=jj16>i65bb9~w4cd2909wS?jc:?1`d<el2wx>ol50;0xZ7de348o47lk;|q1`3<72;q6>io5110897b>2ki0q~<k7;296~;5l10:<?522e;9fa=zuk8n=7>54;192~"6<>09i<5`2b694?=n;9<1<75f1df94?=n:ki1<75m2d294?5=83:p(<:8:5g8L4043`hh6=44icf94?=h9981<75rb3ff>5<4290;w)?;7;6`?M71;2cio7>5;h`g>5<<g8:96=44}c0gb?6=;3:1<v*>468241=O9?90eom50;9jfa<722e:<?4?::p6f2=838pR?m;;<0gb?77:2wx?=850;1xZ661348n<7ll;<0ga?dd3ty:ii4?:3y]5`b<5;o;6oj4}r0ag?6=:rT9nn522eg9fa=z{;nh6=4={<0f4?77:279hk4mc:p6ab=838p1?jj:021?84cn3ho7psm2d594?2=;3<p(<:8:3g4?j4d=3:17d=?6;29?l7bm3:17d<md;29?g4b>3:1?7>50z&202<3m2B::>5fbb83>>oel3:17b??2;29?xd5m=0;6>4?:1y'511=<j1C=;=4ica94?=njm0;66a>0383>>{e:l?1<7=50;2x 42028:?7E?93:kag?6=3`ho6=44o021>5<<uz8h97>52z\1g0=::l?1==<4}r132?6=;rT8<;522d49ff=::l>1nn5rs0gf>5<5sW;ni63=e78a`>{t:kn1<7<t^3`g?84b<3ho7p}=e383>7}::l<1==<4=3g6>ge<uz8n?7>52z?1a1<68;16>h;5be9~yg4bl3:187=56z&202<5mm1d>n850;9j750=831b=hh50;9j6gc=831i>hm50;194?6|,8><69k4H040?ldd2900eoj50;9l554=831vn?kn:180>5<7s-;?;7:l;I357>oek3:17dlk:188k4652900qo<jb;297?6=8r.:8:4>059K535<aki1<75fbe83>>i68;0;66s|2b494?4|V;i=70<jb;336>{t;9<1<7=t^225?84bk3hh70<ja;``?xu6mo0;6?uQ1dd897cd2kn0q~<me;296~X5jl16>ho5be9~w7c?2909w0<jc;336>;5mk0io6s|2d;94?4|5;oj6<>=;<0ff?dc3twi>k=50;697?0|,8><6?h<;n0`3?6=3`9;:7>5;h3e5?6=3`8h<7>5;c0e6?6=;3:1<v*>4687a>N6>:1bnn4?::ka`?6=3f;;>7>5;|`1b5<72:0;6=u+15590f=O9?90eom50;9jfa<722e:<?4?::a6c7=8391<7>t$064>4633A;=?6gmc;29?ldc2900c<>=:188yv4d?3:1>vP=c69>6c7=9980q~=?6;297~X48?16>k<5bb9>6c6=jj1v<h>:181[7a9279j?4md:p6f6=838pR?m?;<0e4?dc3ty9ih4?:3y>6c4=99801?h>:ca8yv4bn3:1>v3=f18247=::o;1ni5r}c3af?6==3:1<v*>46860>N6>:1bnn4?::ka`?6=3`hn6=44icd94?=h9981<75rb304>5<5290;w)?;7;a5?M71;2cin7>5;n336?6=3th9>l4?:383>5}#9==1o;5G1718mgd=831d==<50;9~f74c29096=4?{%373?e13A;=?6gmb;29?j77:3:17pl=3083>7<729q/=995c79K535<akh1<75`11094?=zj;996=4=:183!73?3i=7E?93:kaf?6=3f;;>7>5;|q13c<72;qU>:h4=015>45f3ty9=54?:3y]64><5;;<6no4}r021?6=:rT9=85236a9643<uz8:57>52z\15<=::8=1on5rs246>5<5sW9=963>378203=z{:236=4={_1;<>;4?j08455rs0dg>5<5sW;mh63<7b82ba=z{;==6=4={_042>;4?j09;;5rs316>5<2sW88963<7b8170=::8=19o5212491g=:9hl19k5rs0:a>5<5sW;3n63<7b82<g=z{8hj6=4={<3a0?73927:no4>039~w4>42909wS?73:?2<3<60:1/=9>51908j45a291v<9j:181[70m27:4<4>7d9'516=9180b<=i:09~w41?2909wS?88:?23a<6?11/=9>51908j45a2;1v<9=:181[70:27:;:4>739'516=9180b<=i:29~w7e02909wS<l7:?1b6<5k>1/=9>52b:8j45a281v?m9:181[4d>279ii4=c79'516=:j20b<=i:39~w7e22909wS<l5:?1a2<5k<1/=9>52b:8j45a2:1v?m;:181[4d<279i<4=c59'516=:j20b<=i:59~w7e42909wS<l3:?1`g<5k:1/=9>52b:8j45a2<1v?m=:181[4d:279h84=c39'516=:j20b<=i:79~w7e62909wS<l1:?1gc<5k81/=9>52b:8j45a2>1v?=?:181[448279??4>039'516=:;l0b<=i:19~w74b2909wS<=e:?174<68;1/=9>523d8j45a281v?<l:180[45k2T9>o5223f9554<,8>;6?<i;o30b?4<uz8957>53z\16<=Y:;201?<n:021?!738389j6`>3g87?xu5:?0;6>uQ2348Z7423489;7??2:&205<5:o1e=>h56:p672=838pR?<;;<302?b>3-;?<7<=3:l27c<73ty9>?4?:3y]674<589=6i94$063>7443g;8j7?4}r015?6=:rT9><521249`3=#9=:1>?=4n01e>7=z{;8;6=4={_014>;6;?0o96*>418166=i9:l1?6s|20d94?4|V;;m70?<6;f7?!738389?6`>3g87?xu59l0;6?uQ20g894512m90(<:?:300?k74n3?0q~<>d;296~X59m16=>85d39'516=:;90b<=i:79~w77d2909wS<>c:?273<c92.:8=4=229m56`=?2wx=4850;1xZ4?134;8:7?<8:?2<3<61?1/=9>51878j45a291v<7;:181[7><27:4<4>959'516=90?0b<=i:09~w4?42909wS?63:?23a<61:1/=9>51878j45a2;1v?m6:187[7>:2T9o452205956><58=<6?m6;%374?4d02d:?k4?;|q2=f<72;qU=4m4=311>gd<,8>;6<7m;o30b?6<uz;2m7>52z\2=d=:::;1no5+15295<d<f89m6<5rs0;:>5<5sW;2563=2e8af>"6<90:5o5a12d96>{t9021<7<t^0;;?845i3hi7)?;0;3:f>h6;o087p}>9683>7}Y90=01?<8:c`8 427283i7c?<f;68yv57>3:1ovP<079>5=0=;9<01<6>:225?870l39;:63>768043=::jl1?=84=3f6>661348on7=?6:?1a4<48?16>h95314897cc2::=70<i3;132>"6<908<i5a12d93>{t9131<7<t=334>41?34;<h7?79:&205<60h1e=>h52:p5=>=838p1??8:051?870?3;346*>4182<d=i9:l1?6s|1c394?4|58km6<<9;<3a0?3a3-;?<7?m0:l27c<73ty:j<4?:3y>bf<6nk16>k=51g38 42728l;7c?<f;28yv7bn3:1>v3ic;3e=>;5mm0:ik5+15295c6<f89m6<5rs0gf>5<5s4lh6<h7;<0f3?7bm2.:8=4>f19m56`=:2wx=hj50;0x9ce=9o=01?k>:0gg?!7383;m<6`>3g80?xu6mj0;6?u2fb82b3=::mh1=hm4$063>4`73g;8j7:4}r3ff?6=:r7mo7?i5:?1`0<6mk1/=9>51g28j45a2<1v<kn:1818`d28l?70<lf;3fe>"6<90:j=5a12d92>{t:j:1<7<t=334>7d?348m?7<l0:&205<5jo1e=>h50:p6gc=838p1??8:3`5?84bl38ii6*>4181fc=i9:l1=6s|2cf94?4|5;;<6?l:;<0f3?4el2.:8=4=bg9m56`=:2wx>om50;0x97702;h?70<j1;0ag>"6<909nk5a12d97>{t:kh1<7<t=334>7d4348on7<mb:&205<5jo1e=>h54:p6gg=838p1??8:3`1?84c=38im6*>4181fc=i9:l196s|2c;94?4|5;;<6?l>;<0`b?4e12.:8=4=bg9m56`=>2wx=o750;1x94d3288270hl:052?87ej3hm7)?;0;3a<>h6;o0;7p}>b683>6}:9k>1=?94=ga953`<58hi6oj4$063>4d?3g;8j7?4}r3a2?6=;r7:n94>209>bf<6>l16=ol5bb9'516=9k20b<=i:39~w4d22908w0?m4;321>;ak3;=h63>bc8aa>"6<90:n55a12d97>{zutwKLNu6f381a71?0=;vLMLt0|BCT~{GH
/projects/S3Demo/S3demo_map.xrpt
1,11 → 1,11
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
<document OS="lin64" product="ISE" version="12.3">
<document OS="lin" product="ISE" version="12.3">
 
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
 
<application stringID="Map" timeStamp="Fri May 2 20:23:54 2014">
<application stringID="Map" timeStamp="Tue May 6 22:08:19 2014">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
12,7 → 12,7
<column stringID="value"/>
<row stringID="row" value="0">
<item stringID="variable" value="PATH"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin64:/opt/gnu-arm/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/ucb"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:/usr/local/bin:/usr/bin:/bin:/usr/local/games:/usr/games"/>
</row>
<row stringID="row" value="1">
<item stringID="variable" value="XILINX"/>
20,20 → 20,20
</row>
<row stringID="row" value="2">
<item stringID="variable" value="LD_LIBRARY_PATH"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin64"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin"/>
</row>
</table>
<item stringID="User_EnvOs" value="OS Information">
<item stringID="User_EnvOsname" value="Debian"/>
<item stringID="User_EnvOsrelease" value="Debian GNU/Linux 6.0.9 (squeeze)"/>
<item stringID="User_EnvOsrelease" value="Debian GNU/Linux 7.4 (wheezy)"/>
</item>
<item stringID="User_EnvHost" value="luis-laptop"/>
<item stringID="User_EnvHost" value="cudar75"/>
<table stringID="User_EnvCpu">
<column stringID="arch"/>
<column stringID="speed"/>
<row stringID="row" value="0">
<item stringID="arch" value="Intel(R) Core(TM)2 Duo CPU T6500 @ 2.10GHz"/>
<item stringID="speed" value="1200.000 MHz"/>
<item stringID="arch" value="AMD Athlon(tm) II X2 255 Processor"/>
<item stringID="speed" value="800.000 MHz"/>
</row>
</table>
</section>
56,29 → 56,29
<section stringID="MAP_DESIGN_SUMMARY">
<item dataType="int" stringID="MAP_NUM_ERRORS" value="0"/>
<item dataType="int" stringID="MAP_FILTERED_WARNINGS" value="0"/>
<item dataType="int" stringID="MAP_NUM_WARNINGS" value="0"/>
<item UNITS="KB" dataType="int" stringID="MAP_PEAK_MEMORY" value="407488"/>
<item stringID="MAP_TOTAL_REAL_TIME" value="5 secs "/>
<item stringID="MAP_TOTAL_CPU_TIME" value="3 secs "/>
<item dataType="int" stringID="MAP_NUM_WARNINGS" value="7"/>
<item UNITS="KB" dataType="int" stringID="MAP_PEAK_MEMORY" value="164608"/>
<item stringID="MAP_TOTAL_REAL_TIME" value="2 secs "/>
<item stringID="MAP_TOTAL_CPU_TIME" value="2 secs "/>
</section>
<section stringID="MAP_SLICE_REPORTING">
<item dataType="int" label="Number of Slice Flip Flops" stringID="MAP_NUM_SLICE_FF" value="97"/>
<item dataType="int" stringID="MAP_NUM_SLICE_LATCH" value="0"/>
<item dataType="int" label="Number of Slice Flip Flops" stringID="MAP_NUM_SLICE_FF" value="157"/>
<item dataType="int" stringID="MAP_NUM_SLICE_LATCH" value="9"/>
<item dataType="int" stringID="MAP_AVAILABLE_SLICEL" value="896"/>
<item dataType="int" stringID="MAP_AVAILABLE_SLICEM" value="896"/>
<item dataType="int" stringID="MAP_FLOPS_PER_SLICE" value="2"/>
<item dataType="int" stringID="MAP_LUTS_PER_SLICE" value="2"/>
<item AVAILABLE="896" dataType="int" stringID="MAP_NUM_SLICEM" value="1"/>
<item AVAILABLE="896" dataType="int" stringID="MAP_NUM_SLICEL" value="1"/>
<item dataType="int" label="Number of 4 input LUTs" stringID="MAP_NUM_4_INPUT_LUT" value="106"/>
<item dataType="int" label="Number of occupied Slices" stringID="MAP_AGG_SLICE" value="74"/>
<item AVAILABLE="896" dataType="int" stringID="MAP_NUM_SLICEM" value="2"/>
<item AVAILABLE="896" dataType="int" stringID="MAP_NUM_SLICEL" value="2"/>
<item dataType="int" label="Number of 4 input LUTs" stringID="MAP_NUM_4_INPUT_LUT" value="162"/>
<item dataType="int" label="Number of occupied Slices" stringID="MAP_AGG_SLICE" value="121"/>
<item dataType="int" label="Number of Slices containing unrelated logic" stringID="MAP_NUM_SLICE_UNRELATED" value="0"/>
<item dataType="int" label="Number of route-thrus" stringID="MAP_NUM_LUT_RT" value="53"/>
<item dataType="int" label="Number of route-thrus" stringID="MAP_NUM_LUT_RT" value="62"/>
<item dataType="int" stringID="MAP_NUM_DP_RAM" value="0"/>
<item dataType="int" stringID="MAP_NUM_RAM32" value="0"/>
<item dataType="int" stringID="MAP_NUM_RAM16" value="0"/>
<item dataType="int" stringID="MAP_NUM_ROM16" value="0"/>
<item dataType="int" label="Number used as Shift registers" stringID="MAP_NUM_SHIFT" value="1"/>
<item dataType="int" label="Number used as Shift registers" stringID="MAP_NUM_SHIFT" value="2"/>
</section>
<section stringID="MAP_IOB_REPORTING">
<section stringID="MAP_IOB_DATA">
85,7 → 85,7
<item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IPAD" value="0"/>
<item AVAILABLE="0" dataType="int" stringID="MAP_NUM_BONDED_IPAD" value="0"/>
<item AVAILABLE="0" dataType="int" stringID="MAP_NUM_OPAD" value="0"/>
<item AVAILABLE="68" dataType="int" stringID="MAP_AGG_BONDED_IO" value="40"/>
<item AVAILABLE="68" dataType="int" stringID="MAP_AGG_BONDED_IO" value="55"/>
<item AVAILABLE="204" dataType="int" stringID="MAP_AGG_UNBONDED_IO" value="0"/>
<item AVAILABLE="0" dataType="int" label="IOB Flip Flops" stringID="MAP_NUM_IOB_FF" value="0"/>
<item AVAILABLE="0" dataType="int" stringID="MAP_NUM_IOB_LATCH" value="0"/>
102,7 → 102,7
<section stringID="MAP_HARD_IP_REPORTING">
<item AVAILABLE="0" dataType="int" stringID="MAP_NUM_RAMB16" value="0"/>
<item AVAILABLE="0" dataType="int" stringID="MAP_NUM_MULT18X18" value="0"/>
<item AVAILABLE="24" dataType="int" label="Number of BUFGMUXs" stringID="MAP_NUM_BUFGMUX" value="3"/>
<item AVAILABLE="24" dataType="int" label="Number of BUFGMUXs" stringID="MAP_NUM_BUFGMUX" value="4"/>
<item AVAILABLE="4" dataType="int" stringID="MAP_NUM_DCM" value="0"/>
<item AVAILABLE="1" dataType="int" stringID="MAP_NUM_ICAP" value="0"/>
<item AVAILABLE="1" dataType="int" stringID="MAP_NUM_STARTUP" value="0"/>
210,6 → 210,41
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="10">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="btn_ext&lt;0>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="11">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="btn_ext&lt;1>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="12">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="btn_ext&lt;2>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="13">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="btn_ext&lt;3>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="14">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="btn_ext&lt;4>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="15">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="grn"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
219,7 → 254,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="11">
<row stringID="row" value="16">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="hs"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
229,7 → 264,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="12">
<row stringID="row" value="17">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="kc"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
236,7 → 271,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="13">
<row stringID="row" value="18">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="kd"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
243,7 → 278,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="14">
<row stringID="row" value="19">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led&lt;0>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
253,7 → 288,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="15">
<row stringID="row" value="20">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
263,7 → 298,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="16">
<row stringID="row" value="21">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
273,7 → 308,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="17">
<row stringID="row" value="22">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led&lt;3>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
283,7 → 318,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="18">
<row stringID="row" value="23">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led&lt;4>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
293,7 → 328,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="19">
<row stringID="row" value="24">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led&lt;5>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
303,7 → 338,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="20">
<row stringID="row" value="25">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led&lt;6>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
313,7 → 348,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="21">
<row stringID="row" value="26">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led&lt;7>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
323,7 → 358,87
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="22">
<row stringID="row" value="27">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led_ext&lt;0>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="28">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led_ext&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="29">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led_ext&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="30">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led_ext&lt;3>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="31">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led_ext&lt;4>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="32">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led_ext&lt;5>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="33">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led_ext&lt;6>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="34">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="led_ext&lt;7>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="35">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="mclk"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
330,7 → 445,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="23">
<row stringID="row" value="36">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="red"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
340,7 → 455,14
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="24">
<row stringID="row" value="37">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="rxd"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="38">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ssg&lt;0>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
350,7 → 472,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="25">
<row stringID="row" value="39">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ssg&lt;1>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
360,7 → 482,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="26">
<row stringID="row" value="40">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ssg&lt;2>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
370,7 → 492,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="27">
<row stringID="row" value="41">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ssg&lt;3>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
380,7 → 502,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="28">
<row stringID="row" value="42">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ssg&lt;4>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
390,7 → 512,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="29">
<row stringID="row" value="43">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ssg&lt;5>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
400,7 → 522,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="30">
<row stringID="row" value="44">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ssg&lt;6>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
410,7 → 532,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="31">
<row stringID="row" value="45">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="ssg&lt;7>"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
420,7 → 542,7
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="32">
<row stringID="row" value="46">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="swt&lt;0>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
427,7 → 549,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="33">
<row stringID="row" value="47">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="swt&lt;1>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
434,7 → 556,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="34">
<row stringID="row" value="48">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="swt&lt;2>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
441,7 → 563,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="35">
<row stringID="row" value="49">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="swt&lt;3>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
448,7 → 570,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="36">
<row stringID="row" value="50">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="swt&lt;4>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
455,7 → 577,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="37">
<row stringID="row" value="51">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="swt&lt;5>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
462,7 → 584,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="38">
<row stringID="row" value="52">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="swt&lt;6>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
469,7 → 591,7
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="39">
<row stringID="row" value="53">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="swt&lt;7>"/>
<item stringID="Type" value="IBUF"/>
<item stringID="Direction" value="INPUT"/>
476,7 → 598,17
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
</row>
<row stringID="row" value="40">
<row stringID="row" value="54">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="txd"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
<item label="IO&#xA;Standard" sort="smart" stringID="IO_STANDARD" value="LVCMOS25"/>
<item label="Drive&#xA;Strength" stringID="DRIVE_STRENGTH" value="12"/>
<item label="Slew&#xA;Rate" stringID="SLEW_RATE" value="SLOW"/>
<item label="IBUF/IFD&#xA;Delay" stringID="IBUF_IFD_DELAY" value="0 / 0"/>
<item label="Suspend" stringID="SUSPEND" value="3STATE"/>
</row>
<row stringID="row" value="55">
<item label="IOB&#xA;Name" sort="smart" stringID="IOB_NAME" value="vs"/>
<item stringID="Type" value="IOB"/>
<item stringID="Direction" value="OUTPUT"/>
490,7 → 622,7
</section>
<section stringID="MAP_RPM_MACROS">
<section stringID="MAP_SHAPE_SECTION">
<item dataType="int" stringID="MAP_NUM_SHAPE" value="4"/>
<item dataType="int" stringID="MAP_NUM_SHAPE" value="5"/>
</section>
</section>
<section stringID="MAP_GUIDE_REPORT"/>
/projects/S3Demo/webtalk_impact.xml
0,0 → 1,24
<?xml version="1.0" encoding="UTF-8" ?>
<document>
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="impact" timeStamp="Tue May 6 22:23:03 2014">
<section name="Project Information " visible="false">
<property name="ProjectID " value="e368fdf36c704cc6bd7bdaee919092d7"/>
<property name="Project Iteration" value="1"/>
</section>
<section name="iMPACT Project Info " visible="true">
<property name="Use Project File" value="Yes"/>
<property name="Project Entry " value="ise"/>
<property name="OS Name" value="LINUX"/>
</section>
<section name="iMPACT Serial PROM File Generation Mode" visible="true">
<item name="Chain Description">
<property name="Number of device" value="1"/>
<property name="Device 1" value="spartan3a"/>
<property name="Part1" value="xc3s200a"/>
</item>
</section>
</application>
</document>
/projects/S3Demo/S3demo_cclktemp.bit Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
projects/S3Demo/S3demo_cclktemp.bit Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: projects/S3Demo/S3demo.bld =================================================================== --- projects/S3Demo/S3demo.bld (revision 263) +++ projects/S3Demo/S3demo.bld (revision 278) @@ -1,12 +1,12 @@ -Release 12.3 ngdbuild M.70d (lin64) +Release 12.3 ngdbuild M.70d (lin) Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -Command Line: /opt/Xilinx/12.3/ISE_DS/ISE/bin/lin64/unwrapped/ngdbuild -intstyle +Command Line: /opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc S3demo.ucf -p xc3s200a-vq100-5 S3demo.ngc S3demo.ngd Reading NGO file -"/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ngc" ... +"/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ngc" ... Gathering constraint information from source properties... Done. @@ -28,10 +28,10 @@ Number of errors: 0 Number of warnings: 0 -Total memory usage is 246608 kilobytes +Total memory usage is 87900 kilobytes Writing NGD file "S3demo.ngd" ... -Total REAL time to NGDBUILD completion: 4 sec +Total REAL time to NGDBUILD completion: 2 sec Total CPU time to NGDBUILD completion: 2 sec Writing NGDBUILD log file "S3demo.bld"...
/projects/S3Demo/S3demo_map.ncd
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
###5208:XlxV32DM 3ff2 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###4448:XlxV32DM 3fff 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###3852:XlxV32DM 3fff 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###3880:XlxV32DM 3fff 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###4660:XlxV32DM 3fff 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###5772:XlxV32DM 3fc6 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
###4024:XlxV32DM 3ffe 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###5004:XlxV32DM 3fff 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###4144:XlxV32DM 3fff 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###4364:XlxV32DM 3fff 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###3972:XlxV32DM 3fff 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###3948:XlxV32DM 3fff f54eNqtm82u3CgThm9mLgAobKBbs/+uIhLmR8pmspjlKPf+GYoC7EPHJnGknJOYLqrAvA9Q0P+4+AL+/ktY8wL9/q5e7PX+rtPPH06kXz//Fdrt/xD//Q0Le8n4/tvCy4lcsJUCGbFAYEGU+Fybl3r/Hd3+8+e/gR7q9DDgQ4C1VAH6xc3722Lz0xQB5AqEDu9cWjyjlWoRcbGbCQf5uWwB4fPN5+dAXhb0suSnS/GiyctCzUhedufUCiff32R+pqvJ/iwV6RyV3sukVFiYu4O/sb/4bpm8aVUtdXah9wL2/vlzE3t38Zd62Vf4L78I6d/fJctvQi75VUh8L8uCv2x+uLcNf7vUuvemUuPTX/bfX27vOAPvf9LrFe+/nH0tprxmEU6vWeb/7D2QX/emllM1EquRp2rir6sRMb/Zrp7l/T+/5boi/glYo45l/LH3d26wvRErAUgjDLpKVK7AoeUiiqXYe4pjh2XLH/vLpxrcqQada/ClhrXUAB9rENGcGmKuGiK/NCSkV8W7Omz56PL+ofAj8fyRrXxkrR/R/tQWh68Gjq8G1FDIW341vblH8+VC/4pUtfdl0sdeD+pfVVltWOCP+t+HUtK/P+h/ry3pHx+KWJScernqH7sccgVZmelB8YxWukXU6V/EpQXU6V9QQGlQVv3jCIUcUfGyUjOSF7XUVlT9565Ak6T/vUjnqI76T92R9J/6q+rfVEudXXT6t8/ofx3rf53UvxrrX8/q3z2hfz/Uf5jQfxjqP07oPz6g/3Ct/3Ct/zjWv7qnf8PG+jdX+q9zdMj62Osp+q9y8yiceNK/yvoPR/2vWf+kZE/KjL3+YxGLImVG8oxWoUV00P/WAjro35IX1+vfFS8reXHUjKx1VVvR9L9Wk6x/lcS8R3XSvy/6D03/aqmWOruo+gfGntG/HuvfTurfjPXv5vQPTDyh/zjUP2f3ASDZEACc3yYAsOUBAsRrAsRLAhg+JsB2kwBiTAB/RQCabyXuAIwgAtBCHIpA+YkAuAOIRwLgDgAfAqNFBFu6HQAjudAOgC3kGa1ki6jfATDeAup3AIyRF9HtAPIYhRxR8SKoGVntpraiEUBXk0yAvAMw4kyAsgOQ3Q5AqWqps4uOAOszBLBjAoRJAmxDAkg2SwD9AAEkHxNATBBAjAkAEwTY/pwAkV0SgDN2jQAYIyDeRIAcIkBeJQGUJcEViUhCgKECjgVwQsCW1J5admCAzWBgRc1Ux97PHQO2IpiN1LmRa7TqQjowQLWIDgygdQzTPQNI0Ja8aGpH1vtWm9EYYKtJZsCWBS3PWQBeGCA6BphqqbOLjgHuGQa4IQPkbBbAjxkgZxkQnmAAjBkgJxggxwxY7jOA8wcYwG8wgF8zYBkyQN5MBJh1zIDLRAAlAvfezBJZiQE1EQhYsJwY4JEB/MgAlxnAi5pjqWPv58aA3OmQa0B1ck6usxVnLaQDA3yL6MAAasI+MDsGhOLFEQMCtSPr3ddmNAa4apIZ4LOg1zMDoDBAdgzYqqXOLhoD+PIMA+KYAZOZAM3GDJjMBABXTzBgHTNgnWCAGjNATTDAPsAAcYMB4poBesyAu8kAM2bAVTJAV8EplIghBpB+JWbR9viOhwEMGSCODIiZAWVVz2mfsfdzx4Ay3e41FAZYco1WpoXUM4CvLaKeAZzSFvvAbAzgtDyP5EVRO3Lmn9VmNAbEapJPA1gWtDkzYC0MUB0DfLXU2UXHgH0J9No58nIfGHAhfs3H4p9MAwD3T6hWj1WrJ1Rrxqo191Ur2EfVSnepV553qL8WrLFjMd7dl29jMborMQoa4Zgf3+spJ3O0DZZlMWuxgNO+WXNUYzlQ45RQ27uqCU+w9rRUj5+Oze1BcK55PQiOlgf7mOoEVzSwh1IE5ynWLDheI03iMttZVrrIynRJdlar1LmiKiu+pkM2vU+tsclKwNXU+l1YMVQZzwmKflCUoSz8+4cuA0eEoRSDnpMiF3E4EYd1biLm8HUizlKy5TTMsI9K5urcFsrEUSoOLqRMXZnqOren5OQpKa8uJN1Vteo/n5B53jD+erblMJ5uw83plsN4vg0Xa24ui9ZSpyV1pIpQyjJQSSglZcbldNacSvauemfj9I9v6UeiQJln08jKGFBZcnwtM2bq1ooB7GPAT2elpicUC9qpFmQHBL4uLcQOCEmM+By6GZjDSn5C8QOqtiz7EbHFXWdhlFmxS6hIpRqjOyAjdxTH3qjI4JQVSIpOVgJPJpauUo0h7DARgDAxX2FyvU7/DBNgY1DISVAAH4NCTIJi3f587udftg9IDDKdAcaXNU0Bhv8NYIQngGGvl/Cc34DKeNkQ4C5UxuuGcJHM40tZMaeORYGVhQNfSJmalRJ7hkpahmeopIm7gwoXJGpcW3Dc2HO20fOyA7AFGo5gE3rYkO6AE2xCjRHtfAv+ABvbQj/Ahhq7bh1s1o38MPKz1RZnP8BbexpsgDW7DBvgyIfT+iR3IMdearARsVlr9NUtUeLDVBFjqrBZqsCQKj5MUkXxJ6gixlRxv0EVGFNlm6cKXpH5U6q4G1SBG1RxQ6r4eJcqfkgV76+oQrOzhqIkT1ShpYoWpcR9oYogqsAHqgBSBc5UEUgVvFDIFX1+fyWNKnRvJ9WCaleyxoh20ILvqaJYC/1Albou4x1VFCc/gvzw2mLkB7T2dFQRzQ6pAsgHf6aKKFSBjirQedXoq1FFLQ9TZXzN0LtZqozvGXo7SxX1BFXkmCr2N6iyjKlifoMq9gmq+BtUkTeoEsZU2e5SJY6pcpFw5CtNw3opSiq3jPhapUlaDl+oIokqyweqLEgVeaaKRKoQHWjDpGxPFUu6W0jttsaIdqYFf6DK2kLvqaLqhqnfGNHNgRRV8aNqi5EfS2tPRxXZ7JAquMWBeKaKLFRZeqpAs9boq6NKylIu+/tUF1nKE0d2y895Ra9vKHUdK1XPK/XLzSB3iOJiRO/jjwaRxox3qrAbf6WkH3Z0gJaeH147DTvl+9fu21OqX9X3Ro7b+6IxIFmXTt4Gl0uv815fsO/jZboLPhw9WzOZeVbjdJdVk+dO2nxId7nLdBeE8bWTbeK4KYyvnWwTR87ugexWuD5KAj3eh9p7StjtxzfL7fprtoOhxHDAdSHQ1XIwdIcj8FJS9qGBMtt4chTKyZGjsx7Xf4/ElcwV1EMdp6sjtFMtgj6VvW3Nf5/K3ujOiTZdKltXP3SArE0NO/upp2Oqy1wBHSJDOUUGPA+CLzfKQ7lLErq7JL5U6dFGuUPeCvB4OQVQ81bgzCPny6DH+Sq7TCpdj/NVFiaV7h7IV0EYnzBvE7dMwviEeZu4aeYeyE/lllzcNV1vUGF83dzKm1T4cN/ciisq0N2NgKscoAvnYOiAKaxFXqcb54DnWnE9HDIDnj2HcmfEUS0u9Kwg4dTzKBeqe7Tr4upZ4WyLqmeFo3Np1yWecKwCBlX8bLUx2U89vNNd4gnobAvwBDqXaozuxIpy3hy682ago2rAQ2o4XEBPk+IjWBgnnCyfxcI44WTiJBY8fwIL4yPsbeICahgfYW8TV9D9AwmmoK6xcH0SBh/uoFt2FwvjS+gmXGGBptBQ5la6hQ6GTq9Dmd3P19DTiEpYUCcs5NRRwKfg6TDdd5kj7HjAKlCuXlb3aActrh4LnrWoDligdvguc4RjFTCo4ofXxiAAoDWmw4JodogFQCycr6SHcl4euvNyRE2x1uirYcEvD2FhnDEyfhYL44yR2Wax8MR9tGDHWJj4ZkrYhliwcQILD2SIMuAusKBvYGF8L924u1gYX0yv0XzCgqXZMpRplG6mg60CtKUEzljAL6jqExbwG6q6yJvupPn+Tpq3JJyF5Gqre7QzLa4DFtYWVY8FT7fSfH8rzZN8tSQ/qjYGAbC0xnRYkM0OsbCgwA+31P8PPhHWwg==###4052:XlxV32DM 3fff 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###5960:XlxV32DM 3fff 1730eNqlW8uO5LiO/ZnezcZ6y5noXylADxvozdzFLAv972OS5ziUtyIjCqguNOgUKYovUaTs2D7cx/ax/fxr7B+5fP7vOD/cDJ9/jfaR0vz8y7f9w7fP/xn5Ivv89/+im9dD+Pl3dOdH3j//loGRP39c/wv2vLBesMeH392nko2oqOOByvXzRw6f//7bo99k+CNcPHWx2D//k4MshjUvEqckt5hJxTztvwOybpC1XtOrzPvnWl9lvhj4rwyy6Zk5Z//8J+9C+58ROUXEemKa+MI0OkdMc62nprkGHqYxIQTrYJprxExjChrqYZqgMnn5B9OMz3/GF9OE3L5q1qFZh5gHxOy/aGjcFw0HNPSY6jB1PDS0OZeGsu4l+t8ycGsIYQx76f9jqBopzndqpHg8V2O+VcO4/6rG+UINm3OpIeuKGjJwqwFhDPtQI4b4To0Y5lM1qgVNbt9qYcyfOGN+r4XNkXC7lhUtZODWwh8TMXVhH1r4w7/bbv4If7bdsPSv223/druZWL9utzG+324259Jf1pPtJgO/6i/q6HaTEd1uUNBQSyYK6b2Dn8dpDe8dnJ47+Hjl4EQHH3Bweurg44uD43sHpz918PHcwfWFg+NzB89XDo508AEHfwnwg15MdPBBB6cHanVwfu/g87mD/XsH5+cOPl85ONPBJxycnygo2NXB+b2Dy586+Hzu4PLCwfm5g49XDs508AkHf9H/pBcLHXzSweWBWh1c3jo4bs8d7N47uDx18LG9cnCBg69lzcHlmYMv7Org+t7B+x86+NyeOzi/cHB97uDzlYMrHHxucHBd9DchxIs7HHxudPD+QK0Oru8d7J47eHvv4Prcwe6Vgysd7ODg+iSCBbs6uL938PhTB7vnDk4vHNyfl7zbKwd3OtjBwX11sKMXBx3s6ODxQK0O3t872D91cDnfO/h5xXv4Vw7e6WAPB+9PHey/lIrtvRbhuRbHey3acy3CKy0atQjQoj3Zh4JdtRjvtYjPtZjvtRjPtYivtBjUIkKL8STYBLtoEdPb/jLmP+wvY3u62dr39ZCJ9etmO9KL/jKyHozN+su41IMQQrAZ/WVs6C9NQUMtmy2Ftw5O47mDx9vGzLg/8XB94WGdIxpe66qHr4GHhgk5Qx4WD6f3jVl+fuqX/htqPO/Mjv2VGuzMMo79tBSuEMawqxrv24/8zXZrv6HG8/7jaK/UYLxl7Le0xpsJY9hVjfdFdq7P1dh/Q43nVfbRX6nBKvta19TIqxqVatQvarwvJfM3e6P+hhrf1JLjlRqsJTP3RlnV4N7IX/fG+4KpfLM3ym+o8U3F9OrWIrFiKtwbS8UEYQy7qvG+LCjf7I38G2p8Uxe86s0T64LCvbGvanBvlK97o79X45u9kX5Djf5cjVcdaOpUg3ujr2pwb5Rlb1zHiVU9P64HOWXOD9z9Tgy7eV8L887wGg5yZOHCkJelQu23+6b0Gt4LhvdyDe/lA3dy4H093Nd1wruQdxHeoI6kjkIdSY1SQIbTfXhehcO0i9kf18NVoU6P4dExPPo1PDqHK4erDFcOZw5nGc4cjhyOMhwhSQLv6+G2OYNKh/c7orhldLje+4UJQYfLnQ2Y7nQ437mOyVyH053JeVTp8OOc4kGsw+E+hVmByXAYd/nF8lKH211bsnbW4f0unNkz6XC9Gyb2yjpc7kaZdyQ6nO8LEt6N6XC6L8Z4J6rDjwtRCTba24u9Pe3tKaDX2/o7NCmgFwE9BfQU0IuAngJ6CuhFQE8BPQX0IqCngJ4CehHQ3wLS3l7s7QNDkzE4JQYnY3AyBqfE4GQMTsbglBicjMHJGJwSgxNLogu9ho9+921s2XW43v06r2p0ON/3NLyi0+F438/xalaH/X0vK5vbc3N72dwcrgeG63ENX39hmE6r4rRKp1V6p4p3Kr1Tae8q9q60d+WSVZasXLIwhRVJYYUprDBxFEkcZdKCvDCW5BDEiOHKwJaBKt95FEXJgKFivK93pqJkAKgkaTr+1OR5FeN/u3o1yALlLlMsuYWPa7NfSyNELRXK0r5dGTxobuENt6QVQY2L//CK4t2oJCKiumUk3qpJ6lJdNs6avI+RZEeUCbyLdcdPtYkILEWfhmoywaXsMLgBVoMFfxejF0EkASlstoT+LbCpw8Q07qc6RfqUayRi5PIeRgpHEkcaRypHJkc6RvaNIwdHyHkH55BhnSD1h77gOmHu7MwCgvFVz5VqD24UjBxEuX51bt4eRE3xq8AkvZls/HkodFdoKOy7jZcuUAQRDzpn6w2wknMrtARYAHdA4K8+2GAENLq4Vfzd8DfojwN/A7rNYOmAQ+CXBrOqbeQENTtG9cc0lAjrs012ZzYm05i7bsL409viHsJ6KOOnwRBAFwFN6LBtgBlwGHQwCozkcgTcAU+DJQFC+Q6+A0YcRhcm+E3SYZ3hzRiiiBZO4vwcTTNPqAHjuvYqoBGrOLjIgZsbpr3baS3TXqJFYTO8ZAOjB90AHaR1E3wixju03jE+yXcDDHDpPFD+HRbuGpcauPKgasQ5sfUPC0SJYeGSXATcAU2a5BMgAgjaxAN/I0AjA9O0vmK+iMGqdZRWpYwPLWKu5KKlz5VctNa5koviywZo9KE0pDJ5erDKYFXBKoFVBasMVhmsClhZhtcimKwKWBWwKmCVwaqAVQWrLKzqzSourCpYJbDKYJXAqoJVAasKVqZgEs81sGpg1cBqgFUDqw5WE6yuv4WBSpfkZJjyUAQhrAscno1UWQ+w7mA9wLqBdQfrAdYFsIFzAhwGZUlZSo74jqUGlupYqmGpjqUmlmpYqmGJCgitvB7DspYtIQXAxBITS0wsMbHExBIDSwwsMcE6AVL6iBUyILTEjllvRsTzVm6H/77CAioAtXbwQBWilhsjoKKhvMeNmd+mHbDXgIgl0IzsHaJOSaS4uKrlhOQsxlPYMUU2v8zQgQuqNb3bFXMltYFjUJhpbvINEH+HDRAZPCTgw53zRD6BGnceacQHSyve0okUkZuFuMrdcKrcD8rkklwHJHPeotvDRmWmbU93pSPxn1TRemrJQlfWM/4JIt5wQGtob+bYadJNcuKJqWJtgc2gaeUbeDVDeK4BwoC6J2z4G2sLbPBRBmxwSTMrXXtLpBcREkQokJqwQWpAFIzackdMqSAlZAAg4pZbMURctohL/rCF5fMYMU8KHaWcCSB5X2udC4Kb3nFEm6Lbd1j9bHMr5orTkxsP7iKTUhRQDDttlPutVtIPqzhlYErHlGYHEyGn9GXKxJSJKR064Awzl3/5Kshkt7L+vy6tYKxK1HIRBNRuKAnZ7WP/qbW/bsdqOc91O2eEwIJ8qloa47obNzO0RjQju2lYNotoZZXBsoNlMugH9ott/WlJX3g+pCmYOjCVUqBGsUhHwG6WZ0yKBCgWk6pGjaysKlhOK3eU5d4x9ZwW1EJhVVVFDlQa3eFXLWMpKJ7RtEwbMjMO3hQtE0dG2VVoECIQtefl1IypCVMLpg6rVRJqFvh/EycXTNXVLDADzurA0xAhFWGoCLfJNsBrAWVxTRGo7ghWhYhucxGwAdMh4AD3DO4onkzAOPLCvZuAyl3naLl3cOtu2CnRtl/EZlO1G9Q2mc+2CDQh0IBAHVOLVXOmLo9BmXFPtdiX7lary4P90en4UPgw4efQ8dYqIHoDoldQ+4ISP0r/ZJ3qVhZUs74Humy4elZUByoCmhG3tpAMoIJ1S+TSF5IJVAS0sqZHs7NKLJpL0xZOa9oM7oBdoOQ9vqQYtq8SEn7CGSxJKy0k2QrthIIbQer2haQgW6JGB0ngrcSw5K+5WK2a9ONdzmbiRGWP2b4uJB0yNMhgYmq5Ick+dLT2ENfFWzPmVLyyVVIcB5BFX1kWoAY6Z8l+Ml1MJyoZPA36BEiTBm524dohgQZZQj1jmumDT3zoLHX09a5kU0SS5i20a5oaJSUFg5pldwfFnF6XJEztmFIAG6YAolvcq5nNIfKUtAKCBRtL5BHH8JLG0rJkY5Z03VnV5yzE7PhQ4sMM5bgbZZIOXJ2eITbAYJYUArNEgwUaLNBggQYLNBZ1cjqoJRp2i06hqDdJUxIaq8FIDUZq7oaPg2/oFBqrwUgNRmp9IdWDTS/Pr0CSSky0kgpMYdgAA2C6odpJSi1V3/MliRZzwGi1IEU8Sit+ziIkxVYhqi2ojhs8KyPTJOP5YCwk6bhn87rOo8wLG1FpLLM7Zg+IZQv4ucweEAtrY7NrBSprSx8jpVi+Fwj4Yl1JipmKax/LbK7dILktELZl9oDkhtK7NJ0dHmsLzP5em59wBpS1gQnG522Z3TG7QHKsHZbZA5JjbX41LJU1ZyesjdmZsxNm53t2R0+Skdwyit+MwNNmCq1JYlnUzSna3iS7CRNhI5a1G6WIo19ZdtwNaixfUzUyr6kKMyL3mmr7846+jEyncy0P6Mc9srtEIHZ9A72TSpzRmWVsGcHY7jozKlFzrk7Rgi1j7wb9UcdFGqCWdMG8iNP6xTFrhYyspT265B3tmO8HTfsuw3HygNYz2p4PJ39d4ey8lTNV4V3eaVB2o9Dz3VmaUjhxC9sBB+C020hNY7jUlltBj6NGD3/JlHr2u87fsMDdcltqWawP5m+W8QP2lY63ABrpztMB5blg2BsP3AZrZu77nfMP3jc6y3jD3XNBwq9pnYlrUCWYzuR3R1pI1JOCipbrTUUl0V4NLVA04wki4ToPxdLpFtIM0o7CGzd9JC0LaUP5OFE24jIQpEddSFlponq04vQuNWJnT8YTPhYrdZffolTW2Gi8fOLHw3oPxbSrVw54G2ojwKDkHN7OU7mDs8tGq/flppCXj5oJhhXECrtdGSdcHaM8bCxsi10+SlWITdLagipWIBIVF1RH/ANVF9Sw8pIolsOlPdaCGH1BcS2g0oLiWkDtC4proXierIyt6tSKONirBRbPx0KSgYqAxsVtC0kBCluNJG4hqUCRBKFRGRp2rAlkaVkeKERNRrbs/GB1w8G0sTh2c3IDmlIyoDtn8kh1E2lYSYiyvTkbtvxANTZQa40TtRdqMM8K2I2+LNhZbgS8qFauoy0kPCrsxXIHybGRZCIpbNjw2y32uZBQbJJiobmQmCxwii+UZSwkJgtc6ytlCSQ5sUB4JB+Thb/pURKKSVIsdC4kKgvfOfpEWY6FRGXJG2TBLZbY20gmS9bsP+6X70ayLSTGxX/cr+01lcz7Pau15fLS3V6J6VsDl4Aa2EJ62jUee23nA8r3wHZYXt7hgX2xNKI20jjCWQdf2mx8kH2gqDz5gEYnFNyL6qtGexi8KY32bjd1qsfic6LKm3i76vBBhRYad9zaS46Tm7PZMaRK8Zy2HHKyFBBTBKgbAUESFhLOJqmltrOQZAeKpHeKO/NCwgVICi7s+FsHqoG03Vz2hYQLkNRipdIeteAyj/Zw+F7BUHop96h27usuq2DldaXWDvk+9/O5kFR7k5nwRhN7pqSFpNlLzoSXnSDh7zaVpGMhvB8ll7qQDHABNypZrJVSDbTM7HadoYWTFiyZlQvjTafbAxvrdn80f9y3TzhkT2RQtWxAaJufCipDHQoIZEPxdzSKiphlJ0XhSxXHd1Rs2RpboxnuK2i6hf11RbuL95GqJqzVF5Js2iW8WKbN80JSwKWBixm0+IWEzm2wnh2Y/EG17uRg39VZhuHviRUT7Rs6+4hh4A5dMFqM4+23mIxvu7V0GXeZkOfCTF8H5pNfIowFNWh5/a688OOUgoO+3LdkpS+oPmF5/enHybbytMpIy2/baW5FNaCUYTkemFjw5cAmc/jjaXlI+KYA7HxcUA0oZVfDA2PsCtmxYPJ4a+nvbMJOXlENKGOXHhhjN8mOhsULoHB34IENcsA7oXDfGdTywCg7eZmm7NjYBrwvCndjG9i1BrxCCne3XvcHRtjpVxWbuuL/AcSbuBA=###4880:XlxV32DM 3fff 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###764:XlxV32DM 798 2e4eNrVlUluHDEMRS+TA2geWBsfwAv7CBqXAXrdqLuH/5eBBI3UOs7m64FUsSmJZBfXj8eYx/kcWYxY8U1sSlllJLHZbRXYsq8qIUA8ZEGmSiwQ/SInB7GQAekqGVFyVCkGhHgF8QriVcSriFexuSFAI2FLh6PD1mHrsDGriVADoSZsE6EWQi18sZDaQmobqW1NrRgLaRDdXKyDwGZhs7A5A8FmT9qgAoEtwOZhC+nrxlyvemVOkkQxXkwQo5DE5OOH04wr9jR1SJApxkmPRroevo8gfS8ZGn5kJ6MNGSvJ1BRmbDL1WuZYiNLEc9U8wvHuepbxfLdL/PNh5/HTdf05XYbT5fy7w9453J3D3znCnSPeOdKdI985yp2j3jnanaMf54knCHyChCeYeu3LD1m5ydJbXTu9XHO8rjn+22tm3pF5F+S9zZYdquziZfcpG1VtvFawSf3lBOk6Qf7fCoVnTr/fypqC8w3taaudZW3QM9tSX45bvs+D5T+St0OTt1snhvM6i1zWEaYT4yX5/E2SP9/ePp6fmr85PrXg7PHo4Mcg2xK7thnW8bVuTDOQznudaSSPyUaKmG+kjClHqph1pI6JR5qYe6SN6QfKFjOQ5DEJSZHzEFTQwqSGRiaxnUkLTQ3SPxu0NsijeUgRLUTKaCRSRTuROpqKNNlaxH01mGK9CpIYWJbExOIkFpYosV2FCpwsBeJmQQCbZVkQPYuDGK8S0cf4BQsnEuw=
/projects/S3Demo/S3demo_pad.txt
1,7 → 1,7
Release 12.3 - par M.70d (lin64)
Release 12.3 - par M.70d (lin)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
Fri May 2 20:24:13 2014
Tue May 6 22:08:33 2014
 
 
INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are:
48,17 → 48,17
|P26 | | |VCCO_2 | | |2 | | | | |2.50 | | | |
|P27 |led<7> |IOB |IO_L02N_2/CSO_B |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P28 |ssg<4> |IOB |IO_L03P_2/RDWR_B |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P29 | |DIFFSTB |IO_L03N_2/VS2 |UNUSED | |2 | | | | | | | | |
|P30 | |DIFFMTB |IO_L04P_2/VS1 |UNUSED | |2 | | | | | | | | |
|P31 | |DIFFSTB |IO_L04N_2/VS0 |UNUSED | |2 | | | | | | | | |
|P32 | |DIFFMTB |IO_L05P_2 |UNUSED | |2 | | | | | | | | |
|P33 | |DIFFSTB |IO_L05N_2 |UNUSED | |2 | | | | | | | | |
|P34 | |DIFFMTB |IO_L06P_2/D7 |UNUSED | |2 | | | | | | | | |
|P35 | |DIFFSTB |IO_L06N_2/D6 |UNUSED | |2 | | | | | | | | |
|P36 | |DIFFMTB |IO_L07P_2/D5 |UNUSED | |2 | | | | | | | | |
|P37 | |DIFFSTB |IO_L07N_2/D4 |UNUSED | |2 | | | | | | | | |
|P29 |led_ext<7> |IOB |IO_L03N_2/VS2 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P30 |btn_ext<4> |IBUF |IO_L04P_2/VS1 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE |
|P31 |led_ext<6> |IOB |IO_L04N_2/VS0 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P32 |led_ext<5> |IOB |IO_L05P_2 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P33 |led_ext<4> |IOB |IO_L05N_2 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P34 |led_ext<3> |IOB |IO_L06P_2/D7 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P35 |led_ext<2> |IOB |IO_L06N_2/D6 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P36 |led_ext<0> |IOB |IO_L07P_2/D5 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P37 |btn_ext<2> |IBUF |IO_L07N_2/D4 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE |
|P38 | | |VCCINT | | | | | | | |1.2 | | | |
|P39 | |IBUF |IP_2/VREF_2 |UNUSED | |2 | | | | | | | | |
|P39 |btn_ext<0> |IBUF |IP_2/VREF_2 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE |
|P40 | |DIFFMTB |IO_L08P_2/GCLK14 |UNUSED | |2 | | | | | | | | |
|P41 | |DIFFSTB |IO_L08N_2/GCLK15 |UNUSED | |2 | | | | | | | | |
|P42 | | |GND | | | | | | | | | | | |
65,17 → 65,17
|P43 |mclk |IBUF |IO_L09P_2/GCLK0 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE |
|P44 | |DIFFSTB |IO_L09N_2/GCLK1 |UNUSED | |2 | | | | | | | | |
|P45 | | |VCCO_2 | | |2 | | | | |2.50 | | | |
|P46 | |DIFFSTB |IO_2/MOSI/CSI_B |UNUSED | |2 | | | | | | | | |
|P46 |led_ext<1> |IOB |IO_2/MOSI/CSI_B |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P47 | | |GND | | | | | | | | | | | |
|P48 | |DIFFMTB |IO_L10P_2/INIT_B |UNUSED | |2 | | | | | | | | |
|P49 | |DIFFSTB |IO_L10N_2/D3 |UNUSED | |2 | | | | | | | | |
|P50 | |DIFFMTB |IO_L11P_2/D2 |UNUSED | |2 | | | | | | | | |
|P49 |btn_ext<3> |IBUF |IO_L10N_2/D3 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE |
|P50 |btn_ext<1> |IBUF |IO_L11P_2/D2 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE |
|P51 | |DIFFMTB |IO_L12P_2/D0/DIN/MISO |UNUSED | |2 | | | | | | | | |
|P52 | |DIFFSTB |IO_L11N_2/D1 |UNUSED | |2 | | | | | | | | |
|P52 |rxd |IBUF |IO_L11N_2/D1 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE |
|P53 | |DIFFSTB |IO_L12N_2/CCLK |UNUSED | |2 | | | | | | | | |
|P54 | | |DONE | | | | | | | | | | | |
|P55 | | |VCCAUX | | | | | | | |2.5 | | | |
|P56 | |DIFFMLR |IO_L01P_1 |UNUSED | |1 | | | | | | | | |
|P56 |txd |IOB |IO_L01P_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P57 |an<1> |IOB |IO_L01N_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
|P58 | | |GND | | | | | | | | | | | |
|P59 |an<0> |IOB |IO_L02P_1/RHCLK0 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE |
/projects/S3Demo/S3demo.cmd_log
4,3 → 4,26
par -w -intstyle ise -ol high -t 1 S3demo_map.ncd S3demo.ncd S3demo.pcf
trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml S3demo.twx S3demo.ncd -o S3demo.twr S3demo.pcf -ucf S3demo.ucf
bitgen -intstyle ise -f S3demo.ut S3demo.ncd
ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc S3demo.ucf -p xc3s200a-vq100-5 S3demo.ngc S3demo.ngd
map -intstyle ise -p xc3s200a-vq100-5 -cm area -ir off -pr off -c 100 -o S3demo_map.ncd S3demo.ngd S3demo.pcf
par -w -intstyle ise -ol high -t 1 S3demo_map.ncd S3demo.ncd S3demo.pcf
trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml S3demo.twx S3demo.ncd -o S3demo.twr S3demo.pcf -ucf S3demo.ucf
bitgen -intstyle ise -f S3demo.ut S3demo.ncd
xst -intstyle ise -ifn "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.xst" -ofn "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.syr"
ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc S3demo.ucf -p xc3s200a-vq100-5 S3demo.ngc S3demo.ngd
map -intstyle ise -p xc3s200a-vq100-5 -cm area -ir off -pr off -c 100 -o S3demo_map.ncd S3demo.ngd S3demo.pcf
par -w -intstyle ise -ol high -t 1 S3demo_map.ncd S3demo.ncd S3demo.pcf
trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml S3demo.twx S3demo.ncd -o S3demo.twr S3demo.pcf -ucf S3demo.ucf
bitgen -intstyle ise -f S3demo.ut S3demo.ncd
bitgen -intstyle ise -f S3demo.ut S3demo.ncd
xst -intstyle ise -ifn "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.xst" -ofn "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.syr"
ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc S3demo.ucf -p xc3s200a-vq100-5 S3demo.ngc S3demo.ngd
map -intstyle ise -p xc3s200a-vq100-5 -cm area -ir off -pr off -c 100 -o S3demo_map.ncd S3demo.ngd S3demo.pcf
par -w -intstyle ise -ol high -t 1 S3demo_map.ncd S3demo.ncd S3demo.pcf
trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml S3demo.twx S3demo.ncd -o S3demo.twr S3demo.pcf -ucf S3demo.ucf
bitgen -intstyle ise -f S3demo.ut S3demo.ncd
ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc S3demo.ucf -p xc3s200a-vq100-5 S3demo.ngc S3demo.ngd
map -intstyle ise -p xc3s200a-vq100-5 -cm area -ir off -pr off -c 100 -o S3demo_map.ncd S3demo.ngd S3demo.pcf
par -w -intstyle ise -ol high -t 1 S3demo_map.ncd S3demo.ncd S3demo.pcf
trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml S3demo.twx S3demo.ncd -o S3demo.twr S3demo.pcf -ucf S3demo.ucf
bitgen -intstyle ise -f S3demo.ut S3demo.ncd
/projects/S3Demo/S3demo_map.map
1,4 → 1,4
Release 12.3 Map M.70d (lin64)
Release 12.3 Map M.70d (lin)
Xilinx Map Application Log File for Design 'S3demo'
 
Design Information
9,22 → 9,27
Target Package : vq100
Target Speed : -5
Mapper Version : spartan3a -- $Revision: 1.52 $
Mapped Date : Fri May 2 20:23:48 2014
Mapped Date : Tue May 6 22:08:16 2014
 
vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv
INFO:Security:67 - XILINXD_LICENSE_FILE is set to
'/media/datos3/xilinx_linux/Xilinx/12.3/ISE_DS/ISE/coregen/core_licenses' in
/home/luis/.flexlmrc.
INFO:Security:54 - 'xc3s200a' is a WebPack part.
WARNING:Security:42 - Your software subscription period has lapsed. Your current
version of Xilinx tools will continue to function, but you no longer qualify for
Xilinx software updates or new releases.
----------------------------------------------------------------------
Mapping design into LUTs...
Running directed packing...
Running delay-based LUT packing...
Running related packing...
Updating timing models...
WARNING:PhysDesignRules:367 - The signal <swt<1>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<2>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<3>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<4>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<5>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<6>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<7>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
 
Design Summary
--------------
31,31 → 36,33
 
Design Summary:
Number of errors: 0
Number of warnings: 0
Number of warnings: 7
Logic Utilization:
Number of Slice Flip Flops: 97 out of 3,584 2%
Number of 4 input LUTs: 53 out of 3,584 1%
Total Number Slice Registers: 166 out of 3,584 4%
Number used as Flip Flops: 157
Number used as Latches: 9
Number of 4 input LUTs: 100 out of 3,584 2%
Logic Distribution:
Number of occupied Slices: 74 out of 1,792 4%
Number of Slices containing only related logic: 74 out of 74 100%
Number of Slices containing unrelated logic: 0 out of 74 0%
Number of occupied Slices: 121 out of 1,792 6%
Number of Slices containing only related logic: 121 out of 121 100%
Number of Slices containing unrelated logic: 0 out of 121 0%
*See NOTES below for an explanation of the effects of unrelated logic.
Total Number of 4 input LUTs: 106 out of 3,584 2%
Number used as logic: 52
Number used as a route-thru: 53
Number used as Shift registers: 1
Total Number of 4 input LUTs: 162 out of 3,584 4%
Number used as logic: 98
Number used as a route-thru: 62
Number used as Shift registers: 2
 
The Slice Logic Distribution report is not meaningful if the design is
over-mapped for a non-slice resource or if Placement fails.
 
Number of bonded IOBs: 40 out of 68 58%
Number of BUFGMUXs: 3 out of 24 12%
Number of bonded IOBs: 55 out of 68 80%
Number of BUFGMUXs: 4 out of 24 16%
 
Average Fanout of Non-Clock Nets: 2.32
Average Fanout of Non-Clock Nets: 2.52
 
Peak Memory Usage: 398 MB
Total REAL time to MAP completion: 5 secs
Total CPU time to MAP completion: 3 secs
Peak Memory Usage: 161 MB
Total REAL time to MAP completion: 2 secs
Total CPU time to MAP completion: 2 secs
 
NOTES:
 
/projects/S3Demo/S3demo_bitgen.xwbt
1,8 → 1,8
INTSTYLE=ise
INFILE=/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ncd
OUTFILE=/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.bit
INFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ncd
OUTFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.bit
FAMILY=Spartan3A and Spartan3AN
PART=xc3s200a-5vq100
WORKINGDIR=/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo
WORKINGDIR=/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo
LICENSE=WebPack
USER_INFO=0_0_416
USER_INFO=0_0_320
/projects/S3Demo/S3demo.twr
1,10 → 1,9
--------------------------------------------------------------------------------
Release 12.3 Trace (lin64)
Release 12.3 Trace (lin)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin64/unwrapped/trce -intstyle ise -v 3 -s 5 -n
3 -fastpaths -xml S3demo.twx S3demo.ncd -o S3demo.twr S3demo.pcf -ucf
S3demo.ucf
/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/trce -intstyle ise -v 3 -s 5 -n 3
-fastpaths -xml S3demo.twx S3demo.ncd -o S3demo.twr S3demo.pcf -ucf S3demo.ucf
 
Design file: S3demo.ncd
Physical constraint file: S3demo.pcf
39,20 → 38,28
-----------------
All values displayed in nanoseconds (ns)
 
Setup/Hold to clock mclk
------------+------------+------------+------------------+--------+
|Max Setup to|Max Hold to | | Clock |
Source | clk (edge) | clk (edge) |Internal Clock(s) | Phase |
------------+------------+------------+------------------+--------+
btn<3> | 1.289(R)| 0.324(R)|mclk_BUFGP | 0.000|
------------+------------+------------+------------------+--------+
 
Clock mclk to Pad
------------+------------+------------------+--------+
| clk (edge) | | Clock |
Destination | to PAD |Internal Clock(s) | Phase |
------------+------------+------------------+--------+
an<0> | 8.518(R)|mclk_BUFGP | 0.000|
an<1> | 9.031(R)|mclk_BUFGP | 0.000|
ssg<0> | 10.536(R)|mclk_BUFGP | 0.000|
ssg<1> | 10.724(R)|mclk_BUFGP | 0.000|
ssg<2> | 10.619(R)|mclk_BUFGP | 0.000|
ssg<3> | 10.470(R)|mclk_BUFGP | 0.000|
ssg<4> | 11.566(R)|mclk_BUFGP | 0.000|
ssg<5> | 10.950(R)|mclk_BUFGP | 0.000|
ssg<6> | 10.623(R)|mclk_BUFGP | 0.000|
an<0> | 8.531(R)|mclk_BUFGP | 0.000|
an<1> | 9.219(R)|mclk_BUFGP | 0.000|
ssg<0> | 11.202(R)|mclk_BUFGP | 0.000|
ssg<1> | 11.110(R)|mclk_BUFGP | 0.000|
ssg<2> | 10.926(R)|mclk_BUFGP | 0.000|
ssg<3> | 11.008(R)|mclk_BUFGP | 0.000|
ssg<4> | 12.286(R)|mclk_BUFGP | 0.000|
ssg<5> | 11.038(R)|mclk_BUFGP | 0.000|
ssg<6> | 11.281(R)|mclk_BUFGP | 0.000|
------------+------------+------------------+--------+
 
Clock to Setup on destination clock mclk
60,7 → 67,7
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
mclk | 3.812| | | |
mclk | 3.894| | | |
---------------+---------+---------+---------+---------+
 
Pad to Pad
67,34 → 74,34
---------------+---------------+---------+
Source Pad |Destination Pad| Delay |
---------------+---------------+---------+
btn<0> |an<0> | 7.464|
btn<0> |ssg<7> | 5.532|
btn<1> |an<1> | 7.599|
btn<2> |an<2> | 8.325|
btn<3> |an<3> | 8.350|
swt<0> |an<0> | 8.194|
swt<0> |an<1> | 8.710|
swt<0> |an<2> | 9.136|
swt<0> |an<3> | 9.308|
swt<0> |led<0> | 4.993|
swt<0> |ssg<0> | 8.947|
swt<0> |ssg<1> | 9.380|
swt<0> |ssg<2> | 9.499|
swt<0> |ssg<3> | 8.929|
swt<0> |ssg<4> | 10.205|
swt<0> |ssg<5> | 9.606|
swt<0> |ssg<6> | 9.503|
swt<1> |led<1> | 5.003|
swt<2> |led<2> | 5.037|
swt<3> |led<3> | 4.991|
swt<4> |led<4> | 5.225|
swt<5> |led<5> | 4.987|
swt<6> |led<6> | 4.987|
swt<7> |led<7> | 6.069|
btn<0> |an<0> | 7.251|
btn<0> |ssg<7> | 5.729|
btn<1> |an<1> | 7.651|
btn<2> |an<2> | 7.337|
btn<3> |an<3> | 8.237|
btn_ext<0> |led_ext<0> | 5.009|
btn_ext<0> |led_ext<1> | 5.492|
btn_ext<1> |led_ext<2> | 6.201|
btn_ext<1> |led_ext<3> | 5.902|
btn_ext<2> |led_ext<4> | 5.510|
btn_ext<2> |led_ext<5> | 5.740|
btn_ext<3> |led_ext<6> | 6.200|
btn_ext<4> |led_ext<7> | 5.256|
swt<0> |an<0> | 8.111|
swt<0> |an<1> | 9.103|
swt<0> |an<2> | 7.979|
swt<0> |an<3> | 8.142|
swt<0> |ssg<0> | 8.932|
swt<0> |ssg<1> | 9.285|
swt<0> |ssg<2> | 8.511|
swt<0> |ssg<3> | 9.010|
swt<0> |ssg<4> | 9.699|
swt<0> |ssg<5> | 8.732|
swt<0> |ssg<6> | 9.283|
---------------+---------------+---------+
 
 
Analysis completed Fri May 2 20:24:17 2014
Analysis completed Tue May 6 22:08:35 2014
--------------------------------------------------------------------------------
 
Trace Settings:
101,7 → 108,7
-------------------------
Trace Settings
 
Peak Memory Usage: 253 MB
Peak Memory Usage: 101 MB
 
 
 
/projects/S3Demo/S3demo_summary.xml
4,7 → 4,7
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<DesignSummary rev="2">
<DesignSummary rev="11">
<CmdHistory>
</CmdHistory>
</DesignSummary>
/projects/S3Demo/S3demo.syr
1,10 → 1,10
Release 12.3 - xst M.70d (lin64)
Release 12.3 - xst M.70d (lin)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
-->
Parameter TMPDIR set to xst/projnav.tmp
 
 
Total REAL time to Xst completion: 0.00 secs
Total REAL time to Xst completion: 1.00 secs
Total CPU time to Xst completion: 0.07 secs
-->
11,7 → 11,7
Parameter xsthdpdir set to xst
 
 
Total REAL time to Xst completion: 0.00 secs
Total REAL time to Xst completion: 1.00 secs
Total CPU time to Xst completion: 0.07 secs
-->
107,40 → 107,52
=========================================================================
* HDL Compilation *
=========================================================================
Compiling vhdl file "/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd" in Library work.
Entity <vgaController> compiled.
Entity <vgaController> (Architecture <Behavioral>) compiled.
Compiling vhdl file "/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd" in Library work.
Entity <keyboardVhdl> compiled.
Entity <keyboardVhdl> (Architecture <Behavioral>) compiled.
Compiling vhdl file "/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd" in Library work.
Entity <S3demo> compiled.
Entity <S3demo> (Architecture <Behavioral>) compiled.
Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/RS232RefComp.vhd" in Library work.
Architecture behavioral of Entity rs232refcomp is up to date.
Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd" in Library work.
Architecture behavioral of Entity vgacontroller is up to date.
Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd" in Library work.
Architecture behavioral of Entity keyboardvhdl is up to date.
Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/DataCntrl.vhd" in Library work.
Architecture behavioral of Entity datacntrl is up to date.
Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd" in Library work.
Entity <s3demo> compiled.
Entity <s3demo> (Architecture <behavioral>) compiled.
 
=========================================================================
* Design Hierarchy Analysis *
=========================================================================
Analyzing hierarchy for entity <S3demo> in library <work> (architecture <Behavioral>).
Analyzing hierarchy for entity <S3demo> in library <work> (architecture <behavioral>).
 
Analyzing hierarchy for entity <vgaController> in library <work> (architecture <Behavioral>).
Analyzing hierarchy for entity <vgaController> in library <work> (architecture <behavioral>).
 
Analyzing hierarchy for entity <keyboardVhdl> in library <work> (architecture <Behavioral>).
Analyzing hierarchy for entity <keyboardVhdl> in library <work> (architecture <behavioral>).
 
Analyzing hierarchy for entity <DataCntrl> in library <work> (architecture <behavioral>).
 
Analyzing hierarchy for entity <RS232RefComp> in library <work> (architecture <behavioral>).
 
INFO:Xst:2555 - '-hierarchy_separator' switch is being deprecated in a future release.
 
=========================================================================
* HDL Analysis *
=========================================================================
Analyzing Entity <S3demo> in library <work> (Architecture <Behavioral>).
Analyzing Entity <S3demo> in library <work> (Architecture <behavioral>).
Entity <S3demo> analyzed. Unit <S3demo> generated.
 
Analyzing Entity <vgaController> in library <work> (Architecture <Behavioral>).
Analyzing Entity <vgaController> in library <work> (Architecture <behavioral>).
Entity <vgaController> analyzed. Unit <vgaController> generated.
 
Analyzing Entity <keyboardVhdl> in library <work> (Architecture <Behavioral>).
Analyzing Entity <keyboardVhdl> in library <work> (Architecture <behavioral>).
Entity <keyboardVhdl> analyzed. Unit <keyboardVhdl> generated.
 
Analyzing Entity <DataCntrl> in library <work> (Architecture <behavioral>).
Entity <DataCntrl> analyzed. Unit <DataCntrl> generated.
 
Analyzing Entity <RS232RefComp> in library <work> (Architecture <behavioral>).
Entity <RS232RefComp> analyzed. Unit <RS232RefComp> generated.
 
 
=========================================================================
* HDL Synthesis *
=========================================================================
148,7 → 160,7
Performing bidirectional port resolution...
 
Synthesizing Unit <vgaController>.
Related source file is "/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd".
Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd".
Found 1-bit register for signal <clkdiv>.
Found 10-bit up counter for signal <hc>.
Found 10-bit up counter for signal <vc>.
165,7 → 177,7
 
 
Synthesizing Unit <keyboardVhdl>.
Related source file is "/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd".
Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd".
Found 16x7-bit ROM for signal <sseg>.
Found 13-bit up counter for signal <clkDiv>.
Found 1-bit register for signal <DFF1>.
182,8 → 194,90
Unit <keyboardVhdl> synthesized.
 
 
Synthesizing Unit <RS232RefComp>.
Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/RS232RefComp.vhd".
Found finite state machine <FSM_0> for signal <sttCur>.
-----------------------------------------------------------------------
| States | 3 |
| Transitions | 5 |
| Inputs | 2 |
| Outputs | 2 |
| Clock | tClk (rising_edge) |
| Reset | RST (positive) |
| Reset type | synchronous |
| Reset State | sttidle |
| Power Up State | sttidle |
| Encoding | automatic |
| Implementation | LUT |
-----------------------------------------------------------------------
Found finite state machine <FSM_1> for signal <stbeCur>.
-----------------------------------------------------------------------
| States | 4 |
| Transitions | 8 |
| Inputs | 2 |
| Outputs | 4 |
| Clock | CLK (rising_edge) |
| Reset | RST (positive) |
| Reset type | synchronous |
| Reset State | stbeidle |
| Power Up State | stbeidle |
| Encoding | automatic |
| Implementation | LUT |
-----------------------------------------------------------------------
Found finite state machine <FSM_2> for signal <strCur>.
-----------------------------------------------------------------------
| States | 4 |
| Transitions | 7 |
| Inputs | 3 |
| Outputs | 4 |
| Clock | rClk (rising_edge) |
| Reset | RST (positive) |
| Reset type | synchronous |
| Reset State | stridle |
| Power Up State | stridle |
| Encoding | automatic |
| Implementation | LUT |
-----------------------------------------------------------------------
WARNING:Xst:737 - Found 1-bit latch for signal <TBE>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
INFO:Xst:2371 - HDL ADVISOR - Logic functions respectively driving the data and gate enable inputs of this latch share common terms. This situation will potentially lead to setup/hold violations and, as a result, to simulation problems. This situation may come from an incomplete case statement (all selector values are not covered). You should carefully review if it was in your intentions to describe such a latch.
Found 1-bit register for signal <FE>.
Found 1-bit register for signal <OE>.
Found 1-bit register for signal <PE>.
Found 1-bit register for signal <RDA>.
Found 9-bit up counter for signal <clkDiv>.
Found 4-bit up counter for signal <ctr>.
Found 4-bit up counter for signal <dataCtr>.
Found 1-bit xor8 for signal <par$xor0000> created at line 117.
Found 1-bit xor9 for signal <parError$xor0000> created at line 114.
Found 1-bit register for signal <rClk>.
Found 4-bit up counter for signal <rClkDiv>.
Found 8-bit register for signal <rdReg>.
Found 10-bit register for signal <rdSReg>.
Found 4-bit up counter for signal <tfCtr>.
Found 11-bit register for signal <tfSReg>.
Summary:
inferred 3 Finite State Machine(s).
inferred 5 Counter(s).
inferred 34 D-type flip-flop(s).
inferred 2 Xor(s).
Unit <RS232RefComp> synthesized.
 
 
Synthesizing Unit <DataCntrl>.
Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/DataCntrl.vhd".
WARNING:Xst:646 - Signal <peSig> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <oeSig> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <feSig> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:737 - Found 8-bit latch for signal <dbInSig>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
Found 1-bit register for signal <stCur<0>>.
Summary:
inferred 1 D-type flip-flop(s).
Unit <DataCntrl> synthesized.
 
 
Synthesizing Unit <S3demo>.
Related source file is "/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd".
Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd".
WARNING:Xst:647 - Input <swt<7:1>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Found 16x7-bit ROM for signal <dig>.
Found 24-bit up counter for signal <clkdiv>.
Found 4-bit up counter for signal <cntr>.
199,19 → 293,26
Macro Statistics
# ROMs : 2
16x7-bit ROM : 2
# Counters : 5
# Counters : 10
10-bit up counter : 2
13-bit up counter : 1
24-bit up counter : 1
4-bit up counter : 1
# Registers : 9
1-bit register : 6
10-bit register : 1
11-bit register : 1
8-bit register : 1
4-bit up counter : 5
9-bit up counter : 1
# Registers : 18
1-bit register : 12
10-bit register : 2
11-bit register : 2
8-bit register : 2
# Latches : 2
1-bit latch : 1
8-bit latch : 1
# Comparators : 4
10-bit comparator greater : 2
10-bit comparator less : 2
# Xors : 2
1-bit xor8 : 1
1-bit xor9 : 1
 
=========================================================================
 
219,23 → 320,61
* Advanced HDL Synthesis *
=========================================================================
 
Analyzing FSM <FSM_2> for best encoding.
Optimizing FSM <RS232Proj/UART/strCur/FSM> on signal <strCur[1:2]> with gray encoding.
---------------------------
State | Encoding
---------------------------
stridle | 00
streightdelay | 01
strgetdata | 11
strcheckstop | 10
---------------------------
Analyzing FSM <FSM_1> for best encoding.
Optimizing FSM <RS232Proj/UART/stbeCur/FSM> on signal <stbeCur[1:2]> with gray encoding.
---------------------------
State | Encoding
---------------------------
stbeidle | 00
stbesettbe | 01
stbewaitload | 11
stbewaitwrite | 10
---------------------------
Analyzing FSM <FSM_0> for best encoding.
Optimizing FSM <RS232Proj/UART/sttCur/FSM> on signal <sttCur[1:2]> with user encoding.
-------------------------
State | Encoding
-------------------------
sttidle | 00
stttransfer | 01
sttshift | 10
-------------------------
WARNING:Xst:1293 - FF/Latch <tfSReg_10> has a constant value of 1 in block <UART>. This FF/Latch will be trimmed during the optimization process.
 
=========================================================================
Advanced HDL Synthesis Report
 
Macro Statistics
# FSMs : 3
# ROMs : 2
16x7-bit ROM : 2
# Counters : 5
# Counters : 10
10-bit up counter : 2
13-bit up counter : 1
24-bit up counter : 1
4-bit up counter : 1
# Registers : 35
Flip-Flops : 35
4-bit up counter : 5
9-bit up counter : 1
# Registers : 70
Flip-Flops : 70
# Latches : 2
1-bit latch : 1
8-bit latch : 1
# Comparators : 4
10-bit comparator greater : 2
10-bit comparator less : 2
# Xors : 2
1-bit xor8 : 1
1-bit xor9 : 1
 
=========================================================================
 
242,6 → 381,7
=========================================================================
* Low Level Synthesis *
=========================================================================
WARNING:Xst:1293 - FF/Latch <tfSReg_10> has a constant value of 1 in block <RS232RefComp>. This FF/Latch will be trimmed during the optimization process.
 
Optimizing unit <S3demo> ...
 
249,14 → 389,20
 
Optimizing unit <keyboardVhdl> ...
 
Optimizing unit <RS232RefComp> ...
WARNING:Xst:2677 - Node <RS232Proj_UART_OE> of sequential type is unconnected in block <S3demo>.
WARNING:Xst:2677 - Node <RS232Proj_UART_PE> of sequential type is unconnected in block <S3demo>.
WARNING:Xst:2677 - Node <RS232Proj_UART_FE> of sequential type is unconnected in block <S3demo>.
 
Mapping all equations...
Building and optimizing final netlist ...
Found area constraint ratio of 100 (+ 5) on block S3demo, actual ratio is 3.
Found area constraint ratio of 100 (+ 5) on block S3demo, actual ratio is 5.
 
Final Macro Processing ...
 
Processing Unit <S3demo> :
Found 4-bit shift register for signal <kb1_shiftRegSig2_8>.
Found 3-bit shift register for signal <RS232Proj_UART_rdSReg_7>.
Unit <S3demo> processed.
 
=========================================================================
263,9 → 409,10
Final Register Report
 
Macro Statistics
# Registers : 92
Flip-Flops : 92
# Shift Registers : 1
# Registers : 151
Flip-Flops : 151
# Shift Registers : 2
3-bit shift register : 1
4-bit shift register : 1
 
=========================================================================
292,39 → 439,45
Keep Hierarchy : No
 
Design Statistics
# IOs : 40
# IOs : 55
 
Cell Usage :
# BELS : 225
# BELS : 307
# GND : 1
# INV : 5
# LUT1 : 53
# LUT2 : 5
# INV : 15
# LUT1 : 61
# LUT2 : 15
# LUT2_L : 1
# LUT3 : 9
# LUT4 : 29
# LUT3 : 26
# LUT3_D : 1
# LUT4 : 46
# LUT4_D : 1
# LUT4_L : 2
# MUXCY : 53
# MUXF5 : 8
# MUXCY : 61
# MUXF5 : 10
# VCC : 1
# XORCY : 57
# FlipFlops/Latches : 97
# FD : 37
# XORCY : 66
# FlipFlops/Latches : 166
# FD : 40
# FD_1 : 1
# FDC : 4
# FDC_1 : 21
# FDCE : 8
# FDR : 16
# FDRE : 10
# Shift Registers : 1
# FDCE : 9
# FDE : 27
# FDR : 40
# FDRE : 14
# FDRS : 1
# LD_1 : 1
# LDE_1 : 8
# Shift Registers : 2
# SRL16_1 : 1
# Clock Buffers : 3
# BUFG : 2
# SRL16E : 1
# Clock Buffers : 4
# BUFG : 3
# BUFGP : 1
# IO Buffers : 39
# IBUF : 14
# OBUF : 25
# IO Buffers : 47
# IBUF : 13
# OBUF : 34
=========================================================================
 
Device utilization summary:
332,14 → 485,14
 
Selected Device : 3s200avq100-5
 
Number of Slices: 65 out of 1792 3%
Number of Slice Flip Flops: 97 out of 3584 2%
Number of 4 input LUTs: 106 out of 3584 2%
Number used as logic: 105
Number used as Shift registers: 1
Number of IOs: 40
Number of bonded IOBs: 40 out of 68 58%
Number of GCLKs: 3 out of 24 12%
Number of Slices: 109 out of 1792 6%
Number of Slice Flip Flops: 166 out of 3584 4%
Number of 4 input LUTs: 170 out of 3584 4%
Number used as logic: 168
Number used as Shift registers: 2
Number of IOs: 55
Number of bonded IOBs: 48 out of 68 70%
Number of GCLKs: 4 out of 24 16%
 
---------------------------
Partition Resource Summary:
359,24 → 512,29
 
Clock Information:
------------------
-----------------------------------+------------------------+-------+
Clock Signal | Clock buffer(FF name) | Load |
-----------------------------------+------------------------+-------+
mclk | BUFGP | 38 |
clkdiv_23 | NONE(cntr_0) | 4 |
vga1_clkdiv1 | BUFG | 21 |
kb1_KCI1 | BUFG | 31 |
kb1_clkDiv_3 | NONE(kb1_KDI) | 4 |
-----------------------------------+------------------------+-------+
-----------------------------------+-----------------------------+-------+
Clock Signal | Clock buffer(FF name) | Load |
-----------------------------------+-----------------------------+-------+
mclk | BUFGP | 51 |
clkdiv_23 | NONE(cntr_0) | 4 |
RS232Proj_stCur_0 | NONE(RS232Proj_dbInSig_0) | 8 |
vga1_clkdiv1 | BUFG | 21 |
kb1_KCI1 | BUFG | 31 |
kb1_clkDiv_3 | NONE(kb1_KDI) | 4 |
RS232Proj_UART_rClk1 | BUFG | 32 |
RS232Proj_UART_rClkDiv_3 | NONE(RS232Proj_UART_tfCtr_3)| 16 |
RS232Proj_UART_stbeCur_FSM_FFd1 | NONE(RS232Proj_UART_TBE) | 1 |
-----------------------------------+-----------------------------+-------+
INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
 
Asynchronous Control Signals Information:
----------------------------------------
-----------------------------------+------------------------+-------+
Control Signal | Buffer(FF name) | Load |
-----------------------------------+------------------------+-------+
btn<0> | IBUF | 33 |
-----------------------------------+------------------------+-------+
-----------------------------------------------------+-------------------------+-------+
Control Signal | Buffer(FF name) | Load |
-----------------------------------------------------+-------------------------+-------+
btn<0> | IBUF | 33 |
RS232Proj_UART_FE_or0000(RS232Proj_UART_FE_or00001:O)| NONE(RS232Proj_UART_RDA)| 1 |
-----------------------------------------------------+-------------------------+-------+
 
Timing Summary:
---------------
383,7 → 541,7
Speed Grade: -5
 
Minimum period: 6.657ns (Maximum Frequency: 150.222MHz)
Minimum input arrival time before clock: 1.378ns
Minimum input arrival time before clock: 2.957ns
Maximum output required time after clock: 8.361ns
Maximum combinational path delay: 7.235ns
 
394,7 → 552,7
=========================================================================
Timing constraint: Default period analysis for Clock 'mclk'
Clock period: 4.260ns (frequency: 234.742MHz)
Total number of paths / destination ports: 392 / 38
Total number of paths / destination ports: 535 / 61
-------------------------------------------------------------------------
Delay: 4.260ns (Levels of Logic = 24)
Source: clkdiv_1 (FF)
438,10 → 596,10
 
=========================================================================
Timing constraint: Default period analysis for Clock 'clkdiv_23'
Clock period: 2.874ns (frequency: 347.971MHz)
Clock period: 2.915ns (frequency: 343.077MHz)
Total number of paths / destination ports: 26 / 8
-------------------------------------------------------------------------
Delay: 2.874ns (Levels of Logic = 1)
Delay: 2.915ns (Levels of Logic = 1)
Source: cntr_0 (FF)
Destination: cntr_0 (FF)
Source Clock: clkdiv_23 rising
451,12 → 609,12
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDR:C->Q 12 0.495 0.883 cntr_0 (cntr_0)
LUT4:I1->O 4 0.562 0.499 cntr_cmp_eq00001 (cntr_cmp_eq0000)
FDR:C->Q 12 0.495 0.925 cntr_0 (cntr_0)
LUT4:I0->O 4 0.561 0.499 cntr_cmp_eq00001 (cntr_cmp_eq0000)
FDR:R 0.435 cntr_0
----------------------------------------
Total 2.874ns (1.492ns logic, 1.382ns route)
(51.9% logic, 48.1% route)
Total 2.915ns (1.491ns logic, 1.424ns route)
(51.2% logic, 48.8% route)
 
=========================================================================
Timing constraint: Default period analysis for Clock 'vga1_clkdiv1'
475,7 → 633,7
---------------------------------------- ------------
FDR:C->Q 3 0.495 0.559 vga1_hc_6 (vga1_hc_6)
LUT4:I0->O 2 0.561 0.446 vga1_red_and000011 (vga1_N2)
LUT4_D:I1->LO 1 0.562 0.102 vga1_grn_and000011 (N57)
LUT4_D:I1->LO 1 0.562 0.102 vga1_grn_and000011 (N58)
LUT4:I3->O 10 0.561 0.750 vga1_hc_cmp_eq00001 (vga1_hc_cmp_eq0000)
FDR:R 0.435 vga1_hc_0
----------------------------------------
527,6 → 685,71
(66.0% logic, 34.0% route)
 
=========================================================================
Timing constraint: Default period analysis for Clock 'RS232Proj_UART_rClk1'
Clock period: 3.992ns (frequency: 250.504MHz)
Total number of paths / destination ports: 221 / 60
-------------------------------------------------------------------------
Delay: 3.992ns (Levels of Logic = 3)
Source: RS232Proj_UART_ctr_0 (FF)
Destination: RS232Proj_UART_ctr_3 (FF)
Source Clock: RS232Proj_UART_rClk1 rising
Destination Clock: RS232Proj_UART_rClk1 rising
 
Data Path: RS232Proj_UART_ctr_0 to RS232Proj_UART_ctr_3
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDR:C->Q 5 0.495 0.646 RS232Proj_UART_ctr_0 (RS232Proj_UART_ctr_0)
LUT3_D:I0->O 4 0.561 0.565 RS232Proj_UART_ctRst111 (RS232Proj_UART_strCur_cmp_eq0000)
LUT4:I1->O 1 0.562 0.000 RS232Proj_UART_ctRst1 (RS232Proj_UART_ctRst1)
MUXF5:I1->O 4 0.229 0.499 RS232Proj_UART_ctRst_f5 (RS232Proj_UART_ctRst)
FDR:R 0.435 RS232Proj_UART_ctr_0
----------------------------------------
Total 3.992ns (2.282ns logic, 1.710ns route)
(57.2% logic, 42.8% route)
 
=========================================================================
Timing constraint: Default period analysis for Clock 'RS232Proj_UART_rClkDiv_3'
Clock period: 2.879ns (frequency: 347.379MHz)
Total number of paths / destination ports: 62 / 31
-------------------------------------------------------------------------
Delay: 2.879ns (Levels of Logic = 1)
Source: RS232Proj_UART_sttCur_FSM_FFd1 (FF)
Destination: RS232Proj_UART_tfSReg_9 (FF)
Source Clock: RS232Proj_UART_rClkDiv_3 rising
Destination Clock: RS232Proj_UART_rClkDiv_3 rising
 
Data Path: RS232Proj_UART_sttCur_FSM_FFd1 to RS232Proj_UART_tfSReg_9
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDRS:C->Q 14 0.495 0.916 RS232Proj_UART_sttCur_FSM_FFd1 (RS232Proj_UART_sttCur_FSM_FFd1)
LUT2:I1->O 10 0.562 0.750 RS232Proj_UART_tfSReg_not00011 (RS232Proj_UART_tfSReg_not0001)
FDE:CE 0.156 RS232Proj_UART_tfSReg_0
----------------------------------------
Total 2.879ns (1.213ns logic, 1.666ns route)
(42.1% logic, 57.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'mclk'
Total number of paths / destination ports: 3 / 3
-------------------------------------------------------------------------
Offset: 2.009ns (Levels of Logic = 1)
Source: btn<3> (PAD)
Destination: RS232Proj_stCur_0 (FF)
Destination Clock: mclk rising
 
Data Path: btn<3> to RS232Proj_stCur_0
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 10 0.824 0.750 btn_3_IBUF (btn_3_IBUF)
FDR:R 0.435 RS232Proj_stCur_0
----------------------------------------
Total 2.009ns (1.259ns logic, 0.750ns route)
(62.7% logic, 37.3% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'kb1_clkDiv_3'
Total number of paths / destination ports: 2 / 2
-------------------------------------------------------------------------
546,6 → 769,45
(74.1% logic, 25.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'RS232Proj_UART_rClk1'
Total number of paths / destination ports: 16 / 16
-------------------------------------------------------------------------
Offset: 2.957ns (Levels of Logic = 2)
Source: btn<3> (PAD)
Destination: RS232Proj_UART_rdReg_7 (FF)
Destination Clock: RS232Proj_UART_rClk1 rising
 
Data Path: btn<3> to RS232Proj_UART_rdReg_7
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 10 0.824 0.773 btn_3_IBUF (btn_3_IBUF)
LUT4:I2->O 8 0.561 0.643 RS232Proj_UART_rdReg_and00001 (RS232Proj_UART_rdReg_and0000)
FDE:CE 0.156 RS232Proj_UART_rdReg_0
----------------------------------------
Total 2.957ns (1.541ns logic, 1.416ns route)
(52.1% logic, 47.9% route)
 
=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'RS232Proj_UART_rClkDiv_3'
Total number of paths / destination ports: 2 / 2
-------------------------------------------------------------------------
Offset: 2.009ns (Levels of Logic = 1)
Source: btn<3> (PAD)
Destination: RS232Proj_UART_sttCur_FSM_FFd2 (FF)
Destination Clock: RS232Proj_UART_rClkDiv_3 rising
 
Data Path: btn<3> to RS232Proj_UART_sttCur_FSM_FFd2
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
IBUF:I->O 10 0.824 0.750 btn_3_IBUF (btn_3_IBUF)
FDR:R 0.435 RS232Proj_UART_sttCur_FSM_FFd2
----------------------------------------
Total 2.009ns (1.259ns logic, 0.750ns route)
(62.7% logic, 37.3% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'vga1_clkdiv1'
Total number of paths / destination ports: 42 / 5
-------------------------------------------------------------------------
568,6 → 830,25
(78.6% logic, 21.4% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'RS232Proj_UART_rClkDiv_3'
Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset: 5.248ns (Levels of Logic = 1)
Source: RS232Proj_UART_tfSReg_0 (FF)
Destination: txd (PAD)
Source Clock: RS232Proj_UART_rClkDiv_3 rising
 
Data Path: RS232Proj_UART_tfSReg_0 to txd
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDE:C->Q 1 0.495 0.357 RS232Proj_UART_tfSReg_0 (RS232Proj_UART_tfSReg_0)
OBUF:I->O 4.396 txd_OBUF (txd)
----------------------------------------
Total 5.248ns (4.891ns logic, 0.357ns route)
(93.2% logic, 6.8% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'mclk'
Total number of paths / destination ports: 30 / 9
-------------------------------------------------------------------------
582,9 → 863,9
---------------------------------------- ------------
FD:C->Q 7 0.495 0.710 kb1_clkDiv_12 (kb1_clkDiv_12)
LUT3:I0->O 7 0.561 0.710 kb1_MUXOUT<0>1 (kb1_MUXOUT<0>)
LUT4:I0->O 1 0.561 0.000 ssg_0_mux0000144_G (N46)
MUXF5:I1->O 1 0.229 0.357 ssg_0_mux0000144 (ssg_0_OBUF)
OBUF:I->O 4.396 ssg_0_OBUF (ssg<0>)
LUT4:I0->O 1 0.561 0.000 ssg_1_mux0000100_G (N50)
MUXF5:I1->O 1 0.229 0.357 ssg_1_mux0000100 (ssg_1_OBUF)
OBUF:I->O 4.396 ssg_1_OBUF (ssg<1>)
----------------------------------------
Total 8.019ns (6.242ns logic, 1.777ns route)
(77.8% logic, 22.2% route)
603,7 → 884,7
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDR:C->Q 11 0.495 0.901 cntr_1 (cntr_1)
LUT4:I0->O 1 0.561 0.000 ssg_3_mux0000146_F (N55)
LUT4:I0->O 1 0.561 0.000 ssg_3_mux0000146_F (N53)
MUXF5:I0->O 1 0.229 0.357 ssg_3_mux0000146 (ssg_3_OBUF)
OBUF:I->O 4.396 ssg_3_OBUF (ssg<3>)
----------------------------------------
625,14 → 906,33
---------------------------------------- ------------
FDCE:C->Q 1 0.495 0.423 kb1_WaitReg_1 (kb1_WaitReg_1)
LUT3:I1->O 7 0.562 0.710 kb1_MUXOUT<1>1 (kb1_MUXOUT<1>)
LUT4:I0->O 1 0.561 0.000 ssg_6_mux0000106_G (N44)
MUXF5:I1->O 1 0.229 0.357 ssg_6_mux0000106 (ssg_6_OBUF)
OBUF:I->O 4.396 ssg_6_OBUF (ssg<6>)
LUT4:I0->O 1 0.561 0.000 ssg_2_mux000069_G (N44)
MUXF5:I1->O 1 0.229 0.357 ssg_2_mux000069 (ssg_2_OBUF)
OBUF:I->O 4.396 ssg_2_OBUF (ssg<2>)
----------------------------------------
Total 7.733ns (6.243ns logic, 1.490ns route)
(80.7% logic, 19.3% route)
 
=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'RS232Proj_UART_rClk1'
Total number of paths / destination ports: 8 / 8
-------------------------------------------------------------------------
Offset: 5.271ns (Levels of Logic = 1)
Source: RS232Proj_UART_rdReg_0 (FF)
Destination: led<7> (PAD)
Source Clock: RS232Proj_UART_rClk1 rising
 
Data Path: RS232Proj_UART_rdReg_0 to led<7>
Gate Net
Cell:in->out fanout Delay Delay Logical Name (Net Name)
---------------------------------------- ------------
FDE:C->Q 2 0.495 0.380 RS232Proj_UART_rdReg_0 (RS232Proj_UART_rdReg_0)
OBUF:I->O 4.396 led_7_OBUF (led<7>)
----------------------------------------
Total 5.271ns (4.891ns logic, 0.380ns route)
(92.8% logic, 7.2% route)
 
=========================================================================
Timing constraint: Default path analysis
Total number of paths / destination ports: 24 / 20
-------------------------------------------------------------------------
654,15 → 954,15
=========================================================================
 
 
Total REAL time to Xst completion: 8.00 secs
Total CPU time to Xst completion: 5.93 secs
Total REAL time to Xst completion: 6.00 secs
Total CPU time to Xst completion: 5.22 secs
-->
 
 
Total memory usage is 338920 kilobytes
Total memory usage is 152964 kilobytes
 
Number of errors : 0 ( 0 filtered)
Number of warnings : 0 ( 0 filtered)
Number of infos : 2 ( 0 filtered)
Number of warnings : 11 ( 0 filtered)
Number of infos : 3 ( 0 filtered)
 
/projects/S3Demo/S3demo_map.ngm
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$2:a5=6>2.Yi{g|inl9$4(5<8$9"9.+109'V`pn{`ee6-?!53"'5>6339:;<95?0137?567:=1;<=6=;137?5?39=19<=>;;3:26a=4{;:;m{>01/60>23=J;;794FNQWW>gri4:0;2<>44;MVPUSS2hd7?7>11:47?364:;1=M959A@C1?24631>04=>?4::3G777310BB][[:ruw82<768;047AZTQWW>icc4>0;2<?48;MVPUSS2zyl0:4?>09B6>G7:2K:86O>1908E74<I:>0M5OL4:C:EF2<IJO3?6OKR49BW\HD;2H^J95MUGE6?GSAOY;;7O[FLE]WEWAB\HXHD55MUR]JJCI63J80OH<4CH78GNDRN:1H@F84CMIMKQ5<KEX27NABMHVWAA><KFDXXL@[109@KKUSIG^TX_O\HBCM3>EUMH^NH<5K2:FJa>BUKVY^ONK\SGWO5>C53L>?7H:;859F=F?33LN2495JEE625>C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD08B22<NHY_>6HK3:DGG1=ALJO>7KJLPD78BAEX9:1MH_:4FEPF1>@CZZO?7KJP139EB1=ANML?7KHJ259EB@@33OLMI>5IFR68BWG@j2LYMJM@VDPF@d=AZHMTNL]JDc9EVDAXKFDMEJo4FSCD[FIRF]20J_AB_TAE5>A6<2MNBH\NTDF#TJUS%OFBBY?=;FGMAWGSMM*[C^Z"G220?BHC=2MYCX^>;H08M54<A880E?<4I268MGSA=2CIYKI=;HL0?LHF;2CEN55FNGGQV@B>3@DBX^ZNTD18MKP?3@D]I_ZJDd9JJZH@LVKEHRLLD79JKFIJX?1BCYW_E59JTDB53EC<7AGMR@PZ2>JHIMOO?6B@C99OKFGKJ\L<7AAHIBCO7>JR\=1GYY?;;MWW63=K]]8T@95CUU17?ISS<?1GYY:PD79OQQ2XD<1F":>k7:O-35bXDk1FM]KWP@LGAA0<EHZ[IIo4M@R\IJBRDLn0AL^PTHOFWQGJXk1FM]QYESQJKK7>3DFTKH@JR@VF@ZVH[]'L^L@FTD18IP^23D_SOT;4MTZE1f=JiceyZh||inl27>Kdg|dSnbddht\g|:768>0Anaznu]`hnbn~Vir0<>1169Ngjsi|Viggigy_b{?558Xz};?7@m`uov\gimcaUhu1?>>058Ifirf}Uh`fjfv^az8479W{~:86Clotlw[fjll`|Tot2>2?34?Heh}g~Toaekiw]`}9756Vx=95BcnwmpZekcmc}Snw312<23>Kdg|dSnbddht\g|:6;7Uyx<:4MbmvjqYddbnbzRmv<06=52=JkfexRmckeku[f;9=4T~y?;;LalqkrXkeaoe{Qly=36:41<Eje~byQlljfjrZe~48?5Sz>4:O`kphsWjf`hdxPcx>22;703DidyczPcmigmsYdq5;=2R|{159Ngjsi|Viggigy_b{?5286?2Ghcx`{_bnh`lpXkp6:;3Q}t068Ifirf}Uh`fjfv^az84>99>1Fob{at^aooaoqWjs7=50Pru37?Heh}g~Toaekiw]`}97>68=0Anaznu]`hnbn~Vir0<71_sv27>Kdg|dSnbddht\g|:668<0Anaznu]`hnbn~Vir0<0Pru37?Heh}g~Toaekiw]`}94768=0Anaznu]`hnbn~Vir0?>1_sv20>Kdg|dSnbddht\g|:597;<7@m`uov\gimcaUhu1<>>^pw51=JkfexRmckeku[f;:;4:;6Clotlw[fjll`|Tot2=2?]qp45<Eje~byQlljfjrZe~4;4::6Clotlw[fjll`|Tot2=>^pw56=JkfexRmckeku[f;;7;=7@m`uov\gimcaUhu1=1_sv27>Kdg|dSnbddht\g|:368<0Anaznu]`hnbn~Vir090Pru30?Heh}g~Toaekiw]`}9399?1Fob{at^aooaoqWjs793Q}t018Ifirf}Uh`fjfv^az8386>2Ghcx`{_bnh`lpXkp6=2R|{129Ngjsi|Viggigy_b{?3;713DidyczPcmigmsYdq5=5Sz>3:O`kphsWjf`hdxPcx>;:40<Eje~byQlljfjrZe~414T~y?<;LalqkrXkeaoe{Qly=;=53=JkfexRmckeku[f;17Uyx<:4MbmvjqYddbnbzRbzt=2=5d=JkfexRmckeku[iss494TECXP0068Ifirf}Uh`fjfv^zlv9699<1Fob{at^aooaoqWqey0<>1149Ngjsi|Viggigy_ymq84799<1Fob{at^aooaoqWqey0<<1149Ngjsi|Viggigy_ymq84599<1Fob{at^aooaoqWqey0<:1149Ngjsi|Viggigy_ymq84399<1Fob{at^aooaoqWqey0<81149Ngjsi|Viggigy_ymq84199<1Fob{at^aooaoqWqey0<61149Ngjsi|Viggigy_ymq84?99=1Fob{at^aooaoqWqey0<0>5:O`kphsWjf`hdxPxnp?6586=2Ghcx`{_bnh`lpXpfx7><0>5:O`kphsWjf`hdxPxnp?6786=2Ghcx`{_bnh`lpXpfx7>>0>8:O`kphsWjf`hdxPxnp?668Xz};?7@m`uov\gimcaUsc2=>068Ifirf}Uh`fjfv^zlv9599=1Fob{at^aooaoqWqey090>4:O`kphsWjf`hdxPxnp?1;733DidyczPcmigmsYg{6=2<:4MbmvjqYddbnbzRv`r=5=51=JkfexRmckeku[}iu414:86Clotlw[fjll`|Ttb|39?3b?Heh}g~Tocz}_ymq85869VCEZR>>4:O`kphsWjd~Rv`r=3=54733DidyczPcovq[}iu4;4:=<:4MbmvjqYdf}xTtb|33?32g>Knffx]i}foo3:?HuozlmTgo?PsikepWc`[`m9S5?l;Lqkv`aXck;TegitSgdWla5W1%HI?>4MrjqabYlj8Uxddh{RdePmb4X0&YY@<8J2:L36>H6;2D:?>5A1418J4143G8:?6@;329M015<F=?87C:93:L736=I<190B97<;O737>H29:1E9?=4N410?K33;2D>9>5A5718J0103GO_[B\D8:LLPZVOQZ;0C?74O<*+zL6- !C:&D<&+H1*-..N8#"uE<%'I3)J7,/.!;>0C0&'~H2),-O6H@9"&sG=)()+M5,/A8!B>$'&2c9L9-.yA9 #$D?O~H1*.L4.!""B<'&qI0(+M7-yA:#"%$<6;N?+,{O7"!"uE<$F2(BJ7,/, @:!$D?$(H0)M6/.! 9=7B3'(K3.-.yA8 uE?'$(H3),L4-v@9"%$'$(H2),L7- wC9&D=&)(+1f>I: !tB<'&F1+*J6/O4! # $D>%(K2/-xN:"tB?$'&)248K8./v@:!$D?%(H0)zL5.! !#E=$'(K2.-xN:#tB?$'$(H3)M7/.! 927B3'(K3.-O6"!C9'D=&)()+M5,/ wC:&%G=*H1*-..N9#"B>MpF3(+*-,443F7#$sG?*)K2.-O5H@9"%$%'I1(+M4-N: #">85@=)*}M5,/A8 #rD<%~H1*-,-/A9 #E<%F2(+*65=H5!"uE=$'I0(J6,/, @:!$sG>+K1-,/512E6$%pF0+*J5..N:#tB?$'&+)K3.-.N9#C9%&G<)(+1f>I: !tB<'&F1**J6/xN; #"'%G?*)K2.-xN:#tB?$'&)368K8./v@:!$D?O~H0*-..N8#"B=&&F2+K0-,/.;j1D1%&qI1(+zL7- @8!rD=&)()+M5,/ wC:&%G=@K0-,-/A8 #rD<%I2+*-,/4i2E6$%pF0+*}M4,/A;JB?$'&+)K3.-.yA8 #E?$F3(+(,L7- @8KrD=&)(+*51=H5!"uE=$F1()+M5,N: #:96A2()|J4/O5!""B<'pF1(+27>I: @:!$D?%(H0)M6/.!8?0C0&F0+*J5/.yA; uE>'&)068K8.N8#"uE<$'I3(J7,/.9?1D1%G?*)|J5/.yA; uE>'&)89L9-O7"@;"=>5@=)K3/-O6#!C9'D=&)(37?J;/A9!#E<%'~H0(M6/.!01D1%G?+H3*=>I: @:KE<'>7:M>,{O7"!tB='&qI3(}M6/.!8;0C0&qI1(+zL7-v@8"%<;4O<*}M5-/A8!#rD<$I2+*-46<G4"uE=%'~H3(M7/.=2E6rD>;;N@VB6=HFL>0C]OK3:MVP4=W;2Z:>>5_1218T4343Y;=?6^>929S655<X;;87]<83:R1<7=W;;1[8>5_4208T05<X<=87];62:R57>V18:1[:<=4P700?U03;2Z=9>5_7118T2743Y=9?6^8329S325<X>287]6=3:R;76=W0=90\5;<;Q:57>V?0:1[44<4P818T<643Y38?6^6429S=25<X0287]OK4:RBVQ><XASXENOCa:RJJZDR[@NSn6^FN^@VWKGJMk1[ECQFSBNLGN3<XF]N^>5_RDc8TWCCMOCEII?4Q29R507<Z>1YI@AYEE33?WCJG_OOSY\NSIABJ46<ZLXN_BBYE^RBVDKT;2XDA>5]SU;8V`urd}6;2l5]erwop9699h1Yi~{ct=33:d=Umzgx1?>>`9Qavsk|5;92l5]erwop9746h1Yi~{ct=37:d=Umzgx1?:>`9Qavsk|5;=2l5]erwop9706h1Yi~{ct=3;:d=Umzgx1?6>89Qavsk|5;5m6\jstnw8486i2Xnxb{<32=e>Tb{|f0??1a:Pfwpjs4;85m6\jstnw875912Xnxb{<3<b?Wct}e~7>3?6;Sgpqir;;7k0^h}zlu>0:4?<Zly~`y2;>89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?992Y=7^=kelm0?VCK;2YNX>5\FG;8WLAXKF_EX>5\NJ18WWEc3ZXHSEGJR@P@L\0<[[F::H94SSN22Z703ZXGO<8J4:QQWQ2<[ZO_46][UBI\EQ2<[PDH56]VNB]BPQTa3]KYKHZPP@PWP\VB02^N^@GAAM48PLCHNOh0X_OASQCQAKR33]S[I;5ZNTQF@f=R[LXTZD]FBMG0?SED12\BIZQ[YQG2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK0?]IU=2RD^NW9;YQWHLD03QY_SJ@K7:ZPPZPDKk1SZ?QMUGENQ]><P_ATJAA_9:ZUOZKRPJS27UXD_LW[B0?<P_ATTB\LY79[`gYNll1SheQLospAhjel{8:0TifPCnpqJ`rpg{axo6Vkh^AlvwVnfz;37Ujg_LcsGjtuKfdxxl`{Tscpl45<PmbTAl~LospNawabl]e:;6Vkh^ObtFiuz[ofc{kkTscplg=_laU[~dcFdy`8\anXX{cfEcxl;Yfk[Utne]s{ik5Wdi]SvlkQm{ybcc94Xej\Pdae3QnfSOgadhld55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg90T~z;;[GQK0=ff5:5:6oa<1<21>gi484=7l`31?36?dh;:7<0mc2=>058ek:4294>7l`33?48ek:46830mcQ?_N@VB<=ffV;TCO[I9:cm[7YHJ\L27l`P3^MAQC5<je37obz_N@VB3=e|g6;2;5mto>2:3=e|g69255mto>0>5813k~e0>0n;cvm[5YNJ\Lj7oza_0]JFP@f3k~eS?QFBTDb?griW:UBNXH6;bnh`lp;87k0oaekiw>24;g<keaoe{2>1?c8gimca6:>3o4cmigms:6;7k0oaekiw>20;g<keaoe{2>5?c8gimca6::3o4cmigms:6?7k0oaekiw>2<;g<keaoe{2>9?;8gimca6:2l5lljfjr9476h1h`fjfv=02:d=ddbnbz1<=>`9`hnbn~588245lljfjr94912iggigy<2<:?fjll`|78374cmigms:2601h`fjfv=4==>ekcmc}0:06;bnh`lp;0730oaekiw>::==ddbnbzR>7;bnh`lpX901h`fjfv^33=>ekcmc}S<?6;bnh`lpX9;30oaekiw]27<=ddbnbzR?;9:aooaoqW8?27nbddht\53?<keaoe{Q>789`hnbn~V;356mckeku[4??3jf`hdxP289`hnbn~V8;56mckeku[77>3jf`hdxP23;8gimcaU9?55lljfjrZ5?3jf`hdxP499`hnbn~V?37nbddht\2==ddbnbzR97;bnh`lpX011h`fjfv^;4?fhsz5:5;6mats>2:2=df}x7>394covq86813jd~R>9;blwvZ713jd~R<9;blwvZ5a3jd~Rmbp^gr4567991hby|Pclr\at6789;87j|a8:eqjZIE]O80d~94hr]LFP@?3bh:SIHI199hf4YCNO8<7fl>_JAJ<>me9VAHE<m4kc3\OFOXJ\LL=o5db0]HGLYE]OMTE=Qbuy]paiYtan=0go?PKEKg?nd6WD_SCXZ30?g8og7XE\RDYY2?>0f8og7XE\RDYY2>>d9hf4YJ]QE^X1?11e9hf4YJ]QE^X1<1e:ia5ZKRPF__0?0>d:ia5ZKRPF__0>0j;j`2[HS_G\^7?3?>7:ia5ZKdg|dSnbdDht\g|:76820go?PMbmvjqYddbNbzRmv<02=5g=lj8UFob{at^aooAoqWjs7==0Pru3;?nd6WDidyczPcmiGmsYdq5;:2<l4kc3\Ifirf}Uh`fJfv^az8479W{~:;6em1^O`kphsWjf`HdxPcx>2:4g<ck;TAnaznu]`hnBn~Vir0<0Pru34?nd6WDidyczPcmiGmsYdq585=l5db0]Ngjsi|ViggIgy_b{?6;Yu|8=0go?PMbmvjqYddbNbzRmv<2<2e>me9VGhcx`{_bnh@lpXkp682R|{169hf4YJkfexRmckEku[f;<7;j7fl>_LalqkrXkeaOe{Qly=6=[wr6?2ai=RClotlw[fjlL`|Tot2:>0c8og7XEje~byQlljFjrZe~4<4T~y?8;j`2[Heh}g~ToaeKiw]`}9099h1`n<QBcnwmpZekcMc}Snw36?]qp41<ck;TAnaznu]`hnBn~Vir0:0>a:ia5ZKdg|dSnbdDht\g|:06Vx=:5db0]Ngjsi|ViggIgy_b{?<;7f3bh:S@m`uov\gimCaUhu161_sv23>me9VGhcx`{_bnh@lpXkp622<o4kc3\Ifirf}Uh`fJfv^az8<8Xz};37fl>_LalqkrXkeaOe{Qcuu>3:4c<ck;TAnaznu]`hnBn~Vf~x1>1_HLU[57?3bh:S@m`uov\gimCaUsc2?>0;8og7XEje~byQlljFjrZ~hz5;;2<74kc3\Ifirf}Uh`fJfv^zlv9766830go?PMbmvjqYddbNbzRv`r=31:4e<ck;TAnaznu]`hnBn~Vrd~1?=>^pw5==lj8UFob{at^aooAoqWqey0<0>8:ia5ZKdg|dSnbdDht\|jt;:7;37fl>_LalqkrXkeaOe{Qwos>0:4><ck;TAnaznu]`hnBn~Vrd~1:1199hf4YJkfexRmckEku[}iu4<4:46em1^O`kphsWjf`HdxPxnp?2;7?3bh:S@m`uov\gimCaUsc28>0:8og7XEje~byQlljFjrZ~hz525=55db0]Ngjsi|ViggIgy_ymq8<8c3bh:S_k|umv?4;c<ck;T^h}zlu>24;c<ck;T^h}zlu>25;c<ck;T^h}zlu>26;b<ck;T^h}zlu>2:a=lj8UYi~{ct=0=`>me9VXnxb{<2<g?nd6W[oxyaz34?f8og7XZly~`y2:>e9hf4YUmzgx181d:ia5ZTb{|f0:0k;j`2[Wct}e~743j4kc3\V`urd}622h5db0]TelrUmn6;2h5db0]TelrUmn6:2h5db0]TelrUmn692h5db0]TelrUmn682h5db0]TelrUmn6?2h5db0]TelrUmn6>2h5db0]TelrUmn6=2h5db0]TelrUmn6<2i5db0]TelrUmnU;h6em1^UbmqTboV;o7fl>_VcjpWc`W;n0go?PW`kwV`aX;m1`n<QXahvQabY3l2ai=RYniuPfcZ3c3bh:SZoftSgd[3b<ck;T[lg{Rde\340<ck;T[lg{Rde\ghvXmx:;<=?7;j`2[Rgn|[olSnc_ds34566?;90go?PW`kwV`aXkdzTi|>?0134+ISS<VFTNXH>a:ia5ZQfa}XnkRmbp^gr45679>%D=55db0]TelrUmnUha}Qjq12344>6?2ai=RYniuPfcZejxVoz<=>?4e9hf4YddbNbz1>1e:ia5ZekcMc}0<>1e:ia5ZekcMc}0<?1e:ia5ZekcMc}0<<1d:ia5ZekcMc}0<0k;j`2[fjlL`|7>3j4kc3\gimCa682i5db0]`hnBn~5>5h6em1^aooAoq4<4o7fl>_bnh@lp;>7n0go?PcmiGms:06m1`n<QlljFjr9>9l2ai=RmckEku8<8d3bh:SnbdDht\4f=lj8Uh`fJfv^3g?nd6Wjf`HdxP11f8og7XkeaOe{Q>1e9hf4YddbNbzR?=c:ia5ZekcMc}S?m4kc3\gimCaU8o6em1^aooAoqW=i0go?PcmiGmsY2k2ai=RmckEku[3e<ck;ToaeKiw]4g>me9ViggIgy_9a8og7XkeaOe{Q6159hf4Yt``l^hi\if3?5586;2ai=R}gigvQabUno86:2<=4kc3\wmoa|[ol_di><3<27>me9Vycekz]efQjc4:46890go?PsikepWc`[`m:090>3:ia5Zuoao~Yij]fg0>6:45<ck;TegitSgdWla64?4:?6em1^qkmcrUmnYbk<28>018og7X{acmx_khShe28=86;2ai=R}gigvQabUno8622<<4kc3\wmoa|[ol_di>_030?nd6Wzbbjy\jgRkd5Z779;1`n<Q|hhdwV`aTan;T><<4kc3\wmoa|[ol_di>_231?nd6Wzbbjy\jgRkd5Z26:2ai=R}gigvQabUno8U>=?5db0]pll`sZlmXej?P6008og7X{acmx_khShe2[2753bh:S~fffuPfcVo`9V2:>6em1^qkmcrUmnYbk<Q6129hf4Yt``l^hi\if0?5;743bh:S~fffuPfcVo`:585=>5db0]pll`sZlmXej<33?30?nd6Wzbbjy\jgRkd69299:1`n<Q|hhdwV`aTan8793?<;j`2[vnnn}Xnk^gh2=4=56=lj8Uxddh{RdePmb4;?7;87fl>_rjjbqTboZcl>161139hf4Yt``l^hi\if0\544<ck;TegitSgdWla5W;;97fl>_rjjbqTboZcl>R=>2:ia5Zuoao~Yij]fg3]757=lj8Uxddh{RdePmb4X=880go?PsikepWc`[`m9S;?=;j`2[vnnn}Xnk^gh2^526>me9Vycekz]efQjc7Y?9:1`n<Q|hhdwV`aTan8T4<?;;j`2[vnnn}Xnk^gh2^:257=lk>1`oRGMUG08oa1<cmUBNXH9;mgg85813eoo0<09;mgg87813eoo0>09;mgg81813eoo0809;mgg838?3eoo0:4?>79oaa:06h1giiQ?_N@VBd=kmmU:SBLZF`9oaaY5WFH^Jl5cee]0[JDRNh1giiQ;_N@VBd=kmmU>SBLZF`9oaaY1WFH^Jl5cee]4[JDRN:1fm}:4mbnhe>kddbUIYKI_f:o`hnYE]OM[#O[IG0g8ifjlWK_MK]!MUGE\M5Yj}qUxiaQ|if33?hekcVH^JJ^ ICWEC==iomh~eaj>6:rk}vodieUl~b{_j`2[NEN901{dt}fc`n\cwirxVgh`fQMUGES5d=w`pybolbPgsmvtZp`i8Uh`fjfv29qaa><zlnTCO[I9:qsewrff:k=7~}h<1<5?vu`484=7~}h<3<5?vu`4:4=7~}h<5<5?vu`4<4=7~}h<7<;?vu`4>0;2;5|sf>4:d=t{nU;SBLZF028wvaX8Vg~t=>?006757=t{nU;S`{w0123512XN880~iP0^ov|56788>?SJo4sre\5ZIE]O;;7~}h_0]nq}6789;;<<<4sre\5Zkrp9:;<<>?_G31?vu`W8Ufyu>?01334ZAf3zylS?Q@BTDe?vu`W;Ufyu>?015154=t{nU9S`{w012337YA981xjQ=_lw{4567?;ULm6}|g^1\KGSA991xjQ<_lw{45679=<:>6}|g^1\ip~789::8;QI139pwbY4Wds<=>?154\Cd=t{nU?SBLZFg9pwbY3Wds<=>?6432?vu`W=Ufyu>?0146[C763zylS9Qbuy234502WNk0~iP5^MAQC773zylS8Qbuy2345770880~iP5^ov|56788:3SK?=;rqd[0Yj}q:;<=??8^Eb?vu`W?UDNXH>0:qpcZ0Xe|r;<=>>0731?vu`W?Ufyu>?01332Z@6:2yxkR8Pmtz345668?ULm6}|g^5\KGSA981xjQ8_N@VBZuoao~:=<5|sf]4[JDRNVycekz=109pwbY0WFH^JR}gigv02>up|5:5:6}xt=3=2>up|585:6}xt=1=2>up|5>5:6}xt=7=2>up|5<546}xt=594;0<{~~7;3o4svv\4ZOE]Ok0zzP1^KAQCg<{~~T>RGMUGc8wrrX;VCIYKo4svv\0ZOE]Ok0zzP5^KAQCg<{~~T:RGMUGc8wrrX?VCIYK?;;web5ZKdg|dSemPcx>3:42<~nk:S@m`uov\lfYdq5;5=:5yg`3\Ifirf}UcoRmv<0<\vq733mj=RClotlw[meXkp692<94vfc2[Heh}g~TdnQly=0=[wr6<2|lm<QBcnwmpZndWjs7?3?8;web5ZKdg|dSemPcx>0:Zts9=1}kl?PMbmvjqYokVir090>7:tde4YJkfexRfl_b{?0;Yu|8>0zjo>_LalqkrX`jUhu1;1169ucd7XEje~byQgc^az808Xz};?7{in1^O`kphsWaiTot29>058rbg6WDidyczPhb]`}909W{~:86xha0]Ngjsi|VbhSnw37?34?saf9VGhcx`{_ia\g|:06Vx=95yg`3\Ifirf}UcoRmv<9<23>p`i8UFob{at^j`[f;07Uyx<;4vfc2[Heh}g~TdnQcuu>3:4d<~nk:S@m`uov\lfYk}}6;2RGAV^221>p`i8UFob{at^j`[}iu494:96xha0]Ngjsi|VbhSua}<0<21>p`i8UFob{at^j`[}iu4;4:96xha0]Ngjsi|VbhSua}<2<21>p`i8UFob{at^j`[}iu4=4:96xha0]Ngjsi|VbhSua}<4<21>p`i8UFob{at^j`[}iu4?4:96xha0]Ngjsi|VbhSua}<6<21>p`i8UFob{at^j`[}iu414:96xha0]Ngjsi|VbhSua}<8<2<>p`i8UFob{at^j`[}iu404T~y?;;web5ZKdg|dS{mPcx>3:42<~nk:S@m`uov\rfYdq5;5=:5yg`3\Ifirf}U}oRmv<0<\vq733mj=RClotlw[seXkp692<94vfc2[Heh}g~TznQly=0=[wr6<2|lm<QBcnwmpZpdWjs7?3?8;web5ZKdg|dS{mPcx>0:Zts9=1}kl?PMbmvjqYqkVir090>7:tde4YJkfexRxl_b{?0;Yu|8>0zjo>_LalqkrX~jUhu1;1169ucd7XEje~byQyc^az808Xz};?7{in1^O`kphsWiTot29>058rbg6WDidyczPvb]`}909W{~:86xha0]Ngjsi|V|hSnw37?34?saf9VGhcx`{_wa\g|:06Vx=95yg`3\Ifirf}U}oRmv<9<23>p`i8UFob{at^t`[f;07Uyx<;4vfc2[Heh}g~TznQcuu>3:4d<~nk:S@m`uov\rfYk}}6;2RGAV^221>p`i8UFob{at^t`[}iu494:96xha0]Ngjsi|V|hSua}<0<21>p`i8UFob{at^t`[}iu4;4:96xha0]Ngjsi|V|hSua}<2<21>p`i8UFob{at^t`[}iu4=4:96xha0]Ngjsi|V|hSua}<4<21>p`i8UFob{at^t`[}iu4?4:96xha0]Ngjsi|V|hSua}<6<21>p`i8UFob{at^t`[}iu414:96xha0]Ngjsi|V|hSua}<8<2<>p`i8UFob{at^t`[}iu404T~y64vfc2[K66?2|lm<QA169ucd7XF;o0zjo>_Sgpqir;87l0zjo>_Sgpqir;87;n7{in1^Pfwpjs484m7{in1^Pfwpjs484:i6xha0]Qavsk|585j6xha0]Qavsk|585=h5yg`3\V`urd}682k5yg`3\V`urd}682<k4vfc2[Wct}e~783h4vfc2[Wct}e~783?j;web5ZTb{|f080i;web5ZTb{|f080>e:tde4YUmzgx181f:tde4YUmzgx1811d9ucd7XZly~`y28>g9ucd7XZly~`y28>0g8rbg6W[oxyaz38?d8rbg6W[oxyaz38?3f?saf9VXnxb{<8<e?saf9VXnxb{<8<255=qoh;Tna{Paof34566<2|lm<Qmlt]bja6789UX[=l4vfc2[fjll`|h7{in1^aooaoq98:0zjo>_bnh`lpXJ\LL=k5yg`3\gimcaUIYKIPI1]nq}YtmeUxej?=;web5ZaufVkeh=>?0032`>p`i8Ul~cQnne234576'E__8RJPBTD25>p`i8Ul~cQnne23454f3mj=Rfl<1<b?saf9Vbh0<0n;web5Znd4;4j7{in1^j`868f3mj=Rfl<5<b?saf9Vbh080n;web5Znd4?4j7{in1^j`828f3mj=Rfl<9<b?saf9Vbh0406;web5ZndW930zjo>_ia\5<=qoh;TdnQ=9:tde4YokV927{in1^j`[1?<~nk:SemP589ucd7X`jU=56xha0]kgZ1>3mj=Rfl_9;8rbg6WaiT5<<4vfc2[meXkdzTi|>?0130?saf9VbhSnc_ds345669:1}kl?Phr]`iuYby9:;<<??;web5ZtblVkeh=>?0008rbg6W{ooSl`k012354733mj=R|jd^cm`5678VY\<l5yg`3\rf:76h1}kl?Pvb>2:d=qoh;Tzn2=>`9ucd7X~j682l5yg`3\rf:36h1}kl?Pvb>6:d=qoh;Tzn29>`9ucd7X~j6<2l5yg`3\rf:?6h1}kl?Pvb>::<=qoh;TznQ?9:tde4YqkV;27{in1^t`[7?<~nk:S{mP389ucd7X~jU?56xha0]ugZ3>3mj=Rxl_7;8rbg6WiT;45yg`3\rfY?12|lm<Qyc^;e?saf9V|hSl`k012355=qoh;TznQnne234576<2|lm<Qyc^cm`56788UX[=?i;web5ZpdWhdo<=>?1^QT4*JR\;UGSO[I179ucd7X~jUjbi>?013\WR6(G8=0zjo>_wa\ekb789:T_Z>PSV216>p`i8U}oRoad1234ZUP8VY\<"BZT5]O[GSA901}kl?Pvb]bja6789UX[=Q\W1-L57=qoh;Tz~Qlmq]fu5678890zjo>_wq\ghvXmx:;<=?>5:tde4Yq{Vif|Rk~0123[c3c3mj=Rx|eocah`723mj=Rx|eocah`Yig}:;<<?8;web5Zptmgki`hQaou2344779>1}kl?PvrgmegjbWge<=>>1934?saf9V|xicomld]mkq67888=>6x|7:tp[JDRN11seagaxtl2<>~kfqUjyyaP0^zgf*et|'rioisO@q37===GHq;=h7H57;3xW63=9h:1;k4>3272`3<40h:;wc?6e;38j4?a2?1/=4?51948yV5528k;6:h512165a0=;1k;86]82;0bg?7=9:9>=i8539`:3>U4:38jo7?512165a0=;1h256]82;0b2?4=9:9>=i8539`:g>U?938j:79j:01014b12:2i5k5\3381e3<528989<j9:2:a=c=c9?n1<7?52zQ01?7f83=m6<=<50f5>6>f891/>44=b39K7==i0:0>?;:=9168 =4=:k80(5:57d9uP=6=83;1=7?73zQ01?7f83=m6<=<50f5>6>f891/=5;5749U5<d=:r357?4ue494>{#9=:1o6*;1;28 6`=;1k0(9>56b9a53b=83836<76:0:3M7?;2.:4<4>6e9Y6d<2so0:m7?6:d82<?{i90i1<6g=9083>>i6n?0;66g=9283>>i40>0;66a>f583>>i5j90;66g=a683>>i6io0;66a>a883>!73;3;j46`>4383?>i6i?0;6)?;3;3b<>h6<;0:76a>a583>!73;3;j46`>4381?>i6i;0;6)?;3;3b<>h6<;0876a=bc83>!73;38im6`>4383?>i5j00;6)?;3;0ae>h6<;0:76a=b983>!73;38im6`>4381?>i5j>0;6)?;3;0ae>h6<;0876a=b783>!73;38im6`>4387?>i5j<0;6)?;3;0ae>h6<;0>76a=b583>!73;38im6`>4385?>i5j:0;6)?;3;0ae>h6<;0<76a=9e83>!73;382o6`>4383?>i51k0;6)?;3;0:g>h6<;0:76a=9`83>!73;382o6`>4381?>i5100;6)?;3;0:g>h6<;0876a=9983>!73;382o6`>4387?>i51>0;6)?;3;0:g>h6<;0>76a=9783>!73;382o6`>4385?>i51<0;6)?;3;0:g>h6<;0<76g>b483>!73;3;i86`>4383?>o6j:0;6)?;3;3a0>h6<;0:76g>b383>!73;3;i86`>4381?>o6j80;6)?;3;3a0>h6<;0876g=e583>!73;38n?6`>4383?>o5m;0;6)?;3;0f7>h6<;0:76g=e083>!73;38n?6`>4381?>o5m90;6)?;3;0f7>h6<;0876g=dg83>!73;38n?6`>4387?>o5ll0;6)?;3;0f7>h6<;0>76g=de83>!73;38n?6`>4385?>o5lj0;6)?;3;0f7>h6<;0<76l>8`82>4<729q/=5?55b9K5=?<@8287)?6a;3b5>"0939356`>9b82?!70<3;=h6a:9;29 4?f28;>76sm19`95?7=83:p(<6>:0:7?M7?12B:4>5+18c9545<,>;1?574n0;`>7=#9>>1=;j4o035>5<#90k1=<;4;|`2`7<62?0;6=u+193901=O9130D<6<;%3:e?2c3-<26>5G15f8 27=;130b<7l:29'522=9?n0e?l50;&2=d<5n21b?;4?:%3:e?0232e:854?:%3:e?76=21b>n4?:%3:e?4d32c:8;4?:%3:e?71n21b?<4?:%3:e?73n21vn<j<:085>5<7s-;3=7:;;I3;=>N60:1/=4o54e9'2<<43A;?h6*81;1;=>h61j0?7)?84;35`>o5j3:1(<7n:3d8?l51290/=4o56498k42?290/=4o51078?l4d290/=4o52b98m421290/=4o517d8?l56290/=4o515d8?xd6ll0:6;4?:1y'5=7=<=1C=574H0:0?!7>i3>o7)86:29K51b<,>;1?574n0;`>0=#9>>1=;j4i3`94?"61h09j65f3783>!7>i3<>76a>4983>!7>i3;:965f2b83>!7>i38h76g>4783>!7>i3;=j65f3083>!7>i3;?j65rb0g0>4<1290;w)?71;67?M7?12B:4>5+18c90a=#>0087E?;d:&45?5?12d:5n49;%340?71l2c9n7>5$0;b>7`<3`9=6=4+18c920=<g8>36=4+18c9543<3`8h6=4+18c96f=<a8>=6=4+18c953`<3`9:6=4+18c951`<3th:i94>:783>5}#91;1895G19;8L4>43-;2m7:k;%4:>6=O9=n0(:?539;8j4?d2>1/=::517f8m7d=83.:5l4=f:9j73<72-;2m78:;:m20=<72-;2m7?>5:9j6f<72-;2m7<l;:k203<72-;2m7?9f:9j74<72-;2m7?;f:9~f4c2280=6=4?{%3;5?233A;356F>829'5<g=<m1/:44<;I37`>"0939356`>9b8;?!70<3;=h6g=b;29 4?f2;l07d=9:18'5<g=><10c<:7:18'5<g=98?07d<l:18'5<g=:j10e<:9:18'5<g=9?l07d=>:18'5<g=9=l07pl>e782>3<729q/=5?5459K5=?<@8287)?6a;6g?!0>2:1C=9j4$6397=?<f83h645+166953b<a;h1<7*>9`81b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=n;80;6)?6a;37b>=zj8o<6<49:183!7?93>?7E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<f3-;<87?9d:k1f?6=,83j6?h4;h15>5<#90k1:854o06;>5<#90k1=<;4;h0`>5<#90k1>n54i065>5<#90k1=;h4;h12>5<#90k1=9h4;|`2a=<62?0;6=u+193901=O9130D<6<;%3:e?2c3-<26>5G15f8 27=;130b<7l:c9'522=9?n0e?l50;&2=d<5n21b?;4?:%3:e?0232e:854?:%3:e?76=21b>n4?:%3:e?4d32c:8;4?:%3:e?71n21b?<4?:%3:e?73n21vn<k6:085>5<7s-;3=7:;;I3;=>N60:1/=4o54e9'2<<43A;?h6*81;1;=>h61j0h7)?84;35`>o5j3:1(<7n:3d8?l51290/=4o56498k42?290/=4o51078?l4d290/=4o52b98m421290/=4o517d8?l56290/=4o515d8?xd6l=0:6;4?:1y'5=7=<=1C=574H0:0?!7>i3>o7)86:29K51b<,>;1?574n0;`>a=#9>>1=;j4i3`94?"61h09j65f3783>!7>i3<>76a>4983>!7>i3;:965f2b83>!7>i38h76g>4783>!7>i3;=j65f3083>!7>i3;?j65rb0f6>4<1290;w)?71;67?M7?12B:4>5+18c90a=#>0087E?;d:&45?5?12d:5n4j;%340?71l2c9n7>5$0;b>7`<3`9=6=4+18c920=<g8>36=4+18c9543<3`8h6=4+18c96f=<a8>=6=4+18c953`<3`9:6=4+18c951`<3th:h;4>:783>5}#91;1895G19;8L4>43-;2m7:k;%4:>6=O9=n0(:?539;8j4?d2o1/=::517f8m7d=83.:5l4=f:9j73<72-;2m78:;:m20=<72-;2m7?>5:9j6f<72-;2m7<l;:k203<72-;2m7?9f:9j74<72-;2m7?;f:9~f4b0280=6=4?{%3;5?233A;356F>829'5<g=<m1/:44<;I37`>"0939356`>9b824>"6?=0::i5f2c83>!7>i38m76g<6;29 4?f2??07b?;8;29 4?f28;>76g=c;29 4?f2;i07d?;6;29 4?f28<m76g<1;29 4?f28>m76sm1e:95?0=83:p(<6>:568L4>>3A;3?6*>9`87`>"11390D<:k;%52>6>>3g;2o7?>;%340?71l2c9n7>5$0;b>7`<3`9=6=4+18c920=<g8>36=4+18c9543<3`8h6=4+18c96f=<a8>=6=4+18c953`<3`9:6=4+18c951`<3th:h44>:783>5}#91;1895G19;8L4>43-;2m7:k;%4:>6=O9=n0(:?539;8j4?d2880(<9;:04g?l4e290/=4o52g98m60=83.:5l495:9l51>=83.:5l4>1498m7e=83.:5l4=c:9j510=83.:5l4>6g98m67=83.:5l4>4g98yg7ci3;1:7>50z&2<4<3<2B:445G1918 4?f2=n0(;753:J20a=#?808445a18a956=#9>>1=;j4i3`94?"61h09j65f3783>!7>i3<>76a>4983>!7>i3;:965f2b83>!7>i38h76g>4783>!7>i3;=j65f3083>!7>i3;?j65rb0fa>4<1290;w)?71;67?M7?12B:4>5+18c90a=#>0087E?;d:&45?5?12d:5n4>4:&231<6>m1b>o4?:%3:e?4a32c8:7>5$0;b>33<3f;?47>5$0;b>47232c9o7>5$0;b>7e<3`;?:7>5$0;b>40a32c8=7>5$0;b>42a32wi=im51;494?6|,82:69:4H0::?M7?;2.:5l4;d:&5=?5<@8>o7)9>:2::?k7>k3;>7)?84;35`>o5j3:1(<7n:3d8?l51290/=4o56498k42?290/=4o51078?l4d290/=4o52b98m421290/=4o517d8?l56290/=4o515d8?xd6lm0:6;4?:1y'5=7=<=1C=574H0:0?!7>i3>o7)86:29K51b<,>;1?574n0;`>40<,8=?6<8k;h0a>5<#90k1>k54i2494?"61h0=965`15:94?"61h0:=854i3a94?"61h09o65f15494?"61h0::k54i2394?"61h0:8k54}c3gb?7=>3:1<v*>80870>N6001C=5=4$0;b>1b<,?31?6F>4e9'34<4001e=4m5169'522=9?n0e?l50;&2=d<5n21b?;4?:%3:e?0232e:854?:%3:e?76=21b>n4?:%3:e?4d32c:8;4?:%3:e?71n21b?<4?:%3:e?73n21vn<k?:085>5<7s-;3=7:;;I3;=>N60:1/=4o54e9'2<<43A;?h6*81;1;=>h61j0:46*>75822a=n:k0;6)?6a;0e?>o4>3:1(<7n:778?j7303:1(<7n:036?>o5k3:1(<7n:3a8?l73>3:1(<7n:04e?>o493:1(<7n:06e?>{e9l;1=7850;2x 4>62=>0D<66;I3;7>"61h0?h6*99;18L42c3-=:6>66;o3:g?7>3-;<87?9d:k1f?6=,83j6?h4;h15>5<#90k1:854o06;>5<#90k1=<;4;h0`>5<#90k1>n54i065>5<#90k1=;h4;h12>5<#90k1=9h4;|`2a7<62?0;6=u+193901=O9130D<6<;%3:e?2c3-<26>5G15f8 27=;130b<7l:0c8 41328<o7d<m:18'5<g=:o10e>850;&2=d<1=21d=9650;&2=d<69<10e?m50;&2=d<5k21b=9850;&2=d<6>o10e>?50;&2=d<6<o10qo?je;39<?6=8r.:4<4;9:J2<<=O9190(<7n:053?!0>2:1C=9j4$6397=?<f83h6<l4$057>40c3`8i6=4+18c96c=<a:<1<7*>9`851>=n9=k1<7*>9`823==<g8>36=4+18c9543<3`8h6=4+18c96f=<a8<m6=4+18c953`<@82976g>4g83>!7>i3;?j6F>8398m40d290/=4o516;8?xd6mo0:654?:1y'5=7=<01C=574H0:0?!7>i3;<<6*99;18L42c3-=:6>66;o3:g?7d3-;<87?9d:k1f?6=,83j6?h4;h15>5<#90k1:854i06b>5<#90k1=:64;n37<?6=,83j6<?:;:k1g?6=,83j6?m4;h35b?6=,83j6<8i;I3;6>=n9=l1<7*>9`820c=O91807d?9c;29 4?f28=276sm1g295?>=83:p(<6>:5;8L4>>3A;3?6*>9`8235=#>0087E?;d:&45?5?12d:5n4>d:&231<6>m1b>o4?:%3:e?4a32c8:7>5$0;b>33<3`;?m7>5$0;b>41?32e:854?:%3:e?76=21b>n4?:%3:e?4d32c::k4?:%3:e?71n2B:4?54i06e>5<#90k1=9h4H0:1?>o6>j0;6)?6a;34=>=zj8l:6<47:183!7?93>27E?79:J2<6=#90k1=:>4$7;97>N6<m1/;<4<889m5<e=9l1/=::517f8m7d=83.:5l4=f:9j73<72-;2m78:;:k20d<72-;2m7?88:9l51>=83.:5l4>1498m7e=83.:5l4=c:9j53`=83.:5l4>6g9K5=4<3`;?j7>5$0;b>42a3A;3>65f17a94?"61h0:;454}c:b>4<3290;w)?71;:4?M7?12B:4>5+18c9<3=#?808445a18a95c=#9>>1=;j4i3f94?"61h0=;65f3683>!7>i3<=76g>6b83>!7>i3;=i65`f083>!7>i3;:965rbc;95?5=83:p(<6>:0:;?M7?12B:4>5+18c95=1<,>;1?574n0;`>76<,8=?6<8k;h0g>5<#90k1:854i6294?"61h0=965`f083>!7>i3;:965rb8d95?2=83:p(<6>:958L4>>3A;3?6*>9`8;2>"0939356`>9b815>"6?=0::i5f2e83>!7>i3<<76g<7;29 4?f2?<07d?9c;29 4?f28<n76ai1;29 4?f28;>76smc582>6<729q/=5?519:8L4>>3A;3?6*>9`82<2=#?808445a18a967=#9>>1=;j4i3f94?"61h0=965f7183>!7>i3<>76ai1;29 4?f28;>76sma682>1<729q/=5?5869K5=?<@8287)?6a;:5?!162:227c?6c;00?!70<3;=h6g=d;29 4?f2?=07d=8:18'5<g=>?10e<8l:18'5<g=9?o07bh>:18'5<g=98?07plla;397?6=8r.:4<4>899K5=?<@8287)?6a;3;3>"0939356`>9b810>"6?=0::i5f2e83>!7>i3<>76g80;29 4?f2??07bh>:18'5<g=98?07pln9;390?6=8r.:4<477:J2<<=O9190(<7n:948 27=;130b<7l:378 41328<o7d<k:18'5<g=>>10e>950;&2=d<1>21b=;m50;&2=d<6>l10ck?50;&2=d<69<10qomm:080>5<7s-;3=7?78:J2<<=O9190(<7n:0:4?!162:227c?6c;05?!70<3;=h6g=d;29 4?f2??07d9?:18'5<g=><10ck?50;&2=d<69<10qoom:087>5<7s-;3=768;I3;=>N60:1/=4o5879'34<4001e=4m5269'522=9?n0e?j50;&2=d<1?21b?:4?:%3:e?0132c::n4?:%3:e?71m21dj<4?:%3:e?76=21vnnm51;194?6|,82:6<67;I3;=>N60:1/=4o51958 27=;130b<7l:3:8 41328<o7d<k:18'5<g=><10e:>50;&2=d<1=21dj<4?:%3:e?76=21vnlj51;694?6|,82:6594H0::?M7?;2.:5l476:&45?5?12d:5n4=9:&231<6>m1b>i4?:%3:e?0032c8;7>5$0;b>30<3`;=o7>5$0;b>40b32em=7>5$0;b>47232wioi4>:283>5}#91;1=564H0::?M7?;2.:5l4>869'34<4001e=4m52`9'522=9?n0e?j50;&2=d<1=21b;=4?:%3:e?0232em=7>5$0;b>47232wimk4>:583>5}#91;14:5G19;8L4>43-;2m769;%52>6>>3g;2o7<m;%340?71l2c9h7>5$0;b>31<3`9<6=4+18c923=<a8<h6=4+18c953c<3fl:6=4+18c9543<3thhi7?53;294~"6080:455G19;8L4>43-;2m7?77:&45?5?12d:5n4=c:&231<6>m1b>i4?:%3:e?0232c<<7>5$0;b>33<3fl:6=4+18c9543<3thi=7?54;294~"60803;6F>889K5=5<,83j6584$6397=?<f83h6?j4$057>40c3`8o6=4+18c922=<a:=1<7*>9`852>=n9?i1<7*>9`822`=<go;1<7*>9`8250=<ukim6<4<:183!7?93;346F>889K5=5<,83j6<68;%52>6>>3g;2o7<j;%340?71l2c9h7>5$0;b>33<3`=;6=4+18c920=<go;1<7*>9`8250=<ukh86<4;:183!7?932<7E?79:J2<6=#90k14;5+7080<<=i90i1>k5+166953b<a;n1<7*>9`853>=n;>0;6)?6a;45?>o6>j0;6)?6a;35a>=hn80;6)?6a;321>=zjm:1=7=50;2x 4>628237E?79:J2<6=#90k1=594$6397=?<f83h6>>4$057>40c3`8o6=4+18c920=<a>:1<7*>9`851>=hn80;6)?6a;321>=zjk?1=7:50;2x 4>621=0D<66;I3;7>"61h03:6*81;1;=>h61j08=6*>75822a=n:m0;6)?6a;44?>o4?3:1(<7n:748?l71k3:1(<7n:04f?>ia93:1(<7n:036?>{el80:6>4?:1y'5=7=9120D<66;I3;7>"61h0:4:5+7080<<=i90i1??5+166953b<a;n1<7*>9`851>=n?90;6)?6a;46?>ia93:1(<7n:036?>{e0k0:694?:1y'5=7=0>1C=574H0:0?!7>i32=7)9>:2::?k7>k3987)?84;35`>o5l3:1(<7n:758?l50290/=4o56798m40d290/=4o517g8?j`6290/=4o51078?xdei3;1?7>50z&2<4<6011C=574H0:0?!7>i3;3;6*81;1;=>h61j0886*>75822a=n:m0;6)?6a;46?>o083:1(<7n:778?j`6290/=4o51078?xd?l3;187>50z&2<4<??2B:445G1918 4?f21<0(:?539;8j4?d2:?0(<9;:04g?l4c290/=4o56698m61=83.:5l496:9j53e=83.:5l4>6d98kc7=83.:5l4>1498ygde28086=4?{%3;5?7?02B:445G1918 4?f282<7)9>:2::?k7>k39=7)?84;35`>o5l3:1(<7n:778?l17290/=4o56498kc7=83.:5l4>1498yg>a280?6=4?{%3;5?>03A;356F>829'5<g=0?1/;<4<889m5<e=;>1/=::517f8m7b=83.:5l497:9j72<72-;2m789;:k22f<72-;2m7?9e:9lb4<72-;2m7?>5:9~fge=9391<7>t$0:2>4>?3A;356F>829'5<g=91=0(:?539;8j4?d2:20(<9;:04g?l4c290/=4o56498m26=83.:5l495:9lb4<72-;2m7?>5:9~f<7=93>1<7>t$0:2>=1<@8227E?73:&2=d<?>2.<=7=79:l2=f<412.:;94>6e9j6a<72-;2m788;:k03?6=,83j6;84;h35g?6=,83j6<8j;:me5?6=,83j6<?:;:afa<62:0;6=u+19395=><@8227E?73:&2=d<60>1/;<4<889m5<e=;h1/=::517f8m7b=83.:5l495:9j35<72-;2m78:;:me5?6=,83j6<?:;:a=6<62=0;6=u+1939<2=O9130D<6<;%3:e?>13-=:6>66;o3:g?5e3-;<87?9d:k1`?6=,83j6;94;h14>5<#90k1:;54i04`>5<#90k1=;k4;nd2>5<#90k1=<;4;|`aa?7=;3:1<v*>8082<==O9130D<6<;%3:e?7??2.<=7=79:l2=f<4k2.:;94>6e9j6a<72-;2m78:;:k44?6=,83j6;;4;nd2>5<#90k1=<;4;|`:1?7=<3:1<v*>808;3>N6001C=5=4$0;b>=0<,>;1?574n0;`>6b<,8=?6<8k;h0g>5<#90k1::54i2594?"61h0=:65f17a94?"61h0::h54og394?"61h0:=854}c`e>4<4290;w)?71;3;<>N6001C=5=4$0;b>4>03-=:6>66;o3:g?5b3-;<87?9d:k1`?6=,83j6;;4;h53>5<#90k1:854og394?"61h0:=854}c;4>4<3290;w)?71;:4?M7?12B:4>5+18c9<3=#?808445a18a97c=#9>>1=;j4i3f94?"61h0=;65f3683>!7>i3<=76g>6b83>!7>i3;=i65`f083>!7>i3;:965rbb295?5=83:p(<6>:0:;?M7?12B:4>5+18c95=1<,>;1?574n0;`>16<,8=?6<8k;h0g>5<#90k1:854i6294?"61h0=965`f083>!7>i3;:965rb8;95?2=83:p(<6>:958L4>>3A;3?6*>9`8;2>"0939356`>9b875>"6?=0::i5f2e83>!7>i3<<76g<7;29 4?f2?<07d?9c;29 4?f28<n76ai1;29 4?f28;>76smc082>6<729q/=5?519:8L4>>3A;3?6*>9`82<2=#?808445a18a907=#9>>1=;j4i3f94?"61h0=965f7183>!7>i3<>76ai1;29 4?f28;>76sm9c82>1<729q/=5?5869K5=?<@8287)?6a;:5?!162:227c?6c;60?!70<3;=h6g=d;29 4?f2?=07d=8:18'5<g=>?10e<8l:18'5<g=9?o07bh>:18'5<g=98?07pll2;397?6=8r.:4<4>899K5=?<@8287)?6a;3;3>"0939356`>9b870>"6?=0::i5f2e83>!7>i3<>76g80;29 4?f2??07bh>:18'5<g=98?07pl6d;390?6=8r.:4<477:J2<<=O9190(<7n:948 27=;130b<7l:578 41328<o7d<k:18'5<g=>>10e>950;&2=d<1>21b=;m50;&2=d<6>l10ck?50;&2=d<69<10qom<:080>5<7s-;3=7?78:J2<<=O9190(<7n:0:4?!162:227c?6c;65?!70<3;=h6g=d;29 4?f2??07d9?:18'5<g=><10ck?50;&2=d<69<10qoo>:087>5<7s-;3=768;I3;=>N60:1/=4o5879'34<4001e=4m5469'522=9?n0e?j50;&2=d<1?21b?:4?:%3:e?0132c::n4?:%3:e?71m21dj<4?:%3:e?76=21vnn;51;194?6|,82:6<67;I3;=>N60:1/=4o51958 27=;130b<7l:5:8 41328<o7d<k:18'5<g=><10e:>50;&2=d<1=21dj<4?:%3:e?76=21vnl=51;694?6|,82:6594H0::?M7?;2.:5l476:&45?5?12d:5n4;9:&231<6>m1b>i4?:%3:e?0032c8;7>5$0;b>30<3`;=o7>5$0;b>40b32em=7>5$0;b>47232wio;4>:283>5}#91;1=564H0::?M7?;2.:5l4>869'34<4001e=4m54`9'522=9?n0e?j50;&2=d<1=21b;=4?:%3:e?0232em=7>5$0;b>47232wim84>:583>5}#91;14:5G19;8L4>43-;2m769;%52>6>>3g;2o7:m;%340?71l2c9h7>5$0;b>31<3`9<6=4+18c923=<a8<h6=4+18c953c<3fl:6=4+18c9543<3thh;7?53;294~"6080:455G19;8L4>43-;2m7?77:&45?5?12d:5n4;c:&231<6>m1b>i4?:%3:e?0232c<<7>5$0;b>33<3fl:6=4+18c9543<3thh47?53;294~"6080:455G19;8L4>43-;2m7?77:&45?5?12d:5n4;d:&231<6>m1b>i4?:%3:e?0232c<<7>5$0;b>33<3fl:6=4+18c9543<3th8<44>:283>5}#91;1=564H0::?M7?;2.:5l4>869'34<4001e=4m54d9'522=9?n0e?j50;&2=d<1=21b;=4?:%3:e?0232em=7>5$0;b>47232wi?=651;194?6|,82:6<67;I3;=>N60:1/=4o51958 27=;130b<7l:5d8 41328<o7d<k:18'5<g=><10e:>50;&2=d<1=21dj<4?:%3:e?76=21vn?hl:087>5<7s-;3=768;I3;=>N60:1/=4o5879'34<4001e=4m5519'522=9?n0e?j50;&2=d<1?21b?:4?:%3:e?0132c::n4?:%3:e?71m21dj<4?:%3:e?76=21vn>>8:080>5<7s-;3=7?78:J2<<=O9190(<7n:0:4?!162:227c?6c;72?!70<3;=h6g=d;29 4?f2??07d9?:18'5<g=><10ck?50;&2=d<69<10qo<ia;390?6=8r.:4<477:J2<<=O9190(<7n:948 27=;130b<7l:408 41328<o7d<k:18'5<g=>>10e>950;&2=d<1>21b=;m50;&2=d<6>l10ck?50;&2=d<69<10qo=?6;397?6=8r.:4<4>899K5=?<@8287)?6a;3;3>"0939356`>9b867>"6?=0::i5f2e83>!7>i3<>76g80;29 4?f2??07bh>:18'5<g=98?07pl=f982>1<729q/=5?5869K5=?<@8287)?6a;:5?!162:227c?6c;77?!70<3;=h6g=d;29 4?f2?=07d=8:18'5<g=>?10e<8l:18'5<g=9?o07bh>:18'5<g=98?07pl<0482>6<729q/=5?519:8L4>>3A;3?6*>9`82<2=#?808445a18a910=#9>>1=;j4i3f94?"61h0=965f7183>!7>i3<>76ai1;29 4?f28;>76sm2g495?2=83:p(<6>:958L4>>3A;3?6*>9`8;2>"0939356`>9b862>"6?=0::i5f2e83>!7>i3<<76g<7;29 4?f2?<07d?9c;29 4?f28<n76ai1;29 4?f28;>76sm31695?5=83:p(<6>:0:;?M7?12B:4>5+18c95=1<,>;1?574n0;`>01<,8=?6<8k;h0g>5<#90k1:854i6294?"61h0=965`f083>!7>i3;:965rb3d7>4<3290;w)?71;:4?M7?12B:4>5+18c9<3=#?808445a18a91==#9>>1=;j4i3f94?"61h0=;65f3683>!7>i3<=76g>6b83>!7>i3;=i65`f083>!7>i3;:965rb220>4<4290;w)?71;3;<>N6001C=5=4$0;b>4>03-=:6>66;o3:g?3>3-;<87?9d:k1`?6=,83j6;;4;h53>5<#90k1:854og394?"61h0:=854}c0e6?7=<3:1<v*>808;3>N6001C=5=4$0;b>=0<,>;1?574n0;`>0g<,8=?6<8k;h0g>5<#90k1::54i2594?"61h0=:65f17a94?"61h0::h54og394?"61h0:=854}c136?7=;3:1<v*>8082<==O9130D<6<;%3:e?7??2.<=7=79:l2=f<2j2.:;94>6e9j6a<72-;2m78:;:k44?6=,83j6;;4;nd2>5<#90k1=<;4;|`1b5<62=0;6=u+1939<2=O9130D<6<;%3:e?>13-=:6>66;o3:g?3d3-;<87?9d:k1`?6=,83j6;94;h14>5<#90k1:;54i04`>5<#90k1=;k4;nd2>5<#90k1=<;4;|`044<62:0;6=u+19395=><@8227E?73:&2=d<60>1/;<4<889m5<e==m1/=::517f8m7b=83.:5l495:9j35<72-;2m78:;:me5?6=,83j6<?:;:a6`c=93>1<7>t$0:2>=1<@8227E?73:&2=d<?>2.<=7=79:l2=f<2m2.:;94>6e9j6a<72-;2m788;:k03?6=,83j6;84;h35g?6=,83j6<8j;:me5?6=,83j6<?:;:a756=9391<7>t$0:2>4>?3A;356F>829'5<g=91=0(:?539;8j4?d2<l0(<9;:04g?l4c290/=4o56498m26=83.:5l495:9lb4<72-;2m7?>5:9~f7cc280?6=4?{%3;5?>03A;356F>829'5<g=0?1/;<4<889m5<e=>91/=::517f8m7b=83.:5l497:9j72<72-;2m789;:k22f<72-;2m7?9e:9lb4<72-;2m7?>5:9~f64028086=4?{%3;5?7?02B:445G1918 4?f282<7)9>:2::?k7>k3<:7)?84;35`>o5l3:1(<7n:778?l17290/=4o56498kc7=83.:5l4>1498yg55>3;1?7>50z&2<4<6011C=574H0:0?!7>i3;3;6*81;1;=>h61j0=>6*>75822a=n:m0;6)?6a;46?>o083:1(<7n:778?j`6290/=4o51078?xd49h0:694?:1y'5=7=0>1C=574H0:0?!7>i32=7)9>:2::?k7>k3<87)?84;35`>o5l3:1(<7n:758?l50290/=4o56798m40d290/=4o517g8?j`6290/=4o51078?xd4:<0:6>4?:1y'5=7=9120D<66;I3;7>"61h0:4:5+7080<<=i90i1:95+166953b<a;n1<7*>9`851>=n?90;6)?6a;46?>ia93:1(<7n:036?>{e;821=7:50;2x 4>621=0D<66;I3;7>"61h03:6*81;1;=>h61j0=96*>75822a=n:m0;6)?6a;44?>o4?3:1(<7n:748?l71k3:1(<7n:04f?>ia93:1(<7n:036?>{e;;>1=7=50;2x 4>628237E?79:J2<6=#90k1=594$6397=?<f83h6;84$057>40c3`8o6=4+18c920=<a>:1<7*>9`851>=hn80;6)?6a;321>=zj:;=6<4;:183!7?932<7E?79:J2<6=#90k14;5+7080<<=i90i1::5+166953b<a;n1<7*>9`853>=n;>0;6)?6a;45?>o6>j0;6)?6a;35a>=hn80;6)?6a;321>=zj:886<4<:183!7?93;346F>889K5=5<,83j6<68;%52>6>>3g;2o787;%340?71l2c9h7>5$0;b>33<3`=;6=4+18c920=<go;1<7*>9`8250=<uk9:87?54;294~"60803;6F>889K5=5<,83j6584$6397=?<f83h6;74$057>40c3`8o6=4+18c922=<a:=1<7*>9`852>=n9?i1<7*>9`822`=<go;1<7*>9`8250=<uk99>7?53;294~"6080:455G19;8L4>43-;2m7?77:&45?5?12d:5n49a:&231<6>m1b>i4?:%3:e?0232c<<7>5$0;b>33<3fl:6=4+18c9543<3th8=?4>:583>5}#91;14:5G19;8L4>43-;2m769;%52>6>>3g;2o78m;%340?71l2c9h7>5$0;b>31<3`9<6=4+18c923=<a8<h6=4+18c953c<3fl:6=4+18c9543<3th8><4>:283>5}#91;1=564H0::?M7?;2.:5l4>869'34<4001e=4m56b9'522=9?n0e?j50;&2=d<1=21b;=4?:%3:e?0232em=7>5$0;b>47232wi?<>51;694?6|,82:6594H0::?M7?;2.:5l476:&45?5?12d:5n49d:&231<6>m1b>i4?:%3:e?0032c8;7>5$0;b>30<3`;=o7>5$0;b>40b32em=7>5$0;b>47232wi??>51;194?6|,82:6<67;I3;=>N60:1/=4o51958 27=;130b<7l:7g8 41328<o7d<k:18'5<g=><10e:>50;&2=d<1=21dj<4?:%3:e?76=21vn>>j:087>5<7s-;3=768;I3;=>N60:1/=4o5879'34<4001e=4m56g9'522=9?n0e?j50;&2=d<1?21b?:4?:%3:e?0132c::n4?:%3:e?71m21dj<4?:%3:e?76=21vn>?i:080>5<7s-;3=7?78:J2<<=O9190(<7n:0:4?!162:227c?6c;53?!70<3;=h6g=d;29 4?f2??07d9?:18'5<g=><10ck?50;&2=d<69<10qo=?c;390?6=8r.:4<477:J2<<=O9190(<7n:948 27=;130b<7l:638 41328<o7d<k:18'5<g=>>10e>950;&2=d<1>21b=;m50;&2=d<6>l10ck?50;&2=d<69<10qo=>e;397?6=8r.:4<4>899K5=?<@8287)?6a;3;3>"0939356`>9b846>"6?=0::i5f2e83>!7>i3<>76g80;29 4?f2??07bh>:18'5<g=98?07pl<0c82>1<729q/=5?5869K5=?<@8287)?6a;:5?!162:227c?6c;50?!70<3;=h6g=d;29 4?f2?=07d=8:18'5<g=>?10e<8l:18'5<g=9?o07bh>:18'5<g=98?07pl<7782>=<729q/=5?54`9K5=?<@8287)?6a;344>"11390D<:k;%52>6>>3g;2o79;;%340?71l2c9n7>5$0;b>7`<3`8h6=4+18c96f=<a:<1<7*>9`851>=n9=k1<7*>9`823==<g8>36=4+18c9543<3`;=j7>5$0;b>40a3A;3>65f15d94?"61h0:8k5G1908?l71k3:1(<7n:05:?>{e;>?1=7650;2x 4>62=k0D<66;I3;7>"61h0:;=5+6880?M73l2.<=7=79:l2=f<0=2.:;94>6e9j6g<72-;2m7<i;:k1g?6=,83j6?m4;h15>5<#90k1:854i06b>5<#90k1=:64;n37<?6=,83j6<?:;:k22c<72-;2m7?9f:J2<7=<a8>m6=4+18c951`<@82976g>6b83>!7>i3;<565rb257>4<?290;w)?71;6b?M7?12B:4>5+18c9526<,?31?6F>4e9'34<4001e=4m5779'522=9?n0e?l50;&2=d<5n21b>n4?:%3:e?4d32c8:7>5$0;b>33<3`;?m7>5$0;b>41?32e:854?:%3:e?76=21b=;h50;&2=d<6>o1C=5<4;h37b?6=,83j6<:i;I3;6>=n9?i1<7*>9`823<=<uk9<?7?58;294~"6080?m6F>889K5=5<,83j6<9?;%4:>6=O9=n0(:?539;8j4?d2>=0(<9;:04g?l4e290/=4o52g98m7e=83.:5l4=c:9j73<72-;2m78:;:k20d<72-;2m7?88:9l51>=83.:5l4>1498m40a290/=4o517d8L4>532c:8k4?:%3:e?73n2B:4?54i04`>5<#90k1=:74;|`037<6210;6=u+19390d=O9130D<6<;%3:e?7082.=57=4H06g?!162:227c?6c;5;?!70<3;=h6g=b;29 4?f2;l07d<l:18'5<g=:j10e>850;&2=d<1=21b=9o50;&2=d<6?110c<:7:18'5<g=98?07d?9f;29 4?f28<m7E?72:9j51`=83.:5l4>4g9K5=4<3`;=o7>5$0;b>41>32wi?:?51;:94?6|,82:69o4H0::?M7?;2.:5l4>719'2<<43A;?h6*81;1;=>h61j0<56*>75822a=n:k0;6)?6a;0e?>o5k3:1(<7n:3a8?l51290/=4o56498m42f290/=4o516:8?j7303:1(<7n:036?>o6>o0;6)?6a;35b>N60;10e<:i:18'5<g=9=l0D<6=;:k22f<72-;2m7?89:9~f61728036=4?{%3;5?2f3A;356F>829'5<g=9>:0(;753:J20a=#?808445a18a93d=#9>>1=;j4i3`94?"61h09j65f2b83>!7>i38h76g<6;29 4?f2??07d?;a;29 4?f28=376a>4983>!7>i3;:965f17d94?"61h0::k5G1908?l73n3:1(<7n:06e?M7?:21b=;m50;&2=d<6?010qo=9f;39<?6=8r.:4<4;a:J2<<=O9190(<7n:053?!0>2:1C=9j4$6397=?<f83h6:l4$057>40c3`8i6=4+18c96c=<a;i1<7*>9`81g>=n;?0;6)?6a;46?>o6<h0;6)?6a;34<>=h9=21<7*>9`8250=<a8<m6=4+18c953`<@82976g>4g83>!7>i3;?j6F>8398m40d290/=4o516;8?xd4>l0:654?:1y'5=7=<h1C=574H0:0?!7>i3;<<6*99;18L42c3-=:6>66;o3:g?1d3-;<87?9d:k1f?6=,83j6?h4;h0`>5<#90k1>n54i2494?"61h0=965f15c94?"61h0:;554o06;>5<#90k1=<;4;h35b?6=,83j6<8i;I3;6>=n9=l1<7*>9`820c=O91807d?9c;29 4?f28=276sm37f95?>=83:p(<6>:5c8L4>>3A;3?6*>9`8235=#>0087E?;d:&45?5?12d:5n48d:&231<6>m1b>o4?:%3:e?4a32c9o7>5$0;b>7e<3`9=6=4+18c920=<a8>j6=4+18c952><3f;?47>5$0;b>47232c::k4?:%3:e?71n2B:4?54i06e>5<#90k1=9h4H0:1?>o6>j0;6)?6a;34=>=zj:?h6<47:183!7?93>27E?79:J2<6=#90k1=:>4$7;97>N6<m1/;<4<889m5<e=?l1/=::517f8m7d=83.:5l4=f:9j73<72-;2m78:;:k20d<72-;2m7?88:9l51>=83.:5l4>1498m7e=83.:5l4=c:9j53`=83.:5l4>6g9K5=4<3`;?j7>5$0;b>42a3A;3>65f17a94?"61h0:;454}c16f?7=03:1<v*>8087=>N6001C=5=4$0;b>4173-<26>5G15f8 27=;130b<7l:6d8 41328<o7d<m:18'5<g=:o10e>850;&2=d<1=21b=9o50;&2=d<6?110c<:7:18'5<g=98?07d<l:18'5<g=:j10e<8i:18'5<g=9?l0D<6=;:k20c<72-;2m7?;f:J2<7=<a8<h6=4+18c952?<3th89l4>:983>5}#91;1845G19;8L4>43-;2m7?80:&5=?5<@8>o7)9>:2::?k7>k32;7)?84;35`>o5j3:1(<7n:3d8?l51290/=4o56498m42f290/=4o516:8?j7303:1(<7n:036?>o5k3:1(<7n:3a8?l71n3:1(<7n:04e?M7?:21b=9h50;&2=d<6<o1C=5<4;h35g?6=,83j6<96;:a70?=9321<7>t$0:2>1?<@8227E?73:&2=d<6?91/:44<;I37`>"0939356`>9b8;5>"6?=0::i5f2c83>!7>i38m76g<6;29 4?f2??07d?;a;29 4?f28=376a>4983>!7>i3;:965f2b83>!7>i38h76g>6g83>!7>i3;=j6F>8398m42a290/=4o515d8L4>532c::n4?:%3:e?70121vn>;7:08;>5<7s-;3=7:6;I3;=>N60:1/=4o51628 3?=;2B:8i5+7080<<=i90i14?5+166953b<a;h1<7*>9`81b>=n;?0;6)?6a;46?>o6<h0;6)?6a;34<>=h9=21<7*>9`8250=<a;i1<7*>9`81g>=n9?l1<7*>9`822c=O91807d?;f;29 4?f28>m7E?72:9j53e=83.:5l4>7898yg52?3;147>50z&2<4<312B:445G1918 4?f28=;7)86:29K51b<,>;1?574n0;`>=5<,8=?6<8k;h0a>5<#90k1>k54i2494?"61h0=965f15c94?"61h0:;554o06;>5<#90k1=<;4;h0`>5<#90k1>n54i04e>5<#90k1=;h4H0:1?>o6<o0;6)?6a;37b>N60;10e<8l:18'5<g=9>307pl<5782>=<729q/=5?5489K5=?<@8287)?6a;344>"11390D<:k;%52>6>>3g;2o76;;%340?71l2c9n7>5$0;b>7`<3`9=6=4+18c920=<a8>j6=4+18c952><3f;?47>5$0;b>47232c9o7>5$0;b>7e<3`;=j7>5$0;b>40a3A;3>65f15d94?"61h0:8k5G1908?l71k3:1(<7n:05:?>{e;<?1=7650;2x 4>62=30D<66;I3;7>"61h0:;=5+6880?M73l2.<=7=79:l2=f<?=2.:;94>6e9j6g<72-;2m7<i;:k02?6=,83j6;;4;h37e?6=,83j6<97;:m20=<72-;2m7?>5:9j6f<72-;2m7<l;:k22c<72-;2m7?9f:J2<7=<a8>m6=4+18c951`<@82976g>6b83>!7>i3;<565rb277>4<?290;w)?71;6:?M7?12B:4>5+18c9526<,?31?6F>4e9'34<4001e=4m5879'522=9?n0e?l50;&2=d<5n21b?;4?:%3:e?0232c:8l4?:%3:e?70021d=9650;&2=d<69<10e?m50;&2=d<5k21b=;h50;&2=d<6>o1C=5<4;h37b?6=,83j6<:i;I3;6>=n9?i1<7*>9`823<=<uk9>?7?58;294~"6080?56F>889K5=5<,83j6<9?;%4:>6=O9=n0(:?539;8j4?d21=0(<9;:04g?l4e290/=4o52g98m60=83.:5l495:9j51g=83.:5l4>7998k42?290/=4o51078?l4d290/=4o52b98m40a290/=4o517d8L4>532c:8k4?:%3:e?73n2B:4?54i04`>5<#90k1=:74;|`0<7<6210;6=u+19390<=O9130D<6<;%3:e?7082.=57=4H06g?!162:227c?6c;:;?!70<3;=h6g=b;29 4?f2;l07d=9:18'5<g=><10e<:n:18'5<g=9>207b?;8;29 4?f28;>76g=c;29 4?f2;i07d?9f;29 4?f28<m7E?72:9j51`=83.:5l4>4g9K5=4<3`;=o7>5$0;b>41>32wi?9<51;:94?6|,82:6974H0::?M7?;2.:5l4>719'2<<43A;?h6*81;1;=>h61j0356*>75822a=n:k0;6)?6a;0e?>o4>3:1(<7n:778?l73i3:1(<7n:05;?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6>o0;6)?6a;35b>N60;10e<:i:18'5<g=9=l0D<6=;:k22f<72-;2m7?89:9~f74428086=4?{%3;5?7?02B:445G1918 4?f282<7)9>:2::?k7>k32j7)?84;35`>o5l3:1(<7n:778?l17290/=4o56498kc7=83.:5l4>1498yg45:3;1?7>50z&2<4<6011C=574H0:0?!7>i3;3;6*81;1;=>h61j03n6*>75822a=n:m0;6)?6a;46?>o083:1(<7n:778?j`6290/=4o51078?xd58h0:694?:1y'5=7=0>1C=574H0:0?!7>i32=7)9>:2::?k7>k32h7)?84;35`>o5l3:1(<7n:758?l50290/=4o56798m40d290/=4o517g8?j`6290/=4o51078?xd5:80:6>4?:1y'5=7=9120D<66;I3;7>"61h0:4:5+7080<<=i90i14i5+166953b<a;n1<7*>9`851>=n?90;6)?6a;46?>ia93:1(<7n:036?>{e:921=7:50;2x 4>621=0D<66;I3;7>"61h03:6*81;1;=>h61j03i6*>75822a=n:m0;6)?6a;44?>o4?3:1(<7n:748?l71k3:1(<7n:04f?>ia93:1(<7n:036?>{e:;n1=7=50;2x 4>628237E?79:J2<6=#90k1=594$6397=?<f83h65h4$057>40c3`8o6=4+18c920=<a>:1<7*>9`851>=hn80;6)?6a;321>=zj;;h6<4;:183!7?932<7E?79:J2<6=#90k14;5+7080<<=i90i15=5+166953b<a;n1<7*>9`853>=n;>0;6)?6a;45?>o6>j0;6)?6a;35a>=hn80;6)?6a;321>=zj;8h6<4<:183!7?93;346F>889K5=5<,83j6<68;%52>6>>3g;2o77>;%340?71l2c9h7>5$0;b>33<3`=;6=4+18c920=<go;1<7*>9`8250=<uk8:m7?54;294~"60803;6F>889K5=5<,83j6584$6397=?<f83h64<4$057>40c3`8o6=4+18c922=<a:=1<7*>9`852>=n9?i1<7*>9`822`=<go;1<7*>9`8250=<uk89n7?53;294~"6080:455G19;8L4>43-;2m7?77:&45?5?12d:5n463:&231<6>m1b>i4?:%3:e?0232c<<7>5$0;b>33<3fl:6=4+18c9543<3th9=54>:583>5}#91;14:5G19;8L4>43-;2m769;%52>6>>3g;2o77;;%340?71l2c9h7>5$0;b>31<3`9<6=4+18c923=<a8<h6=4+18c953c<3fl:6=4+18c9543<3th9>l4>:283>5}#91;1=564H0::?M7?;2.:5l4>869'34<4001e=4m5949'522=9?n0e?j50;&2=d<1=21b;=4?:%3:e?0232em=7>5$0;b>47232wi><851;694?6|,82:6594H0::?M7?;2.:5l476:&45?5?12d:5n466:&231<6>m1b>i4?:%3:e?0032c8;7>5$0;b>30<3`;=o7>5$0;b>40b32em=7>5$0;b>47232wi>?751;194?6|,82:6<67;I3;=>N60:1/=4o51958 27=;130b<7l:858 41328<o7d<k:18'5<g=><10e:>50;&2=d<1=21dj<4?:%3:e?76=21vn??;:087>5<7s-;3=768;I3;=>N60:1/=4o5879'34<4001e=4m5999'522=9?n0e?j50;&2=d<1?21b?:4?:%3:e?0132c::n4?:%3:e?71m21dj<4?:%3:e?76=21vn?<7:080>5<7s-;3=7?78:J2<<=O9190(<7n:0:4?!162:227c?6c;;:?!70<3;=h6g=d;29 4?f2??07d9?:18'5<g=><10ck?50;&2=d<69<10qo<>2;390?6=8r.:4<477:J2<<=O9190(<7n:948 27=;130b<7l:8c8 41328<o7d<k:18'5<g=>>10e>950;&2=d<1>21b=;m50;&2=d<6>l10ck?50;&2=d<69<10qo<=7;397?6=8r.:4<4>899K5=?<@8287)?6a;3;3>"0939356`>9b8:f>"6?=0::i5f2e83>!7>i3<>76g80;29 4?f2??07bh>:18'5<g=98?07pl=1182>1<729q/=5?5869K5=?<@8287)?6a;:5?!162:227c?6c;;`?!70<3;=h6g=d;29 4?f2?=07d=8:18'5<g=>?10e<8l:18'5<g=9?o07bh>:18'5<g=98?07pl=2782>6<729q/=5?519:8L4>>3A;3?6*>9`82<2=#?808445a18a9=a=#9>>1=;j4i3f94?"61h0=965f7183>!7>i3<>76ai1;29 4?f28;>76sm21g95?2=83:p(<6>:958L4>>3A;3?6*>9`8;2>"0939356`>9b8:a>"6?=0::i5f2e83>!7>i3<<76g<7;29 4?f2?<07d?9c;29 4?f28<n76ai1;29 4?f28;>76sm23795?5=83:p(<6>:0:;?M7?12B:4>5+18c95=1<,>;1?574n0;`><`<,8=?6<8k;h0g>5<#90k1:854i6294?"61h0=965`f083>!7>i3;:965rb32`>4<3290;w)?71;:4?M7?12B:4>5+18c9<3=#?808445a18a9e5=#9>>1=;j4i3f94?"61h0=;65f3683>!7>i3<=76g>6b83>!7>i3;=i65`f083>!7>i3;:965rb303>4<4290;w)?71;3;<>N6001C=5=4$0;b>4>03-=:6>66;o3:g?g63-;<87?9d:k1`?6=,83j6;;4;h53>5<#90k1:854og394?"61h0:=854}c033?7=<3:1<v*>808;3>N6001C=5=4$0;b>=0<,>;1?574n0;`>d4<,8=?6<8k;h0g>5<#90k1::54i2594?"61h0=:65f17a94?"61h0::h54og394?"61h0:=854}c056?7=>3:1<v*>80870>N6001C=5=4$0;b>1b<,?31?6F>4e9'34<4001e=4m5a29'522=9?n0e?l50;&2=d<5n21b?;4?:%3:e?0232e:854?:%3:e?76=21b>n4?:%3:e?4d32c:8;4?:%3:e?71n21b?<4?:%3:e?73n21vn?8>:085>5<7s-;3=7:;;I3;=>N60:1/=4o54e9'2<<43A;?h6*81;1;=>h61j0j86*>75822a=n:k0;6)?6a;0e?>o4>3:1(<7n:778?j7303:1(<7n:036?>o5k3:1(<7n:3a8?l73>3:1(<7n:04e?>o493:1(<7n:06e?>{e:?:1=7850;2x 4>62=>0D<66;I3;7>"61h0?h6*99;18L42c3-=:6>66;o3:g?g23-;<87?9d:k1f?6=,83j6?h4;h15>5<#90k1:854o06;>5<#90k1=<;4;h0`>5<#90k1>n54i065>5<#90k1=;h4;h12>5<#90k1=9h4;|`12d<62?0;6=u+193901=O9130D<6<;%3:e?2c3-<26>5G15f8 27=;130b<7l:`48 41328<o7d<m:18'5<g=:o10e>850;&2=d<1=21d=9650;&2=d<69<10e?m50;&2=d<5k21b=9850;&2=d<6>o10e>?50;&2=d<6<o10qo<99;392?6=8r.:4<4;4:J2<<=O9190(<7n:5f8 3?=;2B:8i5+7080<<=i90i1m:5+166953b<a;h1<7*>9`81b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=n;80;6)?6a;37b>=zj;<36<49:183!7?93>?7E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<f02.:;94>6e9j6g<72-;2m7<i;:k02?6=,83j6;;4;n37<?6=,83j6<?:;:k1g?6=,83j6?m4;h372?6=,83j6<8i;:k05?6=,83j6<:i;:a631=93<1<7>t$0:2>12<@8227E?73:&2=d<3l2.=57=4H06g?!162:227c?6c;c:?!70<3;=h6g=b;29 4?f2;l07d=9:18'5<g=><10c<:7:18'5<g=98?07d<l:18'5<g=:j10e<:9:18'5<g=9?l07d=>:18'5<g=9=l07pl=6782>3<729q/=5?5459K5=?<@8287)?6a;6g?!0>2:1C=9j4$6397=?<f83h6lo4$057>40c3`8i6=4+18c96c=<a:<1<7*>9`851>=h9=21<7*>9`8250=<a;i1<7*>9`81g>=n9=<1<7*>9`822c=<a:;1<7*>9`820c=<uk8=97?56;294~"6080?86F>889K5=5<,83j69j4$7;97>N6<m1/;<4<889m5<e=ik1/=::517f8m7d=83.:5l4=f:9j73<72-;2m78:;:m20=<72-;2m7?>5:9j6f<72-;2m7<l;:k203<72-;2m7?9f:9j74<72-;2m7?;f:9~f703280=6=4?{%3;5?233A;356F>829'5<g=<m1/:44<;I37`>"0939356`>9b8bg>"6?=0::i5f2c83>!7>i38m76g<6;29 4?f2??07b?;8;29 4?f28;>76g=c;29 4?f2;i07d?;6;29 4?f28<m76g<1;29 4?f28>m76sm27195?0=83:p(<6>:568L4>>3A;3?6*>9`87`>"11390D<:k;%52>6>>3g;2o7ok;%340?71l2c9n7>5$0;b>7`<3`9=6=4+18c920=<g8>36=4+18c9543<3`8h6=4+18c96f=<a8>=6=4+18c953`<3`9:6=4+18c951`<3th99k4>:783>5}#91;1895G19;8L4>43-;2m7:k;%4:>6=O9=n0(:?539;8j4?d2ho0(<9;:04g?l4e290/=4o52g98m60=83.:5l495:9l51>=83.:5l4>1498m7e=83.:5l4=c:9j510=83.:5l4>6g98m67=83.:5l4>4g98yg42m3;1:7>50z&2<4<3<2B:445G1918 4?f2=n0(;753:J20a=#?808445a18a9ec=#9>>1=;j4i3`94?"61h09j65f3783>!7>i3<>76a>4983>!7>i3;:965f2b83>!7>i38h76g>4783>!7>i3;=j65f3083>!7>i3;?j65rb36b>4<1290;w)?71;65?M7?12B:4>5+18c90a=#>0087)9>:2::?k7>k3h;7)?84;35`>o5j3:1(<7n:3d8?l4d290/=4o52b98m67=83.:5l4>4g98m60=83.:5l495:9l51>=83.:5l4>1498m40a290/=4o517d8L4>532wi>9751;494?6|,82:6984H0::?M7?;2.:5l4;d:&5=?5<,>;1?574n0;`>g7<,8=?6<8k;h0a>5<#90k1>k54i3a94?"61h09o65f3083>!7>i3;?j65f3783>!7>i3<>76a>4983>!7>i3;:965f17d94?"61h0::k5G1908?xd5<10:6;4?:1y'5=7=<?1C=574H0:0?!7>i3>o7)86:29'34<4001e=4m5b39'522=9?n0e?l50;&2=d<5n21b>n4?:%3:e?4d32c8=7>5$0;b>42a32c8:7>5$0;b>33<3f;?47>5$0;b>47232c::k4?:%3:e?71n2B:4?54}c073?7=>3:1<v*>80872>N6001C=5=4$0;b>1b<,?31?6*81;1;=>h61j0i?6*>75822a=n:k0;6)?6a;0e?>o5k3:1(<7n:3a8?l56290/=4o515d8?l51290/=4o56498k42?290/=4o51078?l71n3:1(<7n:04e?M7?:21vn?:9:085>5<7s-;3=7:9;I3;=>N60:1/=4o54e9'2<<43-=:6>66;o3:g?d33-;<87?9d:k1f?6=,83j6?h4;h0`>5<#90k1>n54i2394?"61h0:8k54i2494?"61h0=965`15:94?"61h0:=854i04e>5<#90k1=;h4H0:1?>{e:=?1=7850;2x 4>62=<0D<66;I3;7>"61h0?h6*99;18 27=;130b<7l:c78 41328<o7d<m:18'5<g=:o10e?m50;&2=d<5k21b?<4?:%3:e?73n21b?;4?:%3:e?0232e:854?:%3:e?76=21b=;h50;&2=d<6>o1C=5<4;|`101<62?0;6=u+193903=O9130D<6<;%3:e?2c3-<26>5+7080<<=i90i1n;5+166953b<a;h1<7*>9`81b>=n:j0;6)?6a;0`?>o493:1(<7n:06e?>o4>3:1(<7n:778?j7303:1(<7n:036?>o6>o0;6)?6a;35b>N60;10qo<;3;392?6=8r.:4<4;6:J2<<=O9190(<7n:5f8 3?=;2.<=7=79:l2=f<e?2.:;94>6e9j6g<72-;2m7<i;:k1g?6=,83j6?m4;h12>5<#90k1=9h4;h15>5<#90k1:854o06;>5<#90k1=<;4;h35b?6=,83j6<8i;I3;6>=zj;2o6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<e02.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;2h6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<e12.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;2i6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<ei2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;2j6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<ej2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;226<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<ek2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;236<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<el2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;2<6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<em2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;==6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<en2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;=n6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d82.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;=o6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d92.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;=h6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d:2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;=i6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d;2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;=j6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d<2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;=26<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d=2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;=36<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d>2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;=<6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d?2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;=>6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d02.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj8ln6<49:183!7?93>>7E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<d12.:;94>6e9j6g<72-;2m7<i;:k05?6=,83j6<:i;:k02?6=,83j6;;4;n37<?6=,83j6<?:;:k1g?6=,83j6?m4;h372?6=,83j6<8i;:a5cg=93<1<7>t$0:2>13<@8227E?73:&2=d<3l2.=57=4H06g?!162:227c?6c;ab?!70<3;=h6g=b;29 4?f2;l07d=>:18'5<g=9=l07d=9:18'5<g=><10c<:7:18'5<g=98?07d<l:18'5<g=:j10e<:9:18'5<g=9?l07pl>f982>3<729q/=5?5449K5=?<@8287)?6a;6g?!0>2:1C=9j4$6397=?<f83h6nl4$057>40c3`8i6=4+18c96c=<a:;1<7*>9`820c=<a:<1<7*>9`851>=h9=21<7*>9`8250=<a;i1<7*>9`81g>=n9=<1<7*>9`822c=<uk;m57?56;294~"6080?96F>889K5=5<,83j69j4$7;97>N6<m1/;<4<889m5<e=kj1/=::517f8m7d=83.:5l4=f:9j74<72-;2m7?;f:9j73<72-;2m78:;:m20=<72-;2m7?>5:9j6f<72-;2m7<l;:k203<72-;2m7?9f:9~f4gf28086=4?{%3;5?1?3A;356F>829'5<g=9=o0(:?539;8 3?=;01e=4m5ce9'522=9?n0e8h50;&2=d<5<2d:554?;:k54?6=,83j6?:4n0;;>4=<go;1<7*>9`8250=<uk;j;7?53;294~"6080<46F>889K5=5<,83j6<:j;%52>6>>3-<26>74n0;`>fc<,8=?6<8k;h7e>5<#90k1>95a18:94>=n>90;6)?6a;07?k7>03;07bh>:18'5<g=98?07pl<5g82>1<729q/=5?57`9K5=?<@8287)?6a;37a>"0939356*99;:8j4?d2jl0(<9;:04g?l3a290/=4o5259m5<>=821b:=4?:%3:e?433g;247?4;h42>5<#90k1>95a18:96>=hn80;6)?6a;321>=zj8k>6<4;:183!7?93=j7E?79:J2<6=#90k1=9k4$6397=?<,?31?l5a18a9`5=#9>>1=;j4i4d94?"61h0986`>9983?>o183:1(<7n:368j4??2810e;?50;&2=d<5<2d:554=;:me5?6=,83j6<?:;:a5d5=93>1<7>t$0:2>2g<@8227E?73:&2=d<6<l1/;<4<889'2<<6<2d:5n4k1:&231<6>m1b9k4?:%3:e?433g;247>4;h43>5<#90k1>95a18:95>=n>80;6)?6a;07?k7>03807bh>:18'5<g=98?07plk3;397?6=8r.:4<488:J2<<=O9190(<7n:06f?!162:227)86:028j4?d2m80(<9;:04g?l3a290/=4o5259m5<>=821b:=4?:%3:e?433g;247?4;nd2>5<#90k1=<;4;|`g0?7=<3:1<v*>8084e>N6001C=5=4$0;b>42b3-=:6>66;%4:>44<f83h6i=4$057>40c3`?m6=4+18c961=i9021<65f6183>!7>i38?7c?68;38?l06290/=4o5259m5<>=:21dj<4?:%3:e?76=21vni;51;794?6|,82:6:l4H0::?M7?;2.:5l4>4d9'34<4001/:44>3:l2=f<c<2.:;94>6e9j1c<72-;2m7<;;o3:<?6<3`<;6=4+18c961=i9021=65f6083>!7>i38?7c?68;08?l05290/=4o5259m5<>=;21dj<4?:%3:e?76=21vn<h<:086>5<7s-;3=79m;I3;=>N60:1/=4o515g8 27=;130(;757:l2=f<c=2.:;94>6e9j1c<72-;2m7<;;o3:<?6<3`<;6=4+18c961=i9021=65f6083>!7>i38?7c?68;08?l05290/=4o5259m5<>=;21dj<4?:%3:e?76=21vn>:>:087>5<7s-;3=79n;I3;=>N60:1/=4o515g8 27=;130(;75439m5<e=l?1/=::517f8m0`=83.:5l4=4:l2==<732c=<7>5$0;b>72<f8336<54i7394?"61h0986`>9981?>ia93:1(<7n:036?>{e;=:1=7;50;2x 4>62>h0D<66;I3;7>"61h0:8h5+7080<<=#>00<7c?6c;f4?!70<3;=h6g:f;29 4?f2;>0b<77:198m36=83.:5l4=4:l2==<632c==7>5$0;b>72<f8336?54i7094?"61h0986`>9980?>ia93:1(<7n:036?>{e;?81=7;50;2x 4>62>h0D<66;I3;7>"61h0:8h5+7080<<=#>00::6`>9b8g<>"6?=0::i5f5g83>!7>i38?7c?68;28?l07290/=4o5259m5<>=921b:<4?:%3:e?433g;247<4;h41>5<#90k1>95a18:97>=hn80;6)?6a;321>=zj:<;6<4::183!7?93=i7E?79:J2<6=#90k1=9k4$6397=?<,?31m6`>9b8g=>"6?=0::i5f5g83>!7>i38?7c?68;28?l07290/=4o5259m5<>=921b:<4?:%3:e?433g;247<4;h41>5<#90k1>95a18:97>=hn80;6)?6a;321>=zj:=36<4::183!7?93=i7E?79:J2<6=#90k1=9k4$6397=?<,?3196`>9b8ge>"6?=0::i5f5g83>!7>i38?7c?68;28?l07290/=4o5259m5<>=921b:<4?:%3:e?433g;247<4;h41>5<#90k1>95a18:97>=hn80;6)?6a;321>=zj:2?6<4::183!7?93=i7E?79:J2<6=#90k1=9k4$6397=?<,?318h5a18a9`g=#9>>1=;j4i4d94?"61h0986`>9983?>o183:1(<7n:368j4??2810e;?50;&2=d<5<2d:554=;:k56?6=,83j6?:4n0;;>6=<go;1<7*>9`8250=<uk9397?55;294~"6080<n6F>889K5=5<,83j6<:j;%52>6>>3-<268?4n0;`>ae<,8=?6<8k;h7e>5<#90k1>95a18:94>=n>90;6)?6a;07?k7>03;07d8>:18'5<g=:=1e=4652:9j27<72-;2m7<;;o3:<?5<3fl:6=4+18c9543<3th8854>:483>5}#91;1;o5G19;8L4>43-;2m7?;e:&45?5?12.=5794n0;`>ab<,8=?6<8k;h7e>5<#90k1>95a18:94>=n>90;6)?6a;07?k7>03;07d8>:18'5<g=:=1e=4652:9j27<72-;2m7<;;o3:<?5<3fl:6=4+18c9543<3th8:<4>:483>5}#91;1;o5G19;8L4>43-;2m7?;e:&45?5?12.=57;4n0;`>ac<,8=?6<8k;h7e>5<#90k1>95a18:94>=n>90;6)?6a;07?k7>03;07d8>:18'5<g=:=1e=4652:9j27<72-;2m7<;;o3:<?5<3fl:6=4+18c9543<3th89h4>:483>5}#91;1;o5G19;8L4>43-;2m7?;e:&45?5?12.=57o4n0;`>a`<,8=?6<8k;h7e>5<#90k1>95a18:94>=n>90;6)?6a;07?k7>03;07d8>:18'5<g=:=1e=4652:9j27<72-;2m7<;;o3:<?5<3fl:6=4+18c9543<3th9<=4>:583>5}#91;1;l5G19;8L4>43-;2m7?;e:&45?5?12.=57=n;o3:g?c73-;<87?9d:k6b?6=,83j6?:4n0;;>5=<a?:1<7*>9`810>h6110:76g91;29 4?f2;>0b<77:398kc7=83.:5l4>1498yg4283;197>50z&2<4<0j2B:445G1918 4?f28>n7)9>:2::?!0>28<0b<7l:d38 41328<o7d;i:18'5<g=:=1e=4650:9j25<72-;2m7<;;o3:<?7<3`<:6=4+18c961=i9021>65f6383>!7>i38?7c?68;18?j`6290/=4o51078?xd5<o0:6>4?:1y'5=7=?11C=574H0:0?!7>i3;?i6*81;1;=>"113;>7c?6c;g1?!70<3;=h6g:f;29 4?f2;>0b<77:198m36=83.:5l4=4:l2==<632em=7>5$0;b>47232wi>=<51;694?6|,82:6:o4H0::?M7?;2.:5l4>4d9'34<4001/:44<a:l2=f<b;2.:;94>6e9j1c<72-;2m7<;;o3:<?6<3`<;6=4+18c961=i9021=65f6083>!7>i38?7c?68;08?j`6290/=4o51078?xd58?0:694?:1y'5=7=?h1C=574H0:0?!7>i3;?i6*81;1;=>"1139j7c?6c;g7?!70<3;=h6g:f;29 4?f2;>0b<77:198m36=83.:5l4=4:l2==<632c==7>5$0;b>72<f8336?54og394?"61h0:=854}c030?7=<3:1<v*>8084e>N6001C=5=4$0;b>42b3-=:6>66;%4:>6g<f83h6h;4$057>40c3`?m6=4+18c961=i9021<65f6183>!7>i38?7c?68;38?l06290/=4o5259m5<>=:21dj<4?:%3:e?76=21vn?7=:081>5<7s-;3=78<;I3;=>N60:1/=4o5279K2a=#>l0=96*81;1;=>h61j0n:6*>75822a=n=l0;6)?6a;46?>ia93:1(<7n:036?>{e:0>1=7<50;2x 4>62?90D<66;I3;7>"61h09:6F9d:&5a?023-=:6>66;o3:g?c03-;<87?9d:k6a?6=,83j6;;4;nd2>5<#90k1=<;4;|`2f<<62;0;6=u+193926=O9130D<6<;%3:e?413A<o7)8j:778 27=;130b<7l:d:8 41328<o7d;j:18'5<g=><10ck?50;&2=d<69<10qo?m8;396?6=8r.:4<493:J2<<=O9190(<7n:348L3b<,?o1:85+7080<<=i90i1i45+166953b<a<o1<7*>9`851>=hn80;6)?6a;321>=zj8h<6<4=:183!7?93<87E?79:J2<6=#90k1>;5G6e9'2`<1=2.<=7=79:l2=f<bi2.:;94>6e9j1`<72-;2m78:;:me5?6=,83j6<?:;:a5g0=9381<7>t$0:2>35<@8227E?73:&2=d<5>2B=h6*9e;46?!162:227c?6c;ga?!70<3;=h6g:e;29 4?f2??07bh>:18'5<g=98?07pl=eb82>7<729q/=5?5629K5=?<@8287)?6a;05?M0c3-<n6;;4$6397=?<f83h6hm4$057>40c3`?n6=4+18c920=<go;1<7*>9`8250=<uk8nn7?52;294~"6080=?6F>889K5=5<,83j6?84H7f8 3c=><1/;<4<889m5<e=mm1/=::517f8m0c=83.:5l495:9lb4<72-;2m7?>5:9~f7cf28096=4?{%3;5?043A;356F>829'5<g=:?1C:i5+6d851>"0939356`>9b8fa>"6?=0::i5f5d83>!7>i3<>76ai1;29 4?f28;>76sm2d;95?4=83:p(<6>:718L4>>3A;3?6*>9`812>N1l2.=i78:;%52>6>>3g;2o7ki;%340?71l2c>i7>5$0;b>33<3fl:6=4+18c9543<3th9i54>:383>5}#91;1:>5G19;8L4>43-;2m7<9;I4g?!0b2??0(:?539;8j4?d2o:0(<9;:04g?l3b290/=4o56498kc7=83.:5l4>1498yg4b?3;1>7>50z&2<4<1;2B:445G1918 4?f2;<0D;j4$7g920=#?808445a18a9b4=#9>>1=;j4i4g94?"61h0=965`f083>!7>i3;:965rb3g5>4<5290;w)?71;40?M7?12B:4>5+18c963=O>m1/:h495:&45?5?12d:5n4i2:&231<6>m1b9h4?:%3:e?0232em=7>5$0;b>47232wi>h;51;094?6|,82:6;=4H0::?M7?;2.:5l4=6:J5`>"1m3<>7)9>:2::?k7>k3l87)?84;35`>o2m3:1(<7n:778?j`6290/=4o51078?xd6n>0:6?4?:1y'5=7=9880D<66;I3;7>"61h09:6F9d:&5a?76=2.<=7=79:l2=f<a<2.:;94>6e9j1`<72-;2m78:;:me5?6=,83j6<?:;:a7=>=9381<7>t$0:2>4753A;356F>829'5<g=:?1C:i5+6d8250=#?808445a18a9b0=#9>>1=;j4i4g94?"61h0=965`f083>!7>i3;:965rb0d6>4<5290;w)?71;326>N6001C=5=4$0;b>70<@?n0(;k51078 27=;130b<7l:g48 41328<o7d;j:18'5<g=><10ck?50;&2=d<69<10qo<m1;396?6=8r.:4<4>139K5=?<@8287)?6a;05?M0c3-<n6<?:;%52>6>>3g;2o7h8;%340?71l2c>i7>5$0;b>33<3fl:6=4+18c9543<3th:n=4>:383>5}#91;1=<<4H0::?M7?;2.:5l4=6:J5`>"1m3;:96*81;1;=>h61j0m46*>75822a=n=l0;6)?6a;46?>ia93:1(<7n:036?>{e9ho1=7<50;2x 4>628;97E?79:J2<6=#90k1>;5G6e9'2`<69<1/;<4<889m5<e=n01/=::517f8m0c=83.:5l495:9lb4<72-;2m7?>5:9~f4gc28096=4?{%3;5?76:2B:445G1918 4?f2;<0D;j4$7g9543<,>;1?574n0;`>cg<,8=?6<8k;h7f>5<#90k1:854og394?"61h0:=854}c3bg?7=:3:1<v*>808257=O9130D<6<;%3:e?413A<o7)8j:036?!162:227c?6c;da?!70<3;=h6g:e;29 4?f2??07bh>:18'5<g=98?07pl>ac82>7<729q/=5?51008L4>>3A;3?6*>9`812>N1l2.=i7?>5:&45?5?12d:5n4ic:&231<6>m1b9h4?:%3:e?0232em=7>5$0;b>47232wi>i651;094?6|,82:6<?=;I3;=>N60:1/=4o5279K2a=#>l0:=85+7080<<=i90i1ji5+166953b<a<o1<7*>9`851>=hn80;6)?6a;321>=zj;n?6<4=:183!7?93;:>6F>889K5=5<,83j6?84H7f8 3c=98?0(:?539;8j4?d2oo0(<9;:04g?l3b290/=4o56498kc7=83.:5l4>1498yg4c83;1>7>50z&2<4<69;1C=574H0:0?!7>i38=7E8k;%4f>4723-=:6>66;o3:g?`a3-;<87?9d:k6a?6=,83j6;;4;nd2>5<#90k1=<;4;|`1gf<62;0;6=u+1939544<@8227E?73:&2=d<5>2B=h6*9e;321>"0939356`>9b8245=#9>>1=;j4i4g94?"61h0=965`f083>!7>i3;:965rb3a;>4<5290;w)?71;326>N6001C=5=4$0;b>70<@?n0(;k51078 27=;130b<7l:022?!70<3;=h6g:e;29 4?f2??07bh>:18'5<g=98?07pl=c582>7<729q/=5?51008L4>>3A;3?6*>9`812>N1l2.=i7?>5:&45?5?12d:5n4>039'522=9?n0e8k50;&2=d<1=21dj<4?:%3:e?76=21vn?m?:081>5<7s-;3=7?>2:J2<<=O9190(<7n:348L3b<,?o1=<;4$6397=?<f83h6<><;%340?71l2c>i7>5$0;b>33<3fl:6=4+18c9543<3th9nn4>:383>5}#91;1=<<4H0::?M7?;2.:5l4=6:J5`>"1m3;:96*81;1;=>h61j0:<95+166953b<a<o1<7*>9`851>=hn80;6)?6a;321>=zj;k>6<4=:183!7?93;:>6F>889K5=5<,83j6?84H7f8 3c=98?0(:?539;8j4?d28:>7)?84;35`>o2m3:1(<7n:778?j`6290/=4o51078?xd5i=0:6?4?:1y'5=7=9880D<66;I3;7>"61h09:6F9d:&5a?76=2.<=7=79:l2=f<68?1/=::517f8m0c=83.:5l495:9lb4<72-;2m7?>5:9~f7g428096=4?{%3;5?76:2B:445G1918 4?f2;<0D;j4$7g9543<,>;1?574n0;`>4603-;<87?9d:k6a?6=,83j6;;4;nd2>5<#90k1=<;4;|`1e7<62;0;6=u+1939544<@8227E?73:&2=d<5>2B=h6*9e;321>"0939356`>9b824==#9>>1=;j4i4g94?"61h0=965`f083>!7>i3;:965rb3c2>4<5290;w)?71;326>N6001C=5=4$0;b>70<@?n0(;k51078 27=;130b<7l:02:?!70<3;=h6g:e;29 4?f2??07bh>:18'5<g=98?07pl=a182>7<729q/=5?51008L4>>3A;3?6*>9`812>N1l2.=i7?>5:&45?5?12d:5n4>0`9'522=9?n0e8k50;&2=d<1=21dj<4?:%3:e?76=21vn?7i:081>5<7s-;3=7?>2:J2<<=O9190(<7n:348L3b<,?o1=<;4$6397=?<f83h6<>m;%340?71l2c>i7>5$0;b>33<3fl:6=4+18c9543<3th95h4>:383>5}#91;1=<<4H0::?M7?;2.:5l4=6:J5`>"1m3;:96*81;1;=>h61j0:<n5+166953b<a<o1<7*>9`851>=hn80;6)?6a;321>=zjh:1=7=50;2x 4>62>=0D<66;I3;7>"61h0:8h5+7080<<=#>00:n6`>9b824a=#9>>1=;j4i4d94?"61h0986`>9983?>ia93:1(<7n:036?>o183:1(<7n:368j4??281e=:h50:9~fd>=9391<7>t$0:2>21<@8227E?73:&2=d<6<l1/;<4<889'2<<6j2d:5n4>0d9'522=9?n0e8h50;&2=d<5<2d:554?;:me5?6=,83j6<?:;:k54?6=,83j6?:4n0;;>4=i9>l1<65rb`c95?5=83:p(<6>:658L4>>3A;3?6*>9`820`=#?808445+6882f>h61j0:<k5+166953b<a<l1<7*>9`810>h6110;76ai1;29 4?f28;>76g90;29 4?f2;>0b<77:09m52`=821vnlm51;194?6|,82:6:94H0::?M7?;2.:5l4>4d9'34<4001/:44>b:l2=f<6991/=::517f8m0`=83.:5l4=4:l2==<732em=7>5$0;b>47232c=<7>5$0;b>72<f8336<5a16d94>=zjho1=7=50;2x 4>62>=0D<66;I3;7>"61h0:8h5+7080<<=#>00:n6`>9b8254=#9>>1=;j4i4d94?"61h0986`>9983?>ia93:1(<7n:036?>o183:1(<7n:368j4??281e=:h50:9~fg6=9391<7>t$0:2>21<@8227E?73:&2=d<6<l1/;<4<889'2<<6j2d:5n4>139'522=9?n0e8h50;&2=d<5<2d:554?;:me5?6=,83j6<?:;:k54?6=,83j6?:4n0;;>4=i9>l1<65rbc095?5=83:p(<6>:658L4>>3A;3?6*>9`820`=#?808445+6882f>h61j0:=>5+166953b<a<l1<7*>9`810>h6110;76ai1;29 4?f28;>76g90;29 4?f2;>0b<77:09m52`=821vno:51;194?6|,82:6:94H0::?M7?;2.:5l4>4d9'34<4001/:44>b:l2=f<69=1/=::517f8m0`=83.:5l4=4:l2==<732em=7>5$0;b>47232c=<7>5$0;b>72<f8336<5a16d94>=zjk<1=7=50;2x 4>62>=0D<66;I3;7>"61h0:8h5+7080<<=#>00:n6`>9b8250=#9>>1=;j4i4d94?"61h0986`>9983?>ia93:1(<7n:036?>o183:1(<7n:368j4??281e=:h50:9~f=e=9391<7>t$0:2>21<@8227E?73:&2=d<6<l1/;<4<889'2<<6j2d:5n4>179'522=9?n0e8h50;&2=d<5<2d:554?;:me5?6=,83j6<?:;:k54?6=,83j6?:4n0;;>4=i9>l1<65rb9g95?5=83:p(<6>:658L4>>3A;3?6*>9`820`=#?808445+6882f>h61j0:=:5+166953b<a<l1<7*>9`810>h6110;76ai1;29 4?f28;>76g90;29 4?f2;>0b<77:09m52`=821vn4>51;194?6|,82:6:94H0::?M7?;2.:5l4>4d9'34<4001/:44>b:l2=f<6911/=::517f8m0`=83.:5l4=4:l2==<732em=7>5$0;b>47232c=<7>5$0;b>72<f8336<5a16d94>=zj081=7=50;2x 4>62>=0D<66;I3;7>"61h0:8h5+7080<<=#>00:n6`>9b825<=#9>>1=;j4i4d94?"61h0986`>9983?>ia93:1(<7n:036?>o183:1(<7n:368j4??281e=:h50:9~f<2=9391<7>t$0:2>21<@8227E?73:&2=d<6<l1/;<4<889'2<<6j2d:5n4>1`9'522=9?n0e8h50;&2=d<5<2d:554?;:me5?6=,83j6<?:;:k54?6=,83j6?:4n0;;>4=i9>l1<65rb8495?5=83:p(<6>:658L4>>3A;3?6*>9`820`=#?808445+6882f>h61j0:=o5+166953b<a<l1<7*>9`810>h6110;76ai1;29 4?f28;>76g90;29 4?f2;>0b<77:09m52`=821vn4651;194?6|,82:6:94H0::?M7?;2.:5l4>4d9'34<4001/:44>b:l2=f<69j1/=::517f8m0`=83.:5l4=4:l2==<732em=7>5$0;b>47232c=<7>5$0;b>72<f8336<5a16d94>=zj0k1=7=50;2x 4>62>=0D<66;I3;7>"61h0:8h5+7080<<=#>00:n6`>9b825a=#9>>1=;j4i4d94?"61h0986`>9983?>ia93:1(<7n:036?>o183:1(<7n:368j4??281e=:h50:9~f<e=9391<7>t$0:2>21<@8227E?73:&2=d<6<l1/;<4<889'2<<6j2d:5n4>1d9'522=9?n0e8h50;&2=d<5<2d:554?;:me5?6=,83j6<?:;:k54?6=,83j6?:4n0;;>4=i9>l1<65rb8g95?5=83:p(<6>:658L4>>3A;3?6*>9`820`=#?808445+6882f>h61j0:=k5+166953b<a<l1<7*>9`810>h6110;76ai1;29 4?f28;>76g90;29 4?f2;>0b<77:09m52`=821vnl<51;194?6|,82:6:94H0::?M7?;2.:5l4>4d9'34<4001/:44>b:l2=f<6:91/=::517f8m0`=83.:5l4=4:l2==<732em=7>5$0;b>47232c=<7>5$0;b>72<f8336<5a16d94>=zjh>1=7=50;2x 4>62>=0D<66;I3;7>"61h0:8h5+7080<<=#>00:n6`>9b8264=#9>>1=;j4i4d94?"61h0986`>9983?>ia93:1(<7n:036?>o183:1(<7n:368j4??281e=:h50:9~fd0=9391<7>t$0:2>21<@8227E?73:&2=d<6<l1/;<4<889'2<<6j2d:5n4>239'522=9?n0e8h50;&2=d<5<2d:554?;:me5?6=,83j6<?:;:k54?6=,83j6?:4n0;;>4=i9>l1<65rb3dg>4<4290;w)?71;54?M7?12B:4>5+18c951c<,>;1?574$7;95g=i90i1=?=4$057>40c3`?m6=4+18c961=i9021<65`f083>!7>i3;:965f6183>!7>i38?7c?68;38j41a2910qo<ib;397?6=8r.:4<487:J2<<=O9190(<7n:06f?!162:227)86:0`8j4?d288?7)?84;35`>o2n3:1(<7n:368j4??2910ck?50;&2=d<69<10e;>50;&2=d<5<2d:554>;o34b?6<3th9j44>:283>5}#91;1;:5G19;8L4>43-;2m7?;e:&45?5?12.=57?m;o3:g?75=2.:;94>6e9j1c<72-;2m7<;;o3:<?6<3fl:6=4+18c9543<3`<;6=4+18c961=i9021=6`>7g83?>{e:o=1=7=50;2x 4>62>=0D<66;I3;7>"61h0:8h5+7080<<=#>00:n6`>9b8263=#9>>1=;j4i4d94?"61h0986`>9983?>ia93:1(<7n:036?>o183:1(<7n:368j4??281e=:h50:9~f7`228086=4?{%3;5?103A;356F>829'5<g=9=o0(:?539;8 3?=9k1e=4m51358 41328<o7d;i:18'5<g=:=1e=4650:9lb4<72-;2m7?>5:9j25<72-;2m7<;;o3:<?7<f8=m6=54}c0e7?7=;3:1<v*>80843>N6001C=5=4$0;b>42b3-=:6>66;%4:>4d<f83h6<<7;%340?71l2c>j7>5$0;b>72<f8336=54og394?"61h0:=854i7294?"61h0986`>9982?k70n3:07pl=f082>6<729q/=5?5769K5=?<@8287)?6a;37a>"0939356*99;3a?k7>k3;956*>75822a=n=o0;6)?6a;07?k7>03:07bh>:18'5<g=98?07d8?:18'5<g=:=1e=4651:l23c<732wi>hh51;194?6|,82:6:94H0::?M7?;2.:5l4>4d9'34<4001/:44>b:l2=f<6:h1/=::517f8m0`=83.:5l4=4:l2==<732em=7>5$0;b>47232c=<7>5$0;b>72<f8336<5a16d94>=zj:;i6<4<:183!7?93=<7E?79:J2<6=#90k1=9k4$6397=?<,?31=o5a18a957d<,8=?6<8k;h7e>5<#90k1>95a18:94>=hn80;6)?6a;321>=n>90;6)?6a;07?k7>03;0b<9i:198yg5613;1?7>50z&2<4<0?2B:445G1918 4?f28>n7)9>:2::?!0>28h0b<7l:00`?!70<3;=h6g:f;29 4?f2;>0b<77:198kc7=83.:5l4>1498m36=83.:5l4=4:l2==<63g;<j7>4;|`052<62:0;6=u+193932=O9130D<6<;%3:e?73m2.<=7=79:&5=?7e3g;2o7?=d:&231<6>m1b9k4?:%3:e?433g;247>4;nd2>5<#90k1=<;4;h43>5<#90k1>95a18:95>h6?o0;76sm30795?5=83:p(<6>:658L4>>3A;3?6*>9`820`=#?808445+6882f>h61j0:>h5+166953b<a<l1<7*>9`810>h6110;76ai1;29 4?f28;>76g90;29 4?f2;>0b<77:09m52`=821vn>?<:080>5<7s-;3=798;I3;=>N60:1/=4o515g8 27=;130(;751c9m5<e=9;l0(<9;:04g?l3a290/=4o5259m5<>=821dj<4?:%3:e?76=21b:=4?:%3:e?433g;247?4n05e>5=<uk9:=7?53;294~"6080<;6F>889K5=5<,83j6<:j;%52>6>>3-<26<l4n0;`>4573-;<87?9d:k6b?6=,83j6?:4n0;;>5=<go;1<7*>9`8250=<a?:1<7*>9`810>h6110:7c?8f;28?xd48o0:6>4?:1y'5=7=?>1C=574H0:0?!7>i3;?i6*81;1;=>"113;i7c?6c;305>"6?=0::i5f5g83>!7>i38?7c?68;28?j`6290/=4o51078?l07290/=4o5259m5<>=92d:;k4?;:a75b=9391<7>t$0:2>21<@8227E?73:&2=d<6<l1/;<4<889'2<<6j2d:5n4>339'522=9?n0e8h50;&2=d<5<2d:554?;:me5?6=,83j6<?:;:k54?6=,83j6?:4n0;;>4=i9>l1<65rb32a>4<4290;w)?71;54?M7?12B:4>5+18c951c<,>;1?574$7;95g=i90i1=>=4$057>40c3`?m6=4+18c961=i9021<65`f083>!7>i3;:965f6183>!7>i38?7c?68;38j41a2910qo<?9;397?6=8r.:4<487:J2<<=O9190(<7n:06f?!162:227)86:0`8j4?d289?7)?84;35`>o2n3:1(<7n:368j4??2910ck?50;&2=d<69<10e;>50;&2=d<5<2d:554>;o34b?6<3th9=i4>:283>5}#91;1;:5G19;8L4>43-;2m7?;e:&45?5?12.=57?m;o3:g?74=2.:;94>6e9j1c<72-;2m7<;;o3:<?6<3fl:6=4+18c9543<3`<;6=4+18c961=i9021=6`>7g83?>{e:8h1=7=50;2x 4>62>=0D<66;I3;7>"61h0:8h5+7080<<=#>00:n6`>9b8273=#9>>1=;j4i4d94?"61h0986`>9983?>ia93:1(<7n:036?>o183:1(<7n:368j4??281e=:h50:9~f77>28086=4?{%3;5?103A;356F>829'5<g=9=o0(:?539;8 3?=9k1e=4m51258 41328<o7d;i:18'5<g=:=1e=4650:9lb4<72-;2m7?>5:9j25<72-;2m7<;;o3:<?7<f8=m6=54}c023?7=;3:1<v*>80843>N6001C=5=4$0;b>42b3-=:6>66;%4:>4d<f83h6<=7;%340?71l2c>j7>5$0;b>72<f8336=54og394?"61h0:=854i7294?"61h0986`>9982?k70n3:07pl=1482>6<729q/=5?5769K5=?<@8287)?6a;37a>"0939356*99;3a?k7>k3;856*>75822a=n=o0;6)?6a;07?k7>03:07bh>:18'5<g=98?07d8?:18'5<g=:=1e=4651:l23c<732wi><=51;194?6|,82:6:94H0::?M7?;2.:5l4>4d9'34<4001/:44>b:l2=f<6;h1/=::517f8m0`=83.:5l4=4:l2==<732em=7>5$0;b>47232c=<7>5$0;b>72<f8336<5a16d94>=zj;;:6<4<:183!7?93=<7E?79:J2<6=#90k1=9k4$6397=?<,?31=o5a18a956d<,8=?6<8k;h7e>5<#90k1>95a18:94>=hn80;6)?6a;321>=n>90;6)?6a;07?k7>03;0b<9i:198yg47n3;1?7>50z&2<4<0?2B:445G1918 4?f28>n7)9>:2::?!0>28h0b<7l:01`?!70<3;=h6g:f;29 4?f2;>0b<77:198kc7=83.:5l4>1498m36=83.:5l4=4:l2==<63g;<j7>4;|`14a<62:0;6=u+193932=O9130D<6<;%3:e?73m2.<=7=79:&5=?7e3g;2o7?<d:&231<6>m1b9k4?:%3:e?433g;247>4;nd2>5<#90k1=<;4;h43>5<#90k1>95a18:95>h6?o0;76smc882>6<729q/=5?5769K5=?<@8287)?6a;37a>"0939356*99;3a?k7>k3;8i6*>75822a=n=o0;6)?6a;07?k7>03:07bh>:18'5<g=98?07d8?:18'5<g=:=1e=4651:l23c<732wi?=o51;194?6|,82:6:94H0::?M7?;2.:5l4>4d9'34<4001/:44>b:l2=f<6;o1/=::517f8m0`=83.:5l4=4:l2==<732em=7>5$0;b>47232c=<7>5$0;b>72<f8336<5a16d94>=zj:836<4<:183!7?93=<7E?79:J2<6=#90k1=9k4$6397=?<,?31=o5a18a9516<,8=?6<8k;h7e>5<#90k1>95a18:94>=hn80;6)?6a;321>=n>90;6)?6a;07?k7>03;0b<9i:198yg45<3;1?7>50z&2<4<0?2B:445G1918 4?f28>n7)9>:2::?!0>28h0b<7l:062?!70<3;=h6g:f;29 4?f2;>0b<77:198kc7=83.:5l4>1498m36=83.:5l4=4:l2==<63g;<j7>4;|`032<62<0;6=u+19393g=O9130D<6<;%3:e?73m2.<=7=79:&5=?g<f83h6<:=;%340?71l2c>j7>5$0;b>72<f8336=54i7294?"61h0986`>9982?>o193:1(<7n:368j4??2;10e;<50;&2=d<5<2d:554<;:me5?6=,83j6<?:;:a7=0=93?1<7>t$0:2>2d<@8227E?73:&2=d<6<l1/;<4<889'2<<282d:5n4>429'522=9?n0e8h50;&2=d<5<2d:554?;:k54?6=,83j6?:4n0;;>4=<a?;1<7*>9`810>h6110976g92;29 4?f2;>0b<77:298kc7=83.:5l4>1498yg4c=3;187>50z&2<4<?02B:445G1918 4?f21<0(:?539;8j4?d28>?7)?84;35`>o2n3:1(<7n:748?l07290/=4o56698m40d290/=4o517g8?j`6290/=4o51078?xd5l?0:684?:1y'5=7=?k1C=574H0:0?!7>i3;?i6*81;1;=>"1139h7c?6c;371>"6?=0::i5f5g83>!7>i38?7c?68;28?l07290/=4o5259m5<>=921b:<4?:%3:e?433g;247<4;h41>5<#90k1>95a18:97>=hn80;6)?6a;321>=zj;n<6<4::183!7?93=i7E?79:J2<6=#90k1=9k4$6397=?<,?3156`>9b8203=#9>>1=;j4i4d94?"61h0986`>9983?>o183:1(<7n:368j4??2810e;?50;&2=d<5<2d:554=;:k56?6=,83j6?:4n0;;>6=<go;1<7*>9`8250=<uk8ih7?54;294~"6080346F>889K5=5<,83j6584$6397=?<f83h6<:8;%340?71l2c>j7>5$0;b>30<3`<;6=4+18c922=<a8<h6=4+18c953c<3fl:6=4+18c9543<3th9nh4>:483>5}#91;1;o5G19;8L4>43-;2m7?;e:&45?5?12.=57<=;o3:g?7302.:;94>6e9j1c<72-;2m7<;;o3:<?6<3`<;6=4+18c961=i9021=65f6083>!7>i38?7c?68;08?l05290/=4o5259m5<>=;21dj<4?:%3:e?76=21vn?li:086>5<7s-;3=79m;I3;=>N60:1/=4o515g8 27=;130(;75b:l2=f<6<01/=::517f8m0`=83.:5l4=4:l2==<732c=<7>5$0;b>72<f8336<54i7394?"61h0986`>9981?>o1:3:1(<7n:368j4??2:10ck?50;&2=d<69<10qo<ld;390?6=8r.:4<478:J2<<=O9190(<7n:948 27=;130b<7l:06b?!70<3;=h6g:f;29 4?f2?<07d8?:18'5<g=>>10e<8l:18'5<g=9?o07bh>:18'5<g=98?07pl=cd82>0<729q/=5?57c9K5=?<@8287)?6a;37a>"0939356*99;6e?k7>k3;?n6*>75822a=n=o0;6)?6a;07?k7>03:07d8?:18'5<g=:=1e=4651:9j24<72-;2m7<;;o3:<?4<3`<96=4+18c961=i9021?65`f083>!7>i3;:965rb3ae>4<2290;w)?71;5a?M7?12B:4>5+18c951c<,>;1?574$7;9`>h61j0:8n5+166953b<a<l1<7*>9`810>h6110;76g90;29 4?f2;>0b<77:098m37=83.:5l4=4:l2==<532c=>7>5$0;b>72<f8336>54og394?"61h0:=854}c0`1?7=<3:1<v*>808;<>N6001C=5=4$0;b>=0<,>;1?574n0;`>42c3-;<87?9d:k6b?6=,83j6;84;h43>5<#90k1::54i04`>5<#90k1=;k4;nd2>5<#90k1=<;4;|`1g3<62<0;6=u+19393g=O9130D<6<;%3:e?73m2.<=7=79:&5=?463g;2o7?;e:&231<6>m1b9k4?:%3:e?433g;247>4;h43>5<#90k1>95a18:95>=n>80;6)?6a;07?k7>03807d8=:18'5<g=:=1e=4653:9lb4<72-;2m7?>5:9~f7e0280>6=4?{%3;5?1e3A;356F>829'5<g=9=o0(:?539;8 3?=9>1e=4m515d8 41328<o7d;i:18'5<g=:=1e=4650:9j25<72-;2m7<;;o3:<?7<3`<:6=4+18c961=i9021>65f6383>!7>i38?7c?68;18?j`6290/=4o51078?xd5l80:694?:1y'5=7=011C=574H0:0?!7>i32=7)9>:2::?k7>k3;><6*>75822a=n=o0;6)?6a;45?>o183:1(<7n:758?l71k3:1(<7n:04f?>ia93:1(<7n:036?>{e:m81=7;50;2x 4>62>h0D<66;I3;7>"61h0:8h5+7080<<=#>008i6`>9b8214=#9>>1=;j4i4d94?"61h0986`>9983?>o183:1(<7n:368j4??2810e;?50;&2=d<5<2d:554=;:k56?6=,83j6?:4n0;;>6=<go;1<7*>9`8250=<uk8o?7?55;294~"6080<n6F>889K5=5<,83j6<:j;%52>6>>3-<26<?4n0;`>4353-;<87?9d:k6b?6=,83j6?:4n0;;>5=<a?:1<7*>9`810>h6110:76g91;29 4?f2;>0b<77:398m34=83.:5l4=4:l2==<432em=7>5$0;b>47232wi>n?51;694?6|,82:6564H0::?M7?;2.:5l476:&45?5?12d:5n4>529'522=9?n0e8h50;&2=d<1>21b:=4?:%3:e?0032c::n4?:%3:e?71m21dj<4?:%3:e?76=21vn?m=:086>5<7s-;3=79m;I3;=>N60:1/=4o515g8 27=;130(;75229m5<e=9<>0(<9;:04g?l3a290/=4o5259m5<>=821b:=4?:%3:e?433g;247?4;h42>5<#90k1>95a18:96>=n>;0;6)?6a;07?k7>03907bh>:18'5<g=98?07pl=c282>0<729q/=5?57c9K5=?<@8287)?6a;37a>"0939356*99;1a?k7>k3;>96*>75822a=n=o0;6)?6a;07?k7>03:07d8?:18'5<g=:=1e=4651:9j24<72-;2m7<;;o3:<?4<3`<96=4+18c961=i9021?65`f083>!7>i3;:965rb3a:>4<3290;w)?71;:;?M7?12B:4>5+18c9<3=#?808445a18a9500<,8=?6<8k;h7e>5<#90k1:;54i7294?"61h0=;65f17a94?"61h0::h54og394?"61h0:=854}c0`e?7==3:1<v*>8084f>N6001C=5=4$0;b>42b3-=:6>66;%4:>6b<f83h6<;8;%340?71l2c>j7>5$0;b>72<f8336=54i7294?"61h0986`>9982?>o193:1(<7n:368j4??2;10e;<50;&2=d<5<2d:554<;:me5?6=,83j6<?:;:a6fd=93?1<7>t$0:2>2d<@8227E?73:&2=d<6<l1/;<4<889'2<<6m2d:5n4>599'522=9?n0e8h50;&2=d<5<2d:554?;:k54?6=,83j6?:4n0;;>4=<a?;1<7*>9`810>h6110976g92;29 4?f2;>0b<77:298kc7=83.:5l4>1498yg4f03:1>7<53z&2<4<502B:445G1918 27=;130b<7l:07:?!70<3;=h6g:e;29?j`62900n;:51;094?6|,82:6;:4$0;b>7c<,?o1:85G6e9'34<4001e=4m514c8 41328<o7d;j:18'5<g=><10ck?50;&2=d<69<10qo<8:081>5<7s-;3=7<8;%3:e?4b3-=:6>66;o3:g?72j2.:;94>6e9j1`<62-;2m78:;:me5?7=,83j6<?:;:p1`<72;qU9h526586a>{tn80;6?uQf09>62<a92wx:94?:3y>21<a9279;7;j;|a5ce=9381<7>t$0:2>71<@8227E?73:&2=d<5m2.<=7=79:l2=f<6=j1/=::517f8m0c=93.:5l495:9lb4<62-;2m7?>5:9~f62328096=4?{%3;5?403A;356F>829'5<g=:l1/;<4<889m5<e=9<n0(<9;:04g?l3b280/=4o56498kc7=93.:5l4>1498ygd?28096=4?{%3;5?0f3A;356F>829'5<g=:?1/;<4<889m5<e=9<o0(<9;:04g?l3b290/=4o56498kc7=83.:5l4>149K5<?<3th9jk4>:383>5}#91;1:l5G19;8L4>43-;2m7<9;%52>6>>3g;2o7?:f:&231<6>m1b9h4?:%3:e?0232em=7>5$0;b>4723A;2565rb23g>4<5290;w)?71;4b?M7?12B:4>5+18c963=#?808445a18a9536<,8=?6<8k;h7f>5<#90k1:854og394?"61h0:=85G18;8?xd59o0:6?4?:1y'5=7=>h1C=574H0:0?!7>i38=7)9>:2::?k7>k3;==6*>75822a=n=l0;6)?6a;46?>ia93:1(<7n:036?M7>121vni<51;094?6|,82:6;o4H0::?M7?;2.:5l4=6:&45?5?12d:5n4>639'522=9?n0e8k50;&2=d<1=21dj<4?:%3:e?76=2B:5454}c1;4?7==3:1<v*>8084f>N6001C=5=4$0;b>42b3-=:6>66;%4:>3=i90i1=;=4$057>40c3`?m6=4+18c961=i9021<65f6183>!7>i38?7c?68;38?l06290/=4o5259m5<>=:21b:?4?:%3:e?433g;247=4;nd2>5<#90k1=<;4;|`0<4<62=0;6=u+1939<==O9130D<6<;%3:e?>13-=:6>66;o3:g?71<2.:;94>6e9j1c<72-;2m789;:k54?6=,83j6;94;h35g?6=,83j6<8j;:me5?6=,83j6<?:;:a`2<72>0864u+1939520<@8227E?73:&5=?2<@8>o7)9>:2::?k7>k3;=96*>75822a=n9j0;66g>d;29?l7a2900e?>50;9j6c<722c8:7>5;n37<?6=3k;<97?59;294~"6080:;:5+18c9521<,8><68<4H05f?M73l2.<=7=79:&5=?2<f83h6<89;%340?71l2c8:7>5;h0`>5<i9>l1=65f2g83>M73<21b>=4?::k2b?6=3`;o6=44i0a94?=h9=21<75`15;94?=zj::1=7<50;2x 4?f2;<0b<7l:044?!70<3;=h6g:e;29 4?f2??07bh>:18'5<g=98?0D<76;:a5=2=93;1<7>t$0;b>4743g;2o7?98:&231<6>m1d=<850;&2=d<69<10q~=9:181[5134;<97=9;|q1b?6=:rT9j63<0;7f?xu583:1>vP=0:?230<582wx=k4?:3y]5c=:9>?1=k5rs0f94?4|V8n01<9::0f8yv7d2909wS?l;<341?7d3ty:854?:3y]51><58=>6<:7;|q1g?6=:r7:;84=c:?2<1<69?1v>>50;0x94122;l01>>5f09~yg4?n3;1:7>50z&2<4<3k2B:445G1918 4?f2=n0(;753:J20a=#?808445a18a953?<,8=?6<8k;h0a>5<#90k1>k5G18;8?l51290/=4o56498k42?290/=4o51078?l4d290/=4o52b98m421290/=4o517d8?l56290/=4o515d8?xd5l00:6;4?:1y'5=7=<11C=574H0:0?!7>i3>o7)86:29K51b<,>;1?574n0;`>40f3-;<87?9d:k1f?6=,83j6?h4H0;:?>o493:1(<7n:06e?>o4>3:1(<7n:778?j7303:1(<7n:036?>o5k3:1(<7n:3a8?l73>3:1(<7n:04e?>{e:mk1=7850;2x 4>62=20D<66;I3;7>"61h0?h6*99;18L42c3-=:6>66;o3:g?71j2.:;94>6e9j6g<72-;2m7<i;I3:=>=n;80;6)?6a;37b>=n;?0;6)?6a;46?>i6<10;6)?6a;321>=n:j0;6)?6a;0`?>o6<?0;6)?6a;35b>=zj;ni6<49:183!7?93>37E?79:J2<6=#90k18i5+6880?M73l2.<=7=79:l2=f<6>j1/=::517f8m7d=83.:5l4=f:J2=<=<a:;1<7*>9`820c=<a:<1<7*>9`851>=h9=21<7*>9`8250=<a;i1<7*>9`81g>=n9=<1<7*>9`822c=<uk82<7?53;294~"6080<46F>889K5=5<,83j6<:j;%52>6>>3-<26<;4n0;`>40c3-;<87?9d:k6b?6=,83j6?:4n0;;>5=<a?:1<7*>9`810>h6110:76ai1;29 4?f28;>76sm29g95?0=83:p(<6>:5:8L4>>3A;3?6*>9`87`>"11390D<:k;%52>6>>3g;2o7?9e:&231<6>m1b>o4?:%3:e?4a3A;2565f3083>!7>i3;?j65f3783>!7>i3<>76a>4983>!7>i3;:965f2b83>!7>i38h76g>4783>!7>i3;=j65rb25b>4<5290;w)?6a;05?!7?93=?7E?73:l2=f<6>o1b9h4?:%3:e?0232em=7>5$0;b>47232wi?:751;194?6|,83j6<:j;I3;7>N6001/;<4<889'5=7=?01/:44<9:l2=f<6?91/=::517f8m0`=83.:5l4=4:l2==<732c=<7>5$0;b>72<f8336<54og394?"61h0:=854}c173?7=:3:1<v*>9`812>"6080<86F>829m5<e=9>;0e8k50;&2=d<1=21dj<4?:%3:e?76=21vn>:9:086>5<7s-;2m7?;e:J2<6=O9130(:?539;8 4>62>i0(;757:l2=f<6?;1/=::517f8m0`=83.:5l4=4:l2==<732c=<7>5$0;b>72<f8336<54i7394?"61h0986`>9981?>o1:3:1(<7n:368j4??2:10ck?50;&2=d<69<10qo<;d;396?6=8r.:5l4=6:&2<4<0<2B:4>5a18a9525<a<o1<7*>9`851>=hn80;6)?6a;321>=zj;>h6<4::183!7>i3;?i6F>829K5=?<,>;1?574$0:2>2b<,?3196`>9b8231=#9>>1=;j4i4d94?"61h0986`>9983?>o183:1(<7n:368j4??2810e;?50;&2=d<5<2d:554=;:k56?6=,83j6?:4n0;;>6=<go;1<7*>9`8250=<uk9<h7?52;294~"61h09:6*>80840>N60:1e=4m51678m0c=83.:5l495:9lb4<72-;2m7?>5:9~f61d280>6=4?{%3:e?73m2B:4>5G19;8 27=;130(<6>:6f8 3?=<l1e=4m51648 41328<o7d;i:18'5<g=:=1e=4650:9j25<72-;2m7<;;o3:<?7<3`<:6=4+18c961=i9021>65f6383>!7>i38?7c?68;18?j`6290/=4o51078?xd6i;0:6<4?:1y'5<g=9:l0D<6<;I4;?!0b28;>7)9<:006?k7>k3;<;6a>3g83>!7>i3;8j65rb0c7>4<6290;w)?6a;30b>N60:1C:55+6d8250=#?:0:>95a18a952><g89m6=4+18c956`<3th:m;4>:083>5}#90k1=>h4H0:0?M0?3-<n6<?:;%50>44?3g;2o7?89:m27c<72-;2m7?<f:9~f4g>280:6=4?{%3:e?74n2B:4>5G699'2`<69<1/;>4>269m5<e=9>k0c<=i:18'5<g=9:l07pl>ag82>4<729q/=4o512d8L4>43A<37)8j:036?!1428;h7c?6c;34f>i6;o0;6)?6a;30b>=zj8h:6<4>:183!7>i3;8j6F>829K2==#>l0=96*83;304>h61j0:;n5f12d94?"61h0:?k54}c3a6?7=93:1<v*>9`827c=O9190D;64$7g920=#?:0:?<5a18a952b<a89m6=4+18c956`<3th:n>4>:083>5}#90k1=>h4H0:0?M0?3-<n6;;4$619564<f83h6<9j;h30b?6=,83j6<=i;:a5g3=93;1<7>t$0;b>45a3A;3?6F98:&5a?023-=86<=<;o3:g?70n2c:?k4?:%3:e?74n21vn<h;:082>5<7s-;2m7?<f:J2<6=O>11/:h4>149'36<69h1e=4m51928k45a290/=4o512d8?xd6n?0:6<4?:1y'5<g=9:l0D<6<;I4;?!0b28;>7)9<:03:?k7>k3;3=6a>3g83>!7>i3;8j65rb3;2>4<6290;w)?6a;30b>N60:1C:55+6d851>"0;3;:n6`>9b82<7=n9:l1<7*>9`827c=<uk82?7?51;294~"61h0:?k5G1918L3><,?o1:85+72825a=i90i1=5=4i01e>5<#90k1=>h4;|`1=0<6280;6=u+18c956`<@8287E87;%4f>4723-=86<=;;o3:g?7?<2e:?k4?:%3:e?74n21vn?79:082>5<7s-;2m7?<f:J2<6=O>11/:h4>149'36<6;?1e=4m51978k45a290/=4o512d8?xd51>0:6<4?:1y'5<g=9:l0D<6<;I4;?!0b28;>7)9<:01;?k7>k3;3:6a>3g83>!7>i3;8j65rb3;;>4<6290;w)?6a;30b>N60:1C:55+6d8250=#?:0:?o5a18a95=1<g89m6=4+18c956`<3th9544>:083>5}#90k1=>h4H0:0?M0?3-<n6<?:;%50>45b3g;2o7?78:m27c<72-;2m7?<f:9~f7?f280:6=4?{%3:e?74n2B:4>5G699'2`<69<1/;>4>219m5<e=9130c<=i:18'5<g=9:l07pl=9c82>4<729q/=4o512d8L4>43A<37)8j:036?!1428887c?6c;3;e>i6;o0;6)?6a;30b>=zj;3o6<4>:183!7>i3;8j6F>829K2==#>l0:=85+72825`=i90i1=5l4o01e>5<#90k1=>h4;|`1e2<6280;6=u+18c956`<@8287E87;%4f>33<,>91=?<4n0;`>4>d3`;8j7>5$0;b>45a32wi>o>51;394?6|,83j6<=i;I3;7>N102.=i7?>5:&47?7602d:5n4>8e9l56`=83.:5l4>3g98yg4e;3;1=7>50z&2=d<6;o1C=5=4H7:8 3c=98?0(:=513`8j4?d282n7b?<f;29 4?f289m76sm2c695?7=83:p(<7n:01e?M7?;2B=46*9e;321>"0;3;9m6`>9b82<c=h9:l1<7*>9`827c=<uk8i97?51;294~"61h0:?k5G1918L3><,?o1=<;4$61957c<f83h6<7?;n30b?6=,83j6<=i;:a6g0=93;1<7>t$0;b>45a3A;3?6F98:&5a?76=2.<?7?=c:l2=f<6181d=>h50;&2=d<6;o10qo<m7;395?6=8r.:5l4>3g9K5=5<@?20(;k51078 25=98l0b<7l:0;1?j74n3:1(<7n:01e?>{e:k21=7?50;2x 4?f289m7E?73:J5<>"1m3;:96*83;31=>h61j0:5>5`12d94?"61h0:?k54}c0a=?7=93:1<v*>9`827c=O9190D;64$7g9543<,>91=?h4n0;`>4?33f;8j7>5$0;b>45a32wi>ol51;394?6|,83j6<=i;I3;7>N102.=i7?>5:&47?75l2d:5n4>949l56`=83.:5l4>3g98yg4ck3;1=7>50z&2=d<6;o1C=5=4H7:8 3c=><1/;>4>349m5<e=90<0e<=i:18'5<g=9:l07pl=de82>4<729q/=4o512d8L4>43A<37)8j:778 25=9:=0b<7l:0;4?l74n3:1(<7n:01e?>{e:mo1=7?50;2x 4?f289m7E?73:J5<>"1m3<>7)9<:01b?k7>k3;246g>3g83>!7>i3;8j65rb3fe>4<6290;w)?6a;30b>N60:1C:55+6d851>"0;3;8o6`>9b82=<=n9:l1<7*>9`827c=<uk8n<7?51;294~"61h0:?k5G1918L3><,?o1:85+72827a=i90i1=4o4i01e>5<#90k1=>h4;|`1a4<6280;6=u+18c956`<@8287E87;%4f>33<,>91=??4n0;`>4?e3`;8j7>5$0;b>45a32wi>h<51;394?6|,83j6<=i;I3;7>N102.=i78:;%50>4413g;2o7?6c:k27c<72-;2m7?<f:9~f7c3280:6=4?{%3:e?74n2B:4>5G699'2`<1=2.<?7?<9:l2=f<61m1b=>h50;&2=d<6;o10qo=77;395?6=8r.:5l4>3g9K5=5<@?20(;k51078 25=98=0b<7l:0;f?j74n3:1(<7n:01e?>{t0h0;6>u28`8e5>;>n38o70m;:3f8yv>e2908w06m:g389=b=:m16no4=d:p<f<72:q64n4i1:?;f?71k27im79?;|q;`?6=;r73h7h>;<:e>7b<5ki1>i5rs9g94?5|51o1j<528e822f=:jk0<<6s|8g83>6}:0o0m=6361;0g?8dc2;n0q~7?:1808?72o;015h517a89ge=?91v4?50;1x9<7=n8165>4=d:?aa?4c3ty2>7>53z?:6?`6343:6<8l;<`g>26<uz386=4<{<;0>c7<50?1>i52bg81`>{t1=0;6>u2958e5>;>;3;=o63me;53?xu>=3:1?v365;d2?8?02;n01n>52e9~w<0=839p1485f09>=0<6>j16nk480:p=2<72:q65:4i1:?:=?4c34i:6?j4}r;;>5<4s4336k?4=85953e<5j:1;=5rs8;94?5|5031j<529c81`>;d:38o7p}6a;297~;>i3l:7076:04`?8e62>:0q~7m:1808?e2o;014j52e9>g6<5l2wx5n4?:2y>=f<a9272n7?9c:?`6?173ty2h7>53z?:`?`634k:6?j4=b796a=z{0o1<7=t=8g9b4=:1m0::n52c2844>{t1o0;6>u29g8e5>;f?38o70mn:3f8yvg72908w0o?:g389<`=9?i01n:5719~wd7=839p1l?5f09>e6<5l27h:7<k;|qb6?6=;r7j>7h>;<c2>40d34i>6:>4}rc0>5<4s4k86k?4=`796a=:k>09h6s|a583>6}:i=0m=63n3;35g>;d>3=;7p}n5;296~;f=3l:70m7:3f8yvg12908w0o9:g389d3=9?i01n95719~wd1=839p1l95f09>e<<5l27hn7<k;|qb<?6=;r7j47h>;<c4>40d34ij6:>4}rc:>5<4s4k26k?4=``96a=:kj09h6s|a`83>6}:ih0m=63n9;35g>;dj3=;7p}nb;297~;fj3l:70ok:3f89fb=:m1vlm50;1x9de=n816mo4>6b9>gf<082wxmi4?:2y>ea<a927jj7<k;<af>7b<uzkn6=4<{<cf>c7<5hn1=;m4=bf935=z{hl1<7=t=`d9b4=:j809h63lf;0g?xue83:1?v3m0;d2?8ga28<h70mj:628yvd62908w0l>:g389g5=:m16h=4=d:pf7<72:q6n?4i1:?a5?71k27hj79?;|qa7?6=;r7i?7h>;<`6>7b<5m;1>i5rsc694?5|5k>1j<52b2822f=:l90<<6s|b483>6}:j<0m=637b;0g?8df2;n0q~l9:1808d12o;01o;517a89a7=?91vo950;1x9=g=9?i01o75719>f=<a92wxo44?:3y>g<<a927h479?;|qg3?6=:r7o;7?;8:?1<c<4>2wxhl4?:2gx94>f2<3015o52e9>f<<5l272j7=8;<c4>61<5h31?:52ac803>;fl39<70oi:2589g7=;>16n>4<7:?a1?50342i6>94=9f972=:0o08;6361;14?8?42:=014;5369>=2<4?27257=8;<;a>61<50n1?:52a0803>;f;39<70o::258966e2;n01>?j:3f8966d2:=01>>j:25896772:=01>?=:25896732:=01>?9:258967?2:=01>?n:25897cc2;n01>>?:3f897cb2:=01?h?:25897`52:=01?h;:25897`12:=01?h7:25897`f2:=01?hl:25897602;n01?<?:3f8976d2:=01?>j:25897772:=01??=:25897732:=01??9:258977?2:=01??n:258977d2:=01?>7:258976f2:=01>6>:7289a1=9m16h:4>f:?g3?473tyon7>59z?2<g<69?164l4<7:?007<4>2784?4<6:?04g<4?279ii4<7:?142<4?27o;7?l;<0g=?513tyoo7>52z?004<a92788=492:p`a<72;q6?;<5f09>736=>;1vik50;0x961?2?801>9n:g38yvba2909w0=87;43?850l3l:7p}j0;296~;5l?0m=63=d486b>{tm80;6?u22e59b4=::m?1:=5rsd094?4|5;hn6k?4=3`g>0`<uzo86=4={<0ab?`6348ih78?;|qf0?6=:r79oh4i1:?1ga<2n2wxi84?:3y>6f`=n816>nj5619~w`0=838p1?m9:g3897e22<l0q~k8:18184d?3l:70<l5;43?xub03:1>v3=d38e5>;5l80>j6s|e883>7}::m91j<522e3925=z{lk1<7<t=3a1>c7<5;i:68h4}rga>5<5s48h?7h>;<0`5?073tyno7>52z?1gd<a9279o44:f:paa<72;q6>nl5f09>6f?=>91vhk50;0x963b2?801>:8:g38yv7283:1>v3>d3802>;e13l:7p}>5083>7}:9lo1?;52d38e5>{t9<81<7<t=0f7>60<5kk1j<5rs070>5<5s4;o97=9;<`a>c7<uz;>87>52z?2`3<4>27io7h>;|q210<72;q6=i95379>fa<a92wx=8850;0x94b?2:<01ok5f09~w4302909w0?k9;15?8da2o;0q~?:8;296~;6lh08:63l0;d2?xu6=00;6?u21e`973=:k80m=6s|14c94?4|58nh6>84=b09b4=z{8?i6=4={<3g`?5134i86k?4}r36g?6=:r7:h>4<6:?`0?`63ty:9i4?:3y>5``=;?16h>4i1:p50c=838p1<ji:2489f3=n81v<;i:18187b839=70m9:g38yv7183:1>v3>e0802>;d?3l:7p}>6083>7}:9l81?;52c98e5>{t9?81<7<t=0ff>60<5jk1j<5rs040>5<5s4;m<7=9;<f7>c7<uz;=87>52z?2a6<4>27hn7h>;|q220<72;q6=k?5379>`0<a92wx=;850;0x94c32:<01nm5f09~w4002909w0?j5;15?8ec2o;0q~?98;296~;6m?08:63le;d2?xu6>00;6?u21d5973=:ko0m=6s|17c94?4|58o36>84=e29b4=z{8<i6=4={<3f=?5134n:6k?4}r3b6?6=;rT:m?521``9b4=:9h81=>h4}r3b0?6=;rT:m9521`a9b4=:9h>1=>h4}r3b2?6=;rT:m;521`f9b4=:9h<1=>h4}r3b=?6=;rT:m4521`g9b4=:9h31=>h4}r3bf?6=:r7:m>4i1:?2eg<2m2wx=lm50;0x94g22o;01<ol:4g8yv7fl3:1>v3>a68e5>;6im0>i6s|1`g94?4|58kj6k?4=0cf>0c<uz;jj7>53z\2ec=:9k:1j<521`d956`<uz;i<7>52z?005<a927:n=4:e:p5g7=839pR<l>;<3a2?3b34;i=7?<f:p5g4=839pR<l=;<3a3?3b34;i>7?<f:p5g5=839pR<l<;<3a<?3b34;i?7?<f:p5g3=839pR<l:;<3a=?3b34;i97?<f:p5g1=838p1<o::72894d02o;0q~?m8;296~;6i>0=<63>b98e5>{t9k31<7<t=0cb>36<58h26k?4}r3ae?6=:r7:h?4>499>f=<2m2wx=n;50;0x94b428>370o?:4d8yv7ej3:1>v3>d5820==:0j0>j6s|1ca94?4|58n>6<:7;<:f>0`<uz;ih7>52z?2`3<6<1165=4:f:p5gc=838p1<j8:06;?8?52<l0q~?mf;296~;6l10:85529586b>{t9j:1<7<t=0f:>42?343=68h4}r3`5?6=:r7:hl4>499>==<2n2wx=n<50;0x94be28>3707n:4d8yv7d;3:1>v3>db820==:1j0>j6s|1b694?4|58no6<:7;<;f>0`<uz;hm7>52z?2``<6<116m54:f:p5f0=838p1<ji:06;?8g52<l0q~?l7;296~;6m90:8552a586b>{t9j21<7<t=0g2>42?34k=68h4}r3`=?6=>r7:i?4>499>5`c=:k16=hh52c9>5c6=:k16=k?52c9>g<<2n2wx=nl50;0x94c428>370on:4d8yv7dk3:1>v3>e5820==:ij0>j6s|1bf94?4|58o>6<:7;<cf>0`<uz;hi7>52z?2a3<6<116n=4:f:p5f`=838p1<k8:06;?8d52<l0q~?k0;296~;6m10:8552b586b>{t9m;1<7<t=0g:>42?34h=68h4}r3fe?6=lr7:ih4>499>`6<1827o878>;<f6>37<58l86;>4=3f5>34<5;hn6;<4=3af>34<5;i=6;<4=3f1>37<5;i96;<4=3ab>37<5m819h5rs0ga>5<ds4;nj7?;8:?g7?3a34n?6;>4=e7925=:9o91:<522e4924=::ko1:<522bg925=::j<1:=522e0925=::j81:<522bc91c=z{8oh6=4m{<3e4?73027o87;i;<f6>34<58l86;<4=3f5>0`<5;hn6;>4=3af>0`<5;i=6;?4=3f1>0`<5;i96;>4=3ab>34<uz;nh7>5az?2b4<6<116h84:f:?2b6<2n279h;490:?1f`<2n279oh491:?1g3<2n279h?492:?1g7<2n279ol490:p5c4=83?p1<kj:06b?87bn3;?m63>f1820d=:9o;1=9o4=0d0>c7<uz;m87>53z\2b1=:9o?1j<521g6956`<uz;m97>52z?00=<a927:j84:e:p5c0=839pR<h9;<3e3?`634;m:7?<f:p5c1=838p1>;i:g3894`02<o0q~?i8;296~;6n10:85521gg973=z{8l26=4={<3e=?73027:jl4<6:p5cg=838;w0<85;0a?840?38i70<88;0a?840138i70<8a;0a?840j38i70<8c;0a?840l38i70<8e;0a?840>38i70<77;0a?84?038i70<79;0a?84?i38i70<7b;0a?84?k38i70<7d;0a?843;38i70<;4;0a?843=38i70<;6;0a?843?38i70<;8;0a?843138i70<;a;0a?87ak3l:70j8:3d897>a2;h01?j6:3`897bf2;h01?jm:3`897>b2;h0q~?ib;296~;6nh0:85521ga91`=z{8ln6=4={<3ea?730279;;4<6:p5c`=832p1?>?:g3897b02?801?li:4d897ea2?:01?m8:70897b42?;01?m<:4d897ee2?80q~<?1;29<~;58;0m=63=d686b>;5jo0=<63=cg856>;5k>0>j63=d286b>;5k:0==63=cc86b>{t:991<76t=327>c7<5;n<6;>4=3`e>37<5;im6;?4=3a4>37<5;n86;<4=3a0>36<5;ii6;?4}r031?6=0r79<;4i1:?1`2<19279nk492:?1gc<2n279o:490:?1`6<18279o>492:?1gg<182wx>=950;1x97602o;01?>l:3f897422;n0q~<?8;297~;5810m=63=0`81`>;5:;09h6s|21;94?5|5;:26k?4=32;>40d3489=79?;|q14d<72;q6>=o5f09>675=:m1v?>m:180847j3l:70<?a;35g>;5:;0<<6s|21a94?5|5;:h6k?4=32f>7b<5;8=6?j4}r03`?6=;r79<i4i1:?14f<6>j16>?;5719~w76b2908w0<?e;d2?846838o70<=7;0g?xu58o0;6>u221d9b4=::9o1=;m4=305>26<uz8:<7>53z?155<a9279=?4=d:?16=<5l2wx><?50;1x97762o;01???:04`?845?3=;7p}=1383>6}::881j<5220696a=::;31>i5rs330>5<4s48:?7h>;<026?71k279>5480:p642=839p1??;:g3897712;n01?<n:3f8yv46=3:1?v3=148e5>;59=0::n5223;935=z{;;=6=4<{<022?`6348:47<k;<01f?4c3ty9=:4?:2y>641=n816><8517a8974f2>:0q~<>8;297~;5910m=63=1`81`>;5:j09h6s|20;94?5|5;;26k?4=33;>40d3489n79?;|q15d<72:q6><o5f09>64e=:m16>?j52e9~w77e2908w0<>b;d2?846i3;=o63=2b844>{t:8i1<7=t=33`>c7<5;:36?j4=302>7b<uz8:h7>53z?15a<a9279=n4>6b9>67b=?91v??j:180847?3;=o63=21844>;59o0m=6s|23694?4|5;8?6k?4=300>26<uz89i7>52z?11`<4>279>=4i1:p67`=838p1?8?:24897462o;0q~<<0;296~;5>808:63=238e5>{t::;1<7<t=341>60<5;886k?4}r006?6=:r799k4<6:?160<a92wx>>=50;0x97042:<01?<9:g38yv44<3:1>v3=65802>;5:>0m=6s|22794?4|5;<>6>84=30;>c7<uz88:7>52z?123<4>279>44i1:p661=838p1?88:248974f2o;0q~<<8;296~;5>108:63=2c8e5>{t::31<7<t=34:>60<5;8h6k?4}r00e?6=:r79:l4<6:?16a<a92wx>>l50;0x972428>370<?0;43?xu5;j0;6?u2256951><5;:96;>4}r00`?6=:r79884>499>652=>91v?=j:181843>3;?463=07854>{t::l1<7<t=364>42?348;<78>;|q105<72;q6>96515:897652?;0q~<;1;296~;5<00:8552216924=z{;>96=4={<07e?730279<;491:p61d=833p1?:<:3a897232;i01?:::3a897212;i01?:8:3a8972?2;i01?:6:3a8972f2;i01?:i:g38yv43k3:1>v3=4g86b>;5<m0m=6s|24294?4|5;?;6k?4=36e>36<uz8>=7>52z?11`<6<116><h55d9~w7322909w0<:f;37<>;58m0>j6s|24094?4|5;<;6<:7;<03=?3a3ty99>4?:3y>637=9=201?>m:4d8yv42<3:14v3=63820==:9h?1:<521`1925=::9:19k5221091c=::9<19k5221691c=::;>19k5rs375>5<5s48=?7?;8:?14c<2n2wx>8950;4x94`>2;h01<h7:3`894`f2;h01<hj:3`8970328>370<>1;7e?xu5=10;6?u2277951><5;;868h4}r06=?6=:r79:;4>499>643==o1v?;n:181841?3;?463=1686b>{t:<h1<7<t=34;>42?348:57;i;|q11f<72;q6>;7515:8977e2<l0q~<:d;296~;5>h0:855220f91c=z{;<h6=4<{<041?7302798>4<6:?g3?513ty9:o4?:3y>62c=;?16>:8515:8yv41l3:1?v3=74802>;5?>0:8552256973=z{;<n6=4<{<043?51348<47?;8:?100<4>2wx>;h50;1x971?2:<01?96:06;?843>39=7p}=7183>6}::>31?;5226c951><5;><6>84}r045?6=;r79;l4<6:?13g<6<116>965379~w7152908w0<8b;15?840k3;?463=48802>{t:>91<7=t=35`>60<5;=o6<:7;<07e?513ty9;94?:3y>62b=;?16>:k515:8yv40n3:1>v3=86820==::=i19k5rs3:3>5<4s483;7=9;<0;<?7302798n490:p6=7=839p1?67:24897>>28>370<;c;42?xu50;0;6>u229;973=::1k1=964=36`>34<uz83?7>53z?1<d<4>2794o4>499>606==o1v?6;:18084?j39=70<7c;37<>;5=90=<6s|29794?5|5;2h6>84=3:g>42?348><78>;|q1<3<72:q6>5j5379>606=>;16>5k515:8yv4?n3:1>v3=8g820==::0:19k5rs3;3>5<5s482<7h>;<0;a?513ty95<4?:2y]6<7<5;3968k4=3;2>45a3ty95?4?:3y>5c?=;?16>4<5f09~w7?42908wS<63:?1=1<2m2795>4>3g9~w7?32909w0?i8;15?84><3l:7p}=9483>6}Y:0?01?7j:g3897?2289m7p}=9783>6}Y:0<01?7i:g3897?1289m7p}=9683>6}Y:0=01?o?:g3897?0289m7p}=9983>6}Y:0201?o>:g3897??289m7p}=9883>6}Y:0301?o=:g3897?>289m7p}=9`83>6}Y:0k01?o<:g3897?f289m7p}=9c83>6}Y:0h01?o;:g3897?e289m7p}=9e83>6}Y:0n01?o::g3897?c289m7p}=9d83>a}:9hk19k521`591c=:9h?19k521`191c=::l?1j<5228g91`=::m?1=;m4=3`g>40d348hh7?9c:?1g0<6>j16>i?517a897e628<h70<l9;35g>{t:0l1<7<t=3g5>c7<5;3m68k4}r0b4?6=:r79i:4i1:?1e5<2m2wx>l?50;0x97c?2o;01?o>:4g8yv4f:3:1>v3=e88e5>;5i;0>i6s|2`194?4|5;oj6k?4=3c0>0c<uz8j87>52z?1ag<a9279m94:e:p6d3=838p1?kl:g3897g22<o0q~<n7;297~X5i>16>l655d9>6d1=9:l0q~<n8;2962}:9m81>o521e196g=:9mo1>o521d196g=:9l>1>o521d796g=:9l<1>o521d596g=:9l21>o521d;96g=:9m>1>o521e796g=:9m<1>o521e596g=:9m21>o521e;96g=:9mk1>o521e`96g=:9mi1>o521ef96g=:9ml1>o521d296g=:9l;1>o521d096g=:;=81>o5224g96g=::<l1>o5227196g=::?>1>o5227796g=::?<1>o5227596g=::?21>o5227;96g=::?k1>o5227296g=::?;1>o5227096g=::h21j<5rs3`3>5<4sW8i<63=b08e5>;5j90:?k5rs3`2>5<5s49=<7h>;<0a5?3b3ty9n>4?:2y]6g5<5;hh6k?4=3`0>45a3ty9n94?:2y]6g2<5;i;6k?4=3`7>45a3ty9n84?:2y]6g3<5;i?6k?4=3`6>45a3ty9n;4?:2y]6g0<5;i36k?4=3`5>45a3ty9n:4?:2y]6g1<5;ih6k?4=3`4>45a3ty9n54?:2y]6g><5;n;6k?4=3`;>45a3ty9n44?:2y]6g?<5;n?6k?4=3`:>45a3ty9no4?:2y]6gd<5;n36k?4=3`a>45a3ty9nn4?:3y>6ge==l16>oj5f09~w7e72909w0<l0;7f?84d93l:7p}=c583>7}::j>19h522b79b4=z{;i36=4={<0`<?3b348h57h>;|q1gf<72;q6>nm55d9>6fb=n81v?j?:18184c83?n70<k1;d2?xu5l=0;6?u22e691`=::m?1j<5rs3f;>5<5<r7:j44<1:?2b=<4927:jl4<1:?2b`<49279;84<1:?132<49279;54<1:?13<<49279;l4<1:?13g<49279;n4<1:?13a<49279;h4<1:?133<492794:4<1:?1<=<49279444<1:?1<d<492794o4<1:?1<f<492794i4<1:?106<49279894<1:?100<492798;4<1:?102<49279854<1:?10<<492798l4<1:?2e6<1927:n;4i1:?1`=<2m279h44<1:?1`d<49279ho4<1:?1<`<492wx>i750;0x97b>28>370<ka;15?xu5lh0;6?u22ec951><5;ni6>84}r0gf?6=:r79ho4>499>6<6=>91v?jl:180[4ck279i84:e:?1`f<6;o1v?jk:180[4cl279i;4:e:?1`a<6;o1v?jj:180[4cm279i:4:e:?1``<6;o1v?ji:180[4cn279i54:e:?1`c<6;o1v?k?:180[4b8279i44:e:?1a5<6;o1v?k>:180[4b9279il4:e:?1a4<6;o1v?k=:180[4b:279io4:e:?1a7<6;o1v?k;:180[4b<279in4:e:?1a1<6;o1v?kk:18084bl3l:70<je;0g?857938o7p}=ed83>6}::lo1j<522g296a=:;981>i5rs3ge>5<4s48nj7h>;<0fa?71k278<<480:p6c6=839p1?h?:g3897`52;n01>><:3f8yv4a93:1?v3=f08e5>;5n90::n52310935=z{;l96=4<{<0e6?`6348m87<k;<130?4c3ty9j>4?:2y>6c5=n816>k<517a896642>:0q~<i4;297~;5n=0m=63=f781`>;48<09h6s|2g794?5|5;l>6k?4=3d7>40d349;879?;|q1b3<72:q6>k85f09>6c>=:m16?=852e9~w7`02908w0<i7;d2?84a>3;=o63<04844>{t:o21<7=t=3d;>c7<5;lj6?j4=224>7b<uz8m57>53z?1b<<a9279j54>6b9>750=?91v?hn:18084ai3l:70<ic;0g?857038o7p}=fc83>6}::oh1j<522gc953e<5::<6:>4}r0eg?6=:r79jn4i1:?04<<5l2wx>kj50;1x97`c2o;01?hl:04`?85703=;7p}=fd83>6}::ln1=;m4=223>26<5;lm6k?4}r13e?6=:r78<l4i1:?04<<082wx?=l50;1x966e2o;01>>l:3f8967a2;n0q~=?c;297~;48j0m=63<0d81`>;4:909h6s|31f94?5|5::o6k?4=22`>40d349:j79?;|q04`<72:q6?=k5f09>746=:m16???52e9~w66a2908w0=?f;d2?857m3;=o63<21844>{t;8:1<7=t=233>c7<5:;96?j4=201>7b<uz9:=7>53z?054<a9278==4>6b9>777=?91v>?=:180856:3l:70=>4;0g?855;38o7p}<1283>6}:;891j<52300953e<5:896:>4}r120?6=;r78=94i1:?053<5l278>94=d:p743=839p1>?::g38967328<h70==3;53?xu49?0;6>u23049b4=:;821>i5233796a=z{:;<6=4<{<123?`6349::7?9c:?061<082wx?<650;1x967?2o;01>?n:3f896412;n0q~=>9;297~;4900m=63<19822f=:;;?1;=5rs23b>5<5s49:m7h>;<113?4c3ty8=o4?:2y>74d=n816?<o517a896412>:0q~=>c;297~;48k0::n5230g935=:;8n1j<5rs20;>5<5s49947h>;<113?173ty8>44?:5y>716==o16?:65f09>721=>;16?5>55g9~w64f2908w0=;8;43?853>3l:70=;7;7f?xu4:k0;6>u237291c=:;?;1j<52354925=z{:8h6=4={<167?51349;<7h>;|q06a<72;q6?;j5379>74c=n81v><j:181852<39=70=?1;d2?xu4:o0;6?u237g973=:;8l1j<5rs213>5<5s49>97=9;<136?`63ty8?<4?:3y>73`=;?16??>5f09~w6552909w0=:6;15?857;3l:7p}<3283>7}:;>:1?;523339b4=z{:9?6=4={<163?51349;87h>;|q070<72;q6?:?5379>774=n81v>=9:181852039=70=?5;d2?xu4;>0;6?u2360973=:;;91j<5rs21;>5<5s49>57=9;<132?`63ty8?44?:3y>725=;?16??:5f09~w65f2909w0=:a;15?857?3l:7p}<3c83>7}:;>>1?;523379b4=z{:9h6=4={<16f?51349;47h>;|q07a<72;q6?:;5379>770=n81v>=j:181852k39=70=?9;d2?xu4;o0;6?u2364973=:;;=1j<5rs261>5<6>r784?4=b:?016<5j278994=b:?010<5j2789;4=b:?012<5j278954=b:?01<<5j2789l4=b:?01g<5j2789n4=b:?02a<5j278:h4=b:?02c<5j278;=4=b:?034<5j278;?4=b:?036<5j278;94=b:?030<5j278;;4=b:?001<a92wx?9=50;1x962528>370=;4;7f?853:3;?m6s|35;94?2|5:?86<:7;<155?053493:7;i;<0eb?3b3ty88l4?:5y>702=9=201>6::70896062?;01?ki:4d8yv53j3:1:v3<54820==:;?81:=52397924=:;=219k5234g925=::o;19k5rs26`>5<2s49>:7?;8:?027<2n27848490:?1b6<2n2788;491:p71b=83>p1>;8:06;?85?=3?m70=91;43?84a=3?m7p}<4d83>3}:;<21=964=241>37<5:2?6;?4=26;>37<5:?n68h4=3d4>0`<uz9?j7>54z?01<<6<116?5:5619>737==o16>k755g9~w637290=w0=:a;37<>;4=o0==63<61855>;40=0=>63=fc86b>;4<?0=>6s|34394?0|5:?i6<:7;<16b?07349=<78?;<1;0?3a348mh7;i;<172?3a3ty89?4?:6y>70e=9=201>;i:4d896052?801>:7:708963b2?;01>>n:4d896>12?;0q~=:d;29f~;4=:0:8l52346951g<5:?>6<:n;<162?73i2789:4>4`9>70>=9=k01>;6:06b?852i3;?m63<5c820d=:;<i1=9o4=27f>c7<uz9=?7>54z?02a<6<116?9>5619>721==o16?<j55d9~w6032908w0=9e;37<>;4?10>j63<0e86b>{t;??1<7=t=24e>42?349;j7;i;<14=?3a3ty8:;4?:4y>726=9=201>:>:73896762<l01>98:73896>72?:0q~=97;297~;4?80:855230191c=:;>31:=5rs24;>5<2s49<>7?;8:?004<2n278=84:f:?0<5<19278;n490:p73?=839p1>9<:06;?85003<:70=>7;7e?xu4>h0;6>u2366951><5:=36;>4=23:>0`<uz9=n7>55z?030<6<116?9?5619>74d==o16?5>5639>72e=>;1v>8l:186850>3;?463<41855>;4:10>j63<80822f=:;>i19k5rs254>5<es49=h7?;a:?02`<6<h16?;h515c8961728>j70=81;37e>;4?;0:8l52361951g<5:=?6<:n;<141?73i278;;4>4`9>721=n81v>9i:18185?83l:70=71;7e?xu40;0;6nu2390951><5:<o6?m4=24f>7e<5:<m6?m4=253>7e<5:=:6?m4=251>7e<5:=86?m4=257>7e<5:=>6?m4=255>7e<5:=h6;?4}r1;7?6=:r784?4>4`9>7=0=n81v>6;:18185?<3l:70=76;43?xu40<0;6?u23979b4=:;1<1:?5rs2:4>5<4sW93;63<898e5>;40>0:?k5rs2:;>5<5s49347;j;<1;5?`63ty8;o4?:3y>72?=n816?:o55d9~w72b2909w0<;c;d2?843l3?n7p}<7d83>7}:;>i1j<5236f91`=zug2:97>51zJ2<6=i90i1<6sa80494?7|@8287c?6c;38yk>6?3;1=vF>829m5<e=:2.:5;4>bc9~j=7?290:wE?73:l2=f<43td3=44>:0yK5=5<f83h695+18495ge<ug2:m7>51zJ2<6=i90i196sa80`95?7|@8287c?6c;48 4?128ho7p`71b83>4}O9190b<7l:69~j=7c280:wE?73:l2=f<?3-;2:7?me:m<4c=83;pD<6<;o3:g??<ug2:j7?51zJ2<6=i90i1m6*>9782fc=zf18;6=4>{I3;7>h61j0i7p`72082>4}O9190b<7l:b9'5<0=9j:0qc6=2;295~N60:1e=4m5d:m<75=93;pD<6<;o3:g?c<,83=6<m>;|l;61<728qC=5=4n0;`>c=zf18>6<4>{I3;7>h61j0:<6*>9782g7=zf18=6=4>{I3;7>h61j0:=6sa83595?7|@8287c?6c;31?!7>>3;h?6sa83:94?7|@8287c?6c;30?xh?:00:6<uG1918j4?d28>0(<79:0a7?xh?:h0;6<uG1918j4?d28?0qc6=b;395~N60:1e=4m5179'5<0=9j?0qc6=c;295~N60:1e=4m5169~j=4c280:wE?73:l2=f<602.:5;4>c79~j=4b290:wE?73:l2=f<612we4?h51;3xL4>43g;2o7?n;%3:2?7d?2we4>>50;3xL4>43g;2o7?m;|l;74<628qC=5=4n0;`>4e<,83=6<m7;|l;77<728qC=5=4n0;`>4b<ug28?7?51zJ2<6=i90i1=h5+18495fg<ug2887>51zJ2<6=i90i1=k5rn916>4<6sA;3?6`>9b814>"61?0:oo5rn915>5<6sA;3?6`>9b815>{i0:=1=7?tH0:0?k7>k3897)?66;3`g>{i0:21<7?tH0:0?k7>k3887p`73882>4}O9190b<7l:368 4?128io7p`73`83>4}O9190b<7l:378yk>4j3;1=vF>829m5<e=:?1/=4851bg8yk>4k3:1=vF>829m5<e=:>1vb5=k:082M7?;2d:5n4=8:&2=3<6ko1vb5=j:182M7?;2d:5n4=9:m<6`=93;pD<6<;o3:g?4f3-;2:7?k0:m<16=83;pD<6<;o3:g?4e3td38<4>:0yK5=5<f83h6?m4$0;5>4b63td38?4?:0yK5=5<f83h6?j4}o:77?7=9rB:4>5a18a96`=#90<1=n74}o:70?6=:rB:4>5a18a96c=zf1>>6=4;{I3;7>h61j08<6`>7`83?xh?<?0;6?uG1918j4?d2:;0b<9n:09~j=20290:wE?73:l2=f<4:2we49650;3xL4>43g;2o7=<;|l;0<<628qC=5=4n0;`>62<,83=6>9m;|l;0d<628qC=5=4n0;`>63<,83=6>9j;|l;0g<728qC=5=4n0;`>60<ug2?o7>51zJ2<6=i90i1?:5rn96g>5<6sA;3?6`>9b80<>{i0=o1<7?tH0:0?k7>k3927p`74g83>4}O9190b<7l:2c8yk>283:1=vF>829m5<e=;k1vb5;>:182M7?;2d:5n4<c:m<04=83;pD<6<;o3:g?5c3td39>4?:0yK5=5<f83h6>k4}o:60?6=9rB:4>5a18a97c=zf1?>6=4>{I3;7>h61j0?<6sa84494?7|@8287c?6c;62?xh?=>0;6<uG1918j4?d2=80qc6:8;295~N60:1e=4m5429~j=3>280:wE?73:l2=f<3<2.:5;4<2`9~j=3f290:wE?73:l2=f<3=2we48l51;3xL4>43g;2o7:9;%3:2?7bi2we48m50;3xL4>43g;2o7:8;|l;1a<728qC=5=4n0;`>1><ug2>i7>51zJ2<6=i90i1845rn97e>5<6sA;3?6`>9b87e>{i0?:1<7?tH0:0?k7>k3>i7p`76083>4}O9190b<7l:5a8yk>1:3:1=vF>829m5<e=<m1vb58<:182M7?;2d:5n4;e:m<32=83;pD<6<;o3:g?2a3td3:84?:0yK5=5<f83h68>4}o:52?6=9rB:4>5a18a914=zf1<<6=4>{I3;7>h61j0>>6sa87:94?7|@8287c?6c;70?xh?>00;6<uG1918j4?d2<>0qc69a;295~N60:1e=4m5549~j=0e290:wE?73:l2=f<2>2we4;m50;3xL4>43g;2o7;8;|l;2a<728qC=5=4n0;`>0><ug2=i7>51zJ2<6=i90i1945rn94e>5<6sA;3?6`>9b86e>{i0>:1<7?tH0:0?k7>k3?i7p`77083>4}O9190b<7l:4a8yk>0:3:1=vF>829m5<e==m1vb59<:182M7?;2d:5n4:e:m<22=83;pD<6<;o3:g?3a3td3;84?:0yK5=5<f83h6;>4}o:42?6=9rB:4>5F12d95~4=uS?36<u83;m5<e=>81vb598:182M7?;2C:?k4>{38~^0>=9r=86p`>9b856>{i0>21<7?tH0:0?L74n3;p>7sU598225=ug;2o78<;|l;3<<728qC=5=4I01e>4}52tP>47?t728~j4?d2?>0qc68a;295~N60:1e=4m5649~j=1e290:wE?73:l2=f<1>2we4:m50;3xL4>43g;2o788;|l;3a<728qC=5=4n0;`>3><ug2<i7>51zJ2<6=N9:l1=v<5}[7;>4}0;3we=4m5689~j=1a290:wE?73:l2=f<1i2we45>50;3xL4>43@;8j7?t1;Y1=<6s>91qc?6c;4a?xh?080;6<uG1918M45a28q:6pT:8;3x36<zf83h6;m4}o:;6?6=9rB:4>5F12d95~7=uS?36<u83;m5<e=>m1vb56<:182M7?;2C:?k4>{08~^0>=9r=86p`>9b85a>{i01>1<7?tH0:0?k7>k3<m7p`78483>4}O9190b<7l:628yk>?>3:1=vF>829m5<e=?81vb568:182M7?;2d:5n482:m<=>=93;pD<6<;o3:g?143td3444>:0yK5=5<f83h6::4}o:;e?7=9rB:4>5a18a930=zf12i6<4>{I3;7>h61j0<:6sa89a95?7|@8287c?6c;54?xh?0m0:6<uG1918j4?d2>20qc67e;395~N60:1e=4m5789~j=>a280:wE?73:l2=f<0i2we44>51;3xL4>43g;2o79m;|l;=4<628qC=5=4n0;`>2e<ug22>7?51zJ2<6=i90i1;i5rn9;0>4<6sA;3?6`>9b84a>{i00>1=7?tH0:0?k7>k3=m7p`79482>4}O9190b<7l:928yk>>>3;1=vF>829m5<e=081vb578:082M7?;2d:5n472:m<<>=93;pD<6<;o3:g?>43td3544>:0yK5=5<f83h65:4}o::e?7=9rB:4>5a18a9<0=zf13i6<4>{I3;7>h61j03:6sa88a95?7|@8287c?6c;:4?xh?1m0:6<uG1918j4?d2120qc66e;395~N60:1e=4m5889~j=?a280:wE?73:l2=f<?i2we4l>50;3xL4>43g;2o76m;|l;e4<728qC=5=4n0;`>=e<ug2j>7>51zJ2<6=i90i14i5rn9c0>5<6sA;3?6`>9b8;a>{i0h>1<7?tH0:0?L74n3;p>7sU598225=ug;2o76i;|l;e0<728qC=5=4n0;`><6<ug2j:7>51zJ2<6=N9:l1=v<5}[7;>4}0;3we=4m5909~j=g0290:wE?73:l2=f<>:2we4l650;3xL4>43g;2o77<;|l;e<<728qC=5=4n0;`><2<ug2jm7?52zJ2<6=i90i1585rn9ca>5<6sA;3?6`>9b8:2>{i0hi1<7?tH0:0?k7>k33<7p`7ae83>4}O9190b<7l:8:8yk>fm3:1=vF>829m5<e=101vb5oi:182M7?;2d:5n46a:m<g6=83;pD<6<;o3:g??e3td3n<4?:0yK5=5<f83h64m4}o:a6?6=9rB:4>5a18a9=a=zf1h86<4>{I3;7>h61j02i6*>978117=zf1h?6=4>{I3;7>h61j02j6sa8c795?7|@8287c?6c;c3?!7>>38>?6sa8c494?7|@8287c?6c;c2?xh?j>0:6<uG1918j4?d2h80(<79:376?xh?j10;6<uG1918j4?d2h90qc6m9;395~N60:1e=4m5a59'5<0=:<<0qc6ma;295~N60:1e=4m5a49~j=de280:wE?73:l2=f<f>2.:5;4=569~j=dd290:wE?73:l2=f<f?2we4oj51;3xL4>43g;2o7o7;%3:2?4202we4ok50;3xL4>43g;2o7o6;|l;fc<628qC=5=4n0;`>dg<,83=6?;6;|l;g5<728qC=5=4n0;`>dd<ug2h=7?51zJ2<6=i90i1mn5+184960g<ug2h>7>51zJ2<6=i90i1mi5rn9a0>4<6sA;3?6`>9b8ba>"61?099o5rn9a7>5<6sA;3?6`>9b8bb>{i0j?1=7?tH0:0?k7>k3h;7)?66;06g>{i0j<1<7?tH0:0?k7>k3h:7p`7c682>4}O9190b<7l:c08 4?12;?o7p`7c983>4}O9190b<7l:c18yk>d13;1=vF>829m5<e=j=1/=4852468yk>di3:1=vF>829m5<e=j<1vb5mm:182M7?;2d:5n4m6:m<fe=83;pD<6<;o3:g?d03td3oi4?:0yK5=5<f83h6o64}o:`a?6=9rB:4>5a18a9f<=zf1im6=4>{I3;7>h61j0im6sa8e294?7|@8287c?6c;`a?xh?l80;6<uG1918j4?d2ki0qc6k2;295~N60:1e=4m5be9~j=b4290:wE?73:l2=f<em2we4i:50;3xL4>43g;2o7li;|l;`0<728qC=5=4n0;`>f6<ug2o:7>51zJ2<6=i90i1o<5rn9f4>5<6sA;3?6`>9b8`6>{i0m21<7?tH0:0?k7>k3i87p`7d883>4}O9190b<7l:b68yk>ci3:1=vF>829m5<e=k<1vb5jm:182M7?;2d:5n4l6:m<ae=83;pD<6<;o3:g?e03td3hi4?:0yK5=5<f83h6n64}o:ga?6=9rB:4>5a18a9g<=zf1nm6=4>{I3;7>h61j0hm6sa8d295?7|@8287c?6c;aa?!7>>38?i6sa8d394?7|@8287c?6c;a`?xh?m;0;6<uG1918j4?d2jn0qc6j3;395~N60:1e=4m5cd9~j=c3280:wE?73:l2=f<dn2we4h;51;3xL4>43g;2o7j?;|l;a3<628qC=5=4n0;`>a7<ug2n;7?51zJ2<6=i90i1h?5rn9g;>4<6sA;3?6`>9b8g7>{i0l31=7?tH0:0?k7>k3n?7p`7e`82>4}O9190b<7l:e78yk>bj3;1=vF>829m5<e=l?1vb5kl:082M7?;2d:5n4k7:m<`b=93;pD<6<;o3:g?b?3td3ih4>:0yK5=5<f83h6i74}o:fb?6=:rB:4>5a18a9`d=zf1l;6=4>{I3;7>h61j0on6sa8g394?7|@8287c?6c;f`?xh?n;0;6<uG1918j4?d2mn0qc6i3;295~N60:1e=4m5dd9~j=`3290:wE?73:l2=f<cn2we4k;50;3xL4>43g;2o7k?;|l;b3<728qC=5=4n0;`>`7<ug2m;7>51zJ2<6=i90i1i?5rn9d;>5<6sA;3?6`>9b8f7>{i0o31<7?tH0:0?k7>k3o?7p`7f`83>4}O9190b<7l:d78yk>aj3:1=vF>829m5<e=m?1vb5hl:182M7?;2d:5n4j7:m<cb=83;pD<6<;o3:g?c?3td3jh4?:0yK5=5<f83h6h74}o:eb?6=9rB:4>5a18a9ad=zf0:;6=4>{I3;7>h61j0nn6sa91394?7|@8287c?6c;g`?xh>8;0;6<uG1918j4?d2ln0qc7?3;295~N60:1B=>h51z39y_3?28q<?7sa18a9a`=zf0:?6=4>{I3;7>h61j0nj6sa91794?7|@8287D?<f;3x5?{]=10:w:=5}o3:g?`73td2<;4?:0yK5=5<f83h6k?4}o;33?6=9rB:4>5F12d95~4=uS?36<u83;m5<e=n;1vb4>7:182M7?;2C:?k4>{38~^0>=9r=86p`>9b8e7>{i1931<7?tH0:0?L74n3;p>7sU598225=ug;2o7h;;|l:4d<728qC=5=4I01e>4}52tP>47?t728~j4?d2o?0qc7?b;295~N60:1B=>h51z09y_3?28q<?7sa18a9b3=zf0:h6=4>{I3;7>O6;o0:w?4rZ4:95~142td:5n4i7:m=5b=83;pD<6<;H30b?7|:3wQ954>{619yk7>k3l37p`60d83>4}O9190E<=i:0y1>x\203;p;>4rn0;`>c?<ug3;j7>51zJ2<6=i90i1jl5rn833>5<6sA;3?6`>9b8ef>{i18;1<7?tH0:0?k7>k3lh7p`61383>4}O9190b<7l:gf8yk?6;3:1=vF>829m5<e=nl1vb4?;:182M7?;2d:5n4if:m=43=83;pD<6<;o3:g?7782we5<850;3xL4>43g;2o7??1:m=41=838pD<6<;H30b?7|93wQ954>{619yk7>k3;;>6sa90:94?4|@8287c?6c;337>{i1831<7?tH0:0?L74n3;p>7sU598225=ug;2o7??4:m=4g=83;pD<6<;o3:g?77=2we5<l50;3xL4>43@;8j7?t2;Y1=<6s>91qc?6c;332>{i18i1<7?tH0:0?L74n3;p>7sU598225=ug;2o7??7:m=4b=83;pD<6<;H30b?7|:3wQ954>{619yk7>k3;;46sa90g94?7|@8287D?<f;3x6?{]=10:w:=5}o3:g?7712we5<h50;3xL4>43@;8j7?t2;Y1=<6s>91qc?6c;33e>{i1;:1<7?tH0:0?L74n3;p>7sU598225=ug;2o7??b:m=77=83;pD<6<;H30b?7|:3wQ954>{619yk7>k3;;o6sa93094?7|@8287D?<f;3x6?{]=10:w:=5}o3:g?77l2we5?=50;3xL4>43g;2o7??e:m=72=83;pD<6<;o3:g?77n2we5?;50;3xL4>43g;2o7?>0:m=70=83;pD<6<;o3:g?7692we5?950;3xL4>43g;2o7?>2:m=7>=83;pD<6<;o3:g?76;2we5?750;3xL4>43g;2o7?>4:m=7g=83;pD<6<;o3:g?76=2we5?l50;3xL4>43g;2o7?>6:m=7e=83;pD<6<;o3:g?76?2we5?j50;3xL4>43g;2o7?>8:m=7c=83;pD<6<;H30b?7|93wQ954>{619yk7>k3;:56sa93d94?7|@8287D?<f;3x5?{]=10:w:=5}o3:g?76i2we5>>50;3xL4>43@;8j7?t1;Y1=<6s>91qc?6c;32f>{i1:;1<7?tH0:0?L74n3;p=7sU598225=ug;2o7?>c:m=64=83;pD<6<;H30b?7|93wQ954>{619yk7>k3;:h6sa92194?7|@8287D?<f;3x5?{]=10:w:=5}o3:g?76m2we5>:50;3xL4>43@;8j7?t1;Y1=<6s>91qc?6c;32b>{i1:?1<7?tH0:0?L74n3;p=7sU598225=ug;2o7?=0:m=60=83;pD<6<;o3:g?7592we5>950;3xL4>43g;2o7?=2:m=6>=93;pD<6<;o3:g?75;2.:5;4<4`9~j<5>290:wE?73:l2=f<6:=1vb4=n:082M7?;2d:5n4>249'5<0=;=h0qc7<b;295~N60:1e=4m51348yk?4k3;1=vF>829m5<e=9;=0(<79:26`?xh>;m0;6<uG1918j4?d28837p`63d82>4}O9190b<7l:00:?!7>>39?h6sa92d94?7|@8287c?6c;31e>{i1=:1=7?tH0:0?k7>k3;9n6*>97800`=zf0>:6=4>{I3;7>h61j0:>n5rn861>4<6sA;3?6`>9b826a=#90<1?9h4}o;77?6=9rB:4>5a18a957c<ug3?87?51zJ2<6=i90i1=?h4$0;5>6373td2884?:0yK5=5<f83h6<=?;|l:03<628qC=5=4n0;`>4563-;2:7=:1:m=11=83;pD<6<;o3:g?74:2we59651;3xL4>43g;2o7?<3:&2=3<4=;1vb4:6:182M7?;2d:5n4>359~j<2f290:wE?73:l2=f<6;<1vb4:m:082M7?;2d:5n4>379'5<0=;?>0qc7;c;295~N60:1e=4m51258yk?3l3;1=vF>829m5<e=9:20(<79:246?xh><l0;6<uG1918j4?d28927p`64g82>4}O9190b<7l:01b?!7>>39=:6sa94294?7|@8287c?6c;30f>{i1<;1=7?tH0:0?k7>k3;8o6*>978022=zf0?96=4>{I3;7>h61j0:?i5rn870>4<6sA;3?6`>9b827`=#90<1?;64}o;60?6=9rB:4>5a18a956`<ug3>97?51zJ2<6=i90i1=9>4$0;5>60>3td29;4?:0yK5=5<f83h6<:>;|l:12<628qC=5=4n0;`>4253-;2:7=9a:m=0>=83;pD<6<;o3:g?73;2we58751;3xL4>43g;2o7?;4:&2=3<4>k1vb4;n:182M7?;2d:5n4>449~j<3e280:wE?73:l2=f<6<?1/=48537a8yk?2k3:1=vF>829m5<e=9==0qc7:d;395~N60:1e=4m515:8yk?2m3:1=vF>829m5<e=9=30qc7:f;295~N60:1e=4m515c8yk?183:1=vF>829m5<e=9=h0qc791;295~N60:1e=4m515a8yk?1:3:1=vF>829m5<e=9=n0qc793;295~N60:1e=4m515g8yk?1<3:1=vF>829m5<e=9=l0qc795;295~N60:1e=4m51428yk?1>3:1=vF>829m5<e=9<;0qc797;295~N60:1e=4m51408yk?103:1=vF>829m5<e=9<90qc799;295~N60:1e=4m51468yk?1i3:1=vF>829m5<e=9<?0qc79b;295~N60:1e=4m51448yk?1k3:1=vF>829m5<e=9<=0qc79d;295~N60:1e=4m514:8yk?1m3:1=vF>829m5<e=9<30qc79f;295~N60:1e=4m514c8yk?083:1=vF>829m5<e=9<h0qc781;295~N60:1e=4m514a8yk?0:3:1=vF>829m5<e=9<n0qc783;295~N60:1e=4m514g8yk?0<3:1=vF>829m5<e=9<l0qc785;295~N60:1e=4m51728yk?0>3;1=vF>829m5<e=9?;0qc787;395~N60:1e=4m51708yk?003;1=vF>829m5<e=9?90qc789;395~N60:1e=4m51768yk?0i3;1=vF>829m5<e=9??0qc78b;395~N60:1e=4m51748yk?0k3;1=vF>829m5<e=9?=0qc78d;395~N60:1e=4m517:8yk?0m3;1=vF>829m5<e=9?30qc78f;295~N60:1e=4m517c8yk??83:1=vF>829m5<e=9?h0qc771;395~N60:1e=4m517a8yk??:3;1=vF>829m5<e=9?n0qc773;395~N60:1e=4m517g8yk??<3;1=vF>829m5<e=9?l0qc775;395~N60:1e=4m51628yk??>3;1=vF>829m5<e=9>;0qc777;395~N60:1e=4m51608yk??03;1=vF>829m5<e=9>90qc779;395~N60:1e=4m51668yk??i3:1=vF>829m5<e=9>?0qc77b;295~N60:1e=4m51648yk??k3:1=vF>829m5<e=9>=0qc77d;295~N60:1e=4m516:8yk??m3:1=vF>829m5<e=9>30qc77f;295~N60:1e=4m516c8yk?>83:1=vF>829J56`=9r81qW;7:0y47?{i90i1=:l4}o;:5?6=9rB:4>5a18a952e<ug32>7?51zl2=f<6?m1vb47<:082k7>k3;<i6sa98695?7|f83h6<9i;|l47g<728qe=4m51928yk10i3:1=v`>9b82<4=i9>k1=6sa76`95?7|f83h6<6=;%3:2?7ai2wvzY;;:182>4<6<kqX?84=a781>454=8n=6>6m9g9'5=3=9=;0(<:?:b9K1d=O0<1C9o5m17f94?4?28<;6<:7{[0b>0}a28k1=44j:0:9yM323-;<h7m4n45950c<f8=:6<:m;o3:g?6<a;3:6=44o0d5>5<<a;386=44o2:4>5<<g8l?6=44o3`3>5<<a;k<6=44o0ce>5<<g8k26=4+15195d><f8>96=54o0c5>5<#9=91=l64n061>4=<g8k?6=4+15195d><f8>96?54o0c1>5<#9=91=l64n061>6=<g;hi6=4+15196gg<f8>96=54o3`:>5<#9=91>oo4n061>4=<g;h36=4+15196gg<f8>96?54o3`4>5<#9=91>oo4n061>6=<g;h=6=4+15196gg<f8>96954o3`6>5<#9=91>oo4n061>0=<g;h?6=4+15196gg<f8>96;54o3`0>5<#9=91>oo4n061>2=<g;3o6=4+15196<e<f8>96=54o3;a>5<#9=91>4m4n061>4=<g;3j6=4+15196<e<f8>96?54o3;:>5<#9=91>4m4n061>6=<g;336=4+15196<e<f8>96954o3;4>5<#9=91>4m4n061>0=<g;3=6=4+15196<e<f8>96;54o3;6>5<#9=91>4m4n061>2=<a8h>6=4+15195g2<f8>96=54i0`0>5<#9=91=o:4n061>4=<a8h96=4+15195g2<f8>96?54i0`2>5<#9=91=o:4n061>6=<a;o?6=4+15196`5<f8>96=54i3g1>5<#9=91>h=4n061>4=<a;o:6=4+15196`5<f8>96?54i3g3>5<#9=91>h=4n061>6=<a;nm6=4+15196`5<f8>96954i3ff>5<#9=91>h=4n061>0=<a;no6=4+15196`5<f8>96;54i3f`>5<#9=91>h=4n061>2=<j8n96<49:183!312==0(<9;:04g?!7?93;3h6*>7b811>"11390D8=4n0;`>4=n;?0;66g=f;29?l562900e<:9:188m7e=831d=9650;9~f4b4280=6=4?{%75>11<,8=?6<8k;%3;5?7?l2.:;n4=5:&5=?5<@<90b<7l:39j73<722c9j7>5;h12>5<<a8>=6=44i3a94?=h9=21<75rb0ff>4<1290;w);9:558 41328<o7)?71;3;`>"6?j0996*99;18L05<f83h6>5f3783>>o5n3:17d=>:188m4212900e?m50;9l51>=831vn<k<:085>5<7s-?=6994$057>40c3-;3=7?7d:&23f<5=2.=57=4H418j4?d2=1b?;4?::k1b?6=3`9:6=44i065>5<<a;i1<75`15:94?=zj8o?6<49:183!312==0(<9;:04g?!7?93;3h6*>7b811>"11390D8=4n0;`>0=n;?0;66g=f;29?l562900e<:9:188m7e=831d=9650;9~f4c2280=6=4?{%75>11<,8=?6<8k;%3;5?7?l2.:;n4=5:&5=?5<@<90b<7l:79j73<722c9j7>5;h12>5<<a8>=6=44i3a94?=h9=21<75rb0g5>4<1290;w);9:558 41328<o7)?71;3;`>"6?j0996*99;18L05<f83h6:5f3783>>o5n3:17d=>:188m4212900e?m50;9l51>=831vn<k8:085>5<7s-?=6994$057>40c3-;3=7?7d:&23f<5=2.=57=4H418j4?d211b?;4?::k1b?6=3`9:6=44i065>5<<a;i1<75`15:94?=zj8o36<49:183!312==0(<9;:04g?!7?93;3h6*>7b811>"11390D8=4n0;`><=n;?0;66g=f;29?l562900e<:9:188m7e=831d=9650;9~f4c>280=6=4?{%75>11<,8=?6<8k;%3;5?7?l2.:;n4=5:&5=?5<@<90b<7l:`9j73<722c9j7>5;h12>5<<a8>=6=44i3a94?=h9=21<75rb0f7>4<1290;w);9:558 41328<o7)?71;3;`>"6?j0996*99;18L05<f83h6o5f3783>>o5n3:17d=>:188m4212900e?m50;9l51>=831vn<j::085>5<7s-?=6994$057>40c3-;3=7?7d:&23f<5=2.=57=4H418j4?d2j1b?;4?::k1b?6=3`9:6=44i065>5<<a;i1<75`15:94?=zj8n=6<49:183!312==0(<9;:04g?!7?93;3h6*>7b811>"11390D8=4n0;`>a=n;?0;66g=f;29?l562900e<:9:188m7e=831d=9650;9~f4b0280=6=4?{%75>11<,8=?6<8k;%3;5?7?l2.:;n4=5:&5=?5<@<90b<7l:d9j73<722c9j7>5;h12>5<<a8>=6=44i3a94?=h9=21<75rb0f;>4<1290;w);9:558 41328<o7)?71;3;`>"6?j0996*99;18L05<f83h6k5f3783>>o5n3:17d=>:188m4212900e?m50;9l51>=831vn<j6:085>5<7s-?=6994$057>40c3-;3=7?7d:&23f<5=2.=57=4H418j4?d28:0e>850;9j6c<722c8=7>5;h372?6=3`8h6=44o06;>5<<uk;om7?56;294~"2>3><7)?84;35`>"6080:4i5+16a960=#>0087E;<;o3:g?763`9=6=44i3d94?=n;80;66g>4783>>o5k3:17b?;8;29?xd6lk0:6;4?:1y'13<3?2.:;94>6e9'5=7=91n0(<9l:378 3?=;2B>?6`>9b826>o4>3:17d<i:188m67=831b=9850;9j6f<722e:854?::a5ae=93<1<7>t$44902=#9>>1=;j4$0:2>4>c3-;<o7<:;%4:>6=O=:1e=4m5129j73<722c9j7>5;h12>5<<a8>=6=44i3a94?=h9=21<75rb0fg>4<1290;w);9:558 41328<o7)?71;3;`>"6?j0996*99;18L05<f83h6<:4i2494?=n:o0;66g<1;29?l73>3:17d<l:188k42?2900qo?kf;392?6=8r.>:7:8;%340?71l2.:4<4>8e9'52e=:<1/:44<;I70?k7>k3;>7d=9:188m7`=831b?<4?::k203<722c9o7>5;n37<?6=3th:i=4>:783>5}#=?0?;6*>75822a=#91;1=5j4$05`>73<,?31?6F:3:l2=f<6>2c8:7>5;h0e>5<<a:;1<75f15494?=n:j0;66a>4983>>{e9l;1=7850;2x 00=<>1/=::517f8 4>6282o7)?8c;06?!0>2:1C9>5a18a952=n;?0;66g=f;29?l562900e<:9:188m7e=831d=9650;9~f4c5280=6=4?{%75>11<,8=?6<8k;%3;5?7?l2.:;n4=5:&5=?5<@<90b<7l:0:8m60=831b>k4?::k05?6=3`;?:7>5;h0`>5<<g8>36=44}c3fa?7=>3:1<v*:6;6a?!70<3;=h6*>8082<a=#9>i1=:l4$7;97>N2;2d:5n4>9:k02?6=@?h07d<i:188m67=831b=9850;9j6f<722e:854?::a5``=93<1<7>t$4490g=#9>>1=;j4$0:2>4>c3-;<o7?8b:&5=?5<@<90b<7l:0c8m60=831b>k4?::k05?6=3`;?:7>5;h0`>5<<g8>36=44}c3e4?7=>3:1<v*:6;6a?!70<3;=h6*>8082<a=#9>i1=:l4$7;97>N2;2d:5n4>b:k02?6=3`8m6=44i2394?=n9=<1<75f2b83>>i6<10;66sm1g395?0=83:p(8854c9'522=9?n0(<6>:0:g?!70k3;<n6*99;18L05<f83h6<m4i2494?=n:o0;66g<1;29?l73>3:17d<l:188k42?2900qo6n:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a95a=n;>0;66g=d;29?l71k3:17bh>:188ygd>28086=4?{%3;5?7>82.:;94>6e9K16=i90i1=h5f7183>>o5l3:17bh>:188yg?a280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1=k5f3683>>o5l3:17d?9c;29?j`62900qom;:080>5<7s-;3=7?60:&231<6>m1C9>5a18a965=n?90;66g=d;29?j`62900qoo8:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a964=n;>0;66g=d;29?l71k3:17bh>:188ygef28086=4?{%3;5?7>82.:;94>6e9K16=i90i1>?5f7183>>o5l3:17bh>:188ygg>280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1>>5f3683>>o5l3:17d?9c;29?j`62900qomm:080>5<7s-;3=7?60:&231<6>m1C9>5a18a961=n?90;66g=d;29?j`62900qoom:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a960=n;>0;66g=d;29?l71k3:17bh>:188yged28086=4?{%3;5?7>82.:;94>6e9K16=i90i1>;5f7183>>o5l3:17bh>:188yggc280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1>:5f3683>>o5l3:17d?9c;29?j`62900qomk:080>5<7s-;3=7?60:&231<6>m1C9>5a18a96==n?90;66g=d;29?j`62900qooi:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a96<=n;>0;66g=d;29?l71k3:17bh>:188ygeb28086=4?{%3;5?7>82.:;94>6e9K16=i90i1>l5f7183>>o5l3:17bh>:188ygd6280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1>o5f3683>>o5l3:17d?9c;29?j`62900qomi:080>5<7s-;3=7?60:&231<6>m1C9>5a18a96f=n?90;66g=d;29?j`62900qol<:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a96a=n;>0;66g=d;29?l71k3:17bh>:188ygb728086=4?{%3;5?7>82.:;94>6e9K16=i90i1>h5f7183>>o5l3:17bh>:188ygd2280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1>k5f3683>>o5l3:17d?9c;29?j`62900qoj>:080>5<7s-;3=7?60:&231<6>m1C9>5a18a975=n?90;66g=d;29?j`62900qo6m:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a974=n;>0;66g=d;29?l71k3:17bh>:188ygdf28086=4?{%3;5?7>82.:;94>6e9K16=i90i1??5f7183>>o5l3:17bh>:188yg>c280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1?>5f3683>>o5l3:17d?9c;29?j`62900qolm:080>5<7s-;3=7?60:&231<6>m1C9>5a18a971=n?90;66g=d;29?j`62900qo6i:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a970=n;>0;66g=d;29?l71k3:17bh>:188ygdd28086=4?{%3;5?7>82.:;94>6e9K16=i90i1?;5f7183>>o5l3:17bh>:188yg?6280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1?:5f3683>>o5l3:17d?9c;29?j`62900qolk:080>5<7s-;3=7?60:&231<6>m1C9>5a18a97==n?90;66g=d;29?j`62900qo7<:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a97<=n;>0;66g=d;29?l71k3:17bh>:188ygdb28086=4?{%3;5?7>82.:;94>6e9K16=i90i1?l5f7183>>o5l3:17bh>:188yg?2280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1?o5f3683>>o5l3:17d?9c;29?j`62900qoli:080>5<7s-;3=7?60:&231<6>m1C9>5a18a97f=n?90;66g=d;29?j`62900qo78:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a97a=n;>0;66g=d;29?l71k3:17bh>:188yge728086=4?{%3;5?7>82.:;94>6e9K16=i90i1?h5f7183>>o5l3:17bh>:188yg?>280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1?k5f3683>>o5l3:17d?9c;29?j`62900qom>:080>5<7s-;3=7?60:&231<6>m1C9>5a18a905=n?90;66g=d;29?j`62900qo7m:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a904=n;>0;66g=d;29?l71k3:17bh>:188yge528086=4?{%3;5?7>82.:;94>6e9K16=i90i18?5f7183>>o5l3:17bh>:188yg?c280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i18>5f3683>>o5l3:17d?9c;29?j`62900qom<:080>5<7s-;3=7?60:&231<6>m1C9>5a18a901=n?90;66g=d;29?j`62900qoo>:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a900=n;>0;66g=d;29?l71k3:17bh>:188yge228086=4?{%3;5?7>82.:;94>6e9K16=i90i18;5f7183>>o5l3:17bh>:188ygg4280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i18:5f3683>>o5l3:17d?9c;29?j`62900qom9:080>5<7s-;3=7?60:&231<6>m1C9>5a18a90==n?90;66g=d;29?j`62900qoo::087>5<7s-;3=7?7e:&231<6>m1C9>5a18a90<=n;>0;66g=d;29?l71k3:17bh>:188yge028086=4?{%3;5?7>82.:;94>6e9K16=i90i18l5f7183>>o5l3:17bh>:188yge?28086=4?{%3;5?7>82.:;94>6e9K16=i90i18o5f7183>>o5l3:17bh>:188yg5713;1?7>50z&2<4<6191/=::517f8L05<f83h69m4i6294?=n:m0;66ai1;29?xd4810:6>4?:1y'5=7=90:0(<9;:04g?M343g;2o7:k;h53>5<<a;n1<75`f083>>{e:oi1=7:50;2x 4>6282n7)?84;35`>N2;2d:5n4;e:k03?6=3`8o6=44i04`>5<<go;1<75rb224>4<4290;w)?71;3:4>"6?=0::i5G529m5<e=<o1b;=4?::k1`?6=3fl:6=44}c0ee?7=<3:1<v*>8082<`=#9>>1=;j4H418j4?d2<:0e>950;9j6a<722c::n4?::me5?6=3th8<;4>:283>5}#91;1=4>4$057>40c3A?87c?6c;72?l172900e?j50;9lb4<722wi>k651;694?6|,82:6<6j;%340?71l2B>?6`>9b866>o4?3:17d<k:188m40d2900ck?50;9~f66228086=4?{%3;5?7>82.:;94>6e9K16=i90i19>5f7183>>o5l3:17bh>:188yg4a>3;187>50z&2<4<60l1/=::517f8L05<f83h68:4i2594?=n:m0;66g>6b83>>ia93:17pl<0582>6<729q/=5?51828 41328<o7E;<;o3:g?323`=;6=44i3f94?=hn80;66sm2g695?2=83:p(<6>:0:f?!70<3;=h6F:3:l2=f<2>2c8;7>5;h0g>5<<a8<h6=44og394?=zj::86<4<:183!7?93;2<6*>75822a=O=:1e=4m5569j35<722c9h7>5;nd2>5<<uk8m>7?54;294~"6080:4h5+166953b<@<90b<7l:4:8m61=831b>i4?::k22f<722em=7>5;|`047<62:0;6=u+19395<6<,8=?6<8k;I70?k7>k3?27d9?:188m7b=831dj<4?::a6c6=93>1<7>t$0:2>4>b3-;<87?9d:J67>h61j0>m6g<7;29?l4c2900e<8l:188kc7=831vn>>>:080>5<7s-;3=7?60:&231<6>m1C9>5a18a91g=n?90;66g=d;29?j`62900qo<je;390?6=8r.:4<4>8d9'522=9?n0D8=4n0;`>0e<a:=1<75f2e83>>o6>j0;66ai1;29?xd4890:6>4?:1y'5=7=90:0(<9;:04g?M343g;2o7;k;h53>5<<a;n1<75`f083>>{e:ln1=7:50;2x 4>6282n7)?84;35`>N2;2d:5n4:e:k03?6=3`8o6=44i04`>5<<go;1<75rb204>4<4290;w)?71;3:4>"6?=0::i5G529m5<e==o1b;=4?::k1`?6=3fl:6=44}c112?7=;3:1<v*>8082=5=#9>>1=;j4H418j4?d2?:0e:>50;9j6a<722em=7>5;|`05d<62=0;6=u+19395=c<,8=?6<8k;I70?k7>k3<:7d=8:188m7b=831b=;m50;9lb4<722wi??;51;194?6|,82:6<7?;%340?71l2B>?6`>9b856>o083:17d<k:188kc7=831vn>?7:087>5<7s-;3=7?7e:&231<6>m1C9>5a18a926=n;>0;66g=d;29?l71k3:17bh>:188yg55<3;1?7>50z&2<4<6191/=::517f8L05<f83h6;:4i6294?=n:m0;66ai1;29?xd49?0:694?:1y'5=7=91o0(<9;:04g?M343g;2o78:;h14>5<<a;n1<75f17a94?=hn80;66sm33195?5=83:p(<6>:0;3?!70<3;=h6F:3:l2=f<1>2c<<7>5;h0g>5<<go;1<75rb237>4<3290;w)?71;3;a>"6?=0::i5G529m5<e=>>1b?:4?::k1`?6=3`;=o7>5;nd2>5<<uk99>7?53;294~"6080:5=5+166953b<@<90b<7l:7:8m26=831b>i4?::me5?6=3th8=?4>:583>5}#91;1=5k4$057>40c3A?87c?6c;4:?l502900e?j50;9j53e=831dj<4?::a777=9391<7>t$0:2>4?73-;<87?9d:J67>h61j0=m6g80;29?l4c2900ck?50;9~f677280?6=4?{%3;5?7?m2.:;94>6e9K16=i90i1:o5f3683>>o5l3:17d?9c;29?j`62900qo==0;397?6=8r.:4<4>919'522=9?n0D8=4n0;`>3e<a>:1<75f2e83>>ia93:17pl<0d82>1<729q/=5?519g8 41328<o7E;<;o3:g?0c3`9<6=44i3f94?=n9?i1<75`f083>>{e;8l1=7=50;2x 4>6283;7)?84;35`>N2;2d:5n49e:k44?6=3`8o6=44og394?=zj::h6<4;:183!7?93;3i6*>75822a=O=:1e=4m56g9j72<722c9h7>5;h35g?6=3fl:6=44}c12a?7=;3:1<v*>8082=5=#9>>1=;j4H418j4?d2>:0e:>50;9j6a<722em=7>5;|`04g<62=0;6=u+19395=c<,8=?6<8k;I70?k7>k3=:7d=8:188m7b=831b=;m50;9lb4<722wi?:851;494?6|,<<18o5+166953b<,82:6<6k;%34g?70j2.=57=4H418j4?d2>80e>850;9j6c<722c8=7>5;h372?6=3`8h6=44o06;>5<<uk9<97?56;294~"2>3>i7)?84;35`>"6080:4i5+16a952d<,?31?6F:3:l2=f<0;2c8:7>5;h0e>5<<a:;1<75f15494?=n:j0;66a>4983>>{e;>>1=7850;2x 00=<k1/=::517f8 4>6282o7)?8c;34f>"11390D8=4n0;`>22<a:<1<75f2g83>>o493:17d?;6;29?l4d2900c<:7:188yg50;3;1:7>50z&62?2e3-;<87?9d:&2<4<60m1/=:m516`8 3?=;2B>?6`>9b841>o4>3:17d<i:188m67=831b=9850;9j6f<722e:854?::a724=93<1<7>t$4490g=#9>>1=;j4$0:2>4>c3-;<o7?8b:&5=?5<@<90b<7l:648m60=831b>k4?::k05?6=3`;?:7>5;h0`>5<<g8>36=44}c145?7=>3:1<v*:6;6a?!70<3;=h6*>8082<a=#9>i1=:l4$7;97>N2;2d:5n487:k02?6=3`8m6=44i2394?=n9=<1<75f2b83>>i6<10;66sm36295?0=83:p(8854c9'522=9?n0(<6>:0:g?!70k3;<n6*99;18L05<f83h6:64i2494?=n:o0;66g<1;29?l73>3:17d<l:188k42?2900qo=9f;392?6=8r.>:7:m;%340?71l2.:4<4>8e9'52e=9>h0(;753:J67>h61j0<56g<6;29?l4a2900e>?50;9j510=831b>n4?::m20=<722wi?;k51;494?6|,<<18o5+166953b<,82:6<6k;%34g?70j2.=57=4H418j4?d2>k0e>850;9j6c<722c8=7>5;h372?6=3`8h6=44o06;>5<<uk9=h7?56;294~"2>3>i7)?84;35`>"6080:4i5+16a952d<,?31?6F:3:l2=f<0j2c8:7>5;h0e>5<<a:;1<75f15494?=n:j0;66a>4983>>{e;<i1=7850;2x 00=<k1/=::517f8 4>6282o7)?8c;34f>"11390D8=4n0;`>2e<a:<1<75f2g83>>o493:17d?;6;29?l4d2900c<:7:188yg52j3;1:7>50z&62?2e3-;<87?9d:&2<4<60m1/=:m516`8 3?=;2B>?6`>9b84`>o4>3:17d<i:188m67=831b=9850;9j6f<722e:854?::a70g=93<1<7>t$4490g=#9>>1=;j4$0:2>4>c3-;<o7?8b:&5=?5<@<90b<7l:6g8m60=831b>k4?::k05?6=3`;?:7>5;h0`>5<<g8>36=44}c16=?7=>3:1<v*:6;6a?!70<3;=h6*>8082<a=#9>i1=:l4$7;97>N2;2d:5n48f:k02?6=3`8m6=44i2394?=n9=<1<75f2b83>>i6<10;66sm34:95?0=83:p(8854c9'522=9?n0(<6>:0:g?!70k3;<n6*99;18L05<f83h65>4i2494?=n:o0;66g<1;29?l73>3:17d<l:188k42?2900qo=:7;392?6=8r.>:7:m;%340?71l2.:4<4>8e9'52e=9>h0(;753:J67>h61j03=6g<6;29?l4a2900e>?50;9j510=831b>n4?::m20=<722wi?8851;494?6|,<<18o5+166953b<,82:6<6k;%34g?70j2.=57=4H418j4?d2180e>850;9j6c<722c8=7>5;h372?6=3`8h6=44o06;>5<<uk9>97?56;294~"2>3>i7)?84;35`>"6080:4i5+16a952d<,?31?6F:3:l2=f<?;2c8:7>5;h0e>5<<a:;1<75f15494?=n:j0;66a>4983>>{e;<>1=7850;2x 00=<k1/=::517f8 4>6282o7)?8c;34f>"11390D8=4n0;`>=2<a:<1<75f2g83>>o493:17d?;6;29?l4d2900c<:7:188yg52;3;1:7>50z&62?2e3-;<87?9d:&2<4<60m1/=:m516`8 3?=;2B>?6`>9b8;1>o4>3:17d<i:188m67=831b=9850;9j6f<722e:854?::a7=4=93<1<7>t$4490g=#9>>1=;j4$0:2>4>c3-;<o7?8b:&5=?5<@<90b<7l:948m60=831b>k4?::k05?6=3`;?:7>5;h0`>5<<g8>36=44}c176?7=>3:1<v*:6;6a?!70<3;=h6*>8082<a=#9>i1=:l4$7;97>N2;2d:5n477:k02?6=3`8m6=44i2394?=n9=<1<75f2b83>>i6<10;66sm23195?5=83:p(<6>:0;3?!70<3;=h6F:3:l2=f<?02c<<7>5;h0g>5<<go;1<75rb301>4<4290;w)?71;3:4>"6?=0::i5G529m5<e=001b;=4?::k1`?6=3fl:6=44}c03e?7=<3:1<v*>8082<`=#9>>1=;j4H418j4?d21k0e>950;9j6a<722c::n4?::me5?6=3th9><4>:283>5}#91;1=4>4$057>40c3A?87c?6c;:a?l172900e?j50;9lb4<722wi>=651;694?6|,82:6<6j;%340?71l2B>?6`>9b8;g>o4?3:17d<k:188m40d2900ck?50;9~f74c28086=4?{%3;5?7>82.:;94>6e9K16=i90i14i5f7183>>o5l3:17bh>:188yg46k3;187>50z&2<4<60l1/=::517f8L05<f83h65k4i2594?=n:m0;66g>6b83>>ia93:17pl=2b82>6<729q/=5?51828 41328<o7E;<;o3:g?>a3`=;6=44i3f94?=hn80;66sm20c95?2=83:p(<6>:0:f?!70<3;=h6F:3:l2=f<>82c8;7>5;h0g>5<<a8<h6=44og394?=zj;8i6<4<:183!7?93;2<6*>75822a=O=:1e=4m5909j35<722c9h7>5;nd2>5<<uk8:47?54;294~"6080:4h5+166953b<@<90b<7l:808m61=831b>i4?::k22f<722em=7>5;|`16d<62:0;6=u+19395<6<,8=?6<8k;I70?k7>k3387d9?:188m7b=831dj<4?::a640=93>1<7>t$0:2>4>b3-;<87?9d:J67>h61j0286g<7;29?l4c2900e<8l:188kc7=831vn?<6:080>5<7s-;3=7?60:&231<6>m1C9>5a18a9=0=n?90;66g=d;29?j`62900qo<>4;390?6=8r.:4<4>8d9'522=9?n0D8=4n0;`><0<a:=1<75f2e83>>o6>j0;66ai1;29?xd5:10:6>4?:1y'5=7=90:0(<9;:04g?M343g;2o778;h53>5<<a;n1<75`f083>>{e:881=7:50;2x 4>6282n7)?84;35`>N2;2d:5n468:k03?6=3`8o6=44i04`>5<<go;1<75rb304>4<4290;w)?71;3:4>"6?=0::i5G529m5<e=101b;=4?::k1`?6=3fl:6=44}c024?7=<3:1<v*>8082<`=#9>>1=;j4H418j4?d20k0e>950;9j6a<722c::n4?::me5?6=3th9>;4>:283>5}#91;1=4>4$057>40c3A?87c?6c;;a?l172900e?j50;9lb4<722wi>=k51;694?6|,82:6<6j;%340?71l2B>?6`>9b8:g>o4?3:17d<k:188m40d2900ck?50;9~f74228086=4?{%3;5?7>82.:;94>6e9K16=i90i15i5f7183>>o5l3:17bh>:188yg47k3;187>50z&2<4<60l1/=::517f8L05<f83h64k4i2594?=n:m0;66g>6b83>>ia93:17pl=2182>6<729q/=5?51828 41328<o7E;<;o3:g??a3`=;6=44i3f94?=hn80;66sm21595?2=83:p(<6>:0:f?!70<3;=h6F:3:l2=f<f82c8;7>5;h0g>5<<a8<h6=44og394?=zj;<96<49:183!312==0(<9;:04g?!7?93;3h6*>7b811>"11390D8=4n0;`>d7<a:<1<75f2g83>>o493:17d?;6;29?l4d2900c<:7:188yg4193;1:7>50z&62?203-;<87?9d:&2<4<60m1/=:m5249'2<<43A?87c?6c;c1?l512900e?h50;9j74<722c:8;4?::k1g?6=3f;?47>5;|`125<62?0;6=u+57873>"6?=0::i5+19395=b<,8=h6?;4$7;97>N2;2d:5n4n3:k02?6=3`8m6=44i2394?=n9=<1<75f2b83>>i6<10;66sm27c95?0=83:p(885469'522=9?n0(<6>:0:g?!70k38>7)86:29K16=i90i1m95f3783>>o5n3:17d=>:188m4212900e?m50;9l51>=831vn?86:085>5<7s-?=6994$057>40c3-;3=7?7d:&23f<5=2.=57=4H418j4?d2h?0e>850;9j6c<722c8=7>5;h372?6=3`8h6=44o06;>5<<uk8=47?56;294~"2>3><7)?84;35`>"6080:4i5+16a960=#>0087E;<;o3:g?g13`9=6=44i3d94?=n;80;66g>4783>>o5k3:17b?;8;29?xd5>>0:6;4?:1y'13<3?2.:;94>6e9'5=7=91n0(<9l:378 3?=;2B>?6`>9b8b3>o4>3:17d<i:188m67=831b=9850;9j6f<722e:854?::a630=93<1<7>t$44902=#9>>1=;j4$0:2>4>c3-;<o7<:;%4:>6=O=:1e=4m5a99j73<722c9j7>5;h12>5<<a8>=6=44i3a94?=h9=21<75rb346>4<1290;w);9:558 41328<o7)?71;3;`>"6?j0996*99;18L05<f83h6l74i2494?=n:o0;66g<1;29?l73>3:17d<l:188k42?2900qo<94;392?6=8r.>:7:8;%340?71l2.:4<4>8e9'52e=:<1/:44<;I70?k7>k3kj7d=9:188m7`=831b?<4?::k203<722c9o7>5;n37<?6=3th9:>4>:783>5}#=?0?;6*>75822a=#91;1=5j4$05`>73<,?31?6F:3:l2=f<fj2c8:7>5;h0e>5<<a:;1<75f15494?=n:j0;66a>4983>>{e:<l1=7850;2x 00=<>1/=::517f8 4>6282o7)?8c;06?!0>2:1C9>5a18a9ef=n;?0;66g=f;29?l562900e<:9:188m7e=831d=9650;9~f73b280=6=4?{%75>11<,8=?6<8k;%3;5?7?l2.:;n4=5:&5=?5<@<90b<7l:`f8m60=831b>k4?::k05?6=3`;?:7>5;h0`>5<<g8>36=44}c07e?7=>3:1<v*:6;64?!70<3;=h6*>8082<a=#9>i1>85+6880?M343g;2o7oj;h15>5<<a;l1<75f3083>>o6<?0;66g=c;29?j7303:17pl=4882>3<729q/9;4;7:&231<6>m1/=5?519f8 41d2;?0(;753:J67>h61j0jj6g<6;29?l4a2900e>?50;9j510=831b>n4?::m20=<722wi>9651;494?6|,<<18:5+166953b<,82:6<6k;%34g?423-<26>5G529m5<e=j91b?;4?::k1b?6=3`9:6=44i065>5<<a;i1<75`15:94?=zj;><6<49:183!312==0(<9;:04g?!7?93;3h6*>7b811>"11390D8=4n0;`>g7<a:<1<75f2g83>>o493:17d?;6;29?l4d2900c<:7:188yg43>3;1:7>50z&62?203-;<87?9d:&2<4<60m1/=:m5249'2<<43A?87c?6c;`1?l512900e?h50;9j74<722c:8;4?::k1g?6=3f;?47>5;|`100<62?0;6=u+57873>"6?=0::i5+19395=b<,8=h6?;4$7;97>N2;2d:5n4m3:k02?6=3`8m6=44i2394?=n9=<1<75f2b83>>i6<10;66sm25695?0=83:p(885469'522=9?n0(<6>:0:g?!70k38>7)86:29K16=i90i1n95f3783>>o5n3:17d=>:188m4212900e?m50;9l51>=831vn?:<:085>5<7s-?=6994$057>40c3-;3=7?7d:&23f<5=2.=57=4H418j4?d2k?0e>850;9j6c<722c8=7>5;h372?6=3`8h6=44o06;>5<<uk83h7?56;294~"2>3><7)?84;35`>"6080:4i5+16a960=#>0087E;<;o3:g?d13`9=6=44i3d94?N1j21b?<4?::k203<722c9o7>5;n37<?6=3th94n4>:783>5}#=?0?;6*>75822a=#91;1=5j4$05`>73<,?31?6F:3:l2=f<e?2c8:7>5;h0e>5<O>k10e>?50;9j510=831b>n4?::m20=<722wi>5l51;494?6|,<<18:5+166953b<,82:6<6k;%34g?423-<26>5G529m5<e=j11b?;4?::k1b?6=@?h07d=>:188m4212900e?m50;9l51>=831vn?6n:085>5<7s-?=6994$057>40c3-;3=7?7d:&23f<5=2.=57=4H418j4?d2k30e>850;9j6c<72A<i76g<1;29?l73>3:17d<l:188k42?2900qo<79;392?6=8r.>:7:8;%340?71l2.:4<4>8e9'52e=:<1/:44<;I70?k7>k3hj7d=9:188m7`=83B=n65f3083>>o6<?0;66g=c;29?j7303:17pl=8982>3<729q/9;4;7:&231<6>m1/=5?519f8 41d2;?0(;753:J67>h61j0in6g<6;29?l4a290C:o54i2394?=n9=<1<75f2b83>>i6<10;66sm29595?0=83:p(885469'522=9?n0(<6>:0:g?!70k38>7)86:29K16=i90i1nn5f3783>>o5n3:1D;l4;h12>5<<a8>=6=44i3a94?=h9=21<75rb355>4<1290;w);9:558 41328<o7)?71;3;`>"6?j0996*99;18L05<f83h6oj4i2494?=n:o0;6E8m;:k05?6=3`;?:7>5;h0`>5<<g8>36=44}c04a?7=>3:1<v*:6;64?!70<3;=h6*>8082<a=#9>i1>85+6880?M343g;2o7lj;h15>5<<a;l1<7F9b:9j74<722c:8;4?::k1g?6=3f;?47>5;|`13a<62?0;6=u+57873>"6?=0::i5+19395=b<,8=h6?;4$7;97>N2;2d:5n4mf:k02?6=3`8m6=4G6c98m67=831b=9850;9j6f<722e:854?::a62e=93<1<7>t$44902=#9>>1=;j4$0:2>4>c3-;<o7<:;%4:>6=O=:1e=4m5c19j73<722c9j7>5H7`8?l562900e<:9:188m7e=831d=9650;9~f71e280=6=4?{%75>11<,8=?6<8k;%3;5?7?l2.:;n4=5:&5=?5<@<90b<7l:b38m60=831b>k4?:I4a?>o493:17d?;6;29?l4d2900c<:7:188yg40i3;1:7>50z&62?203-;<87?9d:&2<4<60m1/=:m5249'2<<43A?87c?6c;a1?l512900e?h50;J5f>=n;80;66g>4783>>o5k3:17b?;8;29?xd5?00:6;4?:1y'13<3?2.:;94>6e9'5=7=91n0(<9l:378 3?=;2B>?6`>9b8`7>o4>3:17d<i:18K2g=<a:;1<75f15494?=n:j0;66a>4983>>{e:>21=7850;2x 00=<>1/=::517f8 4>6282o7)?8c;06?!0>2:1C9>5a18a9g1=n;?0;66g=f;29L3d<3`9:6=44i065>5<<a;i1<75`15:94?=zj;=<6<49:183!312==0(<9;:04g?!7?93;3h6*>7b811>"11390D8=4n0;`>f3<a:<1<75f2g83>M0e32c8=7>5;h372?6=3`8h6=44o06;>5<<uk8<97?56;294~"2>3><7)?84;35`>"6080:4i5+16a960=#>0087E;<;o3:g?e13`9=6=44i3d94?N1j21b?<4?::k203<722c9o7>5;n37<?6=3th:jh4>:783>5}#=?0?;6*>75822a=#91;1=5j4$05`>73<,?31?6F:3:l2=f<d?2c8:7>5;h0e>5<<a:;1<75f15494?=n:j0;66a>4983>>{e9ok1=7850;2x 00=<>1/=::517f8 4>6282o7)?8c;06?!0>2:1C9>5a18a9g==n;?0;66g=f;29?l562900e<:9:188m7e=831d=9650;9~f4`?280=6=4?{%75>11<,8=?6<8k;%3;5?7?l2.:;n4=5:&5=?5<@<90b<7l:b;8m60=831b>k4?::k05?6=3`;?:7>5;h0`>5<<g8>36=44}c3e=?7=>3:1<v*:6;64?!70<3;=h6*>8082<a=#9>i1>85+6880?M343g;2o7mn;h15>5<<a;l1<75f3083>>o6<?0;66g=c;29?j7303:17pl>a`82>6<729q/=5?5779'13<68k1/=::517f8L05<f83h6nl4i4d94?=n>90;66a>1483>>{e9h=1=7=50;2x 4>62><0(88511`8 41328<o7E;<;o3:g?ed3`?m6=44i7294?=h98?1<75rb27e>4<3290;w)?71;55?!3128:n7)?84;35`>N2;2d:5n4ld:k6b?6=3`<;6=44i7394?=h98?1<75rb0c6>4<3290;w)?71;55?!3128:97)?84;35`>N2;2d:5n4le:k6b?6=3`<;6=44i7394?=h98?1<75rb0c0>4<3290;w)?71;55?!3128:87)?84;35`>N2;2d:5n4lf:k6b?6=3`<;6=44i7394?=h98?1<75rbe195?5=83:p(<6>:648 00=99i0(<9;:04g?M343g;2o7j?;h7e>5<<a?:1<75`10794?=zjm>1=7:50;2x 4>62><0(885fb9'522=9?n0D8=4n0;`>a7<a<l1<75f6183>>o193:17b?>5;29?xdc=3;197>50z&2<4<0>2.>:7h8;%340?71l2B>?6`>9b8g6>o2n3:17d8?:188m37=831b:?4?::m250<722wi=k=51;794?6|,82:6:84$449553<,8=?6<8k;I70?k7>k3n87d;i:188m36=831b:<4?::k56?6=3f;:97>5;|`004<62=0;6=u+193933=#=?0:==5+166953b<@<90b<7l:e68m0`=831b:=4?::k55?6=3f;:97>5;|`005<62<0;6=u+193933=#=?0:<85+166953b<@<90b<7l:e78m0`=831b:=4?::k55?6=3`<96=44o036>5<<uk9=>7?55;294~"6080<:6*:6;330>"6?=0::i5G529m5<e=l?1b9k4?::k54?6=3`<:6=44i7094?=h98?1<75rb243>4<2290;w)?71;55?!3128:=7)?84;35`>N2;2d:5n4k7:k6b?6=3`<;6=44i7394?=n>;0;66a>1483>>{e;>21=7;50;2x 4>62><0(88511f8 41328<o7E;<;o3:g?b?3`?m6=44i7294?=n>80;66g92;29L15<3f;:97>5;|`0<1<62<0;6=u+193933=#=?0:<k5+166953b<@<90b<7l:e;8m0`=831b:=4?::k55?6=3`<96=44o036>5<<uk9397?55;294~"6080<:6*:6;33=>"6?=0::i5G529m5<e=lh1b9k4?::k54?6=3`<:6=44i7094?=h98?1<75rb26;>4<2290;w)?71;55?!3128:>7)?84;35`>N2;2d:5n4kb:k6b?6=3`<;6=44i7394?=n>;0;66a>1483>>{e;?;1=7;50;2x 4>62><0(88511f8 41328<o7E;<;o3:g?bd3`?m6=44i7294?=n>80;66g92;29?j76=3:17pl<5d82>0<729q/=5?5779'13<68?1/=::517f8L05<f83h6ij4i4d94?=n>90;66g91;29?l05290C8>54o036>5<<uk8;<7?54;294~"6080<:6*:6;336>"6?=0::i5G529m5<e=ll1b9k4?::k54?6=3`<:6=44o036>5<<uk8><7?55;294~"6080<:6*:6;330>"6?=0::i5G529m5<e=lo1b9k4?::k54?6=3`<:6=44i7094?=h98?1<75rb36e>4<4290;w)?71;55?!3128:37)?84;35`>N2;2d:5n4j0:k6b?6=@=907d8?:188k4722900qo<?2;390?6=8r.:4<486:&62?77:2.:;94>6e9K16=i90i1i<5f5g83>>o183:17d8>:188k4722900qo<?6;390?6=8r.:4<486:&62?77:2.:;94>6e9K16=i90i1i?5f5g83>>o183:17d8>:188k4722900qo<?4;390?6=8r.:4<486:&62?77:2.:;94>6e9K16=i90i1i>5f5g83>>o183:17d8>:188k4722900qo<62;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0n86g:e;29?j`62900qo<64;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0n96g:e;29?j`62900qo?m9;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0n:6g:e;29?j`62900qo?m8;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0n;6g:e;29?j`62900qo?m7;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0n46g:e;29?j`62900qo?m6;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0n56g:e;29?j`62900qo<jc;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0nm6g:e;29?j`62900qo<jb;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0nn6g:e;29?j`62900qo<ja;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0no6g:e;29?j`62900qo<j9;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0nh6g:e;29?j`62900qo<j8;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0ni6g:e;29?j`62900qo<j7;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0nj6g:e;29?j`62900qo<j6;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0m<6g:e;29?j`62900qo<j5;396?6=8r.:4<493:&231<6>m1/:h495:J67>h61j0m=6g:e;29?j`62900qo?i7;396?6=8r.:4<4>139'522=9?n0(;k51078L05<f83h6k<4i4g94?=hn80;66sm39:95?4=83:p(<6>:031?!70<3;=h6*9e;321>N2;2d:5n4i3:k6a?6=3fl:6=44}c3e1?7=:3:1<v*>808257=#9>>1=;j4$7g9543<@<90b<7l:g68m0c=831dj<4?::a6g7=9381<7>t$0:2>4753-;<87?9d:&5a?76=2B>?6`>9b8e1>o2m3:17bh>:188yg7e83;1>7>50z&2<4<69;1/=::517f8 3c=98?0D8=4n0;`>c0<a<o1<75`f083>>{e9ho1=7<50;2x 4>628;97)?84;35`>"1m3;:96F:3:l2=f<a?2c>i7>5;nd2>5<<uk;jh7?52;294~"6080:=?5+166953b<,?o1=<;4H418j4?d2o20e8k50;9lb4<722wi=lm51;094?6|,82:6<?=;%340?71l2.=i7?>5:J67>h61j0m56g:e;29?j`62900qo?nb;396?6=8r.:4<4>139'522=9?n0(;k51078L05<f83h6ko4i4g94?=hn80;66sm2e:95?4=83:p(<6>:031?!70<3;=h6*9e;321>N2;2d:5n4ib:k6a?6=3fl:6=44}c0g0?7=:3:1<v*>808257=#9>>1=;j4$7g9543<@<90b<7l:ga8m0c=831dj<4?::a6a6=9381<7>t$0:2>4753-;<87?9d:&5a?76=2B>?6`>9b8e`>o2m3:17bh>:188yg4dk3;1>7>50z&2<4<69;1/=::517f8 3c=98?0D8=4n0;`>cc<a<o1<75`f083>>{e:j21=7<50;2x 4>628;97)?84;35`>"1m3;:96F:3:l2=f<an2c>i7>5;nd2>5<<uk8h87?52;294~"6080:=?5+166953b<,?o1=<;4H418j4?d28:;7d;j:188kc7=831vn?m?:081>5<7s-;3=7?>2:&231<6>m1/:h4>149K16=i90i1==?4i4g94?=hn80;66sm2ca95?4=83:p(<6>:031?!70<3;=h6*9e;321>N2;2d:5n4>039j1`<722em=7>5;|`1e0<62;0;6=u+1939544<,8=?6<8k;%4f>4723A?87c?6c;337>o2m3:17bh>:188yg4f<3;1>7>50z&2<4<69;1/=::517f8 3c=98?0D8=4n0;`>4633`?n6=44og394?=zj;k86<4=:183!7?93;:>6*>75822a=#>l0:=85G529m5<e=99?0e8k50;9lb4<722wi>l<51;094?6|,82:6<?=;%340?71l2.=i7?>5:J67>h61j0:<;5f5d83>>ia93:17pl=a082>7<729q/=5?51008 41328<o7)8j:036?M343g;2o7??7:k6a?6=3fl:6=44}c0b4?7=:3:1<v*>808257=#9>>1=;j4$7g9543<@<90b<7l:02;?l3b2900ck?50;9~f7?a28096=4?{%3;5?76:2.:;94>6e9'2`<69<1C9>5a18a955?<a<o1<75`f083>>{e:0o1=7<50;2x 4>628;97)?84;35`>"1m3;:96F:3:l2=f<68h1b9h4?::me5?6=3th8;:4>:483>5}#91;1;;5+578243=#9>>1=;j4H418j4?d28:i7d;i:188m36=83B??65f6083>>o1:3:17b?>5;29?xd40?0:684?:1y'5=7=??1/9;4>0`9'522=9?n0D8=4n0;`>46d3`?m6=44i7294?=n>80;66g92;29?j76=3:17pl=d482>1<729q/=5?519d8 41328<o7E;<;o3:g?77l2c>j7>5;h43>5<<a8<h6=44og394?=zj;n=6<4::183!7?93==7);9:gd8 41328<o7E;<;o3:g?77m2c>j7>5;h43>5<<a?;1<75f6383>>i69<0;66sm2e595?3=83:p(<6>:648 00=n?1/=::517f8L05<f83h6<>i;h7e>5<<a?:1<75f6083>>o1:3:17b?>5;29?xd5jm0:694?:1y'5=7=91l0(<9;:04g?M343g;2o7?>0:k6b?6=3`<;6=44i04`>5<<go;1<75rb3`f>4<2290;w)?71;55?!312o90(<9;:04g?M343g;2o7?>1:k6b?6=3`<;6=44i7394?=n>;0;66a>1483>>{e:kl1=7;50;2x 4>62><0(8851128 41328<o7E;<;o3:g?76:2c>j7>5;h43>5<<a?;1<75f6383>>i69<0;66sm2bf95?2=83:p(<6>:0:e?!70<3;=h6F:3:l2=f<69:1b9k4?::k54?6=3`;=o7>5;nd2>5<<uk8hi7?55;294~"6080<:6*:6;d1?!70<3;=h6F:3:l2=f<69=1b9k4?::k54?6=3`<:6=44i7094?=h98?1<75rb3ae>4<2290;w)?71;55?!312oo0(<9;:04g?M343g;2o7?>5:k6b?6=3`<;6=44i7394?=n>;0;66a>1483>>{e:j?1=7:50;2x 4>6282m7)?84;35`>N2;2d:5n4>179j1c<722c=<7>5;h35g?6=3fl:6=44}c0`2?7==3:1<v*>80842>"2>3li7)?84;35`>N2;2d:5n4>169j1c<722c=<7>5;h42>5<<a?81<75`10794?=zj;i<6<4::183!7?93==7);9:g:8 41328<o7E;<;o3:g?7602c>j7>5;h43>5<<a?;1<75f6383>>i69<0;66sm2e395?2=83:p(<6>:0:e?!70<3;=h6F:3:l2=f<6901b9k4?::k54?6=3`;=o7>5;nd2>5<<uk8o>7?55;294~"6080<:6*:6;dg?!70<3;=h6F:3:l2=f<69h1b9k4?::k54?6=3`<:6=44i7094?=h98?1<75rb3f0>4<2290;w)?71;55?!312o>0(<9;:04g?M343g;2o7?>b:k6b?6=3`<;6=44i7394?=n>;0;66a>1483>>{e:j;1=7:50;2x 4>6282m7)?84;35`>N2;2d:5n4>1b9j1c<722c=<7>5;h35g?6=3fl:6=44}c0`6?7==3:1<v*>80842>"2>3lj7)?84;35`>N2;2d:5n4>1e9j1c<722c=<7>5;h42>5<<a?81<75`10794?=zj;i86<4::183!7?93==7);9:g;8 41328<o7E;<;o3:g?76m2c>j7>5;h43>5<<a?;1<75f6383>>i69<0;66sm2b;95?2=83:p(<6>:0:e?!70<3;=h6F:3:l2=f<69o1b9k4?::k54?6=3`;=o7>5;nd2>5<<uk8hm7?55;294~"6080<:6*:6;d6?!70<3;=h6F:3:l2=f<6:91b9k4?::k54?6=3`<:6=44i7094?=h98?1<75rb3aa>4<2290;w)?71;55?!3128::7)?84;35`>N2;2d:5n4>209j1c<722c=<7>5;h42>5<<a?81<75`10794?=zj;ki6<4=:183!7?93<87)?84;35`>"1m3<>7E;<;o3:g?75:2c>i7>5;nd2>5<<ukh36<4=:183!7?93==7);9:032?!70<3;=h6F:3:l2=f<6::1b9k4?::m250<722wi>kh51;094?6|,82:6:84$449547<,8=?6<8k;I70?k7>k3;986g:f;29?j76=3:17pl<1e82>7<729q/=5?5779'13<6981/=::517f8L05<f83h6<<:;h7e>5<<g8;>6=44}c02b?7=:3:1<v*>80842>"2>3;:=6*>75822a=O=:1e=4m51348m0`=831d=<;50;9~f6>7280>6=4?{%3;5?113-?=6<>8;%340?71l2B>?6`>9b8262=n=o0;66g90;29?l062900e;<50;9l543=831vn>6>:087>5<7s-;3=7?7f:&231<6>m1C9>5a18a957><a<l1<75f6183>>o6>j0;66ai1;29?xdc13;157>50z&2<4<6?>1/9;4>769'522=9?n0(;754:J67>h61j0:>45f3783>>o5n3:1D;l4;h0`>5<<a8i1<75f1e83>>o6n3:17d<?:188k42?2900c<:6:188yg4?n3;1:7>50z&62?203-;<87?9d:&2<4<60m1/=:m5249'2<<43A?87c?6c;31e>o4>3:17d<i:18K2g=<a:;1<75f15494?=n:j0;66a>4983>>{e:m31=7850;2x 00=<>1/=::517f8 4>6282o7)?8c;06?!0>2:1C9>5a18a957d<a:<1<75f2g83>M0e32c8=7>5;h372?6=3`8h6=44o06;>5<<uk8om7?56;294~"2>3><7)?84;35`>"6080:4i5+16a960=#>0087E;<;o3:g?75k2c8:7>5;h0e>5<O>k10e>?50;9j510=831b>n4?::m20=<722wi>il51;494?6|,<<18:5+166953b<,82:6<6k;%34g?423-<26>5G529m5<e=9;n0e>850;9j6c<72A<i76g<1;29?l73>3:17d<l:188k42?2900qo<60;397?6=8r.:4<486:&62?7702.:;94>6e9K16=i90i1=?k4i4d94?=n>90;66a>1483>>{e:1o1=7850;2x 00=<>1/=::517f8 4>6282o7)?8c;06?!0>2:1C9>5a18a957`<a:<1<75f2g83>M0e32c8=7>5;h372?6=3`8h6=44o06;>5<<uk9<57?53;294~"6080<:6*:6;33f>"6?=0::i5G529m5<e=9::0e8h50;9j25<722e:=84?::a710=93?1<7>t$0:2>20<,<<1==;4$057>40c3A?87c?6c;305>o2n3:17d8?:188m37=831b:?4?::m250<722wi>9m51;794?6|,82:6:84$44955b<,8=?6<8k;I70?k7>k3;8>6g:f;29?l072900e;?50;9j27<722e:=84?::a72e=93?1<7>t$0:2>20<,<<1==h4$057>40c3A?87c?6c;307>o2n3:17d8?:188m37=831b:?4?::m250<722wi=l<51;394?6|,82:6<?;;%4f>4723-=86<<:;I70?k7>k3;886g>7383>>{e9h>1=7?50;2x 4>628;?7)8j:036?!14288?7E;<;o3:g?74=2c:;?4?::a5d0=93;1<7>t$0:2>4733-<n6<?:;%50>44?3A?87c?6c;302>o6?;0;66sm1`;95?7=83:p(<6>:037?!0b28;>7)9<:004?M343g;2o7?<7:k237<722wi=lh51;394?6|,82:6<?;;%4f>4723-=86<?l;I70?k7>k3;846g>7383>>{e9k;1=7?50;2x 4>62?l0(;k5649'36<6;91C9>5a18a956?<g8=86=44}c3a6?7=93:1<v*>8085b>"1m3<>7)9<:012?M343g;2o7?<a:m236<722wi=o=51;394?6|,82:6;h4$7g920=#?:0:??5G529m5<e=9:h0c<9<:188yg7e=3;1=7>50z&2<4<1n2.=i78:;%50>4543A?87c?6c;30g>i6?:0;66sm1g695?7=83:p(<6>:037?!0b28;>7)9<:03b?M343g;2o7?<d:k237<722wi=k851;394?6|,82:6<?;;%4f>4723-=86<?6;I70?k7>k3;8i6g>7383>>{e:0;1=7?50;2x 4>62?l0(;k5649'36<69k1C9>5a18a956`<g8=86=44}c0:7?7=93:1<v*>8085b>"1m3<>7)9<:03g?M343g;2o7?;0:m236<722wi>4;51;394?6|,82:6<?;;%4f>4723-=86<=;;I70?k7>k3;?=6g>7383>>{e:0<1=7?50;2x 4>628;?7)8j:036?!14289=7E;<;o3:g?73:2c:;?4?::a6<1=93;1<7>t$0:2>4733-<n6<?:;%50>45?3A?87c?6c;377>o6?;0;66sm28:95?7=83:p(<6>:037?!0b28;>7)9<:01a?M343g;2o7?;4:k237<722wi>4751;394?6|,82:6<?;;%4f>4723-=86<=j;I70?k7>k3;?96g>7383>>{e:0k1=7?50;2x 4>628;?7)8j:036?!14288;7E;<;o3:g?73>2c:;?4?::a6<d=93;1<7>t$0:2>4733-<n6<?:;%50>4443A?87c?6c;373>o6?;0;66sm28f95?7=83:p(<6>:037?!0b28;>7)9<:03f?M343g;2o7?;8:k237<722wi>l951;394?6|,82:6;h4$7g920=#?:0:>?5G529m5<e=9=30c<9<:188yg4e83;1=7>50z&2<4<69=1/:h4>149'36<6911C9>5a18a951g<a8=96=44}c0a7?7=93:1<v*>808251=#>l0:=85+72826g=O=:1e=4m515`8m4152900qo<m4;395?6=8r.:4<4>159'2`<69<1/;>4>2`9K16=i90i1=9m4i051>5<<uk8i97?51;294~"6080:=95+6d8250=#?:0:>h5G529m5<e=9=n0e<9=:188yg4e>3;1=7>50z&2<4<69=1/:h4>149'36<6:j1C9>5a18a951c<a8=96=44}c0a3?7=93:1<v*>808251=#>l0:=85+72825c=O=:1e=4m515d8m4152900qo<m8;395?6=8r.:4<4>159'2`<69<1/;>4>289K16=i90i1=8>4i051>5<<uk8i57?51;294~"6080:=95+6d8250=#?:0:>k5G529m5<e=9<;0e<9=:188yg4ej3;1=7>50z&2<4<69=1/:h4>149'36<6:m1C9>5a18a9504<a8=96=44}c0gg?7=93:1<v*>8085b>"1m3<>7)9<:016?M343g;2o7?:3:m236<722wi>ij51;394?6|,82:6;h4$7g920=#?:0:?:5G529m5<e=9<>0c<9<:188yg4cm3;1=7>50z&2<4<1n2.=i78:;%50>45f3A?87c?6c;361>i6?:0;66sm2ed95?7=83:p(<6>:7d8 3c=><1/;>4>3b9K16=i90i1=884o050>5<<uk8n<7?51;294~"6080=j6*9e;46?!14289o7E;<;o3:g?72?2e:;>4?::a6`7=93;1<7>t$0:2>3`<,?o1:85+728264=O=:1e=4m514:8k4142900qo<j2;395?6=8r.:4<49f:&5a?023-=86<<9;I70?k7>k3;>56a>7283>>{e:l>1=7?50;2x 4>62?l0(;k5649'36<6;01C9>5a18a950g<g8=86=44}c1;3?7=93:1<v*>808251=#>l0:=85+728252=O=:1e=4m514`8m4152900qo<nd;396?7=;r.:4<4:d:J2<5=i90i1=8m4i0da>4<<g8lj6<44b0d`>5<3290;w)?71;3;g>"6?=0::i5G529m5<e=9<n0e8h50;9j25<722c::n4?:I4a?>ia93:17p}>fc83>7}Y9oh01<hl:4d8yv7ai3:1>vP>f`9>5ce=n81v<hk:18287ak3;=o6srb3cf>4<52808w)?71;7g?M7?82d:5n4>5d9j6dd=931d>l651;9a6d?=83>1<7>t$0:2>4>d3-;<87?9d:J67>h61j0:9k5f5g83>M2432c=<7>5;h35g?6=@?h07bh>:188yv4fj3:1>vP=ac9>6d?==o1v?o7:181[4f0279m44i1:p6dg=83;p1?o6:04`?x{e:hl1=7<51;1x 4>62<n0D<6?;o3:g?7182c88>4>::m007<622h8894?:583>5}#91;1=5m4$057>40c3A?87c?6c;355>o2n3:17d8?:188m40d290C:o54og394?=z{:>86=4={_177>;4<=0>j6s|35094?4|V:>970=;4;d2?xu4<<0;6<u2356953e<uth887?50;294~N61<1/=5?5329K52c<@o:0b<7l:041?xd6<j0:6=4?:1yK5<1<,82:6<:m;I34a>Na82d:5n4>629~w4372909w0?k2;15?8d>2o;0q~<n8;2962}:9m81>k521e196c=:9mo1>k521d196c=:9l>1>k521d796c=:9l<1>k521d596c=:9l21>k521d;96c=:9m>1>k521e796c=:9m<1>k521e596c=:9m21>k521e;96c=:9mk1>k521e`96c=:9mi1>k521ef96c=:9ml1>k521d296c=:9l;1>k521d096c=:;=81>k5227096c=::?;1>k5227296c=::?k1>k5227;96c=::?21>k5227596c=::?<1>k5227796c=::?>1>k5227196c=::<l1>k5224g96c=::ho1>l64}r3ae?6=:r7:h?4>499>f=<2n2wx=8m50;0x94b42:<01n:5f09~w4e22908w0?k3;37<>;>n3;=o63l4;53?xu6>;0;6?u21eg973=:kh0m=6s|1bc94?5|58nn6<:7;<c4>40d34ij6:>4}r350?6=:r7:i>4<6:?`f?`63ty:oo4?:2y>5`5=9=201l7517a89fd=?91v<89:18187b<39=70ml:g38yv7dk3:1?v3>e5820==:ik0::n52cb844>{t9?=1<7<t=0g6>60<5jn1j<5rs0ag>5<4s4;n97?;8:?b`?71k27hh79?;|q22=<72;q6=h85379>g`<a92wx=nk50;1x94c128>370oi:04`?8eb2>:0q~?99;296~;6m>08:63lf;d2?xu6ko0;6>u21d5951><5k;1=;m4=bd935=z{8<j6=4={<3f<?5134n;6k?4}r3g4?6=;r7:i54>499>f6<6>j16h=480:p53d=838p1<k6:2489a7=n81v<j>:18087b13;?463m5;35g>;c93=;7p}>5383>7}:9m>1?;52b`8e5>{t9kh1<7=t=0f7>42?342i6<8l;<`b>26<uz;>?7>52z?2`0<4>27in7h>;|q2ff<72:q6=i;515:89=b=9?i01ol5719~w4332909w0?k6;15?8dd2o;0q~?md;297~;6l?0:85528g822f=:jj0<<6s|14794?4|58n<6>84=cf9b4=z{8hn6=4<{<3g3?730272=7?9c:?a`?173ty:9;4?:3y>5a>=;?16nh4i1:p5g`=839p1<j7:06;?8?428<h70lj:628yv72?3:1>v3>d8802>;en3l:7p}>c183>6}:9m31=964=87953e<5kl1;=5rs07;>5<5s4;om7=9;<a3>c7<uz;h=7>53z?2`d<6<1165:4>6b9>g5<082wx=8750;0x94be2:<01n?5f09~w4e52908w0?kb;37<>;>13;=o63l1;53?xu6=h0;6?u21ea973=:k;0m=6s|1b194?5|58nh6<:7;<;a>40d34i96:>4}r36f?6=:r7:hi4<6:?`7?`63ty:o94?:2y>5ab=9=2014j517a89f5=?91v<;j:18187cn39=70m::g38yv7d>3:1?v3>dg820==:i80::n52c4844>{t9<l1<7<t=0g3>60<5j<1j<5rs0a4>5<4s4;n<7?;8:?b7?71k27h:79?;|q225<72;q6=h?5379>g2<a92wx=n650;1x94c628>370o::04`?8e02>:0q~?91;296~;6m;08:63l8;d2?xu6k00;6;u21d0951><58on6?h4=0ge>7`<58l;6?h4=0d2>7`<5j21;=5rs0gb>5<cs4;ni7=9;<3fa?73027o?78?;<f7>37<5m?1:<521g1925=::m<1:?522cg927=::jo1:?522b4927=::m81:<522b0927=::jk1:<5rs0d1>5<2s4;ni7=>;<3fb?5634;m<7=>;<3e5?5634;m?7?>5:p50b=838p1<ki:2489a5=98?0q~?jb;29g~;6mo0:8552d286b>;c<3<;70j::72894`42?;01?j9:73897db2?;01?mj:72897e12?:01?j=:72897e52?;01?mn:4d8yv71;3:1>v3>f1802>;c<3;:96s|1da94?d|58l;6<:7;<f7>0`<5m?1:?521g1927=::m<19k522cg925=::jo19k522b4924=::m819k522b0925=::jk1:?5rs046>5<5s4;m=7=9;<f6>4723ty:ii4?:`y>5c7=9=201i;55g9>5c5==o16>i85619>6gc==o16>nk5609>6f0==o16>i<5639>6f4==o16>no5619~wad=832p15o5369>6`b=;>16?=l5369>7=4=;?16?9<5379>651=;>16h44>c:?1`<<4>2wxhl4?:2fx9=g=:m16n44=d:?:b?5034k<6>94=`;972=:ik08;63nd;14?8ga2:=01o?5369>f6<4?27i97=8;<:a>61<51n1?:528g803>;>939<707<:2589<3=;>165:4<7:?:=?50343i6>94=8f972=:i808;63n3;14?8g22:=01?hl:25897`f2:=01?h7:25897`12:=01?h;:25897`52:=01?h?:25897cb2:=01>>?:3f897cc2;n01>?n:258967?2:=01>?9:25896732:=01>?=:25896772:=01>>j:258966d2:=01>?j:3f8966e2;n01?>n:258976?2:=01??l:258977f2:=01??7:25897712:=01??;:25897752:=01???:258976b2:=01?>l:25897472;n01?>8:3f896>62?:01i751e9>`<<6n27o57<?;|qa3?6=;r73m7?9c:?a=?1734h36<?:;|q;e?6=;r73m7h>;<;e>7b<5j>1>i5rs8d94?5|50l1j<52a681`>;di38o7p}n7;297~;f?3l:70o6:3f89fd=:m1vl750;1x9d?=n816mo4=d:?`g?4c3tyjn7>53z?bf?`634ko6?j4=bf96a=z{hn1<7=t=`f9b4=:io09h63le;0g?xufn3:1?v3nf;d2?8d62;n01nh52e9~wg7=839p1o?5f09>f6<5l27o<7<k;|qa7?6=;r7i?7h>;<`6>7b<5m;1>i5rsc794?5|5k?1j<528c81`>;ei38o7p}7b;297~;?j3l:706k:3f89gd=:m1v5j50;1x9=b=n8164k4=d:?ag?4c3ty3j7>53z?;b?`6343:6?j4=cf96a=z{0;1<7=t=839b4=:1:09h63me;0g?xu>;3:1?v363;d2?8?22;n01oh52e9~w<3=839p14;5f09>=2<5l27h<7<k;|q:3?6=;r72;7h>;<;:>7b<5j;1>i5rs8;94?5|5031j<529c81`>;d:38o7p}6b;297~;>j3l:707k:3f89f5=:m1v4j50;1x9<b=n816m<4=d:?`1?4c3tyj=7>53z?b5?`634k86?j4=b496a=z{h91<7=t=`19b4=:i<09h63l7;0g?xuf=3:1>v3n5;d2?8e?2;n0q~=:2;293~;4800<<63<5b820==:;<l19k52370927=:;=21:?5234g924=:;1<1:<5rs3d`>5<5s49;57<k;<0eg?`63ty8?h4?:3y>75?=n816?8m5379~w636290<w0=?8;53?84ak3;=o63<5c820==:;<l1:=52372925=:;1>19k5235491c=z{;lj6=4<{<13<?4c348mo7<k;<0ee?`63ty8?n4?:3y>75>=n816?8l5379~w637290<w0=?7;53?84ai3;=o63<5`820==:;<l1:<52372924=:;1>1:?52354927=z{;l36=4<{<133?4c348mm7<k;<0e<?`63ty8?l4?:3y>751=n816?8o5379~w62a290>w0=?6;53?84a03;=o63<58820==:;1>1:=5237391c=z{;l=6=4<{<132?4c348m47<k;<0e2?`63ty8?54?:3y>750=n816?875379~w62b290<w0=?5;53?84a>3;=o63<59820==:;?81:<52396924=:;=21:<5234g91c=z{;l?6=4<{<131?4c348m:7<k;<0e0?`63ty8?;4?:3y>753=n816?865379~w62c290>w0=?4;53?84a<3;=o63<56820==:;1?19k52373925=z{;l96=4<{<130?4c348m87<k;<0e6?`63ty8?94?:3y>752=n816?895379~w62d290=w0=?3;53?84a:3;=o63<57820==:;?819k52397925=:;=<1:<5rs3d3>5<4s49;?7<k;<0e6?4c348m<7h>;|q077<72;q6?==5f09>700=;?1v>:m:184857:3=;70<i0;35g>;4=<0:8552370925=:;1?1:<5235:91c=:;<o1:=5rs3gf>5<4s49;>7<k;<0e4?4c348ni7h>;|q075<72;q6?=<5f09>703=;?1v>:n:18685793=;70<je;35g>;4==0:8552397927=:;?;1:<5rs3gg>5<4s49;=7<k;<0fa?4c348nh7h>;|q06`<72;q6?=?5f09>702=;?1v?hj:18085783=;70<jd;35g>;5no0:=85rs20`>5<5s49;<7h>;<167?513ty8:n4?:4y>771=?916?:8515:896272?;01>6>:04`?850k3?m7p}<1`83>7}:;;=1>i5230c9b4=z{:9m6=4={<113?`6349<:7=9;|q02g<72?q6??85719>74g=9?i01>9::06;?85393<;70=70;41?850k3<97p}<1983>6}:;;<1>i5230c96a=:;821j<5rs21g>5<5s499:7h>;<141?513ty8:l4?:5y>773=?916?<6517a8961328>370=88;43?xu49?0;6>u233796a=:;821>i523049b4=z{:9i6=4={<111?`6349<87=9;|q02<<72=q6??:5719>740=9?i01>9<:06;?85003<:7p}<1583>6}:;;>1>i5230496a=:;8>1j<5rs21:>5<5s49987h>;<147?513ty8:54?:7y>775=?916?<:517a8961528>370=;1;7e?85?83<:70=8c;43?xu49;0;6>u233196a=:;8>1>i523009b4=z{:9<6=4={<117?`6349<>7=9;|q022<72=q6??<5719>744=9?i01>9>:06;?85013<;7p}<1183>6}:;;81>i5230096a=:;8:1j<5rs216>5<5s499>7h>;<145?513ty8:;4?:7y>777=?916?<>517a8961728>370=;1;42?850?3<:70=70;43?xu48l0;6>u233396a=:;8:1>i5231g9b4=z{:986=4={<115?`6349<<7=9;|q020<72=q6??>5719>75c=9?i01>8i:06;?85013?m7p}<0b83>6}:;;:1>i5231g96a=:;9i1j<5rs212>5<5s499<7h>;<15b?513ty8:94?:5y>74`=?916?=m517a8960b28>370=88;7e?xu48k0;6>u230d96a=:;9i1>i5231`9b4=z{:8m6=4={<12b?`6349=i7=9;|q05f<72:q6?<k5719>75d=9?i01>?k:036?xu4:m0;6?u230g9b4=:;?n1?;5rs261>5<6>r78;;4=f:?030<5n278;94=f:?036<5n278;?4=f:?034<5n278;=4=f:?02c<5n278:h4=f:?02a<5n2789n4=f:?01g<5n2789l4=f:?01<<5n278954=f:?012<5n2789;4=f:?010<5n278994=f:?016<5n2784?4=f:?1ec<4<;1v>98:18a850>39:70=85;12?850<39:70=83;12?850:39:70=81;12?850839:70=9f;12?851m39:70=9d;12?850?3;:96s|39094?e|5:==6?m4=256>7e<5:=?6?m4=250>7e<5:=96?m4=252>7e<5:=;6?m4=24e>7e<5:<n6?m4=24g>7e<5:296<:7;<14g?063ty8:>4?:5y>73b=9=201>:?:72896102<l01>?k:4d8yv52l3:1nv3<5b805>;4=k08=63<5`805>;4=008=63<59805>;4=>08=63<57805>;4=<08=63<55805>;4=:08=63<5d8250=z{:>26=4;{<167?730278:<492:?0<3<2n279jk4:f:p7=5=838p1>6=:23896>128;>7p}<4283>6}:;=81?<52350951><5;km6>:<;|q111<721q6>?=5719>634=9=201<o::73894g42?:01?>?:4d897652<l01?>9:4d897632<l0q~<?a;296~;5::09h63=0`8e5>{t::;1<7<t=300>c7<5;<96>84}r067?6=;r79>?480:?14d<6>j16>;?515:8yv4703:1?v3=2381`>;58h09h63=098e5>{t:::1<7<t=301>c7<5;<:6>84}r066?6=;r79><480:?14=<6>j16>;>515:8yv46k3:1?v3=2081`>;58109h63=1b8e5>{t:;l1<7<t=302>c7<5;<;6>84}r06`?6=;r79>i480:?15f<6>j16>;o515:8yv46i3:1?v3=2e81`>;59j09h63=1`8e5>{t::k1<7<t=30g>c7<5;<j6>84}r06g?6=;r79>n480:?15d<6>j16>;7515:8yv4603:1?v3=2b81`>;59h09h63=198e5>{t::31<7<t=30`>c7<5;<26>84}r06f?6=;r79>o480:?15=<6>j16>;6515:8yv46>3:1?v3=2c81`>;59109h63=178e5>{t::21<7<t=30a>c7<5;<36>84}r06e?6=;r79>l480:?153<6>j16>;9515:8yv46<3:1?v3=2`81`>;59?09h63=158e5>{t::=1<7<t=30b>c7<5;<<6>84}r06=?6=;r79>4480:?151<6>j16>;8515:8yv46:3:1?v3=2881`>;59=09h63=138e5>{t::<1<7<t=30:>c7<5;<=6>84}r06<?6=;r79>5480:?157<6>j16>;;515:8yv4683:1?v3=2981`>;59;09h63=118e5>{t::?1<7<t=30;>c7<5;<>6>84}r063?6=?r79>:480:?155<6>j16>;:515:894`b2;l01<hn:3d894`?2;l01<h6:3d8yv47m3:1?v3=2681`>;59909h63=0d8e5>{t::>1<7<t=304>c7<5;<?6>84}r062?6=;r79>;480:?14`<6>j16>;=515:8yv47k3:1?v3=2781`>;58l09h63=0b8e5>{t::91<7<t=305>c7<5;<86>84}r061?6=;r79>8480:?14f<6>j16>8h515:8yv47?3:1?v3=2481`>;58j09h63=068e5>{t::81<7<t=306>c7<5;?m6>84}r02a?6=;r79>=480:?142<6>j16><h51078yv45m3:1>v3=218e5>;5=l08:6s|24394?4|5;?n6<:7;<02b?3a3ty9;>4?:2y>61g=;?16>:j515:8971d2:<0q~?ia;2965}::=k1>k5225;96c=::=21>k5225596c=::=<1>k5225796c=::=>1>k5225196c=::1n1>k5229a96c=::1h1>k5229c96c=::131>k5229:96c=::1=1>k5226496c=::>o1>k5226f96c=::>i1>k5226`96c=::>k1>k5226;96c=::>21>k5226596c=::>?1>k52d881b>;50o09j63=d881b>;5lh09j63=dc81b>;50l09j63=ae82bd=z{;n36=4=4z?10d<49279844<1:?10=<492798:4<1:?103<49279884<1:?101<492798>4<1:?1<a<492794n4<1:?1<g<492794l4<1:?1<<<49279454<1:?1<2<49279;;4<1:?13`<49279;i4<1:?13f<49279;o4<1:?13d<49279;44<1:?13=<49279;:4<1:?130<4927:jh4<1:?2bd<4927:j54<1:?2b<<4927:m>491:?2f3<a9279h54:e:?1`<<49279hl4<1:?1`g<492794h4<1:p61d=833p1?:n:3a8972>2;i01?:7:3a897202;i01?:9:3a897222;i01?:;:3a897242;i01?:i:036?xu5<;0;6?u225c951><5;:=6;?4}r046?6=;r79844<6:?13f<6<116>:l5379~w7262909w0<;9;37<>;58=0==6s|26394?5|5;>36>84=35a>42?348<m7=9;|q105<72;q6>96515:897652?;0q~<80;297~;5<>08:63=7`820==::>31?;5rs31e>5<5s48?;7?;8:?145<192wx>;h50;1x97212:<01?96:06;?840039=7p}=3d83>7}::=<1=964=325>36<uz8=i7>53z?100<4>279;54>499>621=;?1v?=k:181843=3;?463=05854>{t:?n1<7=t=367>60<5;=<6<:7;<041?513ty9?n4?:3y>612=9=201?>=:728yv41k3:1?v3=42802>;5?<0:8552d8802>{t::h1<7<t=360>42?348;<78?;|q1<3<72:q6>5j5379>606=>;16>5k515:8yv4?=3:1?v3=8e820==::1i1?;52242924=z{;2?6=4<{<0;g?7302794o4<6:?115<182wx>5=50;1x97>e28>370<7a;15?84283?m7p}=8383>6}::1k1=964=3::>60<5;>h6;<4}r0;5?6=;r79444>499>6=>=;?16>9m5609~w7>72908w0<78;37<>;50>08:63=4b854>{t:>l1<7<t=3:4>42?348?o7;i;|q2b`<72;q6>:85379>5cc=9=20q~<9b;296~;5??0:855226g973=z{;=?6=4={<04a?730279;i4<6:p5c>=838p1<hj:24894`?28>37p}>f883>7}:9ok1?;521g;951><uz;mn7>52z?2bd<6<116>lj51g`8yv4><3:1>v3>f9802>;51=0m=6s|28094?4|58l26>84=3;1>c7<uz82i7>5dz?2ed<2n27:m:4:f:?2e0<2n27:m>4:f:?1a0<a92795h4:e:?1`0<6>j16>oj517a897ec28<h70<l5;35g>;5l80::n522b3953e<5;i26<8l;|q2f<<72;q6=lo5619>5g?=n81v<oj:18187fi3;:963>ad86a>{t9k21<7<t=0c4>36<58h36k?4}r3b`?6=:r7:m:4>149>5db==l1v<h8:181852n3;:963>f686a>{t9k=1<7<t=0c6>36<58h<6k?4}r3bg?6=:r7:m84>149>5de==l1v<om:18187f;3;:963>ac86a>{tlj0;6?u23539543<5:>;6;<4}r11=?6=<r788=4:f:?03=<69<16?:95639>7=6==o1v<l?:18185383;:963>b186a>{tlm0;6?u23709543<5:<;6;<4}r11f?6=;r78:=4:f:?024<69<16?985619~w7d62909w0=90;321>;5j80>i6s|36`94?4|5:=36;<4=25:>4723ty8494?:3y>7=2=98?01>69:728yv5?=3:1>v3<848250=:;1<1:?5rs20b>5<4s49?478?;<16a?05349?:7?>5:p5c3=838p1>:7:036?87a=3?n7p}>fg83>=}::9:1=<;4=3f4>34<5;hm68h4=3ae>36<5;i<6;<4=3f0>37<5;i868h4=3aa>34<uz8><7>52z?115<69<16>9h5619~w72b2909w0<;f;7e?843k3;:96s|21394?>|5;:96<?:;<0g3?3a348ij78?;<0`b?05348h;7;i;<0g7?3a348h?78>;<0`f?3a3ty9<84?:9y>650=98?01?j8:73897da2?801?mi:4d897e02?:01?j<:72897e42?801?mm:728yv47;3:14v3=058250=::m=1:=522cd924=::jl1:<522b5924=::m91:?522b1925=::jh1:<5rs3;2>5<4s482>7;j;<0:5?70;2T95<5rs3;0>5<4s48287;j;<0:7?70;2T95>5rs0`6>5<4s4;i57;j;<3a1?70;2T:n85rs0`0>5<4s4;i47;j;<3a7?70;2T:n>5rs0`1>5<4s4;i;7;j;<3a6?70;2T:n?5rs0`2>5<4s4;i:7;j;<3a5?70;2T:n<5rs3g7>5<4s48no7;j;<0f0?70;2T9i95rs3c6>5<5s48no7h>;<0b1?3b3ty9i?4?:2y>6`d==l16>h<51618Z7c53ty9m94?:3y>6`d=n816>l:55d9~w7c62908w0<ja;7f?84b93;<?6P=e09~w7g42909w0<ja;d2?84f;3?n7p}=e183>6}::l319h522d29525<V;o;7p}=a383>7}::l31j<522`091`=z{;nm6=4<{<0f<?3b348oj7?83:\1`c=z{;k:6=4={<0f<?`6348j=7;j;|q1``<72:q6>h955d9>6ac=9>90R?jj;|q1e5<72;q6>h95f09>6d6==l1v?jk:18084b>3?n70<kd;347>X5lm1v?7i:18184b>3l:70<6f;7f?xu5lj0;6>u22d791`=::mi1=:=4^3f`?xu6n?0;6>u21g59b4=:9o<1=:<4^0d5?xu4010;6?u239:91`=:;1;1j<5rs2:4>5<4s49347h>;<1;3?70:2T84:5rs0d7>5<4s4;m97h>;<3e0?70:2T:j95rs3`3>5<4s48i=7h>;<0a4?70:2T9n=5rs0ce>5<4s4;i<7h>;<3bb?70:2T:mk5rs0c:>5<4s4;ji7h>;<3b=?70:2T:m45rs0c5>5<4s4;jh7h>;<3b2?70:2T:m;5rs0c7>5<4s4;jo7h>;<3b0?70:2T:m95rs0c1>5<4s4;jn7h>;<3b6?70:2T:m?5rs3`a>5<4s48o47h>;<0af?70:2T9no5rs3f7>5<5s48o87;j;<0g1?`63ty9n44?:2y>6a2=n816>o751608Z7d>3ty9h=4?:3y>6a6==l16>i?5f09~w7d?2908w0<k0;d2?84e03;<>6P=b99~w7ed2909w0<lc;7f?84dl3l:7p}=b683>6}::ji1j<522c59524<V;h<7p}=c983>7}::j219h522b;9b4=z{;h=6=4<{<0`<?`6348i:7?82:\1f3=z{;i?6=4={<0`0?3b348h97h>;|q1f0<72:q6>n:5f09>6g3=9>80R?l:;|q1g5<72;q6>n>55d9>6f7=n81v?l;:18084d83l:70<m4;346>X5j=1v?ll:18184ek3?n70<md;d2?xu5j:0;6>u22ca9b4=::k91=:<4^3`0?xu51m0;6>u22`79b4=::0n1=:<4^3;g?xu51k0;6>u22`69b4=::0h1=:<4^3;a?xu51h0;6>u22`19b4=::0k1=:<4^3;b?xu5100;6>u22`09b4=::031=:<4^3;:?xu5110;6>u22`39b4=::021=:<4^3;;?xu51>0;6>u22`29b4=::0=1=:<4^3;4?xu51?0;6>u228d9b4=::0<1=:<4^3;5?xu51<0;6>u228g9b4=::0?1=:<4^3;6?xu4?l0;6?u2365925=:;>i1=<;4}rg3>5<5s48o97;i;<0g2?76=2wxi<4?:3y>6a3=>916>i951078yvc52909w0<md;7e?84em3;:96s|e283>7}::kn1:=522cd9543<uzo?6=4={<0``?3a348hi7?>5:pa0<72;q6>nj5619>6f`=98?0q~k9:18184d=3?m70<l6;321>{tm>0;6?u22b7925=::j=1=<;4}rg;>5<5s48o=7;i;<0g6?76=2wxi44?:3y>6a7=>916>i=51078yvcf2909w0<l1;7e?84d:3;:96s|ec83>7}::j;1:=522b19543<uzoh6=4={<0`=?3a348hm7?>5:paa<72;q6>n75619>6fd=98?0q~<n7;297~;5ik0>i63=a68236=Y:h=0q~<nb;296~;5ik0m=63=ad81eg=z{:=m6=4={<1;4?76=2784<4:f:p`=<728q6h44=c:p`2<72;q6h44>499>6=`=;?1v?6i:18184?n3;?463=9186b>{t:m31<7<t=3f:>42?348om7=9;|q1`d<72;q6>io515:897be2:<0q~<kb;296~;5lk0:8552282925=z{;3;6=4={<0:4?76=2794h4<6:~j447=3:1=v`>9b83?xh6:9<1<7<tn0;`>4=zf88;;7>51zl2=f<53td:>=650;3xj4?d2:1vb<<?9;295~h61j0?7p`>21c94?7|f83h685rn003f?6=9rd:5n49;|l265e=83;pb<7l:69~j447l3:1=v`>9b8;?xh6:9o1<7?tn0;`><=zf88;j7>51zl2=f<f3td:><>50;3xj4?d2k1vb<<>1;295~h61j0h7p`>20094?7|f83h6i5rn0027?6=9rd:5n4j;|l2642=83;pb<7l:g9~j446=3:1=v`>9b824>{i9;;=6=4>{o3:g?763td:><950;3xj4?d2880qc?=1983>4}i90i1=>5rn002=?6=9rd:5n4>4:m577f290:wc?6c;36?xh6:8h1<7?tn0;`>40<ug;9=n4?:0ym5<e=9>1vb<<>d;295~h61j0:46sa133f>5<6sg;2o7?6;|l264`=83;pb<7l:0c8yk75:90;6<ua18a95g=zf889=7>51zl2=f<6k2we=?<=:182k7>k3;o7p`>23194?7|f83h6<k4}o3161<728qe=4m51g9~j445=3:1=v`>9b814>{i9;8=6=4>{o3:g?463td:>?950;3xj4?d2;80qc?=2983>4}i90i1>>5rn001=?6=9rd:5n4=4:m574f290:wc?6c;06?xh6:;h1<7?tn0;`>70<ug;9>n4?:0ym5<e=:>1vb<<=d;295~h61j0946sa130f>5<6sg;2o7<6;|l267`=83;pb<7l:3c8yk75;90;6<ua18a96g=zf888=7>51zl2=f<5k2we=?==:182k7>k38o7p`>22194?7|f83h6?k4}o3171<728qe=4m52g9~j444=3:1=v`>9b804>{i9;9=6=4>{o3:g?563td:>>950;3xj4?d2:80qc?=3983>4}i90i1?>5rn000=?6=9rd:5n4<4:m575f290:wc?6c;16?xh6::h1<7?tn0;`>60<ug;9?n4?:0ym5<e=;>1vb<<<d;295~h61j0846sa131f>5<6sg;?97?4n0;`>6?<ug;9?k4?:0ym513=82d:5n4<a:m5727290:wc?6c;1a?xh6:=;1<7?tn0;`>6e<ug;98?4?:0ym5<e=;m1vb<<;3;295~h61j08i6sa1367>5<6sg;2o7=i;|l2613=83;pb<7l:528yk75<?0;6<ua18a904=zf88?;7>51zl2=f<3:2we=?:7:182k7>k3>87p`>25;94?7|f83h69:4}o310d<728qe=4m5449~j443j3:1=v`>9b872>{i9;>h6=4>{o3:g?203td:>9j50;3xj4?d2=20qc?=4d83>4}i90i1845rn007b?6=9rd:5n4;a:m5737290:wc?6c;6a?xh6:<;1<7?tn0;`>1e<ug;99?4?:0ym5<e=<m1vb<<:3;295~h61j0?i6sa1377>5<6sg;2o7:i;|l2603=83;pb<7l:428yk75=?0;6<ua18a914=zf88>;7>51zl2=f<2:2we=?;7:182k7>k3?87p`>24;94?7|f83h68:4}o311d<728qe=4m5549~j442j3:1=v`>9b862>{i9;?h6=4>{o3:g?303td:>8j50;3xj4?d2<20qc?=5d83>4}i90i1945rn006b?6=9rd:5n4:a:m5707290:wc?6c;7a?xh6:?;1<7?tn0;`>0e<ug;9:?4?:0ym5<e==m1vb<<93;295~h61j0>i6sa1347>5<6sg;2o7;i;|l2633=83;pb<7l:728yk75>?0;6<ua18a924=zf88=;7>51zl2=f<1:2we=?87:182k7>k3<87p`>27;94?7|f83h6;:4}o312d<728qe=4m5649~j441j3:1=v`>9b852>{i9;<h6=4>{o3:g?003td:>;j50;3xj4?d2?20qc?=6d83>4}i90i1:45rn005b?6=9rd:5n49a:m5717290:wc?6c;4a?xh6:>;1<7?tn0;`>3e<ug;9;?4?:0ym5<e=>m1vb<<83;295~h61j0=i6sa1357>5<6sg;2o78i;|l2623=83;pb<7l:628yk75??0;6<ua18a934=zf88<;7>51zl2=f<0:2we=?97:182k7>k3=87p`>26;94?7|f83h6::4}o313d<728qe=4m5749~j440j3:1=v`>9b842>{i9;=h6=4>{o3:g?103td:>:j50;3xj4?d2>20qc?=7d83>4}i90i1;45rn004b?6=9rd:5n48a:m57>7290:wc?6c;5a?xh6:1;1<7?tn0;`>2e<ug;94?4?:0ym5<e=?m1vb<<73;295~h61j0<i6sa13:7>5<6sg;2o79i;|l26=3=83;pb<7l:928yk750?0;6<ua18a9<4=zf883;7>51zl2=f<?:2we=?67:182k7>k3287p`>29;94?7|f83h65:4}o31<d<728qe=4m5849~j44?j3:1=v`>9b8;2>{i9;2h6=4>{o3:g?>03td:>5j50;3xj4?d2120qc?=8d83>4}i90i1445rn00;b?6=9rd:5n47a:m57?7290:wc?6c;:a?xh6:0;1<7?tn0;`>=e<ug;95?4?:3ym5<e=0m1vb<<63;295~h61j03i6sa13;7>5<6sg;2o76i;|l26<3=83;pb<7l:828yk751?0;6<ua18a9=4=zf882;7>51zl2=f<>:2we=?77:182k7>k3387p`>28;94?4|f83h64:4}o31=d<728qe=4m5949~j44>j3:1=v`>9b8:2>{i9;3h6=4>{o3:g??03td:>4j50;3xj4?d2020qc?=9d83>4}i90i1545rn00:b?6=9rd:5n46a:m57g7290:wc?6c;;a?xh6:h;1<7?tn0;`><e<ug;9m?4?:0ym5<e=1m1vb<<n3;295~h61j02i6sa13c7>5<6sg;2o77i;|l26d3=83;pb<7l:`28yk75i?0;6<ua18a9e4=zf88j;7>51zl2=f<f:2we=?o7:182k7>k3k87p`>2`;94?7|f83h6l:4}o31ed<728qe=4m5a49~j44fj3:1=v`>9b8b2>{i9;kh6=4>{o3:g?g03td:>lj50;3xj4?d2h20qc?=ad83>4}i90i1m45rn00bb?6=9rd:5n4na:m57d7290:wc?6c;ca?xh6:k;1<7?tn0;`>de<ug;9n?4?:0ym5<e=im1vb<<m3;295~h61j0ji6sa13`7>5<6sg;2o7oi;|l26g3=83;pb<7l:c28yk75j?0;6<ua18a9f4=zf88i;7>51zl2=f<e:2we=?l7:182k7>k3h87p`>2c;94?7|f83h6o:4}o31fd<728qe=4m5b49~j44ej3:1=v`>9b8a2>{i9;hh6=4>{o3:g?d03td:>oj50;3xj4?d2k20qc?=bd83>4}i90i1n45rn00ab?6=9rd:5n4ma:m57e7290:wc?6c;`a?xh6:j;1<7?tn0;`>ge<ug;9o?4?:3ym5<e=jm1vb<<l3;295~h61j0ii6sa13a7>5<6sg;2o7li;|l26f3=83;pb<7l:b28yk75k?0;6<ua18a9g4=zf88h;7>51zl2=f<d:2we=?m7:182k7>k3i87p`>2b;94?7|f83h6n:4}o31gd<728qe=4m5c49~j44dj3:1=v`>9b8`2>{i9;ih6=4>{o3:g?e03td:>nj50;3xj4?d2j20qc?=cd83>4}i90i1o45rn00`b?6=9rd:5n4la:m57b7290:wc?6c;aa?xh6:m;1<7?tn0;`>fe<ug;9h?4?:0ym5<e=km1vb<<k3;295~h61j0hi6sa13f7>5<6sg;2o7mi;|l26a3=83;pb<7l:e28yk75l?0;6<ua18a9`4=zf88o;7>51zl2=f<c:2we=?j7:182k7>k3n87p`>2e;94?7|f83h6i:4}o31`d<728qe=4m5d49~j44cj3:1=v`>9b8g2>{i9;nh6=4>{o3:g?b03td:>ij50;3xj4?d2m20qc?=dd83>4}i90i1h45rn00gb?6=9rd:5n4ka:m57c7290:wc?6c;fa?xh6:l;1<7<tn0;`>ae<ug;9i?4?:0ym5<e=lm1vb<<j3;295~h61j0oi6sa13g7>5<6sg;2o7ji;|l26`3=83;pb<7l:d28yk75m?0;6<ua18a9a4=zf88n;7>51zl2=f<b:2we=?k7:182k7>k3o87p`>2d;94?7|f83h6h:4}o31ad<728qe=4m5e49~j44bj3:1=v`>9b8f2>{i9;oh6=4>{o3:g?c03td:>hj50;3xj4?d2l20qc?=ed83>4}i90i1i45rn00fb?6=9rd:5n4ja:m57`7290:wc?6c;ga?xh6:o;1<7?tn0;`>`e<ug;9j?4?:0ym5<e=mm1vb<<i3;295~h61j0ni6sa13d7>5<6sg;2o7ki;|l26c3=83;pb<7l:g28yk75n?0;6<ua18a9b4=zf88m;7>51zl2=f<a:2we=?h7:182k7>k3l87p`>2g;94?7|f83h6k:4}o31bd<728qe=4m5f49~j44aj3:1=v`>9b8e2>{i9;lh6=4>{o3:g?`03td:>kj50;3xj4?d2o20qc?=fd83>4}i90i1j45rn00eb?6=9rd:5n4ia:m5667290:wc?6c;da?xh6;9;1<7?tn0;`>ce<ug;8<?4?:0ym5<e=nm1vb<=?3;295~h61j0mi6sa1227>5<6sg;2o7hi;|l2753=83;pb<7l:023?xh6;9<1<7?tn0;`>4663td:?=950;3xj4?d28:97p`>31:94?7|f83h6<><;|l275?=83;pb<7l:027?xh6;9k1<7?tn0;`>4623td:?=l50;3xj4?d28:=7p`>31a94?7|f83h6<>8;|l275b=83;pb<7l:02;?xh6;9o1<7?tn0;`>46>3td:?=h50;3xj4?d28:j7p`>30294?7|f83h6<>m;|l2747=83;pb<7l:02`?xh6;881<7?tn0;`>46c3td:?<=50;3xj4?d28:n7p`>30694?7|f83h6<>i;|l2743=83;pb<7l:033?xh6;8<1<7?tn0;`>4763td:?<950;3xj4?d28;97p`>30:94?7|f83h6<?<;|l274?=83;pb<7l:037?xh6;8k1<7?tn0;`>4723td:?<l50;3xj4?d28;=7p`>30a94?7|f83h6<?8;|l274b=83;pb<7l:03;?xh6;8o1<7?tn0;`>47>3td:?<h50;3xj4?d28;j7p`>33294?7|f83h6<?m;|l2777=83;pb<7l:03`?xh6;;81<7?tn0;`>47c3td:??=50;3xj4?d28;n7p`>33694?7|f83h6<?i;|l2773=83;pb<7l:003?xh6;;<1<7?tn0;`>4463td:??950;3xj4?d28897p`>33:94?7|f83h6<<<;|l277?=83;pb<7l:007?xh6;;k1<7?tn0;`>4423td:??l50;3xj4?d288=7p`>33a94?7|f83h6<<8;|l277b=83;pb<7l:00;?xh6;;o1<7?tn0;`>44>3td:??h50;3xj4?d288j7p`>32294?7|f83h6<<m;|l2767=83;pb<7l:00`?xh6;:81<7?tn0;`>44c3td:?>=50;3xj4?d288n7p`>32694?7|f83h6<<i;|l2763=83;pb<7l:013?xh6;:<1<7?tn0;`>4563td:?>950;3xj4?d28997p`>32:94?7|f83h6<=<;|l276?=83;pb<7l:017?xh6;:k1<7?tn0;`>4523td:?>l50;3xj4?d289=7p`>32a94?7|f83h6<=8;|l276b=83;pb<7l:01;?xh6;:o1<7?tn0;`>45>3td:?>h50;3xj4?d289j7p`>35294?7|f83h6<=m;|l2717=83;pb<7l:01`?xh6;=81<7?tn0;`>45c3td:?9=50;3xj4?d289n7p`>35694?4|f83h6<=i;|l2713=83;pb<7l:063?xh6;=<1<7?tn066>4=i90i1=9?4}o3002<728qe=4m51508yk74<10;6<ua18a9515<ug;8844?:0ym5<e=9=>0qc?<4`83>4}i90i1=9;4}o300g<728qe=4m51548yk74<j0;6<ua18a9511<ug;:in4?:0ym513=92d:5n4>499~j47a?3:1=v`>4482?k7>k3;?56sa1321>5<6sg;?97?4n0;`>42f3twvqMNL{06:<?g6j0;??:sO@Cy2e4}61:0;6=4=:c31><e>3tq:5>4?:181>dbc20n27pu>9283>5<52k;86l8?;|y2=6<729096o?;:8ab?x}61:0;6=4=:`2;><ge3tq:5>4?:181>d7420h87pu>9283>5<52h;364l:;|y2=6<729096l?6:8`4?x}61:0;6=4=:`3b><d>3tq:5>4?:181>d7e20hi7pu>9283>5<52h;h64lk;|y2=6<729096l?k:8`e?x}61:0;6=4=:`3f><e63tq:5>4?:181>d6>203<7pu>9283>5<52h:j6476;|y2=6<729096l>m:8;a?x}61:0;6=4=:`2`><?c3tq:5>4?:181>d6c203m7pu>9283>5<52h:n64o>;|y2=6<729096l>i:8c0?x}61:0;6=4=:`33><g23tq:5>4?:181>d7620k<7pu>9283>5<52h;964o6;|y2=6<729096l?;:8cg?x}61:0;6=4=:`36><ga3tq:5>4?:181>d7120h:7pu>9283>5<52hlo6lm9;|y2=6<729096lhl:`a7?x}61:0;6=4=:`da>de53tq:5>4?:181>d`f2hi;7pu>9283>5<52hl26llj;|y2=6<729096lh7:```?x}61:0;6=4=:`d4>ddf3tq:5>4?:181>d`12hh37pu>9283>5<52k:36lj6;|y2=6<729096o>8:`f4?x}61:0;6=4=:c25>db23tq:5>4?:181>g622hn87pu>9283>5<52k:?6lj>;|y2=6<729096o><:`ae?x}61:0;6=4=:c21>dec3tq:5>4?:181>g662hii7pu>9283>5<52h<>6l=:;|y2=6<729096l8;:`10?x}61:0;6=4=:`4f>d203tq:5>4?:181>d0c2h>>7pu>9283>5<52h<h6l:<;|y2=6<729096l8m:`62?x}61:0;6=4=:`4b>d5a3tq:5>4?:181>d0>2h9o7pu>9283>5<52h<36l=m;|y2=6<729096l88:`1:?x}61:0;6=4=:`40>d503tq:5>4?:181>d7020i87pu>9283>5<52hln6lm7;|y2=6<729096o>6:`fa?x}61:0;6=4=:`45>d2>3tq:5>4?:181>d7a20ni7pu>9283>5<52h8j6o?8;|y2=7<729096o:<:2c8y~7>:3:1<7<5b5c904=zs8396=4?:38a14<302wp=4<50;296?d203>m7pu>9383>5<52k?m6884}z3:6?6=8381n;855e9~4?5290;6?4m6e850>{|9081<7>52;`40?0e3tq:5?4?:181>g1e2>80qv?62;294?4=j181;45r{0;1>5<72;0i44470:x5<4=83:1>7l60;:4?x}61;0;6=4=:c;4>=c<ur;2>7>50;09f<c=1<1vw<7=:183>7<ei<02o6st18094?6=:3hjo7o<;|y2=7<729096ol<:`c8y~7>:3:1<7<5bcc9f4=zs8396=4?:38ag4<e02wp=4<50;296?dd03hm7pu>9383>5<52kim6n84}z3:6?6=8381ni85ce9~4?5290;6?4mde8g0>{|9081<7>52;`f0?be3tq:5?4?:181>gce2l80qv?62;294?4=jo81io5r{0;1>5<72;0ij44i4:x5<4=83:1>7m?0;dg?x}61;0;6=4=:b24>4613tq:5?4?:181>f6d28:i7pu>9383>5<52j;;6<>i;|y2=7<729096n?::037?x}61;0;6=4=:b3:>47?3tq:5?4?:181>f7b28;o7pu>9383>5<52j896<<>;|y2=7<729096n<8:005?x}61;0;6=4=:b0a>44f3tq:5?4?:181>f57288m7pu>9383>5<52j9?6<=<;|y2=7<729096n=6:01;?x}61;0;6=4=:b1g>45d3tq:5?4?:181>f2528>:7pu>9383>5<52j>=6<::;|y2=7<729096n:m:06b?x}61;0;6=4=:b6e>42b3tq:5?4?:181>f3328?87pu>9383>5<52j?36<;8;|y2=7<729096n;k:07`?x}61;0;6=4=:b42>4073tq:5?4?:181>f0128<>7pu>9383>5<52j<j6<86;|y2=7<729096n8i:04f?x}61;0;6=4=:b50>4153tq:5?4?:181>f1?28=<7pu>9383>5<52j=h6<9m;|y2=7<729096n6>:0:3?x}61;0;6=4=:b:6>4>33tq:5?4?:181>f>f28227pu>9383>5<52j2n6<6k;|y2=7<729096n7<:0;1?x}61;0;6=4=:b;4>4?13tq:5?4?:181>f?d283i7pu>9383>5<52jk;6<7i;|y2=7<729096no::0c7?x}61;0;6=4=:bc:>4g?3tq:5?4?:181>fgb28ko7pu>9383>5<52jh96<l>;|y2=7<729096nl8:0`5?x}61;0;6=4=:b`a>4df3tq:5?4?:181>fe728hm7pu>9383>5<52ji?6<m<;|y2=7<729096nm6:0a;?x}61;0;6=4=:bag>4ed3tq:5?4?:181>fb528n:7pu>9383>5<52jn=6<j:;|y2=7<729096njn:0f:?x}61;0;6=4=:bff>4bc3tq:5?4?:181>fc528o:7pu>9383>5<52jo<6<k9;|y2=7<729096nkm:0gb?x}61;0;6=4=:bd3>4ca3tq:5?4?:181>f`328l87pu>9383>5<52jl26<h7;|y2=7<729096nhk:0d`?x}61;0;6=4=:e21>7663tq:5?4?:181>a612;:>7pu>9383>5<52m:i6?>n;|y2=7<729096i>i:32f?x}61;0;6=4=:e37>7743tq:5?4?:181>a7?2;;<7pu>9383>5<52m;o6??l;|y2=7<729096i<>:303?x}61;0;6=4=:e05>7423tq:5?4?:181>a4f2;827pu>9383>5<52m8m6?<j;|y2=7<729096i=<:311?x}61;0;6=4=:e14>7513tq:5?4?:181>a5d2;9i7pu>9383>5<52m>;6?=i;|y2=7<729096i:::367?x}61;0;6=4=:e6:>72?3tq:5?4?:181>a2b2;>o7pu>9383>5<52m?96?;>;|y2=7<729096i;8:375?x}61;0;6=4=:e7a>73f3tq:5?4?:181>a072;?m7pu>9383>5<52m<?6?8<;|y2=7<729096i86:34;?x}61;0;6=4=:e4g>70d3tq:5?4?:181>a152;=:7pu>9383>5<52m==6?9:;|y2=7<729096i9m:35b?x}61;0;6=4=:e5e>71b3tq:5?4?:181>a>32;287pu>9383>5<52m2i6?6l;|y2=7<729096i7=:3;6?x}61;0;6=4=:e;:>7?b3tq:5?4?:181>ag72;k<7pu>9383>5<52mk<6?l?;|y2=7<729096ioj:3`:?x}61;0;6=4=:e`6>7e53tq:5?4?:181>add2;ii7pu>9383>5<52mi86?j;;|y2=7<729096imn:3fg?x}61;0;6=4=:ef2>7c13tq:5?4?:181>ab?2;om7pu>9383>5<52mnm6?h7;|y2=7<729096ik9:222?x}61;0;6=4=:egg>66f3tq:5?4?:181>a`32:;87pu>9383>5<52mli6>?l;|y2=7<729096h>=:206?x}61;0;6=4=:d2:>64b3tq:5?4?:181>`772:9<7pu>9383>5<52l;<6>:?;|y2=7<729096h?j:26:?x}61;0;6=4=:d01>62c3tq:5?4?:181>`412:?:7pu>9383>5<52l8i6>;9;|y2=7<729096h<i:27b?x}61;0;6=4=:d17>63a3tq:5?4?:181>`5?2:<87pu>9383>5<52l9o6>87;|y2=7<729096h:>:24`?x}61;0;6=4=:d65>6163tq:5?4?:181>`2f2:=>7pu>9383>5<52l>m6>9n;|y2=7<729096h;<:25f?x}61;0;6=4=:d7;>6>43tq:5?4?:181>`3d2:2<7pu>9383>5<52l<:6>6l;|y2=7<729096h8::2;3?x}61;0;6=4=:d4b>6?23tq:5?4?:181>`0b2:327pu>9383>5<52l=86>7j;|y2=7<729096h98:2c1?x}61;0;6=4=:d5`>6g03tq:5?4?:181>`>72:ki7pu>9383>5<52l2>6>l?;|y2=7<729096h66:2`7?x}61;0;6=4=:d:f>6d>3tq:5?4?:181>`?22:i;7pu>9383>5<52l3h6>m8;|y2=7<729096ho<:2af?x}61;0;6=4=:dcb>6b23tq:5?4?:181>`d62:nh7pu>9383>5<52lh36>k<;|y2=7<729096hli:2gb?x}61;0;6=4=:da5>6`63tq:5?4?:181>`ec2:l37pu>9383>5<52ln?6>hi;|y2=7<729096hjm:525?x}61;0;6=4=:dg1>16c3tq:5?4?:181>`c>2=;?7pu>9383>5<52ll;69?m;|y2=7<729096hh8:501?x}61;0;6=4=:ddf>14>3tq:5?4?:181>c622=9;7pu>9383>5<52o:h69=8;|y2=7<729096k?<:51f?x}61;0;6=4=:g3b>1223tq:5?4?:181>c462=>h7pu>9383>5<52o8369;<;|y2=7<729096k<i:57b?x}61;0;6=4=:g15>1063tq:5?4?:181>c5c2=<37pu>9383>5<52o>?698i;|y2=7<729096k:m:555?x}61;0;6=4=:g71>11c3tq:5?4?:181>c3>2=2?7pu>9383>5<52o<;696m;|y2=7<729096k88:5;1?x}61;0;6=4=:g4f>1?>3tq:5?4?:181>c122=k;7pu>9383>5<52o=h69o8;|y2=7<729096k6<:5cf?x}61;0;6=4=:g:b>1d23tq:5?4?:181>c?62=hh7pu>9383>5<52o3369m<;|y2=7<729096k7i:5ab?x}61;0;6=4=:gc5>1b63tq:5?4?:181>cgc2=n37pu>9383>5<52oh?69ji;|y2=7<729096kl7:5g0?x}61;0;6=4=:g``>1c03tq:5?4?:181>ce62=oh7pu>9383>5<52oi=69h>;|y2=7<729096kmm:5d5?x}61;0;6=4=:gae>1`f3tq:5?4?:181>cb32=lm7pu>9383>5<52onj68>:;|y2=7<729096kk?:42a?x}61;0;6=4=:gg6>0773tq:5?4?:181>cce2<;=7pu>9383>5<52ol:68?l;|y2=7<729096kh8:401?x}61;0;6=4=:gdg>04?3tq:5?4?:181>467;3?9i6st18094?6=:3;;<44:359~4?5290;6?4>01d916g<ur;2>7>50;0955722<>;7pu>9383>5<528::n7;;6:x5<4=83:1>7??21860g=zs8396=4?:382470==<;0qv?62;294?4=998j68;:;|y2=7<729096<>=f;76e>{|9081<7>52;3371<2=o1vw<7=:183>7<68:319;:4}z3:6?6=8381===l:444?x}61;0;6=4=:020b?31i2wp=4<50;296?77<;0>:i5r{0;1>5<72;0:<9;55628y~7>:3:1<7<5116;>0143tq:5?4?:181>463j3?<:6st18094?6=:3;;8h4:789~4?5290;6?4>043912e<ur;2>7>50;0955332<=m7pu>9383>5<528:>;7;72:x5<4=83:1>7??5`86<0=zs8396=4?:38240b==120qv?62;294?4=99<;686m;|y2=7<729096<>93;7;a>{|9081<7>52;3323<2181vw<7=:183>7<68?3194:4}z3:6?6=8381==8l:4;4?x}61;0;6=4=:025b?3>i2wp=4<50;296?77?;0>5i5r{0;1>5<72;0:<:;55`28y~7>:3:1<7<5115;>0g43tq:5?4?:181>460j3?j:6st18094?6=:3;;;h4:a89~4?5290;6?4>09391de<ur;2>7>50;0955>32<km7pu>9383>5<528:3;7;m2:x5<4=83:1>7??8`86f0=zs8396=4?:3824=b==k20qv?62;294?4=993;68lm;|y2=7<729096<>63;7aa>{|9081<7>52;33=3<2k81vw<7=:183>7<680319n:4}z3:6?6=8381==7l:4a4?x}61;0;6=4=:02:b?3di2wp=4<50;296?77i;0>oi5r{0;1>5<72;0:<l;55e28y~7>:3:1<7<511c;>0b43tq:5?4?:181>46fj3?o:6st18094?6=:3;;mh49ae9~4?5290;6?4>0c692g5<ur;2>7>50;0955df2?h27pu>9383>5<528:ij78me:x5<4=83:1>7??c485g1=zs8396=4?:3824fd=>jk0qv?62;294?4=99n;6;mi;|y2=7<729096<>k6;4g1>{|9081<7>52;33`f<1lk1vw<7=:183>7<68l;1:h>4}z3:6?6=8381==k8:7g5?x}61;0;6=4=:02f`?0bk2wp=4<50;296?77n;0=j<5r{0;1>5<72;0:<k656g58y~7>:3:1<7<511df>3`c3tq:5?4?:181>477;3=;>6st18094?6=:3;:<448099~4?5290;6?4>11d935c<ur;2>7>50;0954732>;87pu>9383>5<528;:m79>9:x5<4=83:1>7?>21845c=zs8396=4?:382573=?;>0qv?62;294?4=988i6:<n;|y2=7<729096<?<1;507>{|9081<7>52;3271<0<;1vw<7=:183>7<69:=1;9;4}z3:6?6=8381=<=n:66;?x}61;0;6=4=:030`?13j2wp=4<50;296?76<90<9<5r{0;1>5<72;0:=9857458y~7>:3:1<7<5106a>2033tq:5?4?:181>472=3=<o6st18094?6=:3;:9n48829~4?5290;6?4>17193=g<ur;2>7>50;09540f2>3:7pu>9383>5<528;<=7968:x5<4=83:1>7?>7484=f=zs8396=4?:38252e=?h<0qv?62;294?4=982;6:ok;|y2=7<729096<?76;5a2>{|9081<7>52;32<f<0jo1vw<7=:183>7<69081;n;4}z3:6?6=8381=<7;:6a4?x}61;0;6=4=:03:2?1d12wp=4<50;296?76110<oo5r{0;1>5<72;0:=4o57bf8y~7>:3:1<7<510;`>2ea3tq:5?4?:181>47>m3=o=6st18094?6=:3;:m=48d29~4?5290;6?4>1`093a3<ur;2>7>50;0954g32>n<7pu>9383>5<528;j:79k9:x5<4=83:1>7?>a984`g=zs8396=4?:3825dg=?mn0qv?62;294?4=98kh6:ji;|y2=7<729096<?ne;5f5>{|9081<7>52;32f5<0m:1vw<7=:183>7<69k81;h;4}z3:6?6=8381=<l;:6g4?x}61;0;6=4=:03a2?1b12wp=4<50;296?76j10<io5r{0;1>5<72;0:=oo57df8y~7>:3:1<7<510``>2ca3tq:5?4?:181>47em3=m=6st18094?6=:3;:o=48f29~4?5290;6?4>1b093c3<ur;2>7>50;0954e32>l<7pu>9383>5<528;h:79i9:x5<4=83:1>7?>c984bg=zs8396=4?:3825fg=?on0qv?62;294?4=98ih6:hi;|y2=7<729096<?le;:35>{|9081<7>52;32`5<?8:1vw<7=:183>7<69m814=;4}z3:6?6=8381=<j;:924?x}61;0;6=4=:03g2?>712wp=4<50;296?76l103<o5r{0;1>5<72;0:=io581f8y~7>:3:1<7<510f`>=6a3tq:5?4?:181>47cm32:=6st18094?6=:3;:i=47129~4?5290;6?4>1d0936e<ur;287>50;0954c42>9o7pu>9583>5<528;n879<e:x5<4=83:1>7?>ee8473=zs83?6=4?:3825`c=?:=0qv?64;294?4=98om6:=7;|y2=7<729096<?i8;50b>{|90>1<7>52;32b<<0<91vw<7;:183>7<69ok1;9?4}z3:6?6=83>1=?><:652>60=;11vw<7=:183>6e=9;:?6:o<:6cb>2d42>hh68j6:4fg>0c62<o>68k6:4gg>0`62<l>68h6:4dg>3662?:>6;>6:72g>3762?;>6;?6:73g>3462?8>6;<6:70g>3562?9>6;=6:71g>3262?>>6;:6:76g>3362??>6;;6:77g>3062?<>6;86:74g>3162?=>6;96:75g>3>62?2>6;66:7:g>3?62?3>6;76:7;g>3g62?k>6;o6:66f>20b3twKL]ur@A
$5d45=6>2.Yi{g|inl9$4(5<8$9"9.+109'V`pn{`ee6-?!53"'5>6339:;<95?0137?567:=1;<==;;123<7=79;1;495?9532?44<99>0>=>?4:0;57b<;z8;<lx~112.14=3<2>?9N??;58JJUSS2k~e0>4?>0280?IR\Y__6l`33;2=51=22@D[YY4mto]f|q:3294:7;:461110>0>1?80:N:46BA@6>15922975>;;92341=?8J8:<665IORVP?up|5=1<3?>;98LQQVR\3fnh1950?36?=<H]]Z^X7bjd^g{p91=87;:754@UURVP?uto5=1<3:48@:E0>?1>0;0M?5N039B51=F98297L<=;@11?D>33H2JO95N9@A7?DEB0:1JH_;4AR[MG6=E]O>0NXHH5:@VBBV682H^EAJPT@PDAQGUKA20NX]PIODL5>E33J:?<95L5577?F>DK;1HI?5LI49@OGSA;2IGG;5LLJLLP6=DD[30OBCBIUVF@==DGGY_MCZ>1:ALJVRFF]U_^L]GC@L4?FTBI]OO=6J=;EKf?ATDWZ_HOH]\FTN2?@4<M=>0I9:74:G:G<2<MM3386KJD532?@^WIGNNHRLLD^DJH@733LR[MCJJD^ALJVRUI@D__<?4EYRBJACCW@YNSKGCE59E00253O<97K9;;G:B<1=AIZ^97KJ<;GF@0>@CKL?0JIM_E49E@FY6;2LOI>5IDS68BATB<2LO^^;4FEPPA1=ALV;97KH;;GDGB1=ANL8?7KHJF59EBCC43OLX86H]AF`8BWG@KF\N^HJn;GPBCZDF[LNi7K\NG^ALJCO@i2LYMJQLOTLW<>@UGDU^OK?4G39DA42<OLDN^LZJD!RLWQ+AD@D_=?5HEOGQEQCC(YEXX I<029DJA3<O[E^\<5F2:K36>O6:2C9>6G<4:KAQC3<AK_MK?5FN29JJD5<AGH37D@IESPF@<=NF@^XXLZJ3:KMR==NF_OYXHJj;HL\JBBXIGNTNNJ9;HM@KHV13@E_U]K;;HRB@0=KI]IC96BKCQG6?IBBW8>0@IQ>2:NJ3>JNJ[KYU;5CO@FF@6=KGJ20@BMNLCWE3>JHO@IJ@>5CUU68HPR6<2F^X?84LTV1[I2<D\^8:6BZT2]G0>JR\=<0@XZ;_E48HPR3WE?0A#9?d69N*26cWEh0AL^JXQCM@@B13DK[\HJn;LCS[HIC]EOo7@O__UKNAVRFEYh0AL^PVDPPMJH612GGSJKAESCWAAYWGZ^&K_OAIUG0?HS_=2G^TNW:;LW[B0e<Eh`d~[k}shmm56=JkfexRmckeku[f;87;?7@m`uov\gimcaUhu1??>058Ifirf}Uh`fjfv^az8469W{~:86Clotlw[fjll`|Tot2>1?34?Heh}g~Toaekiw]`}9766Vx=95BcnwmpZekcmc}Snw313<23>Kdg|dSnbddht\g|:6:7Uyx<:4MbmvjqYddbnbzRmv<01=52=JkfexRmckeku[f;9:4T~y?;;LalqkrXkeaoe{Qly=37:41<Eje~byQlljfjrZe~48>5Sz>4:O`kphsWjf`hdxPcx>21;703DidyczPcmigmsYdq5;>2R|{159Ngjsi|Viggigy_b{?5386?2Ghcx`{_bnh`lpXkp6::3Q}t068Ifirf}Uh`fjfv^az84199>1Fob{at^aooaoqWjs7=:0Pru37?Heh}g~Toaekiw]`}97?68=0Anaznu]`hnbn~Vir0<61_sv20>Kdg|dSnbddht\g|:617;<7@m`uov\gimcaUhu1?6>^pw56=JkfexRmckeku[f;97;=7@m`uov\gimcaUhu1?1_sv20>Kdg|dSnbddht\g|:587;<7@m`uov\gimcaUhu1<?>^pw51=JkfexRmckeku[f;:84:;6Clotlw[fjll`|Tot2=1?]qp42<Eje~byQlljfjrZe~4;85=:5BcnwmpZekcmc}Snw323<\vq743DidyczPcmigmsYdq585=;5BcnwmpZekcmc}Snw32?]qp45<Eje~byQlljfjrZe~4:4::6Clotlw[fjll`|Tot2<>^pw56=JkfexRmckeku[f;<7;=7@m`uov\gimcaUhu1:1_sv27>Kdg|dSnbddht\g|:268<0Anaznu]`hnbn~Vir080Pru30?Heh}g~Toaekiw]`}9099?1Fob{at^aooaoqWjs7:3Q}t018Ifirf}Uh`fjfv^az8286>2Ghcx`{_bnh`lpXkp6<2R|{129Ngjsi|Viggigy_b{?<;713DidyczPcmigmsYdq525Sz>3:O`kphsWjf`hdxPcx>::40<Eje~byQlljfjrZe~404T~y?;;LalqkrXkeaoe{Qcuu>3:4g<Eje~byQlljfjrZjr|5:5SD@Y_137?Heh}g~Toaekiw]{kw:768?0Anaznu]`hnbn~Vrd~1??>078Ifirf}Uh`fjfv^zlv97668?0Anaznu]`hnbn~Vrd~1?=>078Ifirf}Uh`fjfv^zlv97468?0Anaznu]`hnbn~Vrd~1?;>078Ifirf}Uh`fjfv^zlv97268?0Anaznu]`hnbn~Vrd~1?9>078Ifirf}Uh`fjfv^zlv97068?0Anaznu]`hnbn~Vrd~1?7>078Ifirf}Uh`fjfv^zlv97>68>0Anaznu]`hnbn~Vrd~1?1149Ngjsi|Viggigy_ymq87699<1Fob{at^aooaoqWqey0??1149Ngjsi|Viggigy_ymq87499<1Fob{at^aooaoqWqey0?=1199Ngjsi|Viggigy_ymq8759W{~:86Clotlw[fjll`|Ttb|32?37?Heh}g~Toaekiw]{kw:468>0Anaznu]`hnbn~Vrd~1:1159Ngjsi|Viggigy_ymq8086<2Ghcx`{_bnh`lpXpfx7:3?;;LalqkrXkeaoe{Qwos>4:42<Eje~byQlljfjrZ~hz525=95BcnwmpZekcmc}Sua}<8<2e>Kdg|dSn`{r^zlv96998UBB[Q?159Ngjsi|ViexQwos>2:476<2Ghcx`{_blwvZ~hz585=<?;;LalqkrXkg~ySua}<2<25f=JageyZh||inl2a>Kt`{olS_]=33RqkoYRI[^T~i]]ef]45<=J{axnkRem1^qkmcrUmnYbk?Q71b9NwmtboVai=R}gigvQabUno;U3#NK=0:Oplwc`Wbh:S~fffuPfcVo`:V2$__B>6D08J54<F890B<=<;O367>H61:1E><=4N350?K24;2D?8>5A4418J1043G><?6@;829M0<5<F<:87C;>3:L666=I=:90B8:<;O767>H2>:1E9:=4N4:4?KCS_FX@:6@@CMKS<>HH\VZCU^?4O0c8K8./ wC;&%G=+K0-,-N8 B=$k4O<*+M5,N: JB=$k4O<*+M5FN9 !B>$<6;N?+,{O7"!"B='G=)*K0-,-/A9 #rD?$(H0(M6/.! 8?7B3'(K3.-.N9IC8%'pF2(+(,L6- @; E?'&)3`8K8./v@:!$%G>@K0-/O5! !#E=$'~H3),L4,v@9"%$'=9:M>,-xN8#"#rD?%I3+CM6/.#!C;&%G>+)K1.L5.! #8:6A2()|J4/./v@;!rD<&+)K2.-O5"wC8%$'&+)K3.-O6"!tB>'G<)(+*73=H5!"uE=$'I0(+M7,yA:#"%&&F0+*+zL7- wC9&sG<)()+M4,N: #"%>74O<*+zL6- @;!$D<$I2+*-..N8#"#rD?%(H0)M6/.#!C:&%G=@K0-,/.!;90C0&'~H2),L7- @8KE>'&)**J4/.N9"C9%$'=5:M>,-xN8#"B='&qI3(}M6/.!""B<'&F1*K1-,/5;2E6$%pF0+*J5/O5! !#E=$'I0(+M7,N; #"%?74O<*+zL6- @; $D<%~H1*-,-/A9 #$D?%I3+(M6/.!;h0C0&'~H2),L7, @8!rD=&)()+M5,/A8 #rD<%~H1*-,/6m2E6$%pF0+*J5.O5! !#E=$'I3(J7,/.:=1D1%&qI1(+M4-yA;#"'%G?*)K2.-O5"@9"%$'=4:M>,-xN8#"B=MpF2(+(,L6- @; $D<%I2+*-,7b3F7#$sG?*)K1.L5.!""B<'&F1*K1-,/4k2E6$%pF0+*}M4,/A; uE>'&)**J4/./v@;!$D<O~H1*-..N9#"uE?$F3(+*-,5f3F7#$sG?*)|J5/.N:IC8%$'$(H2),-xN9#"B>'G<)()+M4,/A;JuE>'&)(+15>I: !tB<'&qI3(}M6/.#!C;&%G>+K1-,/482E6$%pF0+K2-..N8#"#rD?%(H0)M6/.#!C:&%pF2*|J7,/.! ;?7B3'(K3.L7.#!C;&D<&)078K8./v@:!E?'$(H2)zL7.!890C0&F0+*J5/.N:#C8%$'>5:M>,L6- @;!$sG=*K0-,/b3F7#E=$'I0(J6,/a3F7#E=$'I0(}M7/.m2E6$D>%(H3(M7/.9=1D1%G?*)|J5/.N:#C8%$'>6:M>,L6- wC:&%pF2+|J7,/.12E6$D>%I0+27>I: @: $D?$(H0(M6/.!8>0C0&F0**J5..yA;!B?$'&9:M>,L6,A8#:?6A2(H2C,L7G @8KE>'&)068K8.N8I"B=M&F2A|J7,/.12E6$D>OI0+23>I: wC;&%pF1+*}M7,yA:#"%<>4O<*}M5,/v@;!E?'&109L9-xN8#"uE<$qI3+*e>I: wC;&D?&b:M>,{O7"wC:%<;4O<*}M5-/A8!#rD<$I2+*-46<G4"uE=%'~H3(M7/.=2E6rD>;;N@VB6=HFL>0C]OK3:MVP4=W;2Z:>>5_1218T4343Y;=?6^>929S655<X;;87]<83:R1<6=W:080\>=4P220?U56;2Z8>>5_3218T6243Y9>?6^<629S725<X:397]:<;Q607>V3>:1[84<4P418T0643Y?9?6^:629S125<X<397]8<;Q437>V19:1[:?=4P760?U02;2Z<<>5_7018T2443Y=8?6^8729S3=5<X1887]6<3:R;06=W0<90\58<;Q:;7>V?1;1[5>5_9118T<543Y3??6^6729S==5<XHN?7]O]T99SL\UNKHFj7]GA_CWPMA^e3YCESO[\N@OFf>VNFVCXOAALK49SKRCU;2ZYIl5_RDFFBLHBL01[^H]JRWGG5>W43X;>=6\8;SGNKSCC991YI@AYEE]WVDUOKHDh7_K_L@AF[JMFQ8:0^H\JSNNUAZVFZHGX?6\@M038VV44:YxdfR[NRU]@A4><ZZ88>]|`j^WBVQYAMVey<=>?189QW755X{eaSXO]T^DF[jt789::>?5]S311TwimW\KYXRClotlw[fjlL`|Tot2?>308VV44:YxdfR[NRU]Ngjsi|ViggIgy_b{?5;423[Y9??^}ok]VEWRXEje~byQlljFjrZe~484T~y<=;SQ177VugcU^M_ZPMbmvjqYddbNbzRmv<3<11>TT::8[~bdPU@PW[Heh}g~ToaeKiw]`}949W{~9>6\\220SvjlX]HX_S@m`uov\gimCaUhu1=1249QW755X{eaSXO]T^O`kphsWjf`HdxPcx>0:Zts:;1Y_?==Psmi[PGU\VGhcx`{_bnh@lpXkp6?2?;4RR006UthbV_J^YQBcnwmpZekcMc}Snw34?]qp74<ZZ88>]|`j^WBVQYJkfexRmckEku[f;=78>7_]=33RqkoYRI[^TAnaznu]`hnBn~Vir080Pru01?WU5;;ZycgQZASV\Ifirf}Uh`fJfv^az8385=2XX>><_rnh\QDTSWDidyczPcmiGmsYdq5<5Sz=2:PP664Wzf`TYL\[_LalqkrXkeaOe{Qly=5=60=U[;99\ae_TCQPZKdg|dSnbdDht\g|:06Vx>>5]S311TwimW\KYXRClotlw[fjlL`|T`xz30?0:?WU5;;ZycgQZASV\Ifirf}Uh`fJfv^nvp969W@D]S=<<;SQ177VugcU^M_ZPMbmvjqYddbNbzRv`r=2=66=U[;99\ae_TCQPZKdg|dSnbdDht\|jt;97887_]=33RqkoYRI[^TAnaznu]`hnBn~Vrd~1<1229QW755X{eaSXO]T^O`kphsWjf`HdxPxnp?7;443[Y9??^}ok]VEWRXEje~byQlljFjrZ~hz5>5>>5]S311TwimW\KYXRClotlw[fjlL`|Ttb|35?00?WU5;;ZycgQZASV\Ifirf}Uh`fJfv^zlv909::1Y_?==Psmi[PGU\VGhcx`{_bnh@lpXpfx7;3<<;SQ177VugcU^M_ZPMbmvjqYddbNbzRv`r=:=63=U[;99\ae_TCQPZKdg|dSnbdDht\|jt;07Uyx?64RR006UthbV_J^YQBcnwmpZeszVrd~1>110]JJSY7:;1Y_?==Psmi[PGU\VGhcx`{_bvq[}iu484:=?<4RR006UthbV_J^YQBcnwmpZeszVrd~1<11001?WU5;;ZycgQZASV\Ifirf}UhxQwos>0:475k2XX>><_rnh\QDTSWDidyczPd`vbGqtXpfx7<3?>_HLU[5413[Y9??^}ok]VEWRXEje~byQkauc@pwYg{6:2<?=6:PP664Wzf`TYL\[_LalqkrXlh~jOy|Pxnp?6;76:?1Y_?==Psmi[PGU\VGhcx`{_ecweFruWqey0>0>1348VV44:YxdfR[NRU]Ngjsi|VxH`fJfv^zlv979988=7_]=33RqkoYRI[^TAnaznu]qGimCaUsc2=>0312>TT::8[~bdPU@PW[Heh}g~T~NbdDht\|jt;;7;:>l5]S311TwimW\KYXRClotlw[q`D|{Usc2?>03\MKPX8;>0^^<<2QplnZSFZ]UFob{at^veGqtXpfx7=3?>259QW755X{eaSXO]T^O`kphsW}lHxQwos>1:475<2XX>><_rnh\QDTSWDidyczPtgAwvZ~hz595=<?=;SQ177VugcU^M_ZPREC2<>TT::8[~bdPU@PW[Wct}e~7<3?6;SQ177VugcU^M_ZPRdqvhq:768;27_]=33RqkoYRI[^T^h}zlu>3:67>3[Y9??^}ok]VEWRXZly~`y2?>53;?WU5;;ZycgQZASV\V`urd}6:2<74RR006UthbV_J^YQ]erwop9799830^^<<2QplnZSFZ]UYi~{ct=3=64?<ZZ88>]|`j^WBVQYUmzgx1?130;8VV44:YxdfR[NRU]Qavsk|5;58<64RR006UthbV_J^YQ]erwop949901Y_?==Psmi[PGU\VXnxb{<3<25<=U[;99\ae_TCQPZTb{|f0?0=189QW755X{eaSXO]T^Pfwpjs4;48=45]S311TwimW\KYXR\jstnw8783911Y_?==Psmi[PGU\VXnxb{<2<2=>TT::8[~bdPU@PW[Wct}e~7?3?>9:PP664Wzf`TYL\[_Sgpqir;;78:56\\220SvjlX]HX_S_k|umv?7;5612XX>><_rnh\QDTSW[oxyaz33?62<>TT::8[~bdPU@PW[Wct}e~783?7;SQ177VugcU^M_ZPRdqvhq:26820^^<<2QplnZSFZ]UYi~{ct=4=5==U[;99\ae_TCQPZTb{|f0:0>8:PP664Wzf`TYL\[_Sgpqir;07;97_]=33RqkoYRI[^TXOK>8:PP664Wzf`TYL\[_bnh@lp;87;37_]=33RqkoYRI[^ToaeKiw>2:4><ZZ88>]|`j^WBVQYddbNbz1<1199QW755X{eaSXO]T^aooAoq4:4:46\\220SvjlX]HX_SnbdDht?0;7?3[Y9??^}ok]VEWRXkeaOe{2:>0:8VV44:YxdfR[NRU]`hnBn~5<5=55]S311TwimW\KYXRmckEku828602XX>><_rnh\QDTSWjf`Hdx38?34?WU5;;ZycgQZASV\gimCaU;=:5]S311TwimW\KYXRmckEku[4703[Y9??^}ok]VEWRXkeaOe{Q=169QW755X{eaSXO]T^aooAoqW:;<7_]=33RqkoYRI[^ToaeKiw]752=U[;99\ae_TCQPZekcMc}S8?8;SQ177VugcU^M_ZPcmiGmsY19>1Y_?==Psmi[PGU\ViggIgy_634?WU5;;ZycgQZASV\gimCaU3>=5]S311TwimW\KYXRmckEku[fkwWl{;<=>=2:PP664Wzf`TYL\[_bnh@lpXkdzTi|>?013667=U[;99\ae_TCQPZekcMc}Snc_ds345650;;0^^<<2QplnZSFZ]Uh`fJfv^antZcv89:;;<:4RR006UthbV_J^YQltSqw50=U[;99\ae_TCQPZesZz~:=:5]S311TwimW\KYXRm{Rrv254453[Y9??^}ok]VEWRXk}Xxx<?>/MWW7ZBXJ\L:96\\220SvjlX]HX_Snz]su023>TT::8[~bdPU@PW[frU{}Um9<;4RR006UthbV_J^YQlts>3:43<ZZ88>]|`j^WBVQYd|{6:2<;4RR006UthbV_J^YQlts>1:43<ZZ88>]|`j^WBVQYd|{682<:4RR006UthbV_J^YQlts]351=U[;99\ae_TCQPZeszV;:86\\220SvjlX]HX_Snz}_337?WU5;;ZycgQZASV\gqtX;830^^<<2QplnZSFZ]UomyoLts>3:4?<ZZ88>]|`j^WBVQYci}kHx2>>0;8VV44:YxdfR[NRU]geqgD|{692<74RR006UthbV_J^YQkauc@pw:46820^^<<2QplnZSFZ]UomyoLts]35==U[;99\ae_TCQPZbf|hI~R?>8:PP664Wzf`TYL\[_ecweFruW;;37_]=33RqkoYRI[^ThlznCup\741<ZZ88>]|`j^WBVQYci}kBbn|>8:PP664Wzf`TYL\[_ecweLhdz8;=7_]=33RqkoYRI[^ThlznRRV23>TT::8[~bdPU@PW[agsi[Y_=<=4RR006UthbV_J^YQ}Cmi20>TT::8[~bdPU@PW[wEkc8;27_]=33RqkoYRI[^T~NbdDht?4;7>3[Y9??^}ok]VEWRXzJf`Hdx31?3:?WU5;;ZycgQZASV\vFjlL`|7>3?6;SQ177VugcU^M_ZPrBnh@lp;;7;37_]=33RqkoYRI[^T~NbdDht\44><ZZ88>]|`j^WBVQYuKeaOe{Q>199QW755X{eaSXO]T^p@hnBn~V8:46\\220SvjlX]HX_SMckEku[67?3[Y9??^}ok]VEWRXzJf`SO[IG358VV44:YxdfR[NRU]qGimXJ\LLSD>Pmtz\w`jX{`m:n6\\220SvjlX]HX_SMck^llp5679;80^^<<2QplnZSFZ]UyOaePnnv34576W@D]S=?8;SQ177VugcU^M_ZPrePfc9699>1Y_?==Psmi[PGU\Vxo^hi31?34?WU5;;ZycgQZASV\vaTbo585=:5]S311TwimW\KYXR|kRde?7;703[Y9??^}ok]VEWRXzmXnk1:1169QW755X{eaSXO]T^pgV`a;=7;<7_]=33RqkoYRI[^T~i\jg=4=52=U[;99\ae_TCQPZtcZlm7;3?9;SQ177VugcU^M_ZPrePfcZ66>2XX>><_rnh\QDTSW{nYijQ>179QW755X{eaSXO]T^pgV`aX:8<0^^<<2QplnZSFZ]Uyh_kh_235?WU5;;ZycgQZASV\vaTboV>::6\\220SvjlX]HX_Sj]ef]653=U[;99\ae_TCQPZtcZlmT:<84RR006UthbV_J^YQ}dSgd[27d3[Y9??^}ok]VEWRXzmXnkRoad12344b<ZZ88>]|`j^WBVQYul[olSl`k012354><ZZ88>]|`j^WBVQYulZXnk1>1199QW755X{eaSXO]T^pgWWc`484:46\\220SvjlX]HX_Sj\Rde?6;7?3[Y9??^}ok]VEWRXzmYYij2<>0:8VV44:YxdfR[NRU]q`VTbo5>5=55]S311TwimW\KYXR|kSSgd808602XX>><_rnh\QDTSW{nX^hi36?3;?WU5;;ZycgQZASV\vaUUmn6<2<94RR006UthbV_J^YQ}dRPfcZ66?2XX>><_rnh\QDTSW{nX^hiP1058VV44:YxdfR[NRU]q`VTboV8:;6\\220SvjlX]HX_Sj\Rde\741<ZZ88>]|`j^WBVQYulZXnkR:>7:PP664Wzf`TYL\[_sfPV`aX=8=0^^<<2QplnZSFZ]Uyh^\jg^423>TT::8[~bdPU@PW[wbTZlmT;<h4RR006UthbV_J^YQ|tcg@qwYA[DUMJi?=2:PP664Wzf`TYL\[_rvaaFsuWOYFSKHk1,Km66=U[;99\ae_TCQPZusjlI~~RH\M^DE`4+Nf8;m7_]=33RqkoYRI[^TyljCtp\BVKXNOn9>?5]S311TwimW\KYXR}{bdAvvZ@TEVLMh?#Fn318VV44:YxdfR[NRU]ppgcD}{UM_@QIFe0.Mk7582XX>><_rnh\QDTSWz~iiN{}_GQN[Jss888:7_]=33RqkoYRI[^TyljCtp\ghvXmx:;<=?j;SQ177VugcU^M_ZPsup@qwYA[DUMJi?=1:PP664Wzf`TYL\[_rvqGptXNZGTJKj>-Hl16>TT::8[~bdPU@PW[vruK|xTJ^CPFGf2)Lh69l1Y_?==Psmi[PGU\Vy~N{}_GQN[C@c:;;0^^<<2QplnZSFZ]UxxMzr^DPIZ@Al;'Bb?;4RR006UthbV_J^YQ|tsAvvZ@TEVLMh?#Fn^QT44`<ZZ88>]|`j^WBVQYt|{I~~RH\M^Mvp67582XX>><_rnh\QDTSWz~yOx|Pclr\at6789;n7_]=33RqkoYRI[^TyzLus]EWHYANm;9>6\\220SvjlX]HX_S~z{Ctp\BVKXNOn:!D`>229QW755X{eaSXO]T^qwpFsuWOYFSKHk1,Km54423[Y9??^}ok]VEWRX{}~HyQISL]EBa7*Ag;Tj8?j;SQ177VugcU^M_ZPsuv@qwYA[DUMJi<=1:PP664Wzf`TYL\[_rvwGptXNZGTJKj=-Hl16>TT::8[~bdPU@PW[vrsK|xTJ^CPFGf1)Lh69>1Y_?==Psmi[PGU\V~mOy|30?34?WU5;;ZycgQZASV\pcEsz5;5=:5]S311TwimW\KYXRziCup?6;703[Y9??^}ok]VEWRX|oI~1=1179QW755X{eaSXO]T^veGqtX88<0^^<<2QplnZSFZ]UjNz}_035?WU5;;ZycgQZASV\pcEszV8::6\\220SvjlX]HX_SyhLts]05==U[;99\ae_TCQPZra[[ol0=0>8:PP664Wzf`TYL\[_udPV`a;97;37_]=33RqkoYRI[^Txk]]ef>1:4><ZZ88>]|`j^WBVQYsnZXnk1=1199QW755X{eaSXO]T^veWWc`4=4:46\\220SvjlX]HX_Syh\Rde?1;7?3[Y9??^}ok]VEWRX|oYYij29>0:8VV44:YxdfR[NRU]wbVTbo5=5=55]S311TwimW\KYXRziSSgd8=8602XX>><_rnh\QDTSW}lX^hi39?34?WU5;;ZycgQZASV\pcUUmnU;=:5]S311TwimW\KYXRziSSgd[4703[Y9??^}ok]VEWRX|oYYijQ=169QW755X{eaSXO]T^veWWc`W:;<7_]=33RqkoYRI[^Txk]]ef]752=U[;99\ae_TCQPZra[[olS8?8;SQ177VugcU^M_ZPtgQQabY19>1Y_?==Psmi[PGU\V~m__kh_634?WU5;;ZycgQZASV\pcUUmnU3=:5]S311TwimW\KYXRziSSgd[<473[Y9??^}ok]VEWRX|oYYijQbuy2345:76;;0^^<<2QplnZSFZ]Uj^\jg^ov|56785:5=?>4RR006UthbV_J^YQ{fRPfcZkrp9:;<1?1209QW755X{eaSXO]T^veWWc`Wds<=>?<0<265=U[;99\ae_TCQPZra[[olS`{w0123878592XX>><_rnh\QDTSW}lX^hiPmtz3456;:7;9<6\\220SvjlX]HX_Syh\Rde\ip~789:7?3<>;SQ177VugcU^M_ZPtgQQabYj}q:;<=2<>003?WU5;;ZycgQZASV\pcUUmnUfyu>?01>7:77<ZZ88>]|`j^WBVQYsnZXnkRczx12349299;:0^^<<2QplnZSFZ]Uj^\jg^ov|56785?5><5]S311TwimW\KYXRziSSgd[hs89:;080>219QW755X{eaSXO]T^veWWc`Wds<=>?<7<15>TT::8[~bdPU@PW[q`TZlmTaxv?012?2;7582XX>><_rnh\QDTSW}lX^hiPmtz3456;?78:7_]=33RqkoYRI[^Txk]]ef]nq}67896<2<<?;SQ177VugcU^M_ZPtgQQabYj}q:;<=27>338VV44:YxdfR[NRU]wbVTboVg~t=>?0=:=576<ZZ88>]|`j^WBVQYsnZXnkRczx12349?9:;1Y_?==Psmi[PGU\V~m__kh_lw{4567404:>?<4RR006UthbV_J^YQ{fRPfcZkrp9:;<1712302?WU5;;ZycgQZASV\pcUUmnUfyu>?01>::17c3[Y9??^}ok]VEWRX|oYYijQaou23447b3[Y9??^}ok]VEWRX|oYYijQaou234476<2XX>><_rnh\`gOi[`m7<3?;;SQ177VugcUonD`\if>2:42<ZZ88>]|`j^faMkUno585=95]S311TwimWmhBb^gh<2<20>TT::8[~bdPdcKmWla;<7;?7_]=33RqkoYcj@dXej2:>068VV44:YxdfRjmIoQjc9099=1Y_?==Psmi[adNfZcl0:0>3:PP664Wzf`ThoGaShe\445<ZZ88>]|`j^faMkUnoV;:?6\\220SvjlXlkCe_diP2018VV44:YxdfRjmIoQjcZ56;2XX>><_rnh\`gOi[`mT8<=4RR006UthbVniEc]fg^727>TT::8[~bdPdcKmWlaX>890^^<<2QplnZbeAgYbkR9>2:PP664Wzf`TyMzr=2=54=U[;99\ae_rv@qwY7991Y_?==Psmi[vrImq~:n6\\220SvjlX{}DntyQ?_lw{45679:1Y_Y74Rdqvhq:76h1Yi~{ct=2=5d=Umzgx1??>`9Qavsk|5;:2l5]erwop9756h1Yi~{ct=30:d=Umzgx1?;>`9Qavsk|5;>2l5]erwop9716h1Yi~{ct=34:d=Umzgx1?7>`9Qavsk|5;2245]erwop979i2Xnxb{<0<2e>Tb{|f0?>1a:Pfwpjs4;;5m6\jstnw8749i2Xnxb{<31==>Tb{|f0?0n;Sgpqir;:7;27_k|umv?7;g<Zly~`y2<>0;8V`urd}6?245]erwop93912Xnxb{<7<:?Wct}e~7;374Rdqvhq:?601Yi~{ct=;=5>U13Z9oi`a<;RGO7>UB\:1XJK74SHE\GJSI\:1XBF=4SSAg?VTDWACN^L\LHX48WWJ6>L=0__B>6^34?VTKK8<N86]]SU68WVCS02Y_YNEPAU68W\HD12YRBNQNTUPe?QGUOL^T\L\[TXRF<>RBZDCEMA84THGLBCd<\[KE_]O]EOV7?QTRM=1_U]K9;TLVW@Bd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA4b<P@FBBU#WDC"3*4&T\\H+<#?/ARAJM6=_G[?0TB\LY79[WQJNJ>1S_YQHNE58\VRX^JIi7UX=_CWECHS_02R]GRHCOQ;8\SMXDH^HD45WVJ]NQ]E^12R]GRCZXG7:?]PLWQEYOT84Xe`\Mac<PmbTOb|}Bmm`ov773QncSNa}rOgwsjtl{j1SheQLospSmku602RodRCnpBmqvFii{}kexY|nsi30?]boWDk{Ob|}MdpdaaRh9>1SheQBaqAlvwTbef|nhY|nsi`8\anXX{cfEivm;Yfk[Utne@d}o6Vkh^RqmhR~xll0TifPPsknR`ttafd<7Ujg_Ucdf>^ceVHbbigag028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh43Qy86TJRN78ek:76?1jb1>1149bj979>2ke0<0>5:cm87813hd7>3?8;`l?7?69=2ke0>09;`l?7;7>3hdT<RAMUG;8ekY6WFH^J45nn^0\KGSA12keS>Q@BTD0?gjr02hgyRAMUG48fqh;87<0ny`31?48fqh;:720ny`33;2=2>dsf595m6l{n^2\MGSAi2hbR?PICWEe>dsfV8TEO[Ia:`wjZ5XAK_Mm6l{n^g{p969i2hbRkwt=3=e>dsfVosx1<1a:`wjZc|595o6l{n^g{p92=87k0ny`Peyv?0;c<j}dTiuzP0^KAQCc<j}dTiuzP1^KAQCc<j}dTiuzP2^KAQCc<j}dTiuzP3^KAQCc<j}dTiuzP4^KAQC?<keaoe{2?>`9`hnbn~5;;2l5lljfjr9766h1h`fjfv=31:d=ddbnbz1?<>`9`hnbn~5;?2l5lljfjr9726h1h`fjfv=35:d=ddbnbz1?8>`9`hnbn~5;32l5lljfjr97>601h`fjfv=3=e>ekcmc}0?>1a:aooaoq4;;5m6mckeku8749i2iggigy<31==>ekcmc}0?06;bnh`lp;;730oaekiw>7:<=ddbnbz1;19:aooaoq4?427nbddht?3;?<keaoe{27>89`hnbn~53546mckeku[5><keaoe{Q>9:aooaoqW8:27nbddht\54?<keaoe{Q>289`hnbn~V;856mckeku[42>3jf`hdxP14;8gimcaU::45lljfjrZ7012iggigy_0::?fjll`|T=464cmigmsY512iggigy_32:?fjll`|T><74cmigmsY5:01h`fjfv^00<>ekcmc}S>64cmigmsY302iggigy_4:8gimcaU=46mckeku[2><keaoe{Q78:aooaoqW0=0ocz}<1<4?fhsz5;5;6mats>1:2=df}x7?384covq[50<kg~yS<84covq[70<kg~yS>h4covq[fkwWl{;<=>>0:ampwYdeyUn}=>?0018cwh?3nxeSBLZF39kw2=o{VEIYK64kc3\@C@602ai=RJIF358og7XCJC37fl>_JAJ5f=lj8U@ODQMUGE2f>me9VAHERLZFF]J4ZkrpVyn`R}fg69hf4YLL@n0go?PMTZLQQ:76l1`n<QBUYMVP9699m1`n<QBUYMVP979m2ai=RCZXNWW8486l2ai=RCZXNWW878b3bh:S@[WOTV?6;7c3bh:S@[WOTV?7;c<ck;TAXV@UU>0:4703bh:S@m`uov\gimCaUhu1>1199hf4YJkfexRmckEku[f;994:n6em1^O`kphsWjf`HdxPcx>24;Yu|820go?PMbmvjqYddbNbzRmv<03=5g=lj8UFob{at^aooAoqWjs7=<0Pru34?nd6WDidyczPcmiGmsYdq5;5=l5db0]Ngjsi|ViggIgy_b{?5;Yu|8=0go?PMbmvjqYddbNbzRmv<3<2e>me9VGhcx`{_bnh@lpXkp692R|{169hf4YJkfexRmckEku[f;;7;j7fl>_LalqkrXkeaOe{Qly=1=[wr6?2ai=RClotlw[fjlL`|Tot2;>0c8og7XEje~byQlljFjrZe~4=4T~y?8;j`2[Heh}g~ToaeKiw]`}9399h1`n<QBcnwmpZekcMc}Snw35?]qp41<ck;TAnaznu]`hnBn~Vir0;0>a:ia5ZKdg|dSnbdDht\g|:16Vx=:5db0]Ngjsi|ViggIgy_b{?3;7f3bh:S@m`uov\gimCaUhu191_sv23>me9VGhcx`{_bnh@lpXkp632<o4kc3\Ifirf}Uh`fJfv^az8=8Xz};<7fl>_LalqkrXkeaOe{Qly=;=5d=lj8UFob{at^aooAoqWjs753Q}t0:8og7XEje~byQlljFjrZjr|5:5=h5db0]Ngjsi|ViggIgy_mww858XAG\T<<64kc3\Ifirf}Uh`fJfv^zlv969901`n<QBcnwmpZekcMc}Sua}<02=5<=lj8UFob{at^aooAoqWqey0<?1189hf4YJkfexRmckEku[}iu4885=n5db0]Ngjsi|ViggIgy_ymq8449W{~:46em1^O`kphsWjf`HdxPxnp?5;7?3bh:S@m`uov\gimCaUsc2=>0:8og7XEje~byQlljFjrZ~hz595=55db0]Ngjsi|ViggIgy_ymq818602ai=RClotlw[fjlL`|Ttb|35?3;?nd6WDidyczPcmiGmsYg{6=2<64kc3\Ifirf}Uh`fJfv^zlv919911`n<QBcnwmpZekcMc}Sua}<9<2<>me9VGhcx`{_bnh@lpXpfx753j4kc3\V`urd}6;2h5db0]Qavsk|5;;2h5db0]Qavsk|5;:2h5db0]Qavsk|5;92i5db0]Qavsk|5;5h6em1^Pfwpjs4;4o7fl>_Sgpqir;;7n0go?PRdqvhq:36m1`n<Q]erwop939l2ai=R\jstnw838c3bh:S_k|umv?3;b<ck;T^h}zlu>;:a=lj8UYi~{ct=;=a>me9V]jey\jg=2=a>me9V]jey\jg=3=a>me9V]jey\jg=0=a>me9V]jey\jg=1=a>me9V]jey\jg=6=a>me9V]jey\jg=7=a>me9V]jey\jg=4=a>me9V]jey\jg=5=`>me9V]jey\jg^2g?nd6W^kbx_kh_0f8og7X_hc^hiP2e9hf4YPi`~YijQ<d:ia5ZQfa}XnkR:k;j`2[Rgn|[olS8j4kc3\SdosZlmT:i5db0]TelrUmnU<=;5db0]TelrUmnUha}Qjq12344><ck;T[lg{Rde\ghvXmx:;<=?8229hf4YPi`~YijQlmq]fu56788=$@XZ;_M]AQC7f3bh:SZoftSgd[fkwWl{;<=>>7.M2<>me9V]jey\jg^antZcv89:;=5?8;j`2[Rgn|[olSnc_ds34563l2ai=RmckEku858b3bh:SnbdDht?558b3bh:SnbdDht?548b3bh:SnbdDht?578c3bh:SnbdDht?5;b<ck;ToaeKiw>1:a=lj8Uh`fJfv=1=`>me9ViggIgy<5<g?nd6Wjf`Hdx35?f8og7XkeaOe{29>e9hf4YddbNbz191d:ia5ZekcMc}050k;j`2[fjlL`|753m4kc3\gimCaU;o6em1^aooAoqW8n0go?PcmiGmsY68m1`n<QlljFjrZ76l2ai=RmckEku[44d3bh:SnbdDht\6f=lj8Uh`fJfv^1`?nd6Wjf`HdxP4b9hf4YddbNbzR;l;j`2[fjlL`|T:n5db0]`hnBn~V=h7fl>_bnh@lpX0j1`n<QlljFjrZ?6<2ai=R}gigvQabUno86:<3?<;j`2[vnnn}Xnk^gh1=3=56=lj8Uxddh{RdePmb7;:7;87fl>_rjjbqTboZcl=1=1129hf4Yt``l^hi\if3?0;743bh:S~fffuPfcVo`95?5=>5db0]pll`sZlmXej?36?30?nd6Wzbbjy\jgRkd59199:1`n<Q|hhdwV`aTan;743?<;j`2[vnnn}Xnk^gh1=;=57=lj8Uxddh{RdePmb7X9890go?PsikepWc`[`m:S<>>2:ia5Zuoao~Yij]fg0]157=lj8Uxddh{RdePmb7X;880go?PsikepWc`[`m:S9?=;j`2[vnnn}Xnk^gh1^726>me9Vycekz]efQjc4Y19;1`n<Q|hhdwV`aTan;T;<<4kc3\wmoa|[ol_di>_931?nd6Wzbbjy\jgRkd5Z?6;2ai=R}gigvQabUno;6:2<=4kc3\wmoa|[ol_di=<3<27>me9Vycekz]efQjc7:46890go?PsikepWc`[`m9090>3:ia5Zuoao~Yij]fg3>6:45<ck;TegitSgdWla54?4:?6em1^qkmcrUmnYbk?28>018og7X{acmx_khShe18=86:2ai=R}gigvQabUno;U:=?5db0]pll`sZlmXej<P2008og7X{acmx_khShe1[6753bh:S~fffuPfcVo`:V>:>6em1^qkmcrUmnYbk?Q:139hf4Yt``l^hi\if0\244<ck;TegitSgdWla5W>;97fl>_rjjbqTboZcl>R6>3:ia5Zuoao~Yij]fg3];542<ck;TegitSgdWla5W1;:>6el7:i`[LDRN;1`h:5dd^KAQC0<dln7<384ldf?5;0<dln7>384ldf?7;0<dln78384ldf?1;0<dln7:364ldf?3?69>2fnh191a:nf`Z6XGK_Mm6bjd^3\KGSAi2fnhR<POCWEe>jblV9TCO[Ia:nf`Z2XGK_Mm6bjd^7\KGSAi2fnhR8POCWEe>jblV=TCO[Ia:nf`Zc|5:5m6bjd^g{p979981giiQjxu>2:4YNF_U;m6bjd^g{p949i2fnhRkwt=1=54=kmmUnty2<>0]JJSY7i2fnhRkwt=6=e>jblVosx1;1109oaaYbp}6>2<QFNW]3e>jblVosx181c:nf`Zc|5=1<3o4ldf\a}r;?7o0`hjPeyv\4ZIE]Oo0`hjPeyv\5ZIE]Oo0`hjPeyv\6ZIE]Oo0`hjPeyv\7ZIE]Oo0`hjPeyv\0ZIE]Oo0`hjPeyv\1ZIE]Oo0`hjPeyv\2ZIE]O;37akk_dzw[3Yig}:;<=?PIOT\4`=kmmUntyQ8_N@VB4><dlnTiuzP7^llp56788UBB[Q?3:obt1=jkeaj7`mck^@VBBVa3diggRLZFFR,FP@@9l1foaePBTDDT*DRNNUB<Rczx^qfhZuno8:0anbd_CWECU)NJ\LL46`hdcwjha453ybrdmnl^eqkpvXZZ88>]|`j^WBVQYuKea::6~gyrk`eiY`zf{Sfl>_JAJ5<=w`pybolbPgsmvtZkddbUIYKI_1`9sl|unkhfTkazp^tde4Yddbnbz>5}ee:8v`bXGK_M?6|wd99q|aYNJ\L27~~nrucm7d0<{zm7<384sre?5;0<{zm7>384sre?7;0<{zm78384sre?1;0<{zm7:364sre?3?69>2yxk191a:qpcZ6XGK_M==5|sf]3[hs89:;=9=>2:qpcZ6Xe|r;<=>>42]E57=t{nU;S`{w0123515XOh1xjQ>_N@VB46<{zmT=Rczx12344679;1xjQ>_lw{456799:TJ<<4sre\5Zkrp9:;<<>?_Fc8wvaX:VEIYKh4sre\6Zkrp9:;<;7>1:qpcZ4Xe|r;<=>99^D25>utoV8Taxv?0125=ZAf3zylS>Q@BTD24>utoV9Taxv?012203753zylS>Qbuy234573>VL:>6}|g^1\ip~789::8;QHa:qpcZ2XGK_Mj6}|g^6\ip~789:=8<?4sre\0Zkrp9:;<;:PF038wvaX<Vg~t=>?076\Cd=t{nU>SBLZF028wvaX=Vg~t=>?002;57=t{nU>S`{w012355>XN880~iP5^ov|56788:3SJo4sre\2ZIE]O;;7~}h_7]nq}6789;;9<<4sre\2Zkrp9:;<<>:_G31?vu`W?Ufyu>?01331ZAf3zylS:Q@BTD25>utoV=TCO[I_rjjbq7692yxkR9POCWE[vnnn}8:=6}|g^5\KGSAWzbbjy=9;ruw85813z}0<0m;ruw848XAK_M:6}xt=0=f>up|585SDLZF79psq:46k1x{y2<>^KAQC0<{~~783l4svv?0;YNJ\L=7~y{<4<a?vqs4<4TEO[I6:qtp909j2y|x181_H@VB==t}6<6=09;ruw828e3z}0:0PICWEe>up|V:TEO[I3:v{`==spmUDNXH>4:tde4YJkfexRfl_b{?4;733mj=RClotlw[meXkp6:2<94vfc2[Heh}g~TdnQly=3=[wr6<2|lm<QBcnwmpZndWjs7>3?8;web5ZKdg|dSemPcx>1:Zts9=1}kl?PMbmvjqYokVir0>0>7:tde4YJkfexRfl_b{?7;Yu|8>0zjo>_LalqkrX`jUhu1:1169ucd7XEje~byQgc^az818Xz};?7{in1^O`kphsWaiTot2:>058rbg6WDidyczPhb]`}939W{~:86xha0]Ngjsi|VbhSnw36?34?saf9VGhcx`{_ia\g|:16Vx=95yg`3\Ifirf}UcoRmv<6<23>p`i8UFob{at^j`[f;?7Uyx<:4vfc2[Heh}g~TdnQly=:=52=qoh;TAnaznu]kgZe~414T~y?:;web5ZKdg|dSemPltv?4;7e3mj=RClotlw[meXd|~7<3QFNW]350=qoh;TAnaznu]kgZ~hz5:5=85yg`3\Ifirf}UcoRv`r=3=50=qoh;TAnaznu]kgZ~hz585=85yg`3\Ifirf}UcoRv`r=1=50=qoh;TAnaznu]kgZ~hz5>5=85yg`3\Ifirf}UcoRv`r=7=50=qoh;TAnaznu]kgZ~hz5<5=85yg`3\Ifirf}UcoRv`r=5=50=qoh;TAnaznu]kgZ~hz525=85yg`3\Ifirf}UcoRv`r=;=5==qoh;TAnaznu]kgZ~hz535Sz>4:tde4YJkfexRxl_b{?4;733mj=RClotlw[seXkp6:2<94vfc2[Heh}g~TznQly=3=[wr6<2|lm<QBcnwmpZpdWjs7>3?8;web5ZKdg|dS{mPcx>1:Zts9=1}kl?PMbmvjqYqkVir0>0>7:tde4YJkfexRxl_b{?7;Yu|8>0zjo>_LalqkrX~jUhu1:1169ucd7XEje~byQyc^az818Xz};?7{in1^O`kphsWiTot2:>058rbg6WDidyczPvb]`}939W{~:86xha0]Ngjsi|V|hSnw36?34?saf9VGhcx`{_wa\g|:16Vx=95yg`3\Ifirf}U}oRmv<6<23>p`i8UFob{at^t`[f;?7Uyx<:4vfc2[Heh}g~TznQly=:=52=qoh;TAnaznu]ugZe~414T~y?:;web5ZKdg|dS{mPltv?4;7e3mj=RClotlw[seXd|~7<3QFNW]350=qoh;TAnaznu]ugZ~hz5:5=85yg`3\Ifirf}U}oRv`r=3=50=qoh;TAnaznu]ugZ~hz585=85yg`3\Ifirf}U}oRv`r=1=50=qoh;TAnaznu]ugZ~hz5>5=85yg`3\Ifirf}U}oRv`r=7=50=qoh;TAnaznu]ugZ~hz5<5=85yg`3\Ifirf}U}oRv`r=5=50=qoh;TAnaznu]ugZ~hz525=85yg`3\Ifirf}U}oRv`r=;=5==qoh;TAnaznu]ugZ~hz535Sz7;web5ZH79>1}kl?PN058rbg6WG8n7{in1^Pfwpjs494m7{in1^Pfwpjs494:i6xha0]Qavsk|5;5j6xha0]Qavsk|5;5=h5yg`3\V`urd}692k5yg`3\V`urd}692<k4vfc2[Wct}e~7?3h4vfc2[Wct}e~7?3?j;web5ZTb{|f090i;web5ZTb{|f090>e:tde4YUmzgx1;1f:tde4YUmzgx1;11d9ucd7XZly~`y29>g9ucd7XZly~`y29>0g8rbg6W[oxyaz37?d8rbg6W[oxyaz37?3f?saf9VXnxb{<9<e?saf9VXnxb{<9<2a>p`i8UYi~{ct=;=b>p`i8UYi~{ct=;=546<~nk:Sobz_`lg45679=1}kl?Pbmw\ekb789:T_Z>m;web5Zekcmc}o6xha0]`hnbn~8;;7{in1^aooaoqWK_MK<h4vfc2[fjll`|TNXHH_H2\ip~X{lfTdi>2:tde4Y`zgUjbi>?01325a=qoh;Tk`Paof345669&F^X9QK_CWE54=qoh;Tk`Paof34565i2|lm<Qgc=2=e>p`i8Uco1?1a:tde4Yok585m6xha0]kg959i2|lm<Qgc=6=e>p`i8Uco1;1a:tde4Yok5<5m6xha0]kg919i2|lm<Qgc=:=e>p`i8Uco1719:tde4YokV:27{in1^j`[4?<~nk:SemP289ucd7X`jU856xha0]kgZ2>3mj=Rfl_4;8rbg6WaiT:45yg`3\lfY012|lm<Qgc^::?saf9VbhS4?=;web5ZndWjg{Sh?01227>p`i8UcoRmbp^gr45679890zjo>_iq\ghvXmx:;<=?>0:tde4YummUjbi>?0131?saf9VxnhRoad1234476<2|lm<Q}ee]bja6789UX[=o4vfc2[se;87k0zjo>_wa?5;g<~nk:S{m32?c8rbg6Wi7?3o4vfc2[se;<7k0zjo>_wa?1;g<~nk:S{m36?c8rbg6Wi7;3o4vfc2[se;07k0zjo>_wa?=;?<~nk:S{mP089ucd7X~jU:56xha0]ugZ4>3mj=Rxl_2;8rbg6WiT845yg`3\rfY212|lm<Qyc^4:?saf9V|hS:74vfc2[seX001}kl?Pvb]:b>p`i8U}oRoad123446<~nk:S{mPaof345669=1}kl?Pvb]bja6789;T_Z>>f:tde4YqkVkeh=>?00]PS5)K]]8T@RLZF048rbg6WiTmcj?0122[VQ7'F;<7{in1^t`[dhc89:;S^Y?_RU367=qoh;TznQnne2345YT_9UX[=!CUU6\HZDRN830zjo>_wa\ekb789:T_Z>PSV2,K44<~nk:S{}Pclr\at6789;87{in1^tp[fkwWl{;<=>>149ucd7X~zUha}Qjq1234Z`2l2|lm<Qysdlbfic6=2|lm<QysdlbficXff~;<=?>7:tde4Yq{ldjnakPnnv3457618=0zjo>_wqfjddkmVddx=>?13:22>p`i8U}h`nbmg\jjr789;<>6x|7:tp[JDRN11seagaxtl2<>~kfqUjyyaP0^zgf*et|'rioisO@q3fg2=GHq8i=7H57;3xW12=:l<1544>3272`g<6=;>pb?k;:09m6`3=>2.9h:4=cc9~W17=:l<1544>3272`g<6=;=0_5l540195?74;<;on7<n3e9P04<39:0:6<=<50fa>7g4m2Y3n7:?d;0956529mh1>l:?;R;a>16c2021=>=:1e`96d253Z>:69>k:3827636lk09m9<4d3`2>5<62;qX894=e78:=?74;<;on7?:259'70<39j1C8:5a9e8673???1;0(4m540a8 <c=111}X4o50;395?44:rY?87<j6;;:>454=8ni6<;=4:&1gd<?m2\9i<4={tc0>4=rn90;7p*>7b824>"283:0(9k54g48 1`=081i>o?50;14>7362;8mwE<l8:&1g3<5j81Q?;48{06966<5:38:6<=514814?{i:l81<6g;1`83>>o4m;0;66a<4683>>o4m=0;66a;5683>>i3n:0;66a<4483>>i3910;66g;0d83>>i5n<0;66a=eg83>!70n38ni6`>7d83?>i5mj0;6)?8f;0fa>h6?l0:76a=e`83>!70n38ni6`>7d81?>i5m10;6)?8f;0fa>h6?l0876a;2483>!70n3>986`>7d83?>i3::0;6)?8f;610>h6?l0:76a;2383>!70n3>986`>7d81?>i3:80;6)?8f;610>h6?l0876a;2183>!70n3>986`>7d87?>i39o0;6)?8f;610>h6?l0>76a;1d83>!70n3>986`>7d85?>i39m0;6)?8f;610>h6?l0<76a;0383>!70n3>;=6`>7d83?>i3890;6)?8f;635>h6?l0:76a<fd83>!70n3>;=6`>7d81?>i4nm0;6)?8f;635>h6?l0876a<fc83>!70n3>;=6`>7d87?>i4nh0;6)?8f;635>h6?l0>76a<f983>!70n3>;=6`>7d85?>i4n>0;6)?8f;635>h6?l0<76a<ed83>!70n39nh6`>7d83?>i4mj0;6)?8f;1f`>h6?l0:76a<ec83>!70n39nh6`>7d81?>i4mh0;6)?8f;1f`>h6?l0876a<e883>!70n39nh6`>7d87?>i4m10;6)?8f;1f`>h6?l0>76a<e683>!70n39nh6`>7d85?>i4m?0;6)?8f;1f`>h6?l0<76g=fc83>!70n38mm6`>7d83?>o5n00;6)?8f;0ee>h6?l0:76g=f983>!70n38mm6`>7d81?>o5n>0;6)?8f;0ee>h6?l0876g<0483>!70n39;86`>7d83?>o48:0;6)?8f;130>h6?l0:76g<0383>!70n39;86`>7d81?>o4880;6)?8f;130>h6?l0876g<0183>!70n39;86`>7d87?>o3==0;6)?8f;667>h6?l0;76g;5083>!70n3>>?6`>7d82?>o3<o0;6)?8f;667>h6?l0976g;4e83>!70n3>>?6`>7d80?>o3<k0;6)?8f;667>h6?l0?76g;4883>!70n3>>?6`>7d86?>o3<>0;6)?8f;667>h6?l0=76g;4783>!70n3>>?6`>7d84?>d5ko0:6<4?:1y'6f0=?81C>nk4H3a;?!4b838n;6*7a;6e1>h5m;0:7)<m8;0a5>i1l3:1(?k?:061?>{e:m:1=7?50;2x 7e12;i27E<le:J1g==#:l:1=9>4$9c90c3<f;o96?5+2c:96g7<g8>86=4+2d29514<3th95=4>:983>5}#:j<19n5G2bg8L7e?3-=n6>5+2d296g2<@82i7)6n:5d6?k4b:390(?l7:3`2?l50290/>h>53d98m13=83.9i=48a:9j5=1=83.9i=4=bb98k4>2290/>h>51508?l5e290/>h>53c98m7d4290/>h>52c18L7e032c95>4?:%0f4?4>;2B9o:54i3`3>5<#:l:1>oj4;|`066<62?0;6=u+2b4913=O:jo0D?m7;%0f4?063-=n6>5G19`8 =g=<o?0b?k=:59'6g>=:k;0e>950;&1a5<4m21b884?:%0f4?1f32e:484?:%0f4?73:21b?o4?:%0f4?5e32c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn><;:085>5<7s-8h:7;9;I0`a>N5k11/>h>5609'3`<43A;3n6*7a;6e1>h5m;0>7)<m8;0a5>o4?3:1(?k?:2g8?l22290/>h>57`98k4>2290/>h>51508?l5e290/>h>53c98m4>5290/>h>52c18?l27290/>h>52818?xd4:o0:6;4?:1y'6f0==?1C>nk4H3a;?!4b83<:7)9j:29K5=d<,1k18k;4n3g1>3=#:k21>o?4i2594?"5m908i65f4483>!4b83=j76a>8483>!4b83;?>65f3c83>!4b839i76g>8383>!4b838i?65f4183>!4b8382?65rb217>4<1290;w)<l6;75?M4dm2B9o55+2d2924=#?l087E?7b:&;e?2a=2d9i?48;%0a<?4e92c8;7>5$3g3>6c<3`>>6=4+2d293d=<g82>6=4+2d29514<3`9i6=4+2d297g=<a8296=4+2d296g5<3`>;6=4+2d296<5<3th8?84>:783>5}#:j<19;5G2bg8L7e?3-8n<78>;%5f>6=O91h0(5o54g78j7c5211/>o652c38m61=83.9i=4<e:9j00<72-8n<79n;:m2<0<72-8n<7?;2:9j7g<72-8n<7=m;:k2<7<72-8n<7<m3:9j05<72-8n<7<63:9~f651280=6=4?{%0`2?313A8hi6F=c99'6`6=>81/;h4<;I3;f>"?i3>m96`=e38:?!4e038i=6g<7;29 7c72:o07d:::18'6`6=?h10c<6::18'6`6=9=807d=m:18'6`6=;k10e<6=:18'6`6=:k907d:?:18'6`6=:0907pl<3682>3<729q/>n85579K6fc<@;i37)<j0;42?!1b2:1C=5l4$9c90c3<f;o96l5+2c:96g7<a:=1<7*=e180a>=n<<0;6)<j0;5b?>i60<0;6)<j0;376>=n;k0;6)<j0;1a?>o60;0;6)<j0;0a7>=n<90;6)<j0;0:7>=zj:936<49:183!4d>3?=7E<le:J1g==#:l:1:<5+7d80?M7?j2.3m7:i5:l1a7<e3-8i47<m1:k03?6=,;o;6>k4;h66>5<#:l:1;l54o0:6>5<#:l:1=9<4;h1a>5<#:l:1?o54i0:1>5<#:l:1>o=4;h63>5<#:l:1>4=4;|`07<<62?0;6=u+2b4913=O:jo0D?m7;%0f4?063-=n6>5G19`8 =g=<o?0b?k=:b9'6g>=:k;0e>950;&1a5<4m21b884?:%0f4?1f32e:484?:%0f4?73:21b?o4?:%0f4?5e32c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn>=n:085>5<7s-8h:7;9;I0`a>N5k11/>h>5609'3`<43A;3n6*7a;6e1>h5m;0o7)<m8;0a5>o4?3:1(?k?:2g8?l22290/>h>57`98k4>2290/>h>51508?l5e290/>h>53c98m4>5290/>h>52c18?l27290/>h>52818?xd4:<0:6;4?:1y'6f0==?1C>nk4H3a;?!4b83<:7)9j:29K5=d<,1k18k;4n3g1>`=#:k21>o?4i2594?"5m908i65f4483>!4b83=j76a>8483>!4b83;?>65f3c83>!4b839i76g>8383>!4b838i?65f4183>!4b8382?65rb205>4<1290;w)<l6;75?M4dm2B9o55+2d2924=#?l087E?7b:&;e?2a=2d9i?4i;%0a<?4e92c8;7>5$3g3>6c<3`>>6=4+2d293d=<g82>6=4+2d29514<3`9i6=4+2d297g=<a8296=4+2d296g5<3`>;6=4+2d296<5<3th8>:4>:783>5}#:j<19;5G2bg8L7e?3-8n<78>;%5f>6=O91h0(5o54g78j7c528:0(?l7:3`2?l50290/>h>53d98m13=83.9i=48a:9l5=3=83.9i=4>4398m6d=83.9i=4<b:9j5=4=83.9i=4=b298m16=83.9i=4=9298yg5503;1:7>50z&1g3<2>2B9oh5G2b:8 7c72?;0(:k53:J2<g=#0h0?j85a2d0954=#:k21>o?4i2594?"5m908i65f4483>!4b83=j76a>8483>!4b83;?>65f3c83>!4b839i76g>8383>!4b838i?65f4183>!4b8382?65rb20:>4<1290;w)<l6;75?M4dm2B9o55+2d2924=#?l087E?7b:&;e?2a=2d9i?4>2:&1f=<5j81b?:4?:%0f4?5b32c?97>5$3g3>2g<3f;397>5$3g3>42532c8n7>5$3g3>6d<3`;3>7>5$3g3>7d432c?<7>5$3g3>7?432wi??o51;494?6|,;i=6884H3af?M4d02.9i=491:&4a?5<@82i7)6n:5d6?k4b:3;87)<m8;0a5>o4?3:1(?k?:2g8?l22290/>h>57`98k4>2290/>h>51508?l5e290/>h>53c98m4>5290/>h>52c18?l27290/>h>52818?xd4:k0:6;4?:1y'6f0==?1C>nk4H3a;?!4b83<:7)9j:29K5=d<,1k18k;4n3g1>42<,;h36?l>;h14>5<#:l:1?h54i5794?"5m90<m65`19794?"5m90:8?54i2`94?"5m908n65f19094?"5m909n>54i5294?"5m9095>54}c11g?7=>3:1<v*=c7862>N5kl1C>n64$3g3>37<,>o1?6F>8c9'<d<3n<1e>h<5149'6g>=:k;0e>950;&1a5<4m21b884?:%0f4?1f32e:484?:%0f4?73:21b?o4?:%0f4?5e32c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn><k:085>5<7s-8h:7;9;I0`a>N5k11/>h>5609'3`<43A;3n6*7a;6e1>h5m;0::6*=b981f4=n;>0;6)<j0;1f?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o4j3:1(?k?:2`8?l7?:3:1(?k?:3`0?>o383:1(?k?:3;0?>{e;;o1=7850;2x 7e12<<0D?mj;I0`<>"5m90==6*8e;18L4>e3-2j69h:;o0f6?703-8i47<m1:k03?6=,;o;6>k4;h66>5<#:l:1;l54o0:6>5<#:l:1=9<4;h1a>5<#:l:1?o54i0:1>5<#:l:1>o=4;h63>5<#:l:1>4=4;|`075<62?0;6=u+2b4913=O:jo0D?m7;%0f4?063-=n6>5G19`8 =g=<o?0b?k=:0:8 7d?2;h:7d=8:18'6`6=;l10e9;50;&1a5<0i21d=5;50;&1a5<6<;10e>l50;&1a5<4j21b=5<50;&1a5<5j:10e9>50;&1a5<51:10qo=<1;392?6=8r.9o;4:6:J1g`=O:j20(?k?:738 2c=;2B:4o5+8`87b0=i:l81=45+2c:96g7<a:=1<7*=e180a>=n<<0;6)<j0;5b?>i60<0;6)<j0;376>=n;k0;6)<j0;1a?>o60;0;6)<j0;0a7>=n<90;6)<j0;0:7>=zj:996<49:183!4d>3?=7E<le:J1g==#:l:1:<5+7d80?M7?j2.3m7:i5:l1a7<6i2.9n54=b09j72<72-8n<7=j;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k0f?6=,;o;6>l4;h3;6?6=,;o;6?l<;:k74?6=,;o;6?7<;:a765=93<1<7>t$3a5>00<@;in7E<l8:&1a5<192.<i7=4H0:a?!>f2=l>7c<j2;3a?!4e038i=6g<7;29 7c72:o07d:::18'6`6=?h10c<6::18'6`6=9=807d=m:18'6`6=;k10e<6=:18'6`6=:k907d:?:18'6`6=:0907pl<3g82>=<729q/>n855b9K6fc<@;i37)<j0;0a0>"0m390D<6m;%:b>1`23g8n>7?l;%0a<?4e92c8;7>5$3g3>6c<3`>>6=4+2d293d=<a82<6=4+2d296ge<3f;397>5$3g3>42532c8n7>5$3g3>6d<3`8i?7>5$3g3>7d43A8h;65f28194?"5m9095>5G2b58?l4e83:1(?k?:3`g?>{e;=:1=7650;2x 7e12<i0D?mj;I0`<>"5m909n95+7d80?M7?j2.3m7:i5:l1a7<6l2.9n54=b09j72<72-8n<7=j;:k71?6=,;o;6:o4;h3;3?6=,;o;6?ll;:m2<0<72-8n<7?;2:9j7g<72-8n<7=m;:k1f6<72-8n<7<m3:J1g2=<a;386=4+2d296<5<@;i<76g=b183>!4b838ih65rb262>4<?290;w)<l6;7`?M4dm2B9o55+2d296g2<,>o1?6F>8c9'<d<3n<1e>h<51d9'6g>=:k;0e>950;&1a5<4m21b884?:%0f4?1f32c:4:4?:%0f4?4ek21d=5;50;&1a5<6<;10e>l50;&1a5<4j21b>o=50;&1a5<5j:1C>n94;h0:7?6=,;o;6?7<;I0`3>=n:k:1<7*=e181fa=<uk9?>7?58;294~"5k?0>o6F=cd9K6f><,;o;6?l;;%5f>6=O91h0(5o54g78j7c528l0(?l7:3`2?l50290/>h>53d98m13=83.9i=48a:9j5=1=83.9i=4=bb98k4>2290/>h>51508?l5e290/>h>53c98m7d4290/>h>52c18L7e032c95>4?:%0f4?4>;2B9o:54i3`3>5<#:l:1>oj4;|`b0?7=<3:1<v*=c78b5>N5kl1C>n64$3g3>d6<,1k18k;4n3g1>76<,;h36?l>;h1`>5<#:l:1;n54i5494?"5m90<n65f2c294?"5m909n?54o02e>5<#:l:1=9<4;|`g7?7=;3:1<v*=c781ga=O:jo0D?m7;%0f4?4dk2.3m7:i5:l1a7<592.9n54=b09j7f<72-8n<79n;:k;=?6=,;o;6:o4;n33b?6=,;o;6<:=;:af<<62=0;6=u+2b49e4=O:jo0D?m7;%0f4?g73-2j69h:;o0f6?453-8i47<m1:k0g?6=,;o;6:m4;h65>5<#:l:1;o54i3`3>5<#:l:1>o<4;n33b?6=,;o;6<:=;:a``<62:0;6=u+2b496fb<@;in7E<l8:&1a5<5kj1/4l4;f49m6`4=::1/>o652c38m6e=83.9i=48a:9j<<<72-8n<79n;:m24c<72-8n<7?;2:9~ff7=93>1<7>t$3a5>d7<@;in7E<l8:&1a5<f82.3m7:i5:l1a7<5<2.9n54=b09j7f<72-8n<79l;:k72?6=,;o;6:l4;h0a4?6=,;o;6?l=;:m24c<72-8n<7?;2:9~f`2=9391<7>t$3a5>7ec3A8hi6F=c99'6`6=:ji0(5o54g78j7c52;?0(?l7:3`2?l5d290/>h>57`98m=?=83.9i=48a:9l55`=83.9i=4>4398yge4280?6=4?{%0`2?g63A8hi6F=c99'6`6=i91/4l4;f49m6`4=:?1/>o652c38m6e=83.9i=48c:9j03<72-8n<79m;:k1f5<72-8n<7<m2:9l55`=83.9i=4>4398ygc228086=4?{%0`2?4dl2B9oh5G2b:8 7c72;ih7)6n:5d6?k4b:38<7)<m8;0a5>o4k3:1(?k?:6c8?l>>290/>h>57`98k46a290/>h>51508?xdd=3;187>50z&1g3<f92B9oh5G2b:8 7c72h:0(5o54g78j7c52;20(?l7:3`2?l5d290/>h>57b98m10=83.9i=48b:9j6g6=83.9i=4=b398k46a290/>h>51508?xdb>3;1?7>50z&1g3<5km1C>nk4H3a;?!4b838ho6*7a;6e1>h5m;0956*=b981f4=n;j0;6)<j0;5b?>o?13:1(?k?:6c8?j77n3:1(?k?:061?>{ek>0:694?:1y'6f0=i81C>nk4H3a;?!4b83k;7)6n:5d6?k4b:38j7)<m8;0a5>o4k3:1(?k?:6a8?l21290/>h>57c98m7d7290/>h>52c08?j77n3:1(?k?:061?>{em>0:6>4?:1y'6f0=:jn0D?mj;I0`<>"5m909on5+8`87b0=i:l81>o5+2c:96g7<a:i1<7*=e184e>=n000;6)<j0;5b?>i68o0;6)<j0;376>=zjj31=7:50;2x 7e12h;0D?mj;I0`<>"5m90j<6*7a;6e1>h5m;09o6*=b981f4=n;j0;6)<j0;5`?>o3>3:1(?k?:6`8?l4e83:1(?k?:3`1?>i68o0;6)<j0;376>=zjl21=7=50;2x 7e12;io7E<le:J1g==#:l:1>nm4$9c90c3<f;o96?j4$3`;>7d63`9h6=4+2d293d=<a131<7*=e184e>=h99l1<7*=e18207=<ukii6<4;:183!4d>3k:7E<le:J1g==#:l:1m=5+8`87b0=i:l81>h5+2c:96g7<a:i1<7*=e184g>=n<?0;6)<j0;5a?>o5j90;6)<j0;0a6>=h99l1<7*=e18207=<uko26<4<:183!4d>38hh6F=cd9K6f><,;o;6?ml;%:b>1`23g8n>7<i;%0a<?4e92c8o7>5$3g3>2g<3`226=4+2d293d=<g8:m6=4+2d29514<3thhh7?54;294~"5k?0j=6F=cd9K6f><,;o;6l>4$9c90c3<f;o96>>4$3`;>7d63`9h6=4+2d293f=<a=<1<7*=e184f>=n:k:1<7*=e181f7=<g8:m6=4+2d29514<3thnm7?53;294~"5k?09oi5G2bg8L7e?3-8n<7<lc:&;e?2a=2d9i?4<1:&1f=<5j81b?n4?:%0f4?1f32c357>5$3g3>2g<3f;;j7>5$3g3>42532wiok4>:583>5}#:j<1m<5G2bg8L7e?3-8n<7o?;%:b>1`23g8n>7==;%0a<?4e92c8o7>5$3g3>2e<3`>=6=4+2d293g=<a;h;6=4+2d296g4<3f;;j7>5$3g3>42532wiio4>:283>5}#:j<1>nj4H3af?M4d02.9i=4=cb9'<d<3n<1e>h<5329'6g>=:k;0e>m50;&1a5<0i21b444?:%0f4?1f32e:<k4?:%0f4?73:21vnl;51;694?6|,;i=6l?4H3af?M4d02.9i=4n0:&;e?2a=2d9i?4<4:&1f=<5j81b?n4?:%0f4?1d32c?:7>5$3g3>2d<3`8i<7>5$3g3>7d532e:<k4?:%0f4?73:21vni:51;194?6|,;i=6?mk;I0`a>N5k11/>h>52ba8 =g=<o?0b?k=:278 7d?2;h:7d=l:18'6`6=?h10e5750;&1a5<0i21d==h50;&1a5<6<;10qoo8:087>5<7s-8h:7o>;I0`a>N5k11/>h>5a19'<d<3n<1e>h<5379'6g>=:k;0e>m50;&1a5<0k21b8;4?:%0f4?1e32c9n=4?:%0f4?4e:21d==h50;&1a5<6<;10qoj::080>5<7s-8h:7<ld:J1g`=O:j20(?k?:3a`?!>f2=l>7c<j2;14?!4e038i=6g<c;29 7c72>k07d66:18'6`6=?h10c<>i:18'6`6=9=807pln9;390?6=8r.9o;4n1:J1g`=O:j20(?k?:`28 =g=<o?0b?k=:2:8 7d?2;h:7d=l:18'6`6=?j10e9850;&1a5<0j21b>o>50;&1a5<5j;10c<>i:18'6`6=9=807plk6;397?6=8r.9o;4=ce9K6fc<@;i37)<j0;0`g>"?i3>m96`=e380=>"5j109n<5f3b83>!4b83=j76g79;29 7c72>k07b??f;29 7c728>976smac82>1<729q/>n85a09K6fc<@;i37)<j0;c3?!>f2=l>7c<j2;1b?!4e038i=6g<c;29 7c72>i07d:9:18'6`6=?k10e?l?:18'6`6=:k807b??f;29 7c728>976smd682>6<729q/>n852bf8L7eb3A8h46*=e181gf=#0h0?j85a2d097g=#:k21>o?4i2a94?"5m90<m65f8883>!4b83=j76a>0g83>!4b83;?>65rb`f95?2=83:p(?m9:`38L7eb3A8h46*=e18b4>"?i3>m96`=e380g>"5j109n<5f3b83>!4b83=h76g;6;29 7c72>h07d<m0;29 7c72;h976a>0g83>!4b83;?>65rbe:95?5=83:p(?m9:3ag?M4dm2B9o55+2d296fe<,1k18k;4n3g1>6b<,;h36?l>;h1`>5<#:l:1;l54i9;94?"5m90<m65`11d94?"5m90:8?54}cce>4<3290;w)<l6;c2?M4dm2B9o55+2d29e5=#0h0?j85a2d097`=#:k21>o?4i2a94?"5m90<o65f4783>!4b83=i76g=b183>!4b838i>65`11d94?"5m90:8?54}cf:>4<4290;w)<l6;0``>N5kl1C>n64$3g3>7ed3-2j69h:;o0f6?5a3-8i47<m1:k0g?6=,;o;6:o4;h::>5<#:l:1;l54o02e>5<#:l:1=9<4;|`a5?7=<3:1<v*=c78b5>N5kl1C>n64$3g3>d6<,1k18k;4n3g1>16<,;h36?l>;h1`>5<#:l:1;n54i5494?"5m90<n65f2c294?"5m909n?54o02e>5<#:l:1=9<4;|`ge?7=;3:1<v*=c781ga=O:jo0D?m7;%0f4?4dk2.3m7:i5:l1a7<392.9n54=b09j7f<72-8n<79n;:k;=?6=,;o;6:o4;n33b?6=,;o;6<:=;:af6<62=0;6=u+2b49e4=O:jo0D?m7;%0f4?g73-2j69h:;o0f6?253-8i47<m1:k0g?6=,;o;6:m4;h65>5<#:l:1;o54i3`3>5<#:l:1>o<4;n33b?6=,;o;6<:=;:a`g<62:0;6=u+2b496fb<@;in7E<l8:&1a5<5kj1/4l4;f49m6`4=<:1/>o652c38m6e=83.9i=48a:9j<<<72-8n<79n;:m24c<72-8n<7?;2:9~fg3=93>1<7>t$3a5>d7<@;in7E<l8:&1a5<f82.3m7:i5:l1a7<3<2.9n54=b09j7f<72-8n<79l;:k72?6=,;o;6:l4;h0a4?6=,;o;6?l=;:m24c<72-8n<7?;2:9~fae=9391<7>t$3a5>7ec3A8hi6F=c99'6`6=:ji0(5o54g78j7c52=?0(?l7:3`2?l5d290/>h>57`98m=?=83.9i=48a:9l55`=83.9i=4>4398ygd0280?6=4?{%0`2?g63A8hi6F=c99'6`6=i91/4l4;f49m6`4=<?1/>o652c38m6e=83.9i=48c:9j03<72-8n<79m;:k1f5<72-8n<7<m2:9l55`=83.9i=4>4398ygbc28086=4?{%0`2?4dl2B9oh5G2b:8 7c72;ih7)6n:5d6?k4b:3><7)<m8;0a5>o4k3:1(?k?:6c8?l>>290/>h>57`98k46a290/>h>51508?xdej3;187>50z&1g3<f92B9oh5G2b:8 7c72h:0(5o54g78j7c52=20(?l7:3`2?l5d290/>h>57b98m10=83.9i=48b:9j6g6=83.9i=4=b398k46a290/>h>51508?xdcn3;1?7>50z&1g3<5km1C>nk4H3a;?!4b838ho6*7a;6e1>h5m;0?56*=b981f4=n;j0;6)<j0;5b?>o?13:1(?k?:6c8?j77n3:1(?k?:061?>{ejm0:694?:1y'6f0=i81C>nk4H3a;?!4b83k;7)6n:5d6?k4b:3>j7)<m8;0a5>o4k3:1(?k?:6a8?l21290/>h>57c98m7d7290/>h>52c08?j77n3:1(?k?:061?>{em90:6>4?:1y'6f0=:jn0D?mj;I0`<>"5m909on5+8`87b0=i:l818o5+2c:96g7<a:i1<7*=e184e>=n000;6)<j0;5b?>i68o0;6)<j0;376>=zjkl1=7:50;2x 7e12h;0D?mj;I0`<>"5m90j<6*7a;6e1>h5m;0?o6*=b981f4=n;j0;6)<j0;5`?>o3>3:1(?k?:6`8?l4e83:1(?k?:3`1?>i68o0;6)<j0;376>=zjl;1=7=50;2x 7e12;io7E<le:J1g==#:l:1>nm4$9c90c3<f;o969j4$3`;>7d63`9h6=4+2d293d=<a131<7*=e184e>=h99l1<7*=e18207=<uko96<4<:183!4d>38hh6F=cd9K6f><,;o;6?ml;%:b>1`23g8n>7:j;%0a<?4e92c8o7>5$3g3>2g<3`226=4+2d293d=<g8:m6=4+2d29514<3th94:4>:783>5}#:j<14:5G2bg8L7e?3-8n<76:;%5f>6=#0h0?j85a2d090c=#:k21>o?4i5794?"5m90<m65f6e83>!4b839n7E<kf:9j2`<72-8n<7=m;:m2<0<72-8n<7?;2:9j5=4=83.9i=4=b298m16=83.9i=4=9298yg4?03;1:7>50z&1g3<??2B9oh5G2b:8 7c721?0(:k53:&;e?2a=2d9i?4:0:&1f=<5j81b884?:%0f4?1f32c=h7>5$3g3>6c<@;nm76g9e;29 7c72:h07b?75;29 7c728>976g>8383>!4b838i?65f4183>!4b8382?65rb3::>4<1290;w)<l6;:4?M4dm2B9o55+2d29<0=#?l087)6n:5d6?k4b:3?:7)<m8;0a5>o3=3:1(?k?:6c8?l0c290/>h>53d9K6a`<3`<n6=4+2d297g=<g82>6=4+2d29514<3`;3>7>5$3g3>7d432c?<7>5$3g3>7?432wi>5o51;494?6|,;i=6594H3af?M4d02.9i=475:&4a?5<,1k18k;4n3g1>04<,;h36?l>;h66>5<#:l:1;l54i7f94?"5m908i6F=dg98m3c=83.9i=4<b:9l5=3=83.9i=4>4398m4>5290/>h>52c18?l27290/>h>52818?xd50k0:6;4?:1y'6f0=0>1C>nk4H3a;?!4b832>7)9j:29'<d<3n<1e>h<5529'6g>=:k;0e9;50;&1a5<0i21b:i4?:%0f4?5b3A8oj65f6d83>!4b839i76a>8483>!4b83;?>65f19094?"5m909n>54i5294?"5m9095>54}c0;g?7=>3:1<v*=c78;3>N5kl1C>n64$3g3>=3<,>o1?6*7a;6e1>h5m;0>86*=b981f4=n<<0;6)<j0;5b?>o1l3:1(?k?:2g8L7ba32c=i7>5$3g3>6d<3f;397>5$3g3>42532c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn?6k:085>5<7s-8h:768;I0`a>N5k11/>h>5849'3`<43-2j69h:;o0f6?323-8i47<m1:k71?6=,;o;6:o4;h4g>5<#:l:1?h5G2ed8?l0b290/>h>53c98k4>2290/>h>51508?l7?:3:1(?k?:3`0?>o383:1(?k?:3;0?>{e:1o1=7850;2x 7e121=0D?mj;I0`<>"5m90396*8e;18 =g=<o?0b?k=:448 7d?2;h:7d:::18'6`6=?h10e;j50;&1a5<4m2B9hk54i7g94?"5m908n65`19794?"5m90:8?54i0:1>5<#:l:1>o=4;h63>5<#:l:1>4=4;|`730<62:0;6=u+2b496fb<@;in7E<l8:&1a5<5kj1/4l4;f49m6`4==>1/>o652c38m6e=83.9i=48a:9j<<<72-8n<79n;:m24c<72-8n<7?;2:9~f11328086=4?{%0`2?4dl2B9oh5G2b:8 7c72;ih7)6n:5d6?k4b:3?37)<m8;0a5>o4k3:1(?k?:6c8?l>>290/>h>57`98k46a290/>h>51508?xd3>10:694?:1y'6f0=i81C>nk4H3a;?!4b83k;7)6n:5d6?k4b:3?27)<m8;0a5>o4k3:1(?k?:6a8?l21290/>h>57c98m7d7290/>h>52c08?j77n3:1(?k?:061?>{e<>91=7=50;2x 7e12;io7E<le:J1g==#:l:1>nm4$9c90c3<f;o968o4$3`;>7d63`9h6=4+2d293d=<a131<7*=e184e>=h99l1<7*=e18207=<uk>=:7?54;294~"5k?0j=6F=cd9K6f><,;o;6l>4$9c90c3<f;o968l4$3`;>7d63`9h6=4+2d293f=<a=<1<7*=e184f>=n:k:1<7*=e181f7=<g8:m6=4+2d29514<3th?;?4>:283>5}#:j<1>nj4H3af?M4d02.9i=4=cb9'<d<3n<1e>h<55b9'6g>=:k;0e>m50;&1a5<0i21b444?:%0f4?1f32e:<k4?:%0f4?73:21vn98;:087>5<7s-8h:7o>;I0`a>N5k11/>h>5a19'<d<3n<1e>h<55e9'6g>=:k;0e>m50;&1a5<0k21b8;4?:%0f4?1e32c9n=4?:%0f4?4e:21d==h50;&1a5<6<;10qo:81;397?6=8r.9o;4=ce9K6fc<@;i37)<j0;0`g>"?i3>m96`=e386a>"5j109n<5f3b83>!4b83=j76g79;29 7c72>k07b??f;29 7c728>976sm47095?2=83:p(?m9:`38L7eb3A8h46*=e18b4>"?i3>m96`=e386b>"5j109n<5f3b83>!4b83=h76g;6;29 7c72>h07d<m0;29 7c72;h976a>0g83>!4b83;?>65rb553>4<4290;w)<l6;0``>N5kl1C>n64$3g3>7ed3-2j69h:;o0f6?073-8i47<m1:k0g?6=,;o;6:o4;h::>5<#:l:1;l54o02e>5<#:l:1=9<4;|`725<62=0;6=u+2b49e4=O:jo0D?m7;%0f4?g73-2j69h:;o0f6?063-8i47<m1:k0g?6=,;o;6:m4;h65>5<#:l:1;o54i3`3>5<#:l:1>o<4;n33b?6=,;o;6<:=;:a03`=9391<7>t$3a5>7ec3A8hi6F=c99'6`6=:ji0(5o54g78j7c52?80(?l7:3`2?l5d290/>h>57`98m=?=83.9i=48a:9l55`=83.9i=4>4398yg22m3;187>50z&1g3<f92B9oh5G2b:8 7c72h:0(5o54g78j7c52?90(?l7:3`2?l5d290/>h>57b98m10=83.9i=48b:9j6g6=83.9i=4=b398k46a290/>h>51508?xd3>l0:6>4?:1y'6f0=:jn0D?mj;I0`<>"5m909on5+8`87b0=i:l81:95+2c:96g7<a:i1<7*=e184e>=n000;6)<j0;5b?>i68o0;6)<j0;376>=zj=?h6<4;:183!4d>3k:7E<le:J1g==#:l:1m=5+8`87b0=i:l81:85+2c:96g7<a:i1<7*=e184g>=n<?0;6)<j0;5a?>o5j90;6)<j0;0a6>=h99l1<7*=e18207=<uk>=h7?53;294~"5k?09oi5G2bg8L7e?3-8n<7<lc:&;e?2a=2d9i?496:&1f=<5j81b?n4?:%0f4?1f32c357>5$3g3>2g<3f;;j7>5$3g3>42532wi88o51;694?6|,;i=6l?4H3af?M4d02.9i=4n0:&;e?2a=2d9i?497:&1f=<5j81b?n4?:%0f4?1d32c?:7>5$3g3>2d<3`8i<7>5$3g3>7d532e:<k4?:%0f4?73:21vn98l:080>5<7s-8h:7<ld:J1g`=O:j20(?k?:3a`?!>f2=l>7c<j2;4;?!4e038i=6g<c;29 7c72>k07d66:18'6`6=?h10c<>i:18'6`6=9=807pl;5882>1<729q/>n85a09K6fc<@;i37)<j0;c3?!>f2=l>7c<j2;4:?!4e038i=6g<c;29 7c72>i07d:9:18'6`6=?k10e?l?:18'6`6=:k807b??f;29 7c728>976sm48195?5=83:p(?m9:3ag?M4dm2B9o55+2d296fe<,1k18k;4n3g1>3g<,;h36?l>;h1`>5<#:l:1;l54i9;94?"5m90<m65`11d94?"5m90:8?54}c6:6?7=;3:1<v*=c781ga=O:jo0D?m7;%0f4?4dk2.3m7:i5:l1a7<1j2.9n54=b09j7f<72-8n<79n;:k;=?6=,;o;6:o4;n33b?6=,;o;6<:=;:a0=0=93>1<7>t$3a5>d7<@;in7E<l8:&1a5<f82.3m7:i5:l1a7<1k2.9n54=b09j7f<72-8n<79l;:k72?6=,;o;6:l4;h0a4?6=,;o;6?l=;:m24c<72-8n<7?;2:9~f1?628086=4?{%0`2?4dl2B9oh5G2b:8 7c72;ih7)6n:5d6?k4b:3<o7)<m8;0a5>o4k3:1(?k?:6c8?l>>290/>h>57`98k46a290/>h>51508?xd30=0:694?:1y'6f0=i81C>nk4H3a;?!4b83k;7)6n:5d6?k4b:3<n7)<m8;0a5>o4k3:1(?k?:6a8?l21290/>h>57c98m7d7290/>h>52c08?j77n3:1(?k?:061?>{e<0:1=7=50;2x 7e12;io7E<le:J1g==#:l:1>nm4$9c90c3<f;o96;h4$3`;>7d63`9h6=4+2d293d=<a131<7*=e184e>=h99l1<7*=e18207=<uk>3>7?54;294~"5k?0j=6F=cd9K6f><,;o;6l>4$9c90c3<f;o96:>4$3`;>7d63`9h6=4+2d293f=<a=<1<7*=e184f>=n:k:1<7*=e181f7=<g8:m6=4+2d29514<3th?4k4>:283>5}#:j<1>nj4H3af?M4d02.9i=4=cb9'<d<3n<1e>h<5709'6g>=:k;0e>m50;&1a5<0i21b444?:%0f4?1f32e:<k4?:%0f4?73:21vn96?:087>5<7s-8h:7o>;I0`a>N5k11/>h>5a19'<d<3n<1e>h<5739'6g>=:k;0e>m50;&1a5<0k21b8;4?:%0f4?1e32c9n=4?:%0f4?4e:21d==h50;&1a5<6<;10qo:7e;397?6=8r.9o;4=ce9K6fc<@;i37)<j0;0`g>"?i3>m96`=e3847>"5j109n<5f3b83>!4b83=j76g79;29 7c72>k07b??f;29 7c728>976sm46g95?2=83:p(?m9:`38L7eb3A8h46*=e18b4>"?i3>m96`=e3840>"5j109n<5f3b83>!4b83=h76g;6;29 7c72>h07d<m0;29 7c72;h976a>0g83>!4b83;?>65rb5:g>4<4290;w)<l6;0``>N5kl1C>n64$3g3>7ed3-2j69h:;o0f6?123-8i47<m1:k0g?6=,;o;6:o4;h::>5<#:l:1;l54o02e>5<#:l:1=9<4;|`73f<62=0;6=u+2b49e4=O:jo0D?m7;%0f4?g73-2j69h:;o0f6?113-8i47<m1:k0g?6=,;o;6:m4;h65>5<#:l:1;o54i3`3>5<#:l:1>o<4;n33b?6=,;o;6<:=;:a0=e=9391<7>t$3a5>7ec3A8hi6F=c99'6`6=:ji0(5o54g78j7c52>=0(?l7:3`2?l5d290/>h>57`98m=?=83.9i=48a:9l55`=83.9i=4>4398yg20i3;187>50z&1g3<f92B9oh5G2b:8 7c72h:0(5o54g78j7c52>20(?l7:3`2?l5d290/>h>57b98m10=83.9i=48b:9j6g6=83.9i=4=b398k46a290/>h>51508?xd30k0:6>4?:1y'6f0=:jn0D?mj;I0`<>"5m909on5+8`87b0=i:l81;45+2c:96g7<a:i1<7*=e184e>=n000;6)<j0;5b?>i68o0;6)<j0;376>=zj==36<4;:183!4d>3k:7E<le:J1g==#:l:1m=5+8`87b0=i:l81;l5+2c:96g7<a:i1<7*=e184g>=n<?0;6)<j0;5a?>o5j90;6)<j0;0a6>=h99l1<7*=e18207=<uk>3m7?53;294~"5k?09oi5G2bg8L7e?3-8n<7<lc:&;e?2a=2d9i?48b:&1f=<5j81b?n4?:%0f4?1f32c357>5$3g3>2g<3f;;j7>5$3g3>42532wi8:951;694?6|,;i=6l?4H3af?M4d02.9i=4n0:&;e?2a=2d9i?48c:&1f=<5j81b?n4?:%0f4?1d32c?:7>5$3g3>2d<3`8i<7>5$3g3>7d532e:<k4?:%0f4?73:21vn9k=:08;>5<7s-8h:7;k;I0`a>N5k11/>h>52c68 2c=;2B:4o5+8`87b0=i:l81;i5+2c:96g7<a:=1<7*=e180a>=n;k0;6)<j0;1a?>o3=3:1(?k?:6c8?l7??3:1(?k?:3``?>i60<0;6)<j0;376>=n:k91<7*=e181f6=O:j=07d<63;29 7c72;387E<l7:9j6g6=83.9i=4=be98yg2b93;147>50z&1g3<2l2B9oh5G2b:8 7c72;h?7)9j:29K5=d<,1k18k;4n3g1>2c<,;h36?l>;h14>5<#:l:1?h54i2`94?"5m908n65f4483>!4b83=j76g>8683>!4b838io65`19794?"5m90:8?54i3`0>5<#:l:1>o=4H3a4?>o51:0;6)<j0;0:7>N5k>10e?l?:18'6`6=:kn07pl;e182>=<729q/>n855e9K6fc<@;i37)<j0;0a0>"0m390D<6m;%:b>1`23g8n>79i;%0a<?4e92c8;7>5$3g3>6c<3`9i6=4+2d297g=<a=?1<7*=e184e>=n91=1<7*=e181ff=<g82>6=4+2d29514<3`8i?7>5$3g3>7d43A8h;65f28194?"5m9095>5G2b58?l4e83:1(?k?:3`g?>{e<ml1=7650;2x 7e12<n0D?mj;I0`<>"5m909n95+7d80?M7?j2.3m7:i5:l1a7<?82.9n54=b09j72<72-8n<7=j;:k0f?6=,;o;6>l4;h66>5<#:l:1;l54i0:4>5<#:l:1>om4;n3;1?6=,;o;6<:=;:k1f6<72-8n<7<m3:J1g2=<a;386=4+2d296<5<@;i<76g=b183>!4b838ih65rb5ff>4<?290;w)<l6;7g?M4dm2B9o55+2d296g2<,>o1?6F>8c9'<d<3n<1e>h<5809'6g>=:k;0e>950;&1a5<4m21b?o4?:%0f4?5e32c?97>5$3g3>2g<3`;3;7>5$3g3>7dd32e:484?:%0f4?73:21b>o=50;&1a5<5j:1C>n94;h0:7?6=,;o;6?7<;I0`3>=n:k:1<7*=e181fa=<uk>oh7?58;294~"5k?0>h6F=cd9K6f><,;o;6?l;;%5f>6=O91h0(5o54g78j7c52180(?l7:3`2?l50290/>h>53d98m6d=83.9i=4<b:9j00<72-8n<79n;:k2<2<72-8n<7<mc:9l5=3=83.9i=4>4398m7d4290/>h>52c18L7e032c95>4?:%0f4?4>;2B9o:54i3`3>5<#:l:1>oj4;|`7`f<6210;6=u+2b491a=O:jo0D?m7;%0f4?4e<2.<i7=4H0:a?!>f2=l>7c<j2;:0?!4e038i=6g<7;29 7c72:o07d=m:18'6`6=;k10e9;50;&1a5<0i21b=5950;&1a5<5jj10c<6::18'6`6=9=807d<m3;29 7c72;h87E<l7:9j6<5=83.9i=4=929K6f1<3`8i<7>5$3g3>7dc32wi8il51;:94?6|,;i=68j4H3af?M4d02.9i=4=b59'3`<43A;3n6*7a;6e1>h5m;0386*=b981f4=n;>0;6)<j0;1f?>o4j3:1(?k?:2`8?l22290/>h>57`98m4>0290/>h>52ca8?j7?=3:1(?k?:061?>o5j:0;6)<j0;0a7>N5k>10e?7<:18'6`6=:090D?m8;:k1f5<72-8n<7<md:9~f1bf28036=4?{%0`2?3c3A8hi6F=c99'6`6=:k>0(:k53:J2<g=#0h0?j85a2d09<0=#:k21>o?4i2594?"5m908i65f3c83>!4b839i76g;5;29 7c72>k07d?77;29 7c72;hh76a>8483>!4b83;?>65f2c194?"5m909n>5G2b58?l4>;3:1(?k?:3;0?M4d?21b>o>50;&1a5<5jm10qo:k9;39<?6=8r.9o;4:d:J1g`=O:j20(?k?:3`7?!1b2:1C=5l4$9c90c3<f;o96584$3`;>7d63`9<6=4+2d297`=<a:h1<7*=e180f>=n<<0;6)<j0;5b?>o60>0;6)<j0;0ag>=h91?1<7*=e18207=<a;h86=4+2d296g5<@;i<76g=9283>!4b8382?6F=c698m7d7290/>h>52cf8?xd3k10:654?:1y'6f0==j1C>nk4H3a;?!4b838i86*8e;18L4>e3-2j69h:;o0f6?>03-8i47<m1:k03?6=,;o;6>k4;h66>5<#:l:1;l54i0:4>5<#:l:1>om4;n3;1?6=,;o;6<:=;:k0f?6=,;o;6>l4;h0a7?6=,;o;6?l<;I0`3>=n:091<7*=e181=6=O:j=07d<m0;29 7c72;ho76sm4b595?>=83:p(?m9:4a8L7eb3A8h46*=e181f1=#?l087E?7b:&;e?2a=2d9i?478:&1f=<5j81b?:4?:%0f4?5b32c?97>5$3g3>2g<3`;3;7>5$3g3>7dd32e:484?:%0f4?73:21b?o4?:%0f4?5e32c9n>4?:%0f4?4e;2B9o:54i3;0>5<#:l:1>4=4H3a4?>o5j90;6)<j0;0a`>=zj=i=6<47:183!4d>3?h7E<le:J1g==#:l:1>o:4$6g97>N60k1/4l4;f49m6`4=001/>o652c38m61=83.9i=4<e:9j00<72-8n<79n;:k2<2<72-8n<7<mc:9l5=3=83.9i=4>4398m6d=83.9i=4<b:9j6g5=83.9i=4=b29K6f1<3`82?7>5$3g3>7?43A8h;65f2c294?"5m909ni54}c6`1?7=03:1<v*=c786g>N5kl1C>n64$3g3>7d33-=n6>5G19`8 =g=<o?0b?k=:9c8 7d?2;h:7d=8:18'6`6=;l10e9;50;&1a5<0i21b=5950;&1a5<5jj10c<6::18'6`6=9=807d=m:18'6`6=;k10e?l<:18'6`6=:k90D?m8;:k1=6<72-8n<7<63:J1g2=<a;h;6=4+2d296gb<3th?o94>:983>5}#:j<19n5G2bg8L7e?3-8n<7<m4:&4a?5<@82i7)6n:5d6?k4b:32i7)<m8;0a5>o4?3:1(?k?:2g8?l22290/>h>57`98m4>0290/>h>52ca8?j7?=3:1(?k?:061?>o4j3:1(?k?:2`8?l4e;3:1(?k?:3`0?M4d?21b>4=50;&1a5<51:1C>n94;h0a4?6=,;o;6?lk;:a0f5=9321<7>t$3a5>0e<@;in7E<l8:&1a5<5j=1/;h4<;I3;f>"?i3>m96`=e38;g>"5j109n<5f3683>!4b839n76g;5;29 7c72>k07d?77;29 7c72;hh76a>8483>!4b83;?>65f3c83>!4b839i76g=b283>!4b838i?6F=c698m7?4290/>h>52818L7e032c9n=4?:%0f4?4el21vn9m=:08;>5<7s-8h:7;l;I0`a>N5k11/>h>52c68 2c=;2B:4o5+8`87b0=i:l814i5+2c:96g7<a:=1<7*=e180a>=n<<0;6)<j0;5b?>o60>0;6)<j0;0ag>=h91?1<7*=e18207=<a:h1<7*=e180f>=n:k91<7*=e181f6=O:j=07d<63;29 7c72;387E<l7:9j6g6=83.9i=4=be98yg2d93;147>50z&1g3<2k2B9oh5G2b:8 7c72;h?7)9j:29K5=d<,1k18k;4n3g1>=c<,;h36?l>;h14>5<#:l:1?h54i5794?"5m90<m65f19594?"5m909nn54o0:6>5<#:l:1=9<4;h1a>5<#:l:1?o54i3`0>5<#:l:1>o=4H3a4?>o51:0;6)<j0;0:7>N5k>10e?l?:18'6`6=:kn07pl;c182>=<729q/>n855b9K6fc<@;i37)<j0;0a0>"0m390D<6m;%:b>1`23g8n>76i;%0a<?4e92c8;7>5$3g3>6c<3`>>6=4+2d293d=<a82<6=4+2d296ge<3f;397>5$3g3>42532c8n7>5$3g3>6d<3`8i?7>5$3g3>7d43A8h;65f28194?"5m9095>5G2b58?l4e83:1(?k?:3`g?>{e<kl1=7650;2x 7e12<i0D?mj;I0`<>"5m909n95+7d80?M7?j2.3m7:i5:l1a7<>82.9n54=b09j72<72-8n<7=j;:k71?6=,;o;6:o4;h3;3?6=,;o;6?ll;:m2<0<72-8n<7?;2:9j7g<72-8n<7=m;:k1f6<72-8n<7<m3:J1g2=<a;386=4+2d296<5<@;i<76g=b183>!4b838ih65rb5gf>4<?290;w)<l6;7`?M4dm2B9o55+2d296g2<,>o1?6F>8c9'<d<3n<1e>h<5909'6g>=:k;0e>950;&1a5<4m21b884?:%0f4?1f32c:4:4?:%0f4?4ek21d=5;50;&1a5<6<;10e>l50;&1a5<4j21b>o=50;&1a5<5j:1C>n94;h0:7?6=,;o;6?7<;I0`3>=n:k:1<7*=e181fa=<uk>ji7?58;294~"5k?0>o6F=cd9K6f><,;o;6?l;;%5f>6=O91h0(5o54g78j7c52080(?l7:3`2?l50290/>h>53d98m13=83.9i=48a:9j5=1=83.9i=4=bb98k4>2290/>h>51508?l5e290/>h>53c98m7d4290/>h>52c18L7e032c95>4?:%0f4?4>;2B9o:54i3`3>5<#:l:1>oj4;|`031<62:0;6=u+2b496fb<@;in7E<l8:&1a5<5kj1/4l4;f49m6`4=1:1/>o652c38m6e=83.9i=48a:9j<<<72-8n<79n;:m24c<72-8n<7?;2:9~f61428086=4?{%0`2?4dl2B9oh5G2b:8 7c72;ih7)6n:5d6?k4b:33?7)<m8;0a5>o4k3:1(?k?:6c8?l>>290/>h>57`98k46a290/>h>51508?xd4=k0:694?:1y'6f0=i81C>nk4H3a;?!4b83k;7)6n:5d6?k4b:33>7)<m8;0a5>o4k3:1(?k?:6a8?l21290/>h>57c98m7d7290/>h>52c08?j77n3:1(?k?:061?>{e;>81=7=50;2x 7e12;io7E<le:J1g==#:l:1>nm4$9c90c3<f;o96484$3`;>7d63`9h6=4+2d293d=<a131<7*=e184e>=h99l1<7*=e18207=<uk9>57?54;294~"5k?0j=6F=cd9K6f><,;o;6l>4$9c90c3<f;o96494$3`;>7d63`9h6=4+2d293f=<a=<1<7*=e184f>=n:k:1<7*=e181f7=<g8:m6=4+2d29514<3th8;h4>:283>5}#:j<1>nj4H3af?M4d02.9i=4=cb9'<d<3n<1e>h<5999'6g>=:k;0e>m50;&1a5<0i21b444?:%0f4?1f32e:<k4?:%0f4?73:21vn>8k:087>5<7s-8h:7o>;I0`a>N5k11/>h>5a19'<d<3n<1e>h<5989'6g>=:k;0e>m50;&1a5<0k21b8;4?:%0f4?1e32c9n=4?:%0f4?4e:21d==h50;&1a5<6<;10qo=8d;397?6=8r.9o;4=ce9K6fc<@;i37)<j0;0`g>"?i3>m96`=e38:e>"5j109n<5f3b83>!4b83=j76g79;29 7c72>k07b??f;29 7c728>976sm37`95?2=83:p(?m9:`38L7eb3A8h46*=e18b4>"?i3>m96`=e38:f>"5j109n<5f3b83>!4b83=h76g;6;29 7c72>h07d<m0;29 7c72;h976a>0g83>!4b83;?>65rb25`>4<4290;w)<l6;0``>N5kl1C>n64$3g3>7ed3-2j69h:;o0f6??d3-8i47<m1:k0g?6=,;o;6:o4;h::>5<#:l:1;l54o02e>5<#:l:1=9<4;|`02<<62=0;6=u+2b49e4=O:jo0D?m7;%0f4?g73-2j69h:;o0f6??c3-8i47<m1:k0g?6=,;o;6:m4;h65>5<#:l:1;o54i3`3>5<#:l:1>o<4;n33b?6=,;o;6<:=;:a72d=9391<7>t$3a5>7ec3A8hi6F=c99'6`6=:ji0(5o54g78j7c520o0(?l7:3`2?l5d290/>h>57`98m=?=83.9i=48a:9l55`=83.9i=4>4398yg51?3;187>50z&1g3<f92B9oh5G2b:8 7c72h:0(5o54g78j7c520l0(?l7:3`2?l5d290/>h>57b98m10=83.9i=48b:9j6g6=83.9i=4=b398k46a290/>h>51508?xd4?h0:6>4?:1y'6f0=:jn0D?mj;I0`<>"5m909on5+8`87b0=i:l81m=5+2c:96g7<a:i1<7*=e184e>=n000;6)<j0;5b?>i68o0;6)<j0;376>=zj:<>6<4;:183!4d>3k:7E<le:J1g==#:l:1m=5+8`87b0=i:l81m<5+2c:96g7<a:i1<7*=e184g>=n<?0;6)<j0;5a?>o5j90;6)<j0;0a6>=h99l1<7*=e18207=<uk9<57?53;294~"5k?09oi5G2bg8L7e?3-8n<7<lc:&;e?2a=2d9i?4n2:&1f=<5j81b?n4?:%0f4?1f32c357>5$3g3>2g<3f;;j7>5$3g3>42532wi?;=51;694?6|,;i=6l?4H3af?M4d02.9i=4n0:&;e?2a=2d9i?4n3:&1f=<5j81b?n4?:%0f4?1d32c?:7>5$3g3>2d<3`8i<7>5$3g3>7d532e:<k4?:%0f4?73:21vn>97:080>5<7s-8h:7<ld:J1g`=O:j20(?k?:3a`?!>f2=l>7c<j2;c7?!4e038i=6g<c;29 7c72>k07d66:18'6`6=?h10c<>i:18'6`6=9=807pl<6082>1<729q/>n85a09K6fc<@;i37)<j0;c3?!>f2=l>7c<j2;c6?!4e038i=6g<c;29 7c72>i07d:9:18'6`6=?k10e?l?:18'6`6=:k807b??f;29 7c728>976sm36595?5=83:p(?m9:3ag?M4dm2B9o55+2d296fe<,1k18k;4n3g1>d0<,;h36?l>;h1`>5<#:l:1;l54i9;94?"5m90<m65`11d94?"5m90:8?54}c16b?7=<3:1<v*=c78b5>N5kl1C>n64$3g3>d6<,1k18k;4n3g1>d1<,;h36?l>;h1`>5<#:l:1;n54i5494?"5m90<n65f2c294?"5m909n?54o02e>5<#:l:1=9<4;|`033<62:0;6=u+2b496fb<@;in7E<l8:&1a5<5kj1/4l4;f49m6`4=i11/>o652c38m6e=83.9i=48a:9j<<<72-8n<79n;:m24c<72-8n<7?;2:9~f63c280?6=4?{%0`2?g63A8hi6F=c99'6`6=i91/4l4;f49m6`4=i01/>o652c38m6e=83.9i=48c:9j03<72-8n<79m;:k1f5<72-8n<7<m2:9l55`=83.9i=4>4398yg5093;1?7>50z&1g3<5km1C>nk4H3a;?!4b838ho6*7a;6e1>h5m;0jm6*=b981f4=n;j0;6)<j0;5b?>o?13:1(?k?:6c8?j77n3:1(?k?:061?>{e;<21=7:50;2x 7e12h;0D?mj;I0`<>"5m90j<6*7a;6e1>h5m;0jn6*=b981f4=n;j0;6)<j0;5`?>o3>3:1(?k?:6`8?l4e83:1(?k?:3`1?>i68o0;6)<j0;376>=zj:h86<49:183!4d>3?=7E<le:J1g==#:l:1:<5+7d80?M7?j2.3m7:i5:l1a7<fk2.9n54=b09j72<72-8n<7=j;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k0f?6=,;o;6>l4;h3;6?6=,;o;6?l<;:k74?6=,;o;6?7<;:a7g4=93<1<7>t$3a5>00<@;in7E<l8:&1a5<192.<i7=4H0:a?!>f2=l>7c<j2;cg?!4e038i=6g<7;29 7c72:o07d:::18'6`6=?h10c<6::18'6`6=9=807d=m:18'6`6=;k10e<6=:18'6`6=:k907d:?:18'6`6=:0907pl<b082>3<729q/>n85579K6fc<@;i37)<j0;42?!1b2:1C=5l4$9c90c3<f;o96lk4$3`;>7d63`9<6=4+2d297`=<a=?1<7*=e184e>=h91?1<7*=e18207=<a:h1<7*=e180f>=n9181<7*=e181f6=<a=:1<7*=e181=6=<uk9in7?56;294~"5k?0>:6F=cd9K6f><,;o;6;?4$6g97>N60k1/4l4;f49m6`4=io1/>o652c38m61=83.9i=4<e:9j00<72-8n<79n;:m2<0<72-8n<7?;2:9j7g<72-8n<7=m;:k2<7<72-8n<7<m3:9j05<72-8n<7<63:9~f6df280=6=4?{%0`2?313A8hi6F=c99'6`6=>81/;h4<;I3;f>"?i3>m96`=e38a4>"5j109n<5f3683>!4b839n76g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876g;0;29 7c72;3876sm3c;95?0=83:p(?m9:448L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7l>;%0a<?4e92c8;7>5$3g3>6c<3`>>6=4+2d293d=<g82>6=4+2d29514<3`9i6=4+2d297g=<a8296=4+2d296g5<3`>;6=4+2d296<5<3th8n54>:783>5}#:j<19;5G2bg8L7e?3-8n<78>;%5f>6=O91h0(5o54g78j7c52k80(?l7:3`2?l50290/>h>53d98m13=83.9i=48a:9l5=3=83.9i=4>4398m6d=83.9i=4<b:9j5=4=83.9i=4=b298m16=83.9i=4=9298yg5e?3;1:7>50z&1g3<2>2B9oh5G2b:8 7c72?;0(:k53:J2<g=#0h0?j85a2d09f6=#:k21>o?4i2594?"5m908i65f4483>!4b83=j76a>8483>!4b83;?>65f3c83>!4b839i76g>8383>!4b838i?65f4183>!4b8382?65rb2`5>4<1290;w)<l6;75?M4dm2B9o55+2d2924=#?l087E?7b:&;e?2a=2d9i?4m4:&1f=<5j81b?:4?:%0f4?5b32c?97>5$3g3>2g<3f;397>5$3g3>42532c8n7>5$3g3>6d<3`;3>7>5$3g3>7d432c?<7>5$3g3>7?432wi?o;51;494?6|,;i=6884H3af?M4d02.9i=491:&4a?5<@82i7)6n:5d6?k4b:3h>7)<m8;0a5>o4?3:1(?k?:2g8?l22290/>h>57`98k4>2290/>h>51508?l5e290/>h>53c98m4>5290/>h>52c18?l27290/>h>52818?xd4j=0:6;4?:1y'6f0==?1C>nk4H3a;?!4b83<:7)9j:29K5=d<,1k18k;4n3g1>g0<,;h36?l>;h14>5<#:l:1?h54i5794?"5m90<m65`19794?"5m90:8?54i2`94?"5m908n65f19094?"5m909n>54i5294?"5m9095>54}c1a4?7=>3:1<v*=c7862>N5kl1C>n64$3g3>37<,>o1?6F>8c9'<d<3n<1e>h<5b69'6g>=:k;0e>950;&1a5<4m21b884?:%0f4?1f32e:484?:%0f4?73:21b?o4?:%0f4?5e32c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn>oi:085>5<7s-8h:7;9;I0`a>N5k11/>h>5609'3`<43A;3n6*7a;6e1>h5m;0i46*=b981f4=n;>0;6)<j0;1f?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o4j3:1(?k?:2`8?l7?:3:1(?k?:3`0?>o383:1(?k?:3;0?>{e;0h1=7850;2x 7e12<20D?mj;I0`<>"5m90==6*8e;18 =g=<o?0b?k=:c;8 7d?2;h:7d=8:18'6`6=;l10e>l50;&1a5<4j21b8=4?:%0f4?4>;21b884?:%0f4?1f32e:484?:%0f4?73:21b>o=50;&1a5<5j:1C>n94;|`0=d<62?0;6=u+2b491==O:jo0D?m7;%0f4?063-=n6>5+8`87b0=i:l81nl5+2c:96g7<a:=1<7*=e180a>=n;k0;6)<j0;1a?>o383:1(?k?:3;0?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o5j:0;6)<j0;0a7>N5k>10qo=69;392?6=8r.9o;4:8:J1g`=O:j20(?k?:738 2c=;2.3m7:i5:l1a7<ej2.9n54=b09j72<72-8n<7=j;:k0f?6=,;o;6>l4;h63>5<#:l:1>4=4;h66>5<#:l:1;l54o0:6>5<#:l:1=9<4;h0a7?6=,;o;6?l<;I0`3>=zj:336<49:183!4d>3?37E<le:J1g==#:l:1:<5+7d80?!>f2=l>7c<j2;``?!4e038i=6g<7;29 7c72:o07d=m:18'6`6=;k10e9>50;&1a5<51:10e9;50;&1a5<0i21d=5;50;&1a5<6<;10e?l<:18'6`6=:k90D?m8;:a7<1=93<1<7>t$3a5>0><@;in7E<l8:&1a5<192.<i7=4$9c90c3<f;o96oj4$3`;>7d63`9<6=4+2d297`=<a:h1<7*=e180f>=n<90;6)<j0;0:7>=n<<0;6)<j0;5b?>i60<0;6)<j0;376>=n:k91<7*=e181f6=O:j=07pl<9782>3<729q/>n85599K6fc<@;i37)<j0;42?!1b2:1/4l4;f49m6`4=jl1/>o652c38m61=83.9i=4<e:9j7g<72-8n<7=m;:k74?6=,;o;6?7<;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k1f6<72-8n<7<m3:J1g2=<uk9297?56;294~"5k?0>46F=cd9K6f><,;o;6;?4$6g97>"?i3>m96`=e38ab>"5j109n<5f3683>!4b839n76g<b;29 7c72:h07d:?:18'6`6=:0907d:::18'6`6=?h10c<6::18'6`6=9=807d<m3;29 7c72;h87E<l7:9~f6?3280=6=4?{%0`2?3?3A8hi6F=c99'6`6=>81/;h4<;%:b>1`23g8n>7m?;%0a<?4e92c8;7>5$3g3>6c<3`9i6=4+2d297g=<a=:1<7*=e181=6=<a=?1<7*=e184e>=h91?1<7*=e18207=<a;h86=4+2d296g5<@;i<76sm3eg95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7m>;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3ef95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7m=;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3ea95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7m<;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3e`95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7m;;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3ec95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7m:;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3e;95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7m9;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3e:95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7m8;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3b595?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7m7;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3bd95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7m6;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3bg95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7mn;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3bf95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7mm;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3ba95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7ml;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3b`95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7mk;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3bc95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7mj;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3b;95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7mi;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3b:95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7j?;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm3b495?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7j>;%0a<?4e92c8;7>5$3g3>6c<@;nm76g;0;29 7c72;3876g;5;29 7c72>k07b?75;29 7c728>976g<b;29 7c72:h07d?72;29 7c72;h876sm35d95?0=83:p(?m9:458L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7j=;%0a<?4e92c8;7>5$3g3>6c<3`>;6=4+2d296<5<3`>>6=4+2d293d=<g82>6=4+2d29514<3`9i6=4+2d297g=<a8296=4+2d296g5<3th88o4>:783>5}#:j<19:5G2bg8L7e?3-8n<78>;%5f>6=O91h0(5o54g78j7c52m90(?l7:3`2?l50290/>h>53d98m16=83.9i=4=9298m13=83.9i=48a:9l5=3=83.9i=4>4398m6d=83.9i=4<b:9j5=4=83.9i=4=b298yg5313;1:7>50z&1g3<2?2B9oh5G2b:8 7c72?;0(:k53:J2<g=#0h0?j85a2d09`1=#:k21>o?4i2594?"5m908i65f4183>!4b8382?65f4483>!4b83=j76a>8483>!4b83;?>65f3c83>!4b839i76g>8383>!4b838i?65rb26b>4<1290;w)<l6;74?M4dm2B9o55+2d2924=#?l087E?7b:&;e?2a=2d9i?4k5:&1f=<5j81b?:4?:%0f4?5b32c?<7>5$3g3>7?432c?97>5$3g3>2g<3f;397>5$3g3>42532c8n7>5$3g3>6d<3`;3>7>5$3g3>7d432wi>>951;:94?6|,;i=68m4H3af?M4d02.<i7=4$3g3>7d33A;3n6*7a;6e1>h5m;0o:6*=b981f4=n;>0;6)<j0;1f?>o3=3:1(?k?:6c8?l7??3:1(?k?:3``?>i60<0;6)<j0;376>=n;k0;6)<j0;1a?>o5j:0;6)<j0;0a7>N5k>10e?7<:18'6`6=:090D?m8;:k1f5<72-8n<7<md:9~f75328036=4?{%0`2?3d3A8hi6F=c99'3`<43-8n<7<m4:J2<g=#0h0?j85a2d09`2=#:k21>o?4i2594?"5m908i65f4483>!4b83=j76g>8683>!4b838io65`19794?"5m90:8?54i2`94?"5m908n65f2c194?"5m909n>5G2b58?l4>;3:1(?k?:3;0?M4d?21b>o>50;&1a5<5jm10qo<<f;39<?6=8r.9o;4:c:J1g`=O:j20(:k53:&1a5<5j=1C=5l4$9c90c3<f;o96i64$3`;>7d63`9<6=4+2d297`=<a=?1<7*=e184e>=n91=1<7*=e181ff=<g82>6=4+2d29514<3`9i6=4+2d297g=<a;h86=4+2d296g5<@;i<76g=9283>!4b8382?6F=c698m7d7290/>h>52cf8?xd5;j0:654?:1y'6f0==j1C>nk4H3a;?!1b2:1/>h>52c68L4>e3-2j69h:;o0f6?b>3-8i47<m1:k03?6=,;o;6>k4;h66>5<#:l:1;l54i0:4>5<#:l:1>om4;n3;1?6=,;o;6<:=;:k0f?6=,;o;6>l4;h0a7?6=,;o;6?l<;I0`3>=n:091<7*=e181=6=O:j=07d<m0;29 7c72;ho76sm1`;95?5=83:p(?m9:3ag?M4dm2B9o55+2d296fe<,1k18k;4n3g1>ag<,;h36?l>;h1`>5<#:l:1;l54i9;94?"5m90<m65`11d94?"5m90:8?54}c3b<?7=;3:1<v*=c781ga=O:jo0D?m7;%0f4?4dk2.3m7:i5:l1a7<cj2.9n54=b09j7f<72-8n<79n;:k;=?6=,;o;6:o4;n33b?6=,;o;6<:=;:a5<b=93>1<7>t$3a5>d7<@;in7E<l8:&1a5<f82.3m7:i5:l1a7<ck2.9n54=b09j7f<72-8n<79l;:k72?6=,;o;6:l4;h0a4?6=,;o;6?l=;:m24c<72-8n<7?;2:9~f4g028086=4?{%0`2?4dl2B9oh5G2b:8 7c72;ih7)6n:5d6?k4b:3no7)<m8;0a5>o4k3:1(?k?:6c8?l>>290/>h>57`98k46a290/>h>51508?xd61k0:694?:1y'6f0=i81C>nk4H3a;?!4b83k;7)6n:5d6?k4b:3nn7)<m8;0a5>o4k3:1(?k?:6a8?l21290/>h>57c98m7d7290/>h>52c08?j77n3:1(?k?:061?>{e9h<1=7=50;2x 7e12;io7E<le:J1g==#:l:1>nm4$9c90c3<f;o96ih4$3`;>7d63`9h6=4+2d293d=<a131<7*=e184e>=h99l1<7*=e18207=<uk;257?54;294~"5k?0j=6F=cd9K6f><,;o;6l>4$9c90c3<f;o96h>4$3`;>7d63`9h6=4+2d293f=<a=<1<7*=e184f>=n:k:1<7*=e181f7=<g8:m6=4+2d29514<3th:m84>:283>5}#:j<1>nj4H3af?M4d02.9i=4=cb9'<d<3n<1e>h<5e09'6g>=:k;0e>m50;&1a5<0i21b444?:%0f4?1f32e:<k4?:%0f4?73:21vn<78:087>5<7s-8h:7o>;I0`a>N5k11/>h>5a19'<d<3n<1e>h<5e39'6g>=:k;0e>m50;&1a5<0k21b8;4?:%0f4?1e32c9n=4?:%0f4?4e:21d==h50;&1a5<6<;10qo?n4;397?6=8r.9o;4=ce9K6fc<@;i37)<j0;0`g>"?i3>m96`=e38f7>"5j109n<5f3b83>!4b83=j76g79;29 7c72>k07b??f;29 7c728>976sm18795?2=83:p(?m9:`38L7eb3A8h46*=e18b4>"?i3>m96`=e38f0>"5j109n<5f3b83>!4b83=h76g;6;29 7c72>h07d<m0;29 7c72;h976a>0g83>!4b83;?>65rb0c0>4<4290;w)<l6;0``>N5kl1C>n64$3g3>7ed3-2j69h:;o0f6?c23-8i47<m1:k0g?6=,;o;6:o4;h::>5<#:l:1;l54o02e>5<#:l:1=9<4;|`2=6<62=0;6=u+2b49e4=O:jo0D?m7;%0f4?g73-2j69h:;o0f6?c13-8i47<m1:k0g?6=,;o;6:m4;h65>5<#:l:1;o54i3`3>5<#:l:1>o<4;n33b?6=,;o;6<:=;:a5d4=9391<7>t$3a5>7ec3A8hi6F=c99'6`6=:ji0(5o54g78j7c52l=0(?l7:3`2?l5d290/>h>57`98m=?=83.9i=48a:9l55`=83.9i=4>4398yg7>93;187>50z&1g3<f92B9oh5G2b:8 7c72h:0(5o54g78j7c52l20(?l7:3`2?l5d290/>h>57b98m10=83.9i=48b:9j6g6=83.9i=4=b398k46a290/>h>51508?xd6i80:6>4?:1y'6f0=:jn0D?mj;I0`<>"5m909on5+8`87b0=i:l81i45+2c:96g7<a:i1<7*=e184e>=n000;6)<j0;5b?>i68o0;6)<j0;376>=zj83;6<4;:183!4d>3k:7E<le:J1g==#:l:1m=5+8`87b0=i:l81il5+2c:96g7<a:i1<7*=e184g>=n<?0;6)<j0;5a?>o5j90;6)<j0;0a6>=h99l1<7*=e18207=<uk8>>7?58;294~"5k?0>o6F=cd9K6f><,>o1?6*=e181f1=O91h0(5o54g78j7c52lh0(?l7:3`2?l50290/>h>53d98m13=83.9i=48a:9j5=1=83.9i=4=bb98k4>2290/>h>51508?l5e290/>h>53c98m7d4290/>h>52c18L7e032c95>4?:%0f4?4>;2B9o:54i3`3>5<#:l:1>oj4;|`114<6210;6=u+2b491f=O:jo0D?m7;%5f>6=#:l:1>o:4H0:a?!>f2=l>7c<j2;g`?!4e038i=6g<7;29 7c72:o07d:::18'6`6=?h10e<68:18'6`6=:ki07b?75;29 7c728>976g<b;29 7c72:h07d<m3;29 7c72;h87E<l7:9j6<5=83.9i=4=929K6f1<3`8i<7>5$3g3>7dc32wi>8>51;:94?6|,;i=68m4H3af?M4d02.<i7=4$3g3>7d33A;3n6*7a;6e1>h5m;0nh6*=b981f4=n;>0;6)<j0;1f?>o3=3:1(?k?:6c8?l7??3:1(?k?:3``?>i60<0;6)<j0;376>=n;k0;6)<j0;1a?>o5j:0;6)<j0;0a7>N5k>10e?7<:18'6`6=:090D?m8;:k1f5<72-8n<7<md:9~f72a28036=4?{%0`2?3d3A8hi6F=c99'3`<43-8n<7<m4:J2<g=#0h0?j85a2d09a`=#:k21>o?4i2594?"5m908i65f4483>!4b83=j76g>8683>!4b838io65`19794?"5m90:8?54i2`94?"5m908n65f2c194?"5m909n>5G2b58?l4>;3:1(?k?:3;0?M4d?21b>o>50;&1a5<5jm10qo?i7;39<?6=8r.9o;4:c:J1g`=O:j20(:k53:&1a5<5j=1C=5l4$9c90c3<f;o96hh4$3`;>7d63`9<6=4+2d297`=<a=?1<7*=e184e>=n91=1<7*=e181ff=<g82>6=4+2d29514<3`9i6=4+2d297g=<a;h86=4+2d296g5<@;i<76g=9283>!4b8382?6F=c698m7d7290/>h>52cf8?xd6n?0:654?:1y'6f0==j1C>nk4H3a;?!1b2:1/>h>52c68L4>e3-2j69h:;o0f6?`73-8i47<m1:k03?6=,;o;6>k4;h66>5<#:l:1;l54i0:4>5<#:l:1>om4;n3;1?6=,;o;6<:=;:k0f?6=,;o;6>l4;h0a7?6=,;o;6?l<;I0`3>=n:091<7*=e181=6=O:j=07d<m0;29 7c72;ho76sm1g795?>=83:p(?m9:4a8L7eb3A8h46*8e;18 7c72;h?7E?7b:&;e?2a=2d9i?4i1:&1f=<5j81b?:4?:%0f4?5b32c?97>5$3g3>2g<3`;3;7>5$3g3>7dd32e:484?:%0f4?73:21b?o4?:%0f4?5e32c9n>4?:%0f4?4e;2B9o:54i3;0>5<#:l:1>4=4H3a4?>o5j90;6)<j0;0a`>=zj8l?6<47:183!4d>3?h7E<le:J1g==#?l087)<j0;0a0>N60k1/4l4;f49m6`4=n;1/>o652c38m61=83.9i=4<e:9j00<72-8n<79n;:k2<2<72-8n<7<mc:9l5=3=83.9i=4>4398m6d=83.9i=4<b:9j6g5=83.9i=4=b29K6f1<3`82?7>5$3g3>7?43A8h;65f2c294?"5m909ni54}c03`?7=>3:1<v*=c7862>N5kl1C>n64$6g97>"5m90==6F>8c9'<d<3n<1e>h<5f29'6g>=:k;0e>950;&1a5<4m21b884?:%0f4?1f32e:484?:%0f4?73:21b?o4?:%0f4?5e32c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn?>l:085>5<7s-8h:7;9;I0`a>N5k11/;h4<;%0f4?063A;3n6*7a;6e1>h5m;0m86*=b981f4=n;>0;6)<j0;1f?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o4j3:1(?k?:2`8?l7?:3:1(?k?:3`0?>o383:1(?k?:3;0?>{e:9h1=7850;2x 7e12<<0D?mj;I0`<>"0m390(?k?:738L4>e3-2j69h:;o0f6?`23-8i47<m1:k03?6=,;o;6>k4;h66>5<#:l:1;l54o0:6>5<#:l:1=9<4;h1a>5<#:l:1?o54i0:1>5<#:l:1>o=4;h63>5<#:l:1>4=4;|`2bc<6210;6=u+2b491a=O:jo0D?m7;%5f>6=#:l:1>o:4H0:a?!>f2=l>7c<j2;d5?!4e038i=6g<7;29 7c72:o07d=m:18'6`6=;k10e9;50;&1a5<0i21b=5950;&1a5<5jj10c<6::18'6`6=9=807d<m3;29 7c72;h87E<l7:9j6<5=83.9i=4=929K6f1<3`8i<7>5$3g3>7dc32wi=kk51;:94?6|,;i=68j4H3af?M4d02.<i7=4$3g3>7d33A;3n6*7a;6e1>h5m;0m;6*=b981f4=n;>0;6)<j0;1f?>o4j3:1(?k?:2`8?l22290/>h>57`98m4>0290/>h>52ca8?j7?=3:1(?k?:061?>o5j:0;6)<j0;0a7>N5k>10e?7<:18'6`6=:090D?m8;:k1f5<72-8n<7<md:9~f4`c28036=4?{%0`2?3c3A8hi6F=c99'3`<43-8n<7<m4:J2<g=#0h0?j85a2d09b==#:k21>o?4i2594?"5m908i65f3c83>!4b839i76g;5;29 7c72>k07d?77;29 7c72;hh76a>8483>!4b83;?>65f2c194?"5m909n>5G2b58?l4>;3:1(?k?:3;0?M4d?21b>o>50;&1a5<5jm10qo?ic;39<?6=8r.9o;4:d:J1g`=O:j20(:k53:&1a5<5j=1C=5l4$9c90c3<f;o96k74$3`;>7d63`9<6=4+2d297`=<a:h1<7*=e180f>=n<<0;6)<j0;5b?>o60>0;6)<j0;0ag>=h91?1<7*=e18207=<a;h86=4+2d296g5<@;i<76g=9283>!4b8382?6F=c698m7d7290/>h>52cf8?xd6m<0:654?:1y'6f0==j1C>nk4H3a;?!1b2:1/>h>52c68L4>e3-2j69h:;o0f6?`f3-8i47<m1:k03?6=,;o;6>k4;h66>5<#:l:1;l54i0:4>5<#:l:1>om4;n3;1?6=,;o;6<:=;:k0f?6=,;o;6>l4;h0a7?6=,;o;6?l<;I0`3>=n:091<7*=e181=6=O:j=07d<m0;29 7c72;ho76sm1d695?>=83:p(?m9:4a8L7eb3A8h46*8e;18 7c72;h?7E?7b:&;e?2a=2d9i?4ib:&1f=<5j81b?:4?:%0f4?5b32c?97>5$3g3>2g<3`;3;7>5$3g3>7dd32e:484?:%0f4?73:21b?o4?:%0f4?5e32c9n>4?:%0f4?4e;2B9o:54i3;0>5<#:l:1>4=4H3a4?>o5j90;6)<j0;0a`>=zj8o86<47:183!4d>3?h7E<le:J1g==#?l087)<j0;0a0>N60k1/4l4;f49m6`4=nj1/>o652c38m61=83.9i=4<e:9j00<72-8n<79n;:k2<2<72-8n<7<mc:9l5=3=83.9i=4>4398m6d=83.9i=4<b:9j6g5=83.9i=4=b29K6f1<3`82?7>5$3g3>7?43A8h;65f2c294?"5m909ni54}c3f6?7=03:1<v*=c786g>N5kl1C>n64$6g97>"5m909n95G19`8 =g=<o?0b?k=:gf8 7d?2;h:7d=8:18'6`6=;l10e9;50;&1a5<0i21b=5950;&1a5<5jj10c<6::18'6`6=9=807d=m:18'6`6=;k10e?l<:18'6`6=:k90D?m8;:k1=6<72-8n<7<63:J1g2=<a;h;6=4+2d296gb<3th:i<4>:983>5}#:j<19n5G2bg8L7e?3-=n6>5+2d296g2<@82i7)6n:5d6?k4b:3ln7)<m8;0a5>o4?3:1(?k?:2g8?l22290/>h>57`98m4>0290/>h>52ca8?j7?=3:1(?k?:061?>o4j3:1(?k?:2`8?l4e;3:1(?k?:3`0?M4d?21b>4=50;&1a5<51:1C>n94;h0a4?6=,;o;6?lk;:a5`6=9321<7>t$3a5>0e<@;in7E<l8:&4a?5<,;o;6?l;;I3;f>"?i3>m96`=e38eb>"5j109n<5f3683>!4b839n76g;5;29 7c72>k07d?77;29 7c72;hh76a>8483>!4b83;?>65f3c83>!4b839i76g=b283>!4b838i?6F=c698m7?4290/>h>52818L7e032c9n=4?:%0f4?4el21vn<ji:08;>5<7s-8h:7;l;I0`a>N5k11/;h4<;%0f4?4e<2B:4o5+8`87b0=i:l81==>4$3`;>7d63`9<6=4+2d297`=<a=?1<7*=e184e>=n91=1<7*=e181ff=<g82>6=4+2d29514<3`9i6=4+2d297g=<a;h86=4+2d296g5<@;i<76g=9283>!4b8382?6F=c698m7d7290/>h>52cf8?xd6ll0:654?:1y'6f0==j1C>nk4H3a;?!1b2:1/>h>52c68L4>e3-2j69h:;o0f6?7792.9n54=b09j72<72-8n<7=j;:k71?6=,;o;6:o4;h3;3?6=,;o;6?ll;:m2<0<72-8n<7?;2:9j7g<72-8n<7=m;:k1f6<72-8n<7<m3:J1g2=<a;386=4+2d296<5<@;i<76g=b183>!4b838ih65rb0fg>4<?290;w)<l6;7`?M4dm2B9o55+7d80?!4b838i86F>8c9'<d<3n<1e>h<51108 7d?2;h:7d=8:18'6`6=;l10e9;50;&1a5<0i21b=5950;&1a5<5jj10c<6::18'6`6=9=807d=m:18'6`6=;k10e?l<:18'6`6=:k90D?m8;:k1=6<72-8n<7<63:J1g2=<a;h;6=4+2d296gb<3th9854>:983>5}#:j<19n5G2bg8L7e?3-=n6>5+2d296g2<@82i7)6n:5d6?k4b:3;;?6*=b981f4=n;>0;6)<j0;1f?>o3=3:1(?k?:6c8?l7??3:1(?k?:3``?>i60<0;6)<j0;376>=n;k0;6)<j0;1a?>o5j:0;6)<j0;0a7>N5k>10e?7<:18'6`6=:090D?m8;:k1f5<72-8n<7<md:9~f4b4280=6=4?{%0`2?>?3A8hi6F=c99'3`<d3-8n<76:;%:b>1`23g8n>7??4:&1f=<5j81b884?:%0f4?1f32c=h7>5$3g3>6c<@;nm76a>8483>!4b83;?>65f6d83>!4b839i76g>8383>!4b838i?65f4183>!4b8382?65rb0`b>4<1290;w)<l6;7;?M4dm2B9o55+2d2924=#?l087)6n:5d6?k4b:3;;96*=b981f4=n;>0;6)<j0;1f?>o4j3:1(?k?:2`8?l27290/>h>52818?l22290/>h>57`98k4>2290/>h>51508?l4e;3:1(?k?:3`0?M4d?21vn?<>:085>5<7s-8h:7;m;I0`a>N5k11/;h4<;%0f4?063A;3n6*7a;6e1>h5m;0:<;5+2c:96g7<a:=1<7*=e180a>=n;k0;6)<j0;1a?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o60;0;6)<j0;0a7>=n<90;6)<j0;0:7>=zj;8;6<49:183!4d>3?i7E<le:J1g==#?l087)<j0;42?M7?j2.3m7:i5:l1a7<68>1/>o652c38m61=83.9i=4<e:9j7g<72-8n<7=m;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k2<7<72-8n<7<m3:9j05<72-8n<7<63:9~f77a280=6=4?{%0`2?3e3A8hi6F=c99'3`<43-8n<78>;I3;f>"?i3>m96`=e3824==#:k21>o?4i2594?"5m908i65f3c83>!4b839i76g;5;29 7c72>k07b?75;29 7c728>976g>8383>!4b838i?65f4183>!4b8382?65rb33f>4<1290;w)<l6;7a?M4dm2B9o55+7d80?!4b83<:7E?7b:&;e?2a=2d9i?4>089'6g>=:k;0e>950;&1a5<4m21b?o4?:%0f4?5e32c?97>5$3g3>2g<3f;397>5$3g3>42532c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn??k:085>5<7s-8h:7;m;I0`a>N5k11/;h4<;%0f4?063A;3n6*7a;6e1>h5m;0:<l5+2c:96g7<a:=1<7*=e180a>=n;k0;6)<j0;1a?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o60;0;6)<j0;0a7>=n<90;6)<j0;0:7>=zj;;h6<49:183!4d>3?i7E<le:J1g==#?l087)<j0;42?M7?j2.3m7:i5:l1a7<68k1/>o652c38m61=83.9i=4<e:9j7g<72-8n<7=m;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k2<7<72-8n<7<m3:9j05<72-8n<7<63:9~f77e280=6=4?{%0`2?3e3A8hi6F=c99'3`<43-8n<78>;I3;f>"?i3>m96`=e3824f=#:k21>o?4i2594?"5m908i65f3c83>!4b839i76g;5;29 7c72>k07b?75;29 7c728>976g>8383>!4b838i?65f4183>!4b8382?65rb33b>4<1290;w)<l6;7a?M4dm2B9o55+7d80?!4b83<:7E?7b:&;e?2a=2d9i?4>0e9'6g>=:k;0e>950;&1a5<4m21b?o4?:%0f4?5e32c?97>5$3g3>2g<3f;397>5$3g3>42532c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn?89:085>5<7s-8h:7;m;I0`a>N5k11/;h4l;%0f4?063A;3n6*7a;6e1>h5m;0:<h5+2c:96g7<a:=1<7*=e180a>=n;k0;6)<j0;1a?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o60;0;6)<j0;0a7>=n<90;6)<j0;0:7>=zj;<>6<49:183!4d>3?i7E<le:J1g==#?l0h7)<j0;42?M7?j2.3m7:i5:l1a7<68o1/>o652c38m61=83.9i=4<e:9j7g<72-8n<7=m;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k2<7<72-8n<7<m3:9j05<72-8n<7<63:9~f703280=6=4?{%0`2?3e3A8hi6F=c99'3`<d3-8n<78>;I3;f>"?i3>m96`=e38255=#:k21>o?4i2594?"5m908i65f3c83>!4b839i76g;5;29 7c72>k07b?75;29 7c728>976g>8383>!4b838i?65f4183>!4b8382?65rb340>4<1290;w)<l6;7a?M4dm2B9o55+7d8`?!4b83<:7E?7b:&;e?2a=2d9i?4>109'6g>=:k;0e>950;&1a5<4m21b?o4?:%0f4?5e32c?97>5$3g3>2g<3f;397>5$3g3>42532c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn?8=:085>5<7s-8h:7;m;I0`a>N5k11/;h4l;%0f4?063A;3n6*7a;6e1>h5m;0:=?5+2c:96g7<a:=1<7*=e180a>=n;k0;6)<j0;1a?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o60;0;6)<j0;0a7>=n<90;6)<j0;0:7>=zj;<:6<49:183!4d>3?i7E<le:J1g==#?l0h7)<j0;42?M7?j2.3m7:i5:l1a7<69:1/>o652c38m61=83.9i=4<e:9j7g<72-8n<7=m;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k2<7<72-8n<7<m3:9j05<72-8n<7<63:9~f707280=6=4?{%0`2?3e3A8hi6F=c99'3`<d3-8n<78>;I3;f>"?i3>m96`=e38251=#:k21>o?4i2594?"5m908i65f3c83>!4b839i76g;5;29 7c72>k07b?75;29 7c728>976g>8383>!4b838i?65f4183>!4b8382?65rb37e>4<1290;w)<l6;7a?M4dm2B9o55+7d8`?!4b83<:7E?7b:&;e?2a=2d9i?4>149'6g>=:k;0e>950;&1a5<4m21b?o4?:%0f4?5e32c?97>5$3g3>2g<3f;397>5$3g3>42532c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn?;j:085>5<7s-8h:7;m;I0`a>N5k11/;h4l;%0f4?063A;3n6*7a;6e1>h5m;0:=;5+2c:96g7<a:=1<7*=e180a>=n;k0;6)<j0;1a?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o60;0;6)<j0;0a7>=n<90;6)<j0;0:7>=zj;?o6<49:183!4d>3?i7E<le:J1g==#?l0h7)<j0;42?M7?j2.3m7:i5:l1a7<69>1/>o652c38m61=83.9i=4<e:9j7g<72-8n<7=m;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k2<7<72-8n<7<m3:9j05<72-8n<7<63:9~f755280=6=4?{%0`2?3e3A8hi6F=c99'3`<d3-8n<78>;I3;f>"?i3>m96`=e3825==#:k21>o?4i2594?"5m908i65f3c83>!4b839i76g;5;29 7c72>k07b?75;29 7c728>976g>8383>!4b838i?65f4183>!4b8382?65rb312>4<1290;w)<l6;7a?M4dm2B9o55+7d8`?!4b83<:7E?7b:&;e?2a=2d9i?4>189'6g>=:k;0e>950;&1a5<4m21b?o4?:%0f4?5e32c?97>5$3g3>2g<3f;397>5$3g3>42532c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn?=?:085>5<7s-8h:7;m;I0`a>N5k11/;h4l;%0f4?063A;3n6*7a;6e1>h5m;0:=l5+2c:96g7<a:=1<7*=e180a>=n;k0;6)<j0;1a?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o60;0;6)<j0;0a7>=n<90;6)<j0;0:7>=zj;8m6<49:183!4d>3?i7E<le:J1g==#?l0h7)<j0;42?M7?j2.3m7:i5:l1a7<69k1/>o652c38m61=83.9i=4<e:9j7g<72-8n<7=m;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k2<7<72-8n<7<m3:9j05<72-8n<7<63:9~f74b280=6=4?{%0`2?3e3A8hi6F=c99'3`<d3-8n<78>;I3;f>"?i3>m96`=e3825f=#:k21>o?4i2594?"5m908i65f3c83>!4b839i76g;5;29 7c72>k07b?75;29 7c728>976g>8383>!4b838i?65f4183>!4b8382?65rb30g>4<1290;w)<l6;7a?M4dm2B9o55+7d8`?!4b83<:7E?7b:&;e?2a=2d9i?4>1e9'6g>=:k;0e>950;&1a5<4m21b?o4?:%0f4?5e32c?97>5$3g3>2g<3f;397>5$3g3>42532c:4?4?:%0f4?4e;21b8=4?:%0f4?4>;21vn?<l:085>5<7s-8h:7;m;I0`a>N5k11/;h4l;%0f4?063A;3n6*7a;6e1>h5m;0:=h5+2c:96g7<a:=1<7*=e180a>=n;k0;6)<j0;1a?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o60;0;6)<j0;0a7>=n<90;6)<j0;0:7>=zj;:?6<49:183!4d>3?i7E<le:J1g==#?l087)<j0;42?M7?j2.3m7:i5:l1a7<69o1/>o652c38m61=83.9i=4<e:9j7g<72-8n<7=m;:k71?6=,;o;6:o4;n3;1?6=,;o;6<:=;:k2<7<72-8n<7<m3:9j05<72-8n<7<63:9~f75f28086=4?{%0`2??63A8hi6F=c99'6`6=91i0(5o54g78 2c=k2d9i?4>219'6g>=:k;0e::50;&1a5<482d9hh4?;:k41?6=,;o;6>>4n3ff>4=<g8:m6=4+2d29514<3th9j=4>:283>5}#:j<15<5G2bg8L7e?3-8n<7?7c:&;e?2a=2.<i7:7;o0f6?7592.9n54=b09j31<72-8n<7=?;o0ga?6<3`=>6=4+2d2975=i:mo1=65`11d94?"5m90:8?54}c0f`?7=;3:1<v*=c78:5>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>1><f;o96<<=;%0a<?4e92c<87>5$3g3>66<f;nn6=54i6794?"5m908<6`=dd82?>i68o0;6)<j0;376>=zj;=h6<4::183!4d>33>7E<le:J1g==#:l:1=5m4$9c90c3<,>o1=55a2d09575<,;h36?l>;h57>5<#:l:1?=5a2eg94>=n?<0;6)<j0;13?k4cm3;07d99:18'6`6=;91e>ik52:9j32<72-8n<7=?;o0ga?5<3f;;j7>5$3g3>42532wi>:o51;794?6|,;i=64;4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4=5:l1a7<6:=1/>o652c38m22=83.9i=4<0:l1``<732c<97>5$3g3>66<f;nn6<54i6494?"5m908<6`=dd81?>o0?3:1(?k?:228j7bb2:10c<>i:18'6`6=9=807pl=7c82>1<729q/>n85929K6fc<@;i37)<j0;3;g>"?i3>m96*8e;71?k4b:3;996*=b981f4=n?=0;6)<j0;13?k4cm3:07d9::18'6`6=;91e>ik51:9j33<72-8n<7=?;o0ga?4<3f;;j7>5$3g3>42532wi8nl51;694?6|,;i=64=4H3af?M4d02.9i=4>8b9'<d<3n<1/;h46;o0f6?75>2.9n54=b09j31<72-8n<7=?;o0ga?6<3`=>6=4+2d2975=i:mo1=65f7783>!4b839;7c<ke;08?j77n3:1(?k?:061?>{e:lh1=7:50;2x 7e12090D?mj;I0`<>"5m90:4n5+8`87b0=#?l0?56`=e38262=#:k21>o?4i6694?"5m908<6`=dd83?>o0=3:1(?k?:228j7bb2810e:850;&1a5<482d9hh4=;:m24c<72-8n<7?;2:9~f7c>280?6=4?{%0`2??43A8hi6F=c99'6`6=91i0(5o54g78 2c=9k1e>h<513:8 7d?2;h:7d9;:18'6`6=;91e>ik50:9j30<72-8n<7=?;o0ga?7<3`==6=4+2d2975=i:mo1>65`11d94?"5m90:8?54}c04a?7=;3:1<v*=c78:5>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>1><f;o96<<6;%0a<?4e92c<87>5$3g3>66<f;nn6=54i6794?"5m908<6`=dd82?>i68o0;6)<j0;376>=zj;396<4<:183!4d>33:7E<le:J1g==#:l:1=5m4$9c90c3<,>o1=<5a2d0957g<,;h36?l>;h57>5<#:l:1?=5a2eg94>=n?<0;6)<j0;13?k4cm3;07b??f;29 7c728>976sm27:95?5=83:p(?m9:838L7eb3A8h46*=e182<f=#0h0?j85+7d82g>h5m;0:>o5+2c:96g7<a>>1<7*=e1804>h5ll0;76g85;29 7c72::0b?jj:098k46a290/>h>51508?xd6j>0:6>4?:1y'6f0=181C>nk4H3a;?!4b83;3o6*7a;6e1>"0m3;=7c<j2;31g>"5j109n<5f7583>!4b839;7c<ke;28?l12290/>h>5319m6ac=921d==h50;&1a5<6<;10qo?m3;397?6=8r.9o;461:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:048j7c5288o7)<m8;0a5>o0<3:1(?k?:228j7bb2910e:;50;&1a5<482d9hh4>;:m24c<72-8n<7?;2:9~f4d728086=4?{%0`2??63A8hi6F=c99'6`6=91i0(5o54g78 2c=9?1e>h<513g8 7d?2;h:7d9;:18'6`6=;91e>ik50:9j30<72-8n<7=?;o0ga?7<3f;;j7>5$3g3>42532wi=lm51;194?6|,;i=64?4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4>6:l1a7<6:o1/>o652c38m22=83.9i=4<0:l1``<732c<97>5$3g3>66<f;nn6<54o02e>5<#:l:1=9<4;|`f`?7=;3:1<v*=c78:5>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>40<f;o96<=?;%0a<?4e92c<87>5$3g3>66<f;nn6=54i6794?"5m908<6`=dd82?>i68o0;6)<j0;376>=zj;>j6<4;:183!4d>3387E<le:J1g==#:l:1=5m4$9c90c3<,>o156`=e38274=#:k21>o?4i6694?"5m908<6`=dd83?>o0=3:1(?k?:228j7bb2810e:850;&1a5<482d9hh4=;:m24c<72-8n<7?;2:9~f71?280?6=4?{%0`2??43A8hi6F=c99'6`6=91i0(5o54g78 2c=<01e>h<51208 7d?2;h:7d9;:18'6`6=;91e>ik50:9j30<72-8n<7=?;o0ga?7<3`==6=4+2d2975=i:mo1>65`11d94?"5m90:8?54}c042?7=<3:1<v*=c78:7>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>1?<f;o96<=<;%0a<?4e92c<87>5$3g3>66<f;nn6=54i6794?"5m908<6`=dd82?>o0>3:1(?k?:228j7bb2;10c<>i:18'6`6=9=807pl=7582>1<729q/>n85929K6fc<@;i37)<j0;3;g>"?i3>m96*8e;6:?k4b:3;886*=b981f4=n?=0;6)<j0;13?k4cm3:07d9::18'6`6=;91e>ik51:9j33<72-8n<7=?;o0ga?4<3f;;j7>5$3g3>42532wi>:<51;694?6|,;i=64=4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4;9:l1a7<6;<1/>o652c38m22=83.9i=4<0:l1``<732c<97>5$3g3>66<f;nn6<54i6494?"5m908<6`=dd81?>i68o0;6)<j0;376>=zj;=;6<4;:183!4d>3387E<le:J1g==#:l:1=5m4$9c90c3<,>o1845a2d09560<,;h36?l>;h57>5<#:l:1?=5a2eg94>=n?<0;6)<j0;13?k4cm3;07d99:18'6`6=;91e>ik52:9l55`=83.9i=4>4398yg41m3;187>50z&1g3<>;2B9oh5G2b:8 7c7282h7)6n:5d6?!1b2=30b?k=:014?!4e038i=6g84;29 7c72::0b?jj:198m23=83.9i=4<0:l1``<632c<:7>5$3g3>66<f;nn6?54o02e>5<#:l:1=9<4;|`12f<62=0;6=u+2b49=6=O:jo0D?m7;%0f4?7?k2.3m7:i5:&4a?2>3g8n>7?<8:&1f=<5j81b;94?:%0f4?573g8oi7>4;h56>5<#:l:1?=5a2eg95>=n??0;6)<j0;13?k4cm3807b??f;29 7c728>976sm27c95?2=83:p(?m9:818L7eb3A8h46*=e182<f=#0h0?j85+7d87=>h5m;0:?45+2c:96g7<a>>1<7*=e1804>h5ll0;76g85;29 7c72::0b?jj:098m20=83.9i=4<0:l1``<532e:<k4?:%0f4?73:21vn<l7:087>5<7s-8h:77<;I0`a>N5k11/>h>519a8 =g=<o?0(:k5189m6`4=9:k0(?l7:3`2?l13290/>h>5319m6ac=821b;84?:%0f4?573g8oi7?4;h55>5<#:l:1?=5a2eg96>=h99l1<7*=e18207=<uk;i87?54;294~"5k?02?6F=cd9K6f><,;o;6<6l;%:b>1`23-=n6<74n3g1>45e3-8i47<m1:k40?6=,;o;6>>4n3ff>5=<a>?1<7*=e1804>h5ll0:76g86;29 7c72::0b?jj:398k46a290/>h>51508?xd6j80:694?:1y'6f0=1:1C>nk4H3a;?!4b83;3o6*7a;6e1>"0m3;27c<j2;30g>"5j109n<5f7583>!4b839;7c<ke;28?l12290/>h>5319m6ac=921b;;4?:%0f4?573g8oi7<4;n33b?6=,;o;6<:=;:a5db=93>1<7>t$3a5><5<@;in7E<l8:&1a5<60j1/4l4;f49'3`<612d9i?4>3e9'6g>=:k;0e::50;&1a5<482d9hh4?;:k41?6=,;o;6>>4n3ff>4=<a><1<7*=e1804>h5ll0976a>0g83>!4b83;?>65rbdg95?2=83:p(?m9:818L7eb3A8h46*=e182<f=#0h0?j85+7d82=>h5m;0:?h5+2c:96g7<a>>1<7*=e1804>h5ll0;76g85;29 7c72::0b?jj:098m20=83.9i=4<0:l1``<532e:<k4?:%0f4?73:21vn?=6:086>5<7s-8h:77:;I0`a>N5k11/>h>519a8 =g=<o?0(:k5509m6`4=9:l0(?l7:3`2?l13290/>h>5319m6ac=821b;84?:%0f4?573g8oi7?4;h55>5<#:l:1?=5a2eg96>=n?>0;6)<j0;13?k4cm3907b??f;29 7c728>976sm22495?3=83:p(?m9:878L7eb3A8h46*=e182<f=#0h0?j85+7d860>h5m;0:8=5+2c:96g7<a>>1<7*=e1804>h5ll0;76g85;29 7c72::0b?jj:098m20=83.9i=4<0:l1``<532c<;7>5$3g3>66<f;nn6>54o02e>5<#:l:1=9<4;|`2f<<62<0;6=u+2b49=0=O:jo0D?m7;%0f4?7?k2.3m7:i5:&4a?7f3g8n>7?;1:&1f=<5j81b;94?:%0f4?573g8oi7>4;h56>5<#:l:1?=5a2eg95>=n??0;6)<j0;13?k4cm3807d98:18'6`6=;91e>ik53:9l55`=83.9i=4>4398yg7e=3;197>50z&1g3<>=2B9oh5G2b:8 7c7282h7)6n:5d6?!1b28k0b?k=:061?!4e038i=6g84;29 7c72::0b?jj:198m23=83.9i=4<0:l1``<632c<:7>5$3g3>66<f;nn6?54i6594?"5m908<6`=dd80?>i68o0;6)<j0;376>=zj8h96<4::183!4d>33>7E<le:J1g==#:l:1=5m4$9c90c3<,>o1=l5a2d09515<,;h36?l>;h57>5<#:l:1?=5a2eg94>=n?<0;6)<j0;13?k4cm3;07d99:18'6`6=;91e>ik52:9j32<72-8n<7=?;o0ga?5<3f;;j7>5$3g3>42532wi=lk51;794?6|,;i=64;4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4>a:l1a7<6<=1/>o652c38m22=83.9i=4<0:l1``<732c<97>5$3g3>66<f;nn6<54i6494?"5m908<6`=dd81?>o0?3:1(?k?:228j7bb2:10c<>i:18'6`6=9=807pljf;391?6=8r.9o;465:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:0c8j7c528>>7)<m8;0a5>o0<3:1(?k?:228j7bb2910e:;50;&1a5<482d9hh4>;:k42?6=,;o;6>>4n3ff>7=<a>=1<7*=e1804>h5ll0876a>0g83>!4b83;?>65rb0:e>4<4290;w)<l6;;2?M4dm2B9o55+2d295=e<,1k18k;4$6g90==i:l81=984$3`;>7d63`=?6=4+2d2975=i:mo1<65f7483>!4b839;7c<ke;38?j77n3:1(?k?:061?>{e;=>1=7;50;2x 7e120?0D?mj;I0`<>"5m90:4n5+8`87b0=#?l037c<j2;373>"5j109n<5f7583>!4b839;7c<ke;28?l12290/>h>5319m6ac=921b;;4?:%0f4?573g8oi7<4;h54>5<#:l:1?=5a2eg97>=h99l1<7*=e18207=<uk>jh7?54;294~"5k?02?6F=cd9K6f><,;o;6<6l;%:b>1`23-=n68=4n3g1>42?3-8i47<m1:k40?6=,;o;6>>4n3ff>5=<a>?1<7*=e1804>h5ll0:76g86;29 7c72::0b?jj:398k46a290/>h>51508?xd3ij0:684?:1y'6f0=1<1C>nk4H3a;?!4b83;3o6*7a;6e1>"0m320b?k=:06:?!4e038i=6g84;29 7c72::0b?jj:198m23=83.9i=4<0:l1``<632c<:7>5$3g3>66<f;nn6?54i6594?"5m908<6`=dd80?>i68o0;6)<j0;376>=zj=in6<4::183!4d>33>7E<le:J1g==#:l:1=5m4$9c90c3<,>o1=h5a2d0951g<,;h36?l>;h57>5<#:l:1?=5a2eg94>=n?<0;6)<j0;13?k4cm3;07d99:18'6`6=;91e>ik52:9j32<72-8n<7=?;o0ga?5<3f;;j7>5$3g3>42532wi8nm51;794?6|,;i=64;4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4j;o0f6?73j2.9n54=b09j31<72-8n<7=?;o0ga?6<3`=>6=4+2d2975=i:mo1=65f7783>!4b839;7c<ke;08?l10290/>h>5319m6ac=;21d==h50;&1a5<6<;10qo<;2;397?6=8r.9o;461:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:038j7c528>h7)<m8;0a5>o0<3:1(?k?:228j7bb2910e:;50;&1a5<482d9hh4>;:m24c<72-8n<7?;2:9~f766280>6=4?{%0`2??23A8hi6F=c99'6`6=91i0(5o54g78 2c=9l1e>h<515f8 7d?2;h:7d9;:18'6`6=;91e>ik50:9j30<72-8n<7=?;o0ga?7<3`==6=4+2d2975=i:mo1>65f7683>!4b839;7c<ke;18?j77n3:1(?k?:061?>{e::o1=7:50;2x 7e12090D?mj;I0`<>"5m90:4n5+8`87b0=#?l09o6`=e3820`=#:k21>o?4i6694?"5m908<6`=dd83?>o0=3:1(?k?:228j7bb2810e:850;&1a5<482d9hh4=;:m24c<72-8n<7?;2:9~f726280>6=4?{%0`2??23A8hi6F=c99'6`6=91i0(5o54g78 2c=>;1e>h<515d8 7d?2;h:7d9;:18'6`6=;91e>ik50:9j30<72-8n<7=?;o0ga?7<3`==6=4+2d2975=i:mo1>65f7683>!4b839;7c<ke;18?j77n3:1(?k?:061?>{e:=:1=7;50;2x 7e120?0D?mj;I0`<>"5m90:4n5+8`87b0=#?l0986`=e38215=#:k21>o?4i6694?"5m908<6`=dd83?>o0=3:1(?k?:228j7bb2810e:850;&1a5<482d9hh4=;:k43?6=,;o;6>>4n3ff>6=<g8:m6=4+2d29514<3th9<>4>:583>5}#:j<15>5G2bg8L7e?3-8n<7?7c:&;e?2a=2.<i7o4n3g1>4363-8i47<m1:k40?6=,;o;6>>4n3ff>5=<a>?1<7*=e1804>h5ll0:76g86;29 7c72::0b?jj:398k46a290/>h>51508?xd3m=0:684?:1y'6f0=1<1C>nk4H3a;?!4b83;3o6*7a;6e1>"0m3?0b?k=:071?!4e038i=6g84;29 7c72::0b?jj:198m23=83.9i=4<0:l1``<632c<:7>5$3g3>66<f;nn6?54i6594?"5m908<6`=dd80?>i68o0;6)<j0;376>=zj8o26<4::183!4d>33>7E<le:J1g==#:l:1=5m4$9c90c3<,>o1i6`=e38216=#:k21>o?4i6694?"5m908<6`=dd83?>o0=3:1(?k?:228j7bb2810e:850;&1a5<482d9hh4=;:k43?6=,;o;6>>4n3ff>6=<g8:m6=4+2d29514<3th:i:4>:583>5}#:j<15>5G2bg8L7e?3-8n<7?7c:&;e?2a=2.<i7j4n3g1>4333-8i47<m1:k40?6=,;o;6>>4n3ff>5=<a>?1<7*=e1804>h5ll0:76g86;29 7c72::0b?jj:398k46a290/>h>51508?xd3n;0:684?:1y'6f0=1<1C>nk4H3a;?!4b83;3o6*7a;6e1>"0m3<?7c<j2;361>"5j109n<5f7583>!4b839;7c<ke;28?l12290/>h>5319m6ac=921b;;4?:%0f4?573g8oi7<4;h54>5<#:l:1?=5a2eg97>=h99l1<7*=e18207=<uk>m<7?55;294~"5k?0296F=cd9K6f><,;o;6<6l;%:b>1`23-=n6;<4n3g1>4313-8i47<m1:k40?6=,;o;6>>4n3ff>5=<a>?1<7*=e1804>h5ll0:76g86;29 7c72::0b?jj:398m21=83.9i=4<0:l1``<432e:<k4?:%0f4?73:21vn9l;:086>5<7s-8h:77:;I0`a>N5k11/>h>519a8 =g=<o?0(:k58:l1a7<6=>1/>o652c38m22=83.9i=4<0:l1``<732c<97>5$3g3>66<f;nn6<54i6494?"5m908<6`=dd81?>o0?3:1(?k?:228j7bb2:10c<>i:18'6`6=9=807pl;ce82>0<729q/>n85949K6fc<@;i37)<j0;3;g>"?i3>m96*8e;78j7c528?37)<m8;0a5>o0<3:1(?k?:228j7bb2910e:;50;&1a5<482d9hh4>;:k42?6=,;o;6>>4n3ff>7=<a>=1<7*=e1804>h5ll0876a>0g83>!4b83;?>65rb5ab>4<2290;w)<l6;;6?M4dm2B9o55+2d295=e<,1k18k;4$6g9a>h5m;0:945+2c:96g7<a>>1<7*=e1804>h5ll0;76g85;29 7c72::0b?jj:098m20=83.9i=4<0:l1``<532c<;7>5$3g3>66<f;nn6>54o02e>5<#:l:1=9<4;|`014<62=0;6=u+2b49=6=O:jo0D?m7;%0f4?7?k2.3m7:i5:&4a?2>3g8n>7?:a:&1f=<5j81b;94?:%0f4?573g8oi7>4;h56>5<#:l:1?=5a2eg95>=n??0;6)<j0;13?k4cm3807b??f;29 7c728>976sm3`395?3=83:p(?m9:878L7eb3A8h46*=e182<f=#0h0?j85+7d82a>h5m;0:9o5+2c:96g7<a>>1<7*=e1804>h5ll0;76g85;29 7c72::0b?jj:098m20=83.9i=4<0:l1``<532c<;7>5$3g3>66<f;nn6>54o02e>5<#:l:1=9<4;|`0e5<62:0;6=u+2b49=4=O:jo0D?m7;%0f4?7?k2.3m7:i5:&4a?7d3g8n>7?:c:&1f=<5j81b;94?:%0f4?573g8oi7>4;h56>5<#:l:1?=5a2eg95>=h99l1<7*=e18207=<uk9>?7?54;294~"5k?02?6F=cd9K6f><,;o;6<6l;%:b>1`23-=n6974n3g1>43c3-8i47<m1:k40?6=,;o;6>>4n3ff>5=<a>?1<7*=e1804>h5ll0:76g86;29 7c72::0b?jj:398k46a290/>h>51508?xd4=>0:694?:1y'6f0=1:1C>nk4H3a;?!4b83;3o6*7a;6e1>"0m3>27c<j2;36a>"5j109n<5f7583>!4b839;7c<ke;28?l12290/>h>5319m6ac=921b;;4?:%0f4?573g8oi7<4;n33b?6=,;o;6<:=;:a703=93>1<7>t$3a5><5<@;in7E<l8:&1a5<60j1/4l4;f49'3`<312d9i?4>5g9'6g>=:k;0e::50;&1a5<482d9hh4?;:k41?6=,;o;6>>4n3ff>4=<a><1<7*=e1804>h5ll0976a>0g83>!4b83;?>65rb53a>4<5290;w)<l6;5;?M4dm2B9o55+2d2977=O0;1/4>48a:&;e?2a=2d9i?4>619'6g>=:k;0e:=50;&1a5<0i21d==h50;&1a5<6<;10qo=j3;396?6=8r.9o;488:J1g`=O:j20(?k?:208L=4<,191;l5+8`87b0=i:l81=;?4$3`;>7d63`=86=4+2d293d=<g8:m6=4+2d29514<3th8i84>:383>5}#:j<1;55G2bg8L7e?3-8n<7==;I:1?!>42>k0(5o54g78j7c528<97)<m8;0a5>o0;3:1(?k?:6c8?j77n3:1(?k?:061?>{e:ol1=7<50;2x 7e12>20D?mj;I0`<>"5m908>6F72:&;7?1f3-2j69h:;o0f6?71;2.9n54=b09j36<72-8n<79n;:m24c<72-8n<7?;2:9~f7`b28096=4?{%0`2?1?3A8hi6F=c99'6`6=;;1C4?5+8284e>"?i3>m96`=e38221=#:k21>o?4i6194?"5m90<m65`11d94?"5m90:8?54}c0e`?7=:3:1<v*=c784<>N5kl1C>n64$3g3>64<@180(5=57`9'<d<3n<1e>h<51778 7d?2;h:7d9<:18'6`6=?h10c<>i:18'6`6=9=807pl=fb82>7<729q/>n85799K6fc<@;i37)<j0;11?M>53-286:o4$9c90c3<f;o96<89;%0a<?4e92c<?7>5$3g3>2g<3f;;j7>5$3g3>42532wi?=o51;094?6|,;i=6:64H3af?M4d02.9i=4<2:J;6>"?;3=j7)6n:5d6?k4b:3;=;6*=b981f4=n?:0;6)<j0;5b?>i68o0;6)<j0;376>=zj::26<4=:183!4d>3=37E<le:J1g==#:l:1??5G839'<6<0i2.3m7:i5:l1a7<6>11/>o652c38m25=83.9i=48a:9l55`=83.9i=4>4398yg5703;1>7>50z&1g3<002B9oh5G2b:8 7c72:80D5<4$9193d=#0h0?j85a2d0953?<,;h36?l>;h50>5<#:l:1;l54o02e>5<#:l:1=9<4;|`042<62;0;6=u+2b493==O:jo0D?m7;%0f4?553A297)6<:6c8 =g=<o?0b?k=:04b?!4e038i=6g83;29 7c72>k07b??f;29 7c728>976sm31495?4=83:p(?m9:6:8L7eb3A8h46*=e1806>N?:2.3?79n;%:b>1`23g8n>7?9b:&1f=<5j81b;>4?:%0f4?1f32e:<k4?:%0f4?73:21vn9;9:081>5<7s-8h:797;I0`a>N5k11/>h>5339K<7=#0:0<m6*7a;6e1>h5m;0::n5+2c:96g7<a>91<7*=e184e>=h99l1<7*=e18207=<uk9?47?52;294~"5k?0:?k5G2bg8L7e?3-8n<7==;I:1?!>428>97)6n:5d6?k4b:3;=h6*=b981f4=n?:0;6)<j0;5b?>i68o0;6)<j0;376>=zj=?36<4=:183!4d>3;8j6F=cd9K6f><,;o;6><4H908 =5=9=80(5o54g78j7c528<n7)<m8;0a5>o0;3:1(?k?:6c8?j77n3:1(?k?:061?>{e<o>1=7<50;2x 7e1289m7E<le:J1g==#:l:1??5G839'<6<6<;1/4l4;f49m6`4=9?l0(?l7:3`2?l14290/>h>57`98k46a290/>h>51508?xd4<?0:6?4?:1y'6f0=9:l0D?mj;I0`<>"5m908>6F72:&;7?73:2.3m7:i5:l1a7<6?91/>o652c38m25=83.9i=48a:9l55`=83.9i=4>4398yg2613;1>7>50z&1g3<6;o1C>nk4H3a;?!4b83997E6=;%:0>4253-2j69h:;o0f6?7092.9n54=b09j36<72-8n<79n;:m24c<72-8n<7?;2:9~f7`128096=4?{%0`2?74n2B9oh5G2b:8 7c72:80D5<4$919514<,1k18k;4n3g1>4153-8i47<m1:k47?6=,;o;6:o4;n33b?6=,;o;6<:=;:a6c2=9381<7>t$3a5>45a3A8hi6F=c99'6`6=;;1C4?5+828207=#0h0?j85a2d09525<,;h36?l>;h50>5<#:l:1;l54o02e>5<#:l:1=9<4;|`1b6<62;0;6=u+2b4956`<@;in7E<l8:&1a5<4:2B3>6*73;376>"?i3>m96`=e38231=#:k21>o?4i6194?"5m90<m65`11d94?"5m90:8?54}c0e6?7=:3:1<v*=c7827c=O:jo0D?m7;%0f4?553A297)6<:061?!>f2=l>7c<j2;341>"5j109n<5f7283>!4b83=j76a>0g83>!4b83;?>65rb3d2>4<5290;w)<l6;30b>N5kl1C>n64$3g3>64<@180(5=51508 =g=<o?0b?k=:055?!4e038i=6g83;29 7c72>k07b??f;29 7c728>976sm45095?4=83:p(?m9:01e?M4dm2B9o55+2d2977=O0;1/4>4>439'<d<3n<1e>h<51658 7d?2;h:7d9<:18'6`6=?h10c<>i:18'6`6=9=807pl;3d82>7<729q/>n8512d8L7eb3A8h46*=e1806>N?:2.3?7?;2:&;e?2a=2d9i?4>799'6g>=:k;0e:=50;&1a5<0i21d==h50;&1a5<6<;10qo:<a;396?6=8r.9o;4>3g9K6fc<@;i37)<j0;11?M>53-286<:=;%:b>1`23g8n>7?89:&1f=<5j81b;>4?:%0f4?1f32e:<k4?:%0f4?73:21vn9=9:081>5<7s-8h:7?<f:J1g`=O:j20(?k?:208L=4<,191=9<4$9c90c3<f;o96<9n;%0a<?4e92c<?7>5$3g3>2g<3f;;j7>5$3g3>42532wi8><51;094?6|,;i=6<=i;I0`a>N5k11/>h>5339K<7=#0:0:8?5+8`87b0=i:l81=:l4$3`;>7d63`=86=4+2d293d=<g8:m6=4+2d29514<3th?>h4>:383>5}#:j<1=>h4H3af?M4d02.9i=4<2:J;6>"?;3;?>6*7a;6e1>h5m;0:;n5+2c:96g7<a>91<7*=e184e>=h99l1<7*=e18207=<uk>9m7?52;294~"5k?0:?k5G2bg8L7e?3-8n<7==;I:1?!>428>97)6n:5d6?k4b:3;<h6*=b981f4=n?:0;6)<j0;5b?>i68o0;6)<j0;376>=zj=8=6<4=:183!4d>3;8j6F=cd9K6f><,;o;6><4H908 =5=9=80(5o54g78j7c528=n7)<m8;0a5>o0;3:1(?k?:6c8?j77n3:1(?k?:061?>{e<9h1=7<50;2x 7e1289m7E<le:J1g==#:l:1??5G839'<6<6<;1/4l4;f49m6`4=9>l0(?l7:3`2?l14290/>h>57`98k46a290/>h>51508?xd3800:6?4?:1y'6f0=9:l0D?mj;I0`<>"5m908>6F72:&;7?73:2.3m7:i5:l1a7<6091/>o652c38m25=83.9i=48a:9l55`=83.9i=4>4398yg2703;1>7>50z&1g3<6;o1C>nk4H3a;?!4b83997E6=;%:0>4253-2j69h:;o0f6?7?92.9n54=b09j36<72-8n<79n;:m24c<72-8n<7?;2:9~f16028096=4?{%0`2?74n2B9oh5G2b:8 7c72:80D5<4$919514<,1k18k;4n3g1>4>53-8i47<m1:k47?6=,;o;6:o4;n33b?6=,;o;6<:=;:a050=9381<7>t$3a5>45a3A8hi6F=c99'6`6=;;1C4?5+828207=#0h0?j85a2d095=5<,;h36?l>;h50>5<#:l:1;l54o02e>5<#:l:1=9<4;|`740<62;0;6=u+2b4956`<@;in7E<l8:&1a5<4:2B3>6*73;376>"?i3>m96`=e382<1=#:k21>o?4i6194?"5m90<m65`11d94?"5m90:8?54}c630?7=:3:1<v*=c7827c=O:jo0D?m7;%0f4?553A297)6<:061?!>f2=l>7c<j2;3;1>"5j109n<5f7283>!4b83=j76a>0g83>!4b83;?>65rb520>4<5290;w)<l6;30b>N5kl1C>n64$3g3>64<@180(5=51508 =g=<o?0b?k=:0:5?!4e038i=6g83;29 7c72>k07b??f;29 7c728>976sm3g495?4=83:p(?m9:01e?M4dm2B9o55+2d2977=O0;1/4>4>439'<d<3n<1e>h<51958 7d?2;h:7d9<:18'6`6=?h10c<>i:18'6`6=9=807pl<f482>7<729q/>n8512d8L7eb3A8h46*=e1806>N?:2.3?7?;2:&;e?2a=2d9i?4>899'6g>=:k;0e:=50;&1a5<0i21d==h50;&1a5<6<;10qo=i4;396?6=8r.9o;4>3g9K6fc<@;i37)<j0;11?M>53-286<:=;%:b>1`23g8n>7?79:&1f=<5j81b;>4?:%0f4?1f32e:<k4?:%0f4?73:21vn>h<:081>5<7s-8h:7?<f:J1g`=O:j20(?k?:208L=4<,191=9<4$9c90c3<f;o96<6n;%0a<?4e92c<?7>5$3g3>2g<3f;;j7>5$3g3>42532wi?k<51;094?6|,;i=6<=i;I0`a>N5k11/>h>5339K<7=#0:0:8?5+8`87b0=i:l81=5l4$3`;>7d63`=86=4+2d293d=<g8:m6=4+2d29514<3th8j<4>:383>5}#:j<1=>h4H3af?M4d02.9i=4<2:J;6>"?;3;?>6*7a;6e1>h5m;0:4n5+2c:96g7<a>91<7*=e184e>=h99l1<7*=e18207=<uk9m<7?52;294~"5k?0:?k5G2bg8L7e?3-8n<7==;I:1?!>428>97)6n:5d6?k4b:3;3h6*=b981f4=n?:0;6)<j0;5b?>i68o0;6)<j0;376>=zj:om6<4=:183!4d>3;8j6F=cd9K6f><,;o;6><4H908 =5=9=80(5o54g78j7c5282n7)<m8;0a5>o0;3:1(?k?:6c8?j77n3:1(?k?:061?>{e:9k1=7650;2x 7e12<i0D?mj;I0`<>"0m390(?k?:3`7?M7?j2.3m7:i5:l1a7<60o1/>o652c38m61=83.9i=4<e:9j00<72-8n<79n;:k2<2<72-8n<7<mc:9l5=3=83.9i=4>4398m6d=83.9i=4<b:9j6g5=83.9i=4=b29K6f1<3`82?7>5$3g3>7?43A8h;65f2c294?"5m909ni54}c070?7=03:1<v*=c786a>N5kl1C>n64$6g97>"5m909n95G19`8 =g=<o?0b?k=:0;3?!4e038i=6g<7;29 7c72:o07d:::18'6`6=?h10e<68:18'6`6=:ki07d<m0;29 7c72;ho76a>8483>!4b83;?>65f3c83>!4b839i76g=b283>!4b838i?6F=c698m7?4290/>h>52818L7e032winl4>:283>5}#:j<15=5G2bg8L7e?3-8n<7?7c:&;e?2a=2.<i7<9;o0f6?7>92.9n54=b09j31<72-8n<7=?;o0ga?6<3f;;j7>5$3g3>42532c<97>5$3g3>66<f;nn6<5a2b194>=zjj81=7=50;2x 7e120:0D?mj;I0`<>"5m90:4n5+8`87b0=#?l09:6`=e382=7=#:k21>o?4i6694?"5m908<6`=dd83?>i68o0;6)<j0;376>=n?<0;6)<j0;13?k4cm3;0b?m<:198yge328086=4?{%0`2??73A8hi6F=c99'6`6=91i0(5o54g78 2c=:?1e>h<51818 7d?2;h:7d9;:18'6`6=;91e>ik50:9l55`=83.9i=4>4398m23=83.9i=4<0:l1``<63g8h?7>4;|``2?7=;3:1<v*=c78:4>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>70<f;o96<7;;%0a<?4e92c<87>5$3g3>66<f;nn6=54o02e>5<#:l:1=9<4;h56>5<#:l:1?=5a2eg95>h5k:0;76smc982>6<729q/>n85919K6fc<@;i37)<j0;3;g>"?i3>m96*8e;05?k4b:3;296*=b981f4=n?=0;6)<j0;13?k4cm3:07b??f;29 7c728>976g85;29 7c72::0b?jj:09m6f5=821vnno51;194?6|,;i=64>4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4=6:l1a7<61?1/>o652c38m22=83.9i=4<0:l1``<732e:<k4?:%0f4?73:21b;84?:%0f4?573g8oi7?4n3a0>5=<ukih6<4<:183!4d>33;7E<le:J1g==#:l:1=5m4$9c90c3<,>o1>;5a2d095<1<,;h36?l>;h57>5<#:l:1?=5a2eg94>=h99l1<7*=e18207=<a>?1<7*=e1804>h5ll0:7c<l3;28?xddm3;1?7>50z&1g3<>82B9oh5G2b:8 7c7282h7)6n:5d6?!1b2;<0b?k=:0;;?!4e038i=6g84;29 7c72::0b?jj:198k46a290/>h>51508?l12290/>h>5319m6ac=92d9o>4?;:a`5<62:0;6=u+2b49=5=O:jo0D?m7;%0f4?7?k2.3m7:i5:&4a?413g8n>7?69:&1f=<5j81b;94?:%0f4?573g8oi7>4;n33b?6=,;o;6<:=;:k41?6=,;o;6>>4n3ff>4=i:j91<65rb`495?5=83:p(?m9:828L7eb3A8h46*=e182<f=#0h0?j85+7d812>h5m;0:5l5+2c:96g7<a>>1<7*=e1804>h5ll0;76a>0g83>!4b83;?>65f7483>!4b839;7c<ke;38j7e42910qoo7:080>5<7s-8h:77?;I0`a>N5k11/>h>519a8 =g=<o?0(:k5279m6`4=90h0(?l7:3`2?l13290/>h>5319m6ac=821d==h50;&1a5<6<;10e:;50;&1a5<482d9hh4>;o0`7?6<3thjm7?53;294~"5k?02<6F=cd9K6f><,;o;6<6l;%:b>1`23-=n6?84n3g1>4?d3-8i47<m1:k40?6=,;o;6>>4n3ff>5=<g8:m6=4+2d29514<3`=>6=4+2d2975=i:mo1=6`=c283?>{eij0:6>4?:1y'6f0=191C>nk4H3a;?!4b83;3o6*7a;6e1>"0m38=7c<j2;3:`>"5j109n<5f7583>!4b839;7c<ke;28?j77n3:1(?k?:061?>o0=3:1(?k?:228j7bb281e>n=50:9~fdc=9391<7>t$3a5><6<@;in7E<l8:&1a5<60j1/4l4;f49'3`<5>2d9i?4>9d9'6g>=:k;0e::50;&1a5<482d9hh4?;:m24c<72-8n<7?;2:9j30<72-8n<7=?;o0ga?7<f;i86=54}c`3>4<4290;w)<l6;;3?M4dm2B9o55+2d295=e<,1k18k;4$6g963=i:l81=4h4$3`;>7d63`=?6=4+2d2975=i:mo1<65`11d94?"5m90:8?54i6794?"5m908<6`=dd82?k4d;3:07plm2;397?6=8r.9o;460:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:348j7c528k;7)<m8;0a5>o0<3:1(?k?:228j7bb2910c<>i:18'6`6=9=807d9::18'6`6=;91e>ik51:l1g6<732win94>:283>5}#:j<15=5G2bg8L7e?3-8n<7?7c:&;e?2a=2.<i7<9;o0f6?7f92.9n54=b09j31<72-8n<7=?;o0ga?6<3f;;j7>5$3g3>42532c<97>5$3g3>66<f;nn6<5a2b194>=zjk<1=7=50;2x 7e120:0D?mj;I0`<>"5m90:4n5+8`87b0=#?l09:6`=e382e7=#:k21>o?4i6694?"5m908<6`=dd83?>i68o0;6)<j0;376>=n?<0;6)<j0;13?k4cm3;0b?m<:198ygd?28086=4?{%0`2??73A8hi6F=c99'6`6=91i0(5o54g78 2c=:?1e>h<51`18 7d?2;h:7d9;:18'6`6=;91e>ik50:9l55`=83.9i=4>4398m23=83.9i=4<0:l1``<63g8h?7>4;|`ag?7=;3:1<v*=c78:4>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>70<f;o96<o;;%0a<?4e92c<87>5$3g3>66<f;nn6=54o02e>5<#:l:1=9<4;h56>5<#:l:1?=5a2eg95>h5k:0;76smbd82>6<729q/>n85919K6fc<@;i37)<j0;3;g>"?i3>m96*8e;05?k4b:3;j96*=b981f4=n?=0;6)<j0;13?k4cm3:07b??f;29 7c728>976g85;29 7c72::0b?jj:09m6f5=821vnn>51;194?6|,;i=64>4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4=6:l1a7<6i?1/>o652c38m22=83.9i=4<0:l1``<732e:<k4?:%0f4?73:21b;84?:%0f4?573g8oi7?4n3a0>5=<uk>=57?53;294~"5k?02<6F=cd9K6f><,;o;6<6l;%:b>1`23-=n6?84n3g1>4g03-8i47<m1:k40?6=,;o;6>>4n3ff>5=<g8:m6=4+2d29514<3`=>6=4+2d2975=i:mo1=6`=c283?>{e<?=1=7=50;2x 7e120:0D?mj;I0`<>"5m90:4n5+8`87b0=#?l09:6`=e382e==#:k21>o?4i6694?"5m908<6`=dd83?>i68o0;6)<j0;376>=n?<0;6)<j0;13?k4cm3;0b?m<:198yg21=3;1?7>50z&1g3<>82B9oh5G2b:8 7c7282h7)6n:5d6?!1b2;<0b?k=:0c:?!4e038i=6g84;29 7c72::0b?jj:198k46a290/>h>51508?l12290/>h>5319m6ac=92d9o>4?;:a035=9391<7>t$3a5><6<@;in7E<l8:&1a5<60j1/4l4;f49'3`<5>2d9i?4>a`9'6g>=:k;0e::50;&1a5<482d9hh4?;:m24c<72-8n<7?;2:9j30<72-8n<7=?;o0ga?7<f;i86=54}c655?7=;3:1<v*=c78:4>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>70<f;o96<om;%0a<?4e92c<87>5$3g3>66<f;nn6=54o02e>5<#:l:1=9<4;h56>5<#:l:1?=5a2eg95>h5k:0;76sm44d95?5=83:p(?m9:828L7eb3A8h46*=e182<f=#0h0?j85+7d812>h5m;0:mn5+2c:96g7<a>>1<7*=e1804>h5ll0;76a>0g83>!4b83;?>65f7483>!4b839;7c<ke;38j7e42910qo::d;397?6=8r.9o;460:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:348j7c528ko7)<m8;0a5>o0<3:1(?k?:228j7bb2910c<>i:18'6`6=9=807d9::18'6`6=;91e>ik51:l1g6<732wi88l51;194?6|,;i=64>4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4=6:l1a7<6il1/>o652c38m22=83.9i=4<0:l1``<732e:<k4?:%0f4?73:21b;84?:%0f4?573g8oi7?4n3a0>5=<uk>3;7?53;294~"5k?02<6F=cd9K6f><,;o;6<6l;%:b>1`23-=n6?84n3g1>4ga3-8i47<m1:k40?6=,;o;6>>4n3ff>5=<g8:m6=4+2d29514<3`=>6=4+2d2975=i:mo1=6`=c283?>{e<1?1=7=50;2x 7e120:0D?mj;I0`<>"5m90:4n5+8`87b0=#?l09:6`=e382f5=#:k21>o?4i6694?"5m908<6`=dd83?>i68o0;6)<j0;376>=n?<0;6)<j0;13?k4cm3;0b?m<:198yg2?;3;1?7>50z&1g3<>82B9oh5G2b:8 7c7282h7)6n:5d6?!1b2;<0b?k=:0`2?!4e038i=6g84;29 7c72::0b?jj:198k46a290/>h>51508?l12290/>h>5319m6ac=92d9o>4?;:a0=7=9391<7>t$3a5><6<@;in7E<l8:&1a5<60j1/4l4;f49'3`<5>2d9i?4>b39'6g>=:k;0e::50;&1a5<482d9hh4?;:m24c<72-8n<7?;2:9j30<72-8n<7=?;o0ga?7<f;i86=54}c64b?7=;3:1<v*=c78:4>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>70<f;o96<l<;%0a<?4e92c<87>5$3g3>66<f;nn6=54o02e>5<#:l:1=9<4;h56>5<#:l:1?=5a2eg95>h5k:0;76sm46f95?5=83:p(?m9:828L7eb3A8h46*=e182<f=#0h0?j85+7d812>h5m;0:n95+2c:96g7<a>>1<7*=e1804>h5ll0;76a>0g83>!4b83;?>65f7483>!4b839;7c<ke;38j7e42910qo:8b;397?6=8r.9o;460:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:348j7c528h>7)<m8;0a5>o0<3:1(?k?:228j7bb2910c<>i:18'6`6=9=807d9::18'6`6=;91e>ik51:l1g6<732wi8:751;194?6|,;i=64>4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4=6:l1a7<6j?1/>o652c38m22=83.9i=4<0:l1``<732e:<k4?:%0f4?73:21b;84?:%0f4?573g8oi7?4n3a0>5=<uk9>o7?53;294~"5k?02<6F=cd9K6f><,;o;6<6l;%:b>1`23-=n6?84n3g1>4d03-8i47<m1:k40?6=,;o;6>>4n3ff>5=<g8:m6=4+2d29514<3`=>6=4+2d2975=i:mo1=6`=c283?>{e;<k1=7=50;2x 7e120:0D?mj;I0`<>"5m90:4n5+8`87b0=#?l09:6`=e382f==#:k21>o?4i6694?"5m908<6`=dd83?>i68o0;6)<j0;376>=n?<0;6)<j0;13?k4cm3;0b?m<:198yg51m3;1?7>50z&1g3<>82B9oh5G2b:8 7c7282h7)6n:5d6?!1b2;<0b?k=:0`:?!4e038i=6g84;29 7c72::0b?jj:198k46a290/>h>51508?l12290/>h>5319m6ac=92d9o>4?;:a73e=9391<7>t$3a5><6<@;in7E<l8:&1a5<60j1/4l4;f49'3`<5>2d9i?4>b`9'6g>=:k;0e::50;&1a5<482d9hh4?;:m24c<72-8n<7?;2:9j30<72-8n<7=?;o0ga?7<f;i86=54}c15e?7=;3:1<v*=c78:4>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>70<f;o96<lm;%0a<?4e92c<87>5$3g3>66<f;nn6=54o02e>5<#:l:1=9<4;h56>5<#:l:1?=5a2eg95>h5k:0;76sm37:95?5=83:p(?m9:828L7eb3A8h46*=e182<f=#0h0?j85+7d812>h5m;0:nn5+2c:96g7<a>>1<7*=e1804>h5ll0;76a>0g83>!4b83;?>65f7483>!4b839;7c<ke;38j7e42910qo=96;397?6=8r.9o;460:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:348j7c528ho7)<m8;0a5>o0<3:1(?k?:228j7bb2910c<>i:18'6`6=9=807d9::18'6`6=;91e>ik51:l1g6<732wi?;:51;194?6|,;i=64>4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4=6:l1a7<6jl1/>o652c38m22=83.9i=4<0:l1``<732e:<k4?:%0f4?73:21b;84?:%0f4?573g8oi7?4n3a0>5=<uk9=>7?53;294~"5k?02<6F=cd9K6f><,;o;6<6l;%:b>1`23-=n6?84n3g1>4da3-8i47<m1:k40?6=,;o;6>>4n3ff>5=<g8:m6=4+2d29514<3`=>6=4+2d2975=i:mo1=6`=c283?>{e;?:1=7=50;2x 7e120:0D?mj;I0`<>"5m90:4n5+8`87b0=#?l09:6`=e382g5=#:k21>o?4i6694?"5m908<6`=dd83?>i68o0;6)<j0;376>=n?<0;6)<j0;13?k4cm3;0b?m<:198yg52m3;1?7>50z&1g3<>82B9oh5G2b:8 7c7282h7)6n:5d6?!1b2;<0b?k=:0a2?!4e038i=6g84;29 7c72::0b?jj:198k46a290/>h>51508?l12290/>h>5319m6ac=92d9o>4?;:a5<c=9391<7>t$3a5><6<@;in7E<l8:&1a5<60j1/4l4;f49'3`<5>2d9i?4>c39'6g>=:k;0e::50;&1a5<482d9hh4?;:m24c<72-8n<7?;2:9j30<72-8n<7=?;o0ga?7<f;i86=54}c3:g?7=;3:1<v*=c78:4>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>70<f;o96<m<;%0a<?4e92c<87>5$3g3>66<f;nn6=54o02e>5<#:l:1=9<4;h56>5<#:l:1?=5a2eg95>h5k:0;76sm18c95?5=83:p(?m9:828L7eb3A8h46*=e182<f=#0h0?j85+7d812>h5m;0:o95+2c:96g7<a>>1<7*=e1804>h5ll0;76a>0g83>!4b83;?>65f7483>!4b839;7c<ke;38j7e42910qo?68;397?6=8r.9o;460:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:348j7c528i>7)<m8;0a5>o0<3:1(?k?:228j7bb2910c<>i:18'6`6=9=807d9::18'6`6=;91e>ik51:l1g6<732wi=4851;194?6|,;i=64>4H3af?M4d02.9i=4>8b9'<d<3n<1/;h4=6:l1a7<6k?1/>o652c38m22=83.9i=4<0:l1``<732e:<k4?:%0f4?73:21b;84?:%0f4?573g8oi7?4n3a0>5=<uk;287?53;294~"5k?02<6F=cd9K6f><,;o;6<6l;%:b>1`23-=n6?84n3g1>4e03-8i47<m1:k40?6=,;o;6>>4n3ff>5=<g8:m6=4+2d29514<3`=>6=4+2d2975=i:mo1=6`=c283?>{e9081=7=50;2x 7e120:0D?mj;I0`<>"5m90:4n5+8`87b0=#?l09:6`=e382g==#:k21>o?4i6694?"5m908<6`=dd83?>i68o0;6)<j0;376>=n?<0;6)<j0;13?k4cm3;0b?m<:198ygc428086=4?{%0`2??73A8hi6F=c99'6`6=91i0(5o54g78 2c=:?1e>h<51b;8 7d?2;h:7d9;:18'6`6=;91e>ik50:9l55`=83.9i=4>4398m23=83.9i=4<0:l1``<63g8h?7>4;|`733<62:0;6=u+2b49=5=O:jo0D?m7;%0f4?7?k2.3m7:i5:&4a?413g8n>7?la:&1f=<5j81b;94?:%0f4?573g8oi7>4;n33b?6=,;o;6<:=;:k41?6=,;o;6>>4n3ff>4=i:j91<65rb5;7>4<4290;w)<l6;;3?M4dm2B9o55+2d295=e<,1k18k;4$6g963=i:l81=nl4$3`;>7d63`=?6=4+2d2975=i:mo1<65`11d94?"5m90:8?54i6794?"5m908<6`=dd82?k4d;3:07pl<7482>6<729q/>n85919K6fc<@;i37)<j0;3;g>"?i3>m96*8e;05?k4b:3;ho6*=b981f4=n?=0;6)<j0;13?k4cm3:07b??f;29 7c728>976g85;29 7c72::0b?jj:09m6f5=821vn<on:080>5<7s-8h:77?;I0`a>N5k11/>h>519a8 =g=<o?0(:k5279m6`4=9jn0(?l7:3`2?l13290/>h>5319m6ac=821d==h50;&1a5<6<;10e:;50;&1a5<482d9hh4>;o0`7?6<3th?i>4>:483>5}#:j<1585G2bg8L7e?3-8n<7?7c:&;e?2a=2.<i7k4n3g1>4eb3-8i47<m1:k40?6=,;o;6>>4n3ff>5=<a>?1<7*=e1804>h5ll0:76g86;29 7c72::0b?jj:398m21=83.9i=4<0:l1``<432e:<k4?:%0f4?73:21vn<k7:086>5<7s-8h:77:;I0`a>N5k11/>h>519a8 =g=<o?0(:k58:l1a7<6ko1/>o652c38m22=83.9i=4<0:l1``<732c<97>5$3g3>66<f;nn6<54i6494?"5m908<6`=dd81?>o0?3:1(?k?:228j7bb2:10c<>i:18'6`6=9=807pl;f082>0<729q/>n85949K6fc<@;i37)<j0;3;g>"?i3>m96*8e;46?k4b:3;o<6*=b981f4=n?=0;6)<j0;13?k4cm3:07d9::18'6`6=;91e>ik51:9j33<72-8n<7=?;o0ga?4<3`=<6=4+2d2975=i:mo1?65`11d94?"5m90:8?54}c017?7==3:1<v*=c78:1>N5kl1C>n64$3g3>4>d3-2j69h:;%5f>3=i:l81=i?4$3`;>7d63`=?6=4+2d2975=i:mo1<65f7483>!4b839;7c<ke;38?l11290/>h>5319m6ac=:21b;:4?:%0f4?573g8oi7=4;n33b?6=,;o;6<:=;:a07`=93>1<7>t$3a5>d4<@;in7E<l8:&1a5<f82.3m7:i5:l1a7<6l;1/>o652c38m22=83.9i=48b:9j30<72-8n<79l;:k1f5<72-8n<7<m2:9l55`=83.9i=4>4398yg2483;197>50z&1g3<>=2B9oh5G2b:8 7c7282h7)6n:5d6?!1b2;n0b?k=:0f0?!4e038i=6g84;29 7c72::0b?jj:198m23=83.9i=4<0:l1``<632c<:7>5$3g3>66<f;nn6?54i6594?"5m908<6`=dd80?>i68o0;6)<j0;376>=zj=9:6<4::183!4d>33>7E<le:J1g==#:l:1=5m4$9c90c3<,>o1=k5a2d095a2<,;h36?l>;h57>5<#:l:1?=5a2eg94>=n?<0;6)<j0;13?k4cm3;07d99:18'6`6=;91e>ik52:9j32<72-8n<7=?;o0ga?5<3f;;j7>5$3g3>42532wi8>951;694?6|,;i=6l<4H3af?M4d02.9i=4n0:&;e?2a=2d9i?4>d49'6g>=:k;0e::50;&1a5<0j21b;84?:%0f4?1d32c9n=4?:%0f4?4e:21d==h50;&1a5<6<;10qo:<8;391?6=8r.9o;465:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:718j7c528n=7)<m8;0a5>o0<3:1(?k?:228j7bb2910e:;50;&1a5<482d9hh4>;:k42?6=,;o;6>>4n3ff>7=<a>=1<7*=e1804>h5ll0876a>0g83>!4b83;?>65rb51:>4<2290;w)<l6;;6?M4dm2B9o55+2d295=e<,1k18k;4$6g957=i:l81=i94$3`;>7d63`=?6=4+2d2975=i:mo1<65f7483>!4b839;7c<ke;38?l11290/>h>5319m6ac=:21b;:4?:%0f4?573g8oi7=4;n33b?6=,;o;6<:=;:a06d=93>1<7>t$3a5>d4<@;in7E<l8:&1a5<f82.3m7:i5:l1a7<6l11/>o652c38m22=83.9i=48b:9j30<72-8n<79l;:k1f5<72-8n<7<m2:9l55`=83.9i=4>4398yg24k3;197>50z&1g3<>=2B9oh5G2b:8 7c7282h7)6n:5d6?!1b2=n0b?k=:0f:?!4e038i=6g84;29 7c72::0b?jj:198m23=83.9i=4<0:l1``<632c<:7>5$3g3>66<f;nn6?54i6594?"5m908<6`=dd80?>i68o0;6)<j0;376>=zj=9o6<4::183!4d>33>7E<le:J1g==#:l:1=5m4$9c90c3<,>o1=:5a2d095ag<,;h36?l>;h57>5<#:l:1?=5a2eg94>=n?<0;6)<j0;13?k4cm3;07d99:18'6`6=;91e>ik52:9j32<72-8n<7=?;o0ga?5<3f;;j7>5$3g3>42532wi8?l51;694?6|,;i=6l<4H3af?M4d02.9i=4n0:&;e?2a=2d9i?4>dc9'6g>=:k;0e::50;&1a5<0j21b;84?:%0f4?1d32c9n=4?:%0f4?4e:21d==h50;&1a5<6<;10qo:=c;391?6=8r.9o;465:J1g`=O:j20(?k?:0:`?!>f2=l>7)9j:3d8j7c528nh7)<m8;0a5>o0<3:1(?k?:228j7bb2910e:;50;&1a5<482d9hh4>;:k42?6=,;o;6>>4n3ff>7=<a>=1<7*=e1804>h5ll0876a>0g83>!4b83;?>65rb50g>4<2290;w)<l6;;6?M4dm2B9o55+2d295=e<,1k18k;4$6g90d=i:l81=ij4$3`;>7d63`=?6=4+2d2975=i:mo1<65f7483>!4b839;7c<ke;38?l11290/>h>5319m6ac=:21b;:4?:%0f4?573g8oi7=4;n33b?6=,;o;6<:=;:a06`=93>1<7>t$3a5>d4<@;in7E<l8:&1a5<f82.3m7:i5:l1a7<6ll1/>o652c38m22=83.9i=48b:9j30<72-8n<79l;:k1f5<72-8n<7<m2:9l55`=83.9i=4>4398yg2383;197>50z&1g3<>=2B9oh5G2b:8 7c7282h7)6n:5d6?!1b2=h0b?k=:0fe?!4e038i=6g84;29 7c72::0b?jj:198m23=83.9i=4<0:l1``<632c<:7>5$3g3>66<f;nn6?54i6594?"5m908<6`=dd80?>i68o0;6)<j0;376>=zj=>:6<4::183!4d>33>7E<le:J1g==#:l:1=5m4$9c90c3<,>o1n6`=e382a5=#:k21>o?4i6694?"5m908<6`=dd83?>o0=3:1(?k?:228j7bb2810e:850;&1a5<482d9hh4=;:k43?6=,;o;6>>4n3ff>6=<g8:m6=4+2d29514<3th??>4>:583>5}#:j<1m?5G2bg8L7e?3-8n<7o?;%:b>1`23g8n>7?j1:&1f=<5j81b;94?:%0f4?1e32c<97>5$3g3>2e<3`8i<7>5$3g3>7d532e:<k4?:%0f4?73:21vn9=;:086>5<7s-8h:77:;I0`a>N5k11/>h>519a8 =g=<o?0(:k54b9m6`4=9l80(?l7:3`2?l13290/>h>5319m6ac=821b;84?:%0f4?573g8oi7?4;h55>5<#:l:1?=5a2eg96>=n?>0;6)<j0;13?k4cm3907b??f;29 7c728>976sm42795?3=83:p(?m9:878L7eb3A8h46*=e182<f=#0h0?j85+7d81=>h5m;0:i>5+2c:96g7<a>>1<7*=e1804>h5ll0;76g85;29 7c72::0b?jj:098m20=83.9i=4<0:l1``<532c<;7>5$3g3>66<f;nn6>54o02e>5<#:l:1=9<4;|`762<62=0;6=u+2b49e7=O:jo0D?m7;%0f4?g73-2j69h:;o0f6?7b<2.9n54=b09j31<72-8n<79m;:k41?6=,;o;6:m4;h0a4?6=,;o;6?l=;:m24c<72-8n<7?;2:9~f14?280>6=4?{%0`2??23A8hi6F=c99'6`6=91i0(5o54g78 2c=:l1e>h<51d78 7d?2;h:7d9;:18'6`6=;91e>ik50:9j30<72-8n<7=?;o0ga?7<3`==6=4+2d2975=i:mo1>65f7683>!4b839;7c<ke;18?j77n3:1(?k?:061?>{e<;31=7;50;2x 7e120?0D?mj;I0`<>"5m90:4n5+8`87b0=#?l0m7c<j2;3f2>"5j109n<5f7583>!4b839;7c<ke;28?l12290/>h>5319m6ac=921b;;4?:%0f4?573g8oi7<4;h54>5<#:l:1?=5a2eg97>=h99l1<7*=e18207=<uk>;j7>52;097~"5k?0886F=cd9K6f><,1k18k;4n3g1>4c03-8i47<m1:k47?6=3f;;j7>5;c5:>4<5290;w)<l6;5:?!4b839o7)6<:6c8L=4<,1k18k;4n3g1>4c?3-8i47<m1:k47?6=,;o;6:o4;n33b?6=,;o;6<:=;:a76<62;0;6=u+2b4976=#:l:1?i5+8`87b0=i:l81=h74$3`;>7d63`=86<4+2d293d=<g8:m6<4+2d29514<3ty<?7>52z\47>;013=87p}>0g83>7}Y99l01>=511d8yv1>2909w096:02e?8542>90qpl=0d82>7<729q/>n85329K6fc<@;i37)<j0;1g?!>f2=l>7c<j2;3fe>"5j109n<5f7282>!4b83=j76a>0g82>!4b83;?>65rb26g>4<5290;w)<l6;10?M4dm2B9o55+2d297a=#0h0?j85a2d095`d<,;h36?l>;h50>4<#:l:1;l54o02e>4<#:l:1=9<4;|`7f5<62;0;6=u+2b4976=O:jo0D?m7;%0f4?5c3-2j69h:;o0f6?7bk2.9n54=b09j36<62-8n<79n;:m24c<62-8n<7?;2:9~fa4=9381<7>t$3a5>2`<@;in7E<l8:&1a5<4:2.3m7:i5:l1a7<6mm1/>o652c38m25=83.9i=48a:9l55`=83.9i=4>439K6a`<3th?:o4>:383>5}#:j<1;k5G2bg8L7e?3-8n<7==;%:b>1`23g8n>7?je:&1f=<5j81b;>4?:%0f4?1f32e:<k4?:%0f4?73:2B9hk54}c6;=?7=:3:1<v*=c784b>N5kl1C>n64$3g3>64<,1k18k;4n3g1>4ca3-8i47<m1:k47?6=,;o;6:o4;n33b?6=,;o;6<:=;I0gb>=zj:=;6<4=:183!4d>3=m7E<le:J1g==#:l:1??5+8`87b0=i:l81=k>4$3`;>7d63`=86=4+2d293d=<g8:m6=4+2d29514<@;nm76sm1`295?4=83:p(?m9:6d8L7eb3A8h46*=e1806>"?i3>m96`=e382b4=#:k21>o?4i6194?"5m90<m65`11d94?"5m90:8?5G2ed8?xd38j0:6?4?:1y'6f0=?o1C>nk4H3a;?!4b83997)6n:5d6?k4b:3;m>6*=b981f4=n?:0;6)<j0;5b?>i68o0;6)<j0;376>N5lo10qo:?a;396?6=8r.9o;48f:J1g`=O:j20(?k?:208 =g=<o?0b?k=:0d0?!4e038i=6g83;29 7c72>k07b??f;29 7c728>97E<kf:9~f6`a28096=4?{%0`2?1a3A8hi6F=c99'6`6=;;1/4l4;f49m6`4=9o>0(?l7:3`2?l14290/>h>57`98k46a290/>h>51508L7ba32wi?km51;094?6|,;i=6:h4H3af?M4d02.9i=4<2:&;e?2a=2d9i?4>f49'6g>=:k;0e:=50;&1a5<0i21d==h50;&1a5<6<;1C>ih4;|`0b<<62;0;6=u+2b493c=O:jo0D?m7;%0f4?553-2j69h:;o0f6?7a>2.9n54=b09j36<72-8n<79n;:m24c<72-8n<7?;2:J1`c=<uk8:=7?52;294~"5k?0<j6F=cd9K6f><,;o;6><4$9c90c3<f;o96<h8;%0a<?4e92c<?7>5$3g3>2g<3f;;j7>5$3g3>4253A8oj65rb0`5>4<5290;w)<l6;5e?M4dm2B9o55+2d2977=#0h0?j85a2d095c><,;h36?l>;h50>5<#:l:1;l54o02e>5<#:l:1=9<4H3fe?>{e9hl1=7<50;2x 7e12>l0D?mj;I0`<>"5m908>6*7a;6e1>h5m;0:j45+2c:96g7<a>91<7*=e184e>=h99l1<7*=e18207=O:ml07pl>ac82>7<729q/>n857g9K6fc<@;i37)<j0;11?!>f2=l>7c<j2;3ee>"5j109n<5f7283>!4b83=j76a>0g83>!4b83;?>6F=dg98ygcd28096=4?{%0`2?1a3A8hi6F=c99'6`6=;;1/4l4;f49m6`4=9oh0(?l7:3`2?l14290/>h>57`98k46a290/>h>51508L7ba32wi8hm51;794?6|,;i=64;4H3af?M4d02.9i=4>8b9'<d<3n<1/;h49;o0f6?7ak2.9n54=b09j31<72-8n<7=?;o0ga?6<3`=>6=4+2d2975=i:mo1=65f7783>!4b839;7c<ke;08?l10290/>h>5319m6ac=;21d==h50;&1a5<6<;10qo:jd;390?6=8r.9o;4n2:J1g`=O:j20(?k?:`28 =g=<o?0b?k=:0dg?!4e038i=6g84;29 7c72>h07d9::18'6`6=?j10e?l?:18'6`6=:k807b??f;29 7c728>976sm1d`95?3=83:p(?m9:878L7eb3A8h46*=e182<f=#0h0?j85+7d80<>h5m;0:jh5+2c:96g7<a>>1<7*=e1804>h5ll0;76g85;29 7c72::0b?jj:098m20=83.9i=4<0:l1``<532c<;7>5$3g3>66<f;nn6>54o02e>5<#:l:1=9<4;|`2a`<62<0;6=u+2b49=0=O:jo0D?m7;%0f4?7?k2.3m7:i5:&4a?5>3g8n>7?if:&1f=<5j81b;94?:%0f4?573g8oi7>4;h56>5<#:l:1?=5a2eg95>=n??0;6)<j0;13?k4cm3807d98:18'6`6=;91e>ik53:9l55`=83.9i=4>4398yg7bn3;187>50z&1g3<f:2B9oh5G2b:8 7c72h:0(5o54g78j7c52;:;7)<m8;0a5>o0<3:1(?k?:6`8?l12290/>h>57b98m7d7290/>h>52c08?j77n3:1(?k?:061?>{e:=<1=7;50;2x 7e120?0D?mj;I0`<>"5m90:4n5+8`87b0=#?l08m6`=e38144=#:k21>o?4i6694?"5m908<6`=dd83?>o0=3:1(?k?:228j7bb2810e:850;&1a5<482d9hh4=;:k43?6=,;o;6>>4n3ff>6=<g8:m6=4+2d29514<3th98:4>:583>5}#:j<1m?5G2bg8L7e?3-8n<7o?;%:b>1`23g8n>7<?2:&1f=<5j81b;94?:%0f4?1e32c<97>5$3g3>2e<3`8i<7>5$3g3>7d532e:<k4?:%0f4?73:21vnk<50;597??|,;i=6?ln;I0`a>N5k11/;h4;;I3;f>"?i3>m96`=e38146=#:k21>o?4i3594?=n:10;66g=a;29?l4e2900e>k50;9j00<722e:484?::`1f<<6200;6=u+2b496gd<,;o;6?lm;%3;7?013A8h>6F>8c9'<d<3n<1/;h4;;o0f6?47<2.9n54=b09j00<722c8n7>5n3a0>4=<a:o1<7F>8198m7d=831b>l4?::k1<?6=3`8<6=44o0:6>5<<g82=6=44}c1e>4<5290;w)<j0;11?k4b:38;96*=b981f4=n?:0;6)<j0;5b?>i68o0;6)<j0;376>N5lo10qo<l9;395?6=8r.9i=4>419m6`4=:9<0(?l7:3`2?j73;3:1(?k?:061?>{t<<0;6?uQ449>6g?=<<1v>k50;0xZ6c<5:l1;>5rs3`94?4|V;h01?l6:3`8yv4f2909wS<n;<0a=?4f3ty947>52z\1<>;5j00946s|2683>7}Y:>16>o75269~w4>22909wS?75:?1f<<60<1v>l50;0x97d>2:h01?m6:060?xu4n3:1>v3=b880a>;4n3;;j6srb2g3>4<1290;w)<l6;43?M4dm2B9o55+2d2924=#?l087E?7b:&;e?2a=2d9i?4=069'6g>=:k;0e>950;&1a5<4m2B9hk54i5794?"5m90<m65`19794?"5m90:8?54i2`94?"5m908n65f19094?"5m909n>54i5294?"5m9095>54}cd2>4<>290;wE<le:J1g==#?l0<7)<l6;0af>"5m909no5+191923=O:j80D<6m;%:b>1`23g8n>7<?8:&1f=<5j81b>:4?::k1<?6=3`8j6=44i3`94?=n;k0;6c<l3;38?l5b290C=5>4;h66>5<<g82>6=44o0:5>5<<uk88?7?56;294~"5k?0>n6F=cd9K6f><,>o1o6*=e1855>N60k1/4l4;f49m6`4=:930(?l7:3`2?l50290/>h>53d98m6d=83.9i=4<b:9j00<72-8n<79n;:m2<0<72-8n<7?;2:9j5=4=83.9i=4=b298m16=83.9i=4=9298yg23;3;1:7>50z&1g3<2i2B9oh5G2b:8 7c72?;0(:k53:J2<g=#0h0?j85a2d0965g<,;h36?l>;h14>5<#:l:1?h5G2ed8?l27290/>h>52818?l22290/>h>57`98k4>2290/>h>51508?l5e290/>h>53c98m4>5290/>h>52c18?xd3<=0:6;4?:1y'6f0==h1C>nk4H3a;?!4b83<:7)9j:29K5=d<,1k18k;4n3g1>76e3-8i47<m1:k03?6=,;o;6>k4H3fe?>o383:1(?k?:3;0?>o3=3:1(?k?:6c8?j7?=3:1(?k?:061?>o4j3:1(?k?:2`8?l7?:3:1(?k?:3`0?>{e<=?1=7850;2x 7e12<k0D?mj;I0`<>"5m90==6*8e;18L4>e3-2j69h:;o0f6?47k2.9n54=b09j72<72-8n<7=j;I0gb>=n<90;6)<j0;0:7>=n<<0;6)<j0;5b?>i60<0;6)<j0;376>=n;k0;6)<j0;1a?>o60;0;6)<j0;0a7>=zj:o:6<4<:183!4d>33:7E<le:J1g==#:l:1=5m4$9c90c3<,>o1=n5a2d0965b<,;h36?l>;h57>5<#:l:1?=5a2eg94>=n?<0;6)<j0;13?k4cm3;07b??f;29 7c728>976sm3ed95?0=83:p(?m9:4c8L7eb3A8h46*=e1855>"0m390D<6m;%:b>1`23g8n>7<?e:&1f=<5j81b?:4?:%0f4?5b3A8oj65f4183>!4b8382?65f4483>!4b83=j76a>8483>!4b83;?>65f3c83>!4b839i76g>8383>!4b838i?65rb5g5>4<5290;w)<j0;11?!4d>32o7E<l8:l1a7<58o1b;>4?:%0f4?1f32e:<k4?:%0f4?73:21vn9k::080>5<7s-8n<7?7c:J1g==O:jo0(5o54g78 7e12080(:k5499m6`4=:8:0(?l7:3`2?l13290/>h>5319m6ac=821b;84?:%0f4?573g8oi7?4;n33b?6=,;o;6<:=;:a5`b=9381<7>t$3g3>64<,;i=65j4H3a;?k4b:38:=6g83;29 7c72>k07b??f;29 7c728>976sm1da95?2=83:p(?k?:0:`?M4d02B9oh5+8`87b0=#:j<1595+7d82`>h5m;09=?5+2c:96g7<a>>1<7*=e1804>h5ll0;76g85;29 7c72::0b?jj:098m20=83.9i=4<0:l1``<532e:<k4?:%0f4?73:21vn9l<:081>5<7s-8n<7==;%0`2?>c3A8h46`=e38156=n?:0;6)<j0;5b?>i68o0;6)<j0;376>=zj=h96<4::183!4b83;3o6F=c99K6fc<,1k18k;4$3a5><0<,>o146`=e38151=#:k21>o?4i6694?"5m908<6`=dd83?>o0=3:1(?k?:228j7bb2810e:850;&1a5<482d9hh4=;:k43?6=,;o;6>>4n3ff>6=<g8:m6=4+2d29514<3th85h4>:383>5}#:l:1??5+2b49<a=O:j20b?k=:336?l14290/>h>57`98k46a290/>h>51508?xd41m0:684?:1y'6`6=91i0D?m7;I0`a>"?i3>m96*=c78:3>"0m3?0b?k=:335?!4e038i=6g84;29 7c72::0b?jj:198m23=83.9i=4<0:l1``<632c<:7>5$3g3>66<f;nn6?54i6594?"5m908<6`=dd80?>i68o0;6)<j0;376>=zj=o26<4=:183!4b83997)<l6;:g?M4d02d9i?4=169j36<72-8n<79n;:m24c<72-8n<7?;2:9~f1c?280>6=4?{%0f4?7?k2B9o55G2bg8 =g=<o?0(?m9:858 2c=>;1e>h<520:8 7d?2;h:7d9;:18'6`6=;91e>ik50:9j30<72-8n<7=?;o0ga?7<3`==6=4+2d2975=i:mo1>65f7683>!4b839;7c<ke;18?j77n3:1(?k?:061?>{e:l21=7?50;2x 7c728=i7E<l8:J4`>"?;3;?>6*7c;355>h5m;09=45`16`94?"5m90:;o54}c0fe?7=93:1<v*=e1823g=O:j20D:j4$919514<,1i1=;>4n3g1>77f3f;<n7>5$3g3>41e32wi>hm51;394?6|,;o;6<9m;I0`<>N0l2.3?7?;2:&;g?71<2d9i?4=1c9l52d=83.9i=4>7c98yg4bn3;1=7>50z&1a5<6?k1C>n64H6f8 =5=9=80(5m51718j7c52;;h7b?8b;29 7c728=i76sm2g795?7=83:p(?k?:05a?M4d02B<h6*73;376>"?k3;?56`=e3815a=h9>h1<7*=e1823g=<uk8m;7?51;294~"5m90:;o5G2b:8L2b<,191;l5+8b822f=i:l81><k4i05a>5<#:l:1=:l4;|`1b=<6280;6=u+2d2952d<@;i37E9k;%:0>2g<,1i1=;j4n3g1>77a3`;<n7>5$3g3>41e32wi>k751;394?6|,;o;6<9m;I0`<>N0l2.3?79n;%:`>40b3g8n>7<=0:k23g<72-8n<7?8b:9~f7`e280:6=4?{%0f4?70j2B9o55G7e9'<6<0i2.3o7?9f:l1a7<5:81b=:l50;&1a5<6?k10qo=?0;395?6=8r.9i=4>7c9K6f><@>n0(5=57`9'<f<6=>1e>h<52308m41e290/>h>516`8?xd4880:6<4?:1y'6`6=9>h0D?m7;I5g?!>42>k0(5m514f8j7c52;887d?8b;29 7c728=i76sm31095?7=83:p(?k?:05a?M4d02B<h6*73;5b?!>d28?=7c<j2;010>o6?k0;6)<j0;34f>=zj::86<4>:183!4b83;<n6F=c99K3a=#0:0<m6*7c;36f>h5m;09>85f16`94?"5m90:;o54}c131?7=93:1<v*=e1823g=O:j20D:j4$9193d=#0j0:8k5a2d09670<a8=i6=4+2d2952d<3th8884>:083>5}#:l:1=:l4H3a;?M1c3-286<:=;%:`>4203g8n>7<=7:m23g<72-8n<7?8b:9~f620280:6=4?{%0f4?70j2B9o55G7e9'<6<6<;1/4n4>479m6`4=:;20c<9m:18'6`6=9>h07pl<e382>4<729q/>h>516`8L7e?3A=o7)6<:6c8 =e=9=20b?k=:30:?l70j3:1(?k?:05a?>{e;l>1=7?50;2x 7c728=i7E<l8:J4`>"?;3=j7)6l:06b?k4b:389m6g>7c83>!4b83;<n65rb2g5>4<6290;w)<j0;34f>N5k11C;i5+828207=#0j0:;=5a2d0967d<g8=i6=4+2d2952d<3th8i:4>:083>5}#:l:1=:l4H3a;?M1c3-286<:=;%:`>4153g8n>7<=c:m23g<72-8n<7?8b:9~f6c?280:6=4?{%0f4?70j2B9o55G7e9'<6<6<;1/4n4>759m6`4=:;n0c<9m:18'6`6=9>h07pl<e882>4<729q/>h>516`8L7e?3A=o7)6<:061?!>d28=<7c<j2;01a>i6?k0;6)<j0;34f>=zj:oj6<4>:183!4b83;<n6F=c99K3a=#0:0:8?5+8b823d=i:l81>?h4o05a>5<#:l:1=:l4;|`0ag<6280;6=u+2d2952d<@;i37E9k;%:0>4253-2h6<:j;o0f6?4482e:;o4?:%0f4?70j21vn>kl:082>5<7s-8n<7?8b:J1g==O?m1/4>4>439'<f<6=j1e>h<52238k41e290/>h>516`8?xd4ml0:6<4?:1y'6`6=9>h0D?m7;I5g?!>428>97)6l:06a?k4b:388>6a>7c83>!4b83;<n65rb2d4>4<6290;w)<j0;34f>N5k11C;i5+828207=#0j0:985a2d09665<g8=i6=4+2d2952d<3th8j54>:083>5}#:l:1=:l4H3a;?M1c3-286<:=;%:`>43f3g8n>7<<4:m23g<72-8n<7?8b:9~f6`f280:6=4?{%0f4?70j2B9o55G7e9'<6<6<;1/4n4>559m6`4=::?0c<9m:18'6`6=9>h07pl<fc82>4<729q/>h>516`8L7e?3A=o7)6<:061?!>d28?87c<j2;002>i6?k0;6)<j0;34f>=zj:lo6<4>:183!4b83;<n6F=c99K3a=#0:0:8?5+8b8217=i:l81>>94o05a>5<#:l:1=:l4;|`0b`<6280;6=u+2d2952d<@;i37E9k;%:0>4253-2h6<;>;o0f6?4402e:;o4?:%0f4?70j21vn9>?:082>5<7s-8n<7?8b:J1g==O?m1/4>4>439'<f<6=91e>h<522;8k41e290/>h>516`8?xd38;0:6<4?:1y'6`6=9>h0D?m7;I5g?!>428>97)6l:06g?k4b:388m6a>7c83>!4b83;<n65rb52f>4<6290;w)<j0;34f>N5k11C;i5+8284e>"?k3;>56`=e3817g=n9>h1<7*=e1823g=<uk>:47?51;294~"5m90:;o5G2b:8L2b<,191=9<4$9a9513<f;o96?=l;n34f?6=,;o;6<9m;:a04g=93;1<7>t$3g3>41e3A8h46F8d:&;7?1f3-2h6<;j;o0f6?44l2c:;o4?:%0f4?70j21vn9?k:082>5<7s-8n<7?8b:J1g==O?m1/4>4>439'<f<6>>1e>h<522g8k41e290/>h>516`8?xd39l0:6<4?:1y'6`6=9>h0D?m7;I5g?!>428>97)6l:045?k4b:388j6a>7c83>!4b83;<n65rb53e>4<6290;w)<j0;34f>N5k11C;i5+828207=#0j0::l5a2d09616<g8=i6=4+2d2952d<3th?>=4>:083>5}#:l:1=:l4H3a;?M1c3-286<:=;%:`>40?3g8n>7<;1:m23g<72-8n<7?8b:9~f146280:6=4?{%0f4?70j2B9o55G7e9'<6<6<;1/4n4>4b9m6`4=:=80c<9m:18'6`6=9>h07pl;2382>4<729q/>h>516`8L7e?3A=o7)6<:061?!>d28<>7c<j2;077>i6?k0;6)<j0;34f>=zj=886<4>:183!4b83;<n6F=c99K3a=#0:0:8?5+8b822g=i:l81>9:4o05a>5<#:l:1=:l4;|`760<6280;6=u+2d2952d<@;i37E9k;%:0>4253-2h6<86;o0f6?43=2e:;o4?:%0f4?70j21vn9:9:082>5<7s-8n<7?8b:J1g==O?m1/4>48a:&;g?7092d9i?4=479j52d=83.9i=4>7c98yg22?3;1=7>50z&1a5<6?k1C>n64H6f8 =5=9=80(5m514d8j7c52;><7b?8b;29 7c728=i76sm4g195?7=83:p(?k?:05a?M4d02B<h6*73;376>"?k3;?86`=e3810==h9>h1<7*=e1823g=<uk>>87?51;294~"5m90:;o5G2b:8L2b<,;i<6?m:;%:0>2g<,1i1=:;4n3g1>72>3`;<n7>5$3g3>41e32wi88;51;094?6|,;o;6><4$3a5>2><,191;l5+2b596f3<,82j6?m:;I0`<>N?:2.3m7:i5:l1a7<5<h1/>o652c38m25=83.9i=48a:9l55`=83.9i=4>4398yg2293;1=7>50z&1a5<6?k1C>n64H6f8 7e02;i>7)6<:6c8 =e=9?80b?k=:36a?l70j3:1(?k?:05a?>{e<<81=7<50;2x 7c72:80(?m9:6:8 =5=?h1/>n952b78 4>f2;i>7E<l8:J;6>"?i3>m96`=e3810f=#:k21>o?4i6194?"5m90<m65`11d94?"5m90:8?54}c67b?7=93:1<v*=e1823g=O:j20D:j4$3a4>7e23-286:o4$9a950><f;o96?:k;h34f?6=,;o;6<9m;:a006=9381<7>t$3g3>64<,;i=6:64$9193d=#:j=1>n;4$0:b>7e23A8h46F72:&;e?2a=2d9i?4=4d9'6g>=:k;0e:=50;&1a5<0i21d==h50;&1a5<6<;10qo:;d;395?6=8r.9i=4>7c9K6f><@>n0(?m8:3a6?!>42>k0(5m516;8j7c52;>m7d?8b;29 7c728=i76sm45g95?4=83:p(?k?:208 7e12>20(5=57`9'6f1=:j?0(<6n:3a6?M4d02B3>6*7a;6e1>h5m;099=5+2c:96g7<a>91<7*=e184e>=h99l1<7*=e18207=<uk>?n7?51;294~"5m90:;o5G2b:8L2b<,;i<6?m:;%:0>2g<,1i1=:64n3g1>7363`;<n7>5$3g3>41e32wi89m51;094?6|,;o;6><4$3a5>2><,191;l5+2b596f3<,82j6?m:;I0`<>N?:2.3m7:i5:l1a7<5=;1/>o652c38m25=83.9i=48a:9l55`=83.9i=4>4398yg2313;1=7>50z&1a5<6?k1C>n64H6f8 7e02;i>7)6<:6c8 =e=9><0b?k=:370?l70j3:1(?k?:05a?>{e<=k1=7<50;2x 7c72:80(?m9:6:8 =5=?h1/>n952b78 4>f2;i>7E<l8:J;6>"?i3>m96`=e38111=#:k21>o?4i6194?"5m90<m65`11d94?"5m90:8?54}c673?7=93:1<v*=e1823g=O:j20D:j4$3a4>7e23-286:o4$9a9525<f;o96?;:;h34f?6=,;o;6<9m;:a01>=9381<7>t$3g3>64<,;i=6:64$9193d=#:j=1>n;4$0:b>7e23A8h46F72:&;e?2a=2d9i?4=579'6g>=:k;0e:=50;&1a5<0i21d==h50;&1a5<6<;10q~o;:1808g328:m70l6:2a89ac=;j1vl;50;1x9d3=99l01l953b9>`0<4k2wxm;4?:2y>e3<68o16m84=b19>`1<?12wxm:4?:2y>e2<68o16m44<c:?g2?5d3tyj47>53z?b<?77n27j;7<m0:?g1?>>3tyj57>53z?b=?77n27jn7=l;<f4>6e<uzkj6=4<{<cb>46a34k26?l?;<f5>=?<uzki6=4<{<ca>46a34ko6>m4=e:97f=z{hi1<7=t=`a955`<5hh1>o>4=e59<<=z{hn1<7=t=`f955`<5hl1?n52d880g>{til0;6>u2ad824c=:im09n=52d98;=>{tio0;6>u2ag824c=:j808o63ka;1`?xue83:1?v3m0;33b>;fn38i<63k9;::?xue93:1?v3m1;33b>;e;39h70jm:2a8yvd52908w0l=:02e?8d62;h;70jn:9;8yvd42908w0l<:02e?8d22:i01im53b9~wg2=839p1o:511d89g5=:k:01il5889~wg3=839p1o;511d89g1=;j16hi4<c:pf3<72:q6n;4>0g9>f0<5j916hn479:pf2<72:q6n:4>0g9>fg<4k27oj7=l;|qa<?6=;r7i47??f:?a3?4e827oh766;|qa=?6=;r7i57??f:?`5?5d34o?6>m4}r`b>5<4s4hj6<>i;<`:>7d734nn6574}r`a>5<4s4hi6<>i;<`g>6e<5l:1?n5rsca94?5|5ki1==h4=c`96g6<5ml1445rscf94?5|5kn1==h4=cd97f=:m808o6s|bd83>6}:jl0:<k52be81f5=:m90356s|bg83>7}:jo0:<k52e380g>{tk90;6>u2c1824c=:jo09n=52e08;=>{tk80;6>u2c0824c=:k:08o63j5;1`?xud:3:1?v3l2;33b>;d938i<63j4;::?xud;3:1?v3l3;33b>;d=39h70k9:2a8yve32908w0m;:02e?8e42;h;70k::9;8yve22908w0m::02e?8e02:i01h953b9~wf0=839p1n8511d89f3=:k:01h85889~wf1=839p1n9511d89f?=;j16i54<c:pg=<72:q6o54>0g9>g2<5j916i:479:pg<<72:q6o44>0g9>gg<4k27n57=l;|q`e?6=;r7hm7??f:?`=?4e827n4766;|q`f?6=;r7hn7??f:?``?5d34oj6>m4}ra`>5<4s4ih6<>i;<aa>7d734o26574}rag>5<4s4io6<>i;<ae>6e<5lh1?n5rsbg94?5|5jo1==h4=bf96g6<5lk1445rsbd94?5|5jl1==h4=`797f=:l=08o6s|d183>6}:l90:<k52cg81f5=:mk0356s|d083>6}:i=09n=52d28;=>;c:3;;j6s|e283>7}:m:0:<k52e38;=>{tn80;6?u2f082<0=:::91885rsg094?4|5o81=5;4=2g3>13<uzl>6=4;az?1gc<1l27j87=l;<f0>6e<5k318;52c0872>;d;3>=70m::5489f1=<?16o44;6:?`f?2134io6984=bd903=:i<0?:63n7;65?8g>2=<01ll5479>ea<3>27jj7:9;<`2>10<5k918;52b4872>;e?3>=70lm:5489gb=<?16nk4;6:?732<4k27?4l4<c:?73=<3>27?;l4;6:?73f<3>27?;h4;6:?7<5<3>27?4?4;6:?7<1<3>27?4;4;6:?71<<4k27?:n4<c:?71d<3>27?9n4;6:?71`<3>27?:=4;6:?727<3>27?:94;6:?723<3>27?:54;6:?01=<4k278;<4<c:?01a<3>2789k4;6:?024<3>278:>4;6:?020<3>278::4;6:?02<<3>278:o4;6:?02a<3>278944;6:?01g<3>27:5=4<c:?2e4<4k27:5<4;6:?2=6<3>27:584;6:?2=2<3>27:544;6:?2=g<3>27:5i4;6:?7aa<0=27m>7<7;<d1>7g<5o81>o52f081<>;a938j70h>:3`8yv`1290ow0<k0;377>;f<3>=70:ne;66?82bm3>>70:87;65?82213>=70=:8;65?87ei3>>70?60;65?847i3>>70h=:3589c7=:>1689=5449~wc1=838p19ok:02e?82fk3=<7p}i8;296~;3kl0:<k524ba932=z{o31<7<t=362>46a348?<79:;|qee?6=:r7?i9487:?7a3<68o1vkl50;0x91c42>?019k6:02e?xuak3:1>v3;31824c=:<;l1;95rsgf94?4|5=9:6<>i;<61b?123tymi7>52z?77=<68o168>95759~wc`=838p19=6:02e?824?3=>7p}>0183>7}:<:i1==h4=51a>22<uz;;=7>52z?77a<68o168>l5749~w4652909w0:=c;33b>;3:k0<86s|11194?4|5=8o6<>i;<61f?123ty:<94?:3y>016=99l019=i:668yv77=3:1>v3;40824c=:<:l1;85rs025>5<5s4>887??f:?776<0<2wx==950;0x915228:m70:<3;56?xu6810;6?u243:955`<5=8<6::4}r33=?6=:r7?>44>0g9>071=?<1v<>n:181847;3=?70?jd;33b>{t99h1<7<t=5ab>21<5=h86<>i;|q2<a<72;q6=oo53c9>614=99l0q~?7e;296~;6jh0?<63>8g824c=z{83;6=4<{<3:4?77n27:5<4<c:?2e7<4k2wx=4?50;1x94?628:m70?63;1`?87f;39h7p}>9383>6}:9081==h4=0;2>7d734;j>766;|q2=6<72:q6=4=511d894?22:i01<o;:2a8yv7><3:1?v3>95824c=:9091>o>4=0c0>=?<uz;297>53z?2=0<68o16=4953b9>5d3=;j1v<79:18087>>3;;j63>9481f5=:9h>1445rs0;4>5<4s4;2;7??f:?2=<<4k27:m;4<c:p5<>=839p1<77:02e?87>?38i<63>a48;=>{t9031<7=t=0;:>46a34;2n7=l;<3b3?5d3ty:5l4?:2y>5<g=99l01<76:3`3?87f>3227p}>9c83>6}:90h1==h4=0;g>6e<58k36>m4}r3:g?6=;r7:5n4>0g9>5<d=:k:01<o8:9;8yv7>l3:1>v3>9e824c=:9h31?n5rs0;f>5<4s4;2i7??f:?2=a<5j916=l65889~w4?a2908w0?60;0a4>;6i803563>a1824c=z{8kj6=4={<3be?77n27:m4479:p5gg=83kp1?6j:7g897>c2?o01?6l:7g897>e2?o01?6n:7g897>>2?o01?67:7g897>02?o01<ln:0:6?84>:3=>7p}>bc83>7}:9mn188521`3955`<uz;io7>52z?2bf<3=27:mk4>0g9~w4dc2909w0?i4;66?87fj3;;j6s|1cg94?4|5;>m69;4=0`5>46a3ty:nk4?:3y>5ac=<<16=l<511d8yv7d83:1>v3>fe871>;6j90:<k5rs0a2>5<5s48;n7::;<3a7?77n2wx=n<50;0x94`22=?01<ol:02e?xu6k:0;6?u2242900=:9k=1==h4}r3`0?6=:r7:hk4;5:?2e6<68o1v<m::18187am3>>70?m1;33b>{t9j<1<7<t=32`>13<58h?6<>i;|q2g2<72;q6=k85449>5db=99l0q~?l8;296~;5=80?963>b9824c=z{8i26=4={<3f4?2234;j87??f:p5fg=838p1<hi:57894d528:m7p}>cc83>7}::9n188521c7955`<uz;ho7>52z?2b2<3=27:mh4>0g9~w4ec2909w0<:2;66?87e13;;j6s|1bg94?4|58o:69;4=0c6>46a3ty:ok4?:3y>5`4=<<16=l8511d8yv7c83:1>v3>e2871>;6i>0:<k5rs0f2>5<5s4;n87::;<3b<?77n2wx=i<50;0x94c22=?01<o6:02e?xu6l:0;6?u21e195=3<5;>j6:84}r3g0?6=;r7:hi4>849>5`?=?=16=l>5729~w4b22908w0?ke;3;1>;6m00<:63>93840>{t9m<1<7=t=0fe>4>234;n;79:;<3:0?133ty:h:4?:2y>5`6=91?01<79:66894c?2><0q~?k8;297~;6m80:485218:931=:9l21;:5rs0f:>5<4s4;n>7?75:?2a<<0?27:5l484:p5ag=839p1<k<:0:6?87b?3=?70?6c;57?xu6lk0;6>u21d695=3<58o<6:84=0;f>22<uz;oo7>53z?2a0<60<16=h75749>5dg=?=1v<k9:18a847<39i70?kd;3;3>;6ll0:4:521ed95=1<58o;6<68;<3f5?7??27:i?4>869>5`5=91=01<k;:0:4?87b=3;3;63>e9824c=z{8o<6=4={<3f3?77n27:i5485:p5`?=838p1<k6:02e?87b03=?7p}>e`83>0}:9o>1=594=0d6>4>034;m:7?77:?2b2<60>16=hh511d8yv7bj3:1>v3>ec824c=:9ll1;85rs0gf>5<5s4;ni7??f:?2ac<0<2wx=k>50;4x94`3282>70?nc;56?87fl3==70?ne;57?87fj3=870?jc;57?xu6n80;68u21g795=3<58kh6::4=0cg>22<58kn6:84=0g`>23<uz;m>7>54z?2b3<60<16=lj5749>5dc=?>16=hm5779~w4`4290>w0?i7;3;1>;6il0<963=00842>;6mk0<;63>ed843>{t9o21<78t=0d`>4>234;i<79:;<3a5?1134;i>79;;<075?1034;jj79<;|q2b<<72<q6=kj5197894d72>>01<l>:66894d52><01?:>:648yv7ai3:18v3>fd82<0=:9k;1;8521c0932=::=;1;85rs0da>5<4s4;mj7?75:?2f7<0=2798<484:p656=83op1?<l:2`8974c2:h01?<j:2`8974a2:h01?=?:2`897562:h01?==:2`894`d2:h01<hk:2`894`b2:h01<hi:2`8976628:m70h>:2`897542:h0q~<?2;291~;6nj0:4:521gf95=1<58ln6<68;<3eb?7??279<>4>0g9~w7632909=v3=2b803>;5:m08;63=2d803>;5:o08;63=31803>;5;808;63=33803>;59h08;63=1c803>;59j08;63=1e803>;59l08;63=1g803>;5:908;63=20803>;6jh08;63>fb803>;6nm08;63>fd803>;6no08;63=0c803>;58j08;63=0e803>;6n=08;63>f4803>;6n?08;63>f6803>;5;j08;63=3g803>;58h08;63=0d824c=:n808i63=32803>{t:9?1<7=t=327>4>2348;i79<;<025?143ty9<;4?:4y>5g5=?<16=o:5779>5g3=?=16>=o51958976f282>7p}=0683>1}::9h1=5;4=0`0>22<58h?6::4=0`6>20<uz8;47>53z?14f<60<16=o:5749>5g3=?>1v?>6:1826~;5=m08;63=5d803>;5=o08;63=61803>;5>808;63=63803>;5>:08;63=65803>;5><08;63=67803>;5<108;63=0e82<0=::=l1?:52242972=::<;1?:52240972=:9k?1;852256972=z{;;;6=4={<030?22348:=7??f:p644=839p1?68:578977f282>70=i6;50?xu59:0;6>u229:900=::8h1=5;4=2d6>25<uz8:87>53z?1<<<3=279=n4>849>7c2=?:1v??::18084?i3>>70<>d;3;1>;4n:0<?6s|20494?5|5;2i69;4=33f>4>2349m>79<;|q152<72:q6>5m5449>64`=91?01>h>:618yv4603:1?v3=8e871>;5:90:48523g2936=z{;;26=4<{<0;a?223489=7?75:?0ac<0;2wx>?<50;;x977f2:h01??m:2`8977d2:h01??k:2`8977b2:h01??i:2`897472:h01?<>:2`8974428:m7p}=2583>7}::;i1=5;4=33b>13<uz8997>53z?16f<3=279>i4>849>64d=<<1v?<9:180845l3>>70<=e;3;1>;59j0?96s|23594?5|5;8n69;4=30e>4>2348:h7::;|q16=<72:q6>?h5449>666=91?01??j:578yv4513:1?v3=31871>;5;80:485220d900=z{;8j6=4<{<005?223488>7?75:?165<3=2wx>?l50;1x97552=?01?<>:5789754282>7p}=3583>0}:::>1=5;4=0f0>3b<5;9j6:;4=31:>22<5;9=6:84}r001?6=:r79?94;5:?173<68o1v?=8:187844?3;3963=3`840>;5;00<:63=37840>{t::21<7<t=314>13<5;926<>i;|q17g<72;q6=i=5449>66g=99l0q~<<c;29=~;5;j0:4852250930=::9;1;85222g933=::=:1;;52211933=::;91;9521d`931=:9lo1;95rs31g>5<5s488o7::;<00a?77n2wx>>h50;;x975a282>70<;2;57?84793=?70<<e;57?84383=?70<?3;56?845;3=<70?jb;55?87bm3==7p}=4183>7}:::l18852252955`<uz8??7>56z?144<0?279?h485:?2ag<0=27:ih485:?2af<68o16=hj5729~w723290mw0<8b;55?840m3=>70<98;57?843i3=?70<88;57?840>3=?70<84;57?840:3=?70<80;57?841m3=?70<9c;57?841i3=?70<;4;3;1>;5<?0<963=46840>{t:=?1<7<t=367>13<5;><6<>i;|q103<72;q6>98511d897202>?0q~<;8;29e~;5<10:485225d95=1<5;?;6<68;<065?7??2799?4>869>62c=?=16>9o5749>66?=?>16>>85749>612=:k:0q~<;9;296~;5<10?963=4`824c=z{;>i6=49{<07b?7?=27:n:485:?2f=<0>27:n4484:?2f3<0;2798;484:p61e=83?p1?;?:0:6?87e?3=?70?m8;57?87e13==70<;6;55?xu5<m0;69u224395=3<58h36:;4=0`:>21<5;>=6:94}r07a?6=;r799?4>849>5g?=?<16>9952c28yv42;3:1>v3=5e82<0=:<<21;>5rs377>5<5s48>i7?75:?12=<0=2wx>8;50;0x973a282>70<9a;55?xu5=?0;6?u227295=3<5;<h6:84}r063?6=:r79:<4>849>63c=??1v?;7:181841:3;3963=71842>{t:<31<7<t=340>4>2348<>799;|q11d<72;q6>;:5197897132><0q~<:b;296~;5><0:4852264933=z{;?h6=4={<052?7?=279;5486:p631=838p1?;k:578970?28:m7p}=6883>7}::<o1885227c955`<uz8=n7>52z?11c<3=279:n4>0g9~w70c2909w0<90;66?841m3;;j6s|27d94?4|5;<:69;4=353>46a3ty9;<4?:3y>634=<<16>:<511d8yv40;3:1>v3=62871>;5?=0:<k5rs356>5<5s48=87::;<042?77n2wx>:950;0x97022=?01?97:02e?xu5?00;6?u2274900=::>h1==h4}r04e?6=:r79;l4>0g9>62d=?<1v?9l:181840k3;;j63=7c840>{t:>n1<7lt=37g>6d<5;?n6>l4=37e>6d<5;<;6>l4=342>6d<5;<96>l4=340>6d<5;<?6>l4=346>6d<5;<=6>l4=35f>46a3ty9;k4?:2y>6=1=91?01?9n:658970f2>?0q~<70;297~;5010:485226c933=::?i1;85rs3:2>5<4s48357?75:?13d<0=279:h485:p6=4=839p1?6n:0:6?840i3=?70<80;56?xu50:0;6>u229`95=3<5;=h6:94=351>23<uz8387>53z?1<f<60<16>:m5779>622=?<1v?6::18084?l3;3963=7b841>;5??0<96s|29494?5|5;2n6<6:;<04g?13348<479:;|q1<c<72lq6>4>5197897>b2?n01?6k:7f897>d2?n01?6m:7f897>f2?n01?66:7f897>?2?n01?68:7f897?52>>01?=6:67897512>=01<6i:66897442>?0q~<61;296~;5190?963=93824c=z{;3?6=4={<117?2234n86<>i;|q1=0<72;q6?>h5449>af<68o1v?79:181855=3>>70j;:02e?xu51>0;6?u2334900=:l<0:<k5rs3;;>5<5s499;7::;<f5>46a3ty9544?:3y>77>=<<16h:4>0g9~w7?f2909w0==9;66?8b?28:m7p}=9c83>7}:;;k18852d8824c=z{;3h6=4={<11f?2234nj6<>i;|q1=a<72;q6??m5449>`g<68o1v?7j:181855l3>>70jl:02e?xu51o0;6?u233g900=:lm0:<k5rs3c3>5<5s49987::;<ff>46a3ty9m<4?:3y>716=<<16ii4>0g9~w7g52909w0=<0;66?8ba28:m7p}=a283>7}:;:;18852e1824c=z{;k?6=4={<106?2234o:6<>i;|q1e0<72;q6?>=5449>a7<68o1v?o9:181855n3>>70k;:02e?xu5i>0;6?u2353900=:ml0:<k5rs3c;>5<5s49887::;<g6>46a3ty9m44?:3y>714=<<16ik4>0g9~w7gf2909w0=<5;66?8c128:m7p}=ac83>7}:;:<18852e6824c=z{;kh6=4={<103?2234o36<>i;|q1ea<72;q6?>65449>a<<68o1v?oj:18185413>>70kn:02e?xu5io0;6?u232c900=:mk0:<k5rs3g;>5<4sW8n463=f0824c=::l21=:l4}r0fe?6=;rT9il522g0955`<5;oj6<9m;|q1af<72:qU>hm4=3d0>46a348no7?8b:p6``=839pR?ki;<0e0?77n279ik4>7c9~w7`62909w0<j9;33b>;5n80<?6s|2g094?4|5;oi6<>i;<0e6?143ty9j>4?:3y>6`b=99l01?h<:618yv4a<3:1>v3=f1824c=::o>1;>5rs3d6>5<4sW8m963=f7824c=::o?1=:l4}r0e2?6=:r7?mn4>0g9>6c0=?:1v?h8:180[4a?279jn483:?1b2<6?k1v?h7:180[4a0279ji483:?1b=<6?k1v?h6:180[4a1279jh483:?1b<<6?k1v?hm:180[4aj279jk483:?1bg<6?k1v?hk:18184bj3=>70<id;33b>{t:oo1<7<t=3gg>23<5;ln6<>i;|q1bc<72kq6>4>51958972?282<70<<c;3;3>;5;o0:4:5222695=1<5;9<6<68;<0e4?1234;3j79:;<0eb?77n279894>869>675=??1v>>?:180[578278<;483:?045<6?k1v>>>:180[579278<:483:?044<6?k1v>>=:180[57:278<5483:?047<6?k1v>><:180[57;278<4483:?046<6?k1v>>::180[57=278<l483:?040<6?k1v>>9:181857>3;;j63<f8847>{t;9=1<7<t=224>46a349mo79<;|q04=<72;q6?=6511d896`a2>90q~=?9;296~;4800:<k5241c936=z{::j6=4={<13e?77n27?<n483:p75d=838p1><<:0:6?8b52>90q~=>6;296~;4:=0:4852b`840>{t;9i1<7<t=206>4>234k=6::4}r13`?6=:r78>;4>849>e=<0<2wx?=k50;0x9640282>70on:668yv57n3:1>v3<2982<0=:ij0<86s|30294?4|5:826<6:;<cf>22<uz9:=7>52z?06d<60<16n=484:p744=838p1><m:0:6?8d52>>0q~=>3;296~;4:j0:4852b5840>{t;8>1<7<t=20g>4>234h=6::4}r121?6=:r78>h4>849>f=<0<2wx?<l50;0x964a282>70m=:668yv56?3:1>v3<3182<0=:jj0<86s|30:94?4|5:9:6<6:;<`f>22<uz9:57>52z?077<60<16o=484:p74g=83<p1>=<:0:6?854n39<70=;0;14?853939<70=;2;14?8c42>>0q~=>c;296~;4;=0:4852c5840>{t;8n1<7<t=216>4>234i=6::4}r12a?6=:r78?;4>849>g=<0<2wx?<h50;0x9650282>70mn:668yv5583:1>v3<3982<0=:kj0<86s|33394?4|5:926<6:;<af>22<uz99>7>52z?07d<60<16h=484:p76d=83np1>=i:0:6?8cc2>?01hk5779>ac<0<27889484:?775<0?27??5487:?77f<0>27?>n487:?705<0?27??9486:?76=<0?27no79<;|q07f<72jq6?9>519789`b=?=16ih484:?fb?11349?8799;<604?1234>8479:;<60g?1234>9o799;<674?1134>8879;;<61<?113ty8?i4?:cy>717=91?01hk5749>ac<0?27889487:?775<0>27??5484:?77f<0<27?>n485:?705<0<27??9487:?76=<0=2wx?>k50;cx9625282>70ki:67896232>?019=?:668915?2><019=l:658914d2>>019:?:67891532>?019<7:668yv53;3:19v3<3g82<2=:;=:1=594=262>4>0349?>7?77:?001<68o1v>:::180[53=2788;4>0g9>713=9>h0q~=;6;296~;3j=0:<k52354936=z{:><6=4<{_173>;4<10:<k52355952d<uz9?47>52z?7gg<68o16?965729~w62>2909w0=;9;3;1>;4<o0?96s|35c94?4|5:>j6<6:;<17f?223ty88o4?:32x96e12:=01>m7:25896e>2:=01>mn:25896ee2:=01>ml:25896ec2:=01>mj:25896ea2:=01>m8:25896b?2:=01>j6:25896bf2:=01>jm:25896bd2:=01>jk:25896bb2:=01>7;:25896?22:=01>79:25896?02:=01>77:25896?>2:=01>7n:25896?e2:=01>:k:02e?8`52:o01>k?:25891242:=019:;:25891222:=01>ji:258yv53k3:1>v3<4c82<0=:;=n1;>5rs26e>5<5s49?j7?75:?0g2<3=2wx?8>50;:x963628:m70:<1;54?82413=>70:<d;55?825l3=?70:;1;54?824=3=<70:=9;57?xu4=;0;65u2341955`<5=9:6::4=51:>21<5=9o6::4=50g>20<5=>:6::4=516>22<5=826:;4}r160?6=0r78984>0g9>067=??168>75779>06b=?>168?j5749>017=?<168>;5779>07?=??1v>;9:18;852?3;;j63;30841>;3;00<863;3e841>;3:m0<;63;40842>;3;<0<963;28843>{t;<21<7=t=27;>46a349>h7=l;<142?5d3ty8944?:2y>70?=99l01>;m:2a896142:i0q~=:a;297~;4=h0:<k5234;96g6<5:=96574}r16f?6=:r789o4>0g9>722=;j1v>;l:180852k3;;j63<5c81f5=:;>91445rs27g>5<4s49>h7??f:?01c<4k278;:4<c:p70c=839p1>;j:02e?852l38i<63<778;=>{t;<l1<7=t=27e>46a349==7=l;<14<?5d3ty8:=4?:2y>736=99l01>;i:3`3?850?3227p}<6083>6}:;?;1==h4=240>6e<5:=26>m4}r156?6=;r78:?4>0g9>737=:k:01>97:9;8yv51;3:1?v3<62824c=:;??1?n5236c97f=z{:<?6=4<{<150?77n278:>4=b19>72?=001v>8::180851=3;;j63<6680g>;4?k08o6s|37494?5|5:<=6<>i;<151?4e8278;l479:p731=839p1>88:02e?851139h70=8c;1`?xu4>10;6>u237:955`<5:<<6?l?;<14f?>>3ty8:44?:2y>73?=99l01>8m:2a8961c2:i0q~=9a;297~;4>h0:<k5237;96g6<5:=h6574}r15f?6=;r78:o4>0g9>73b=;j16?:k53b9~w60d2908w0=9c;33b>;4>k09n=5236f9<<=z{:<o6=4<{<15`?77n278944<c:?037<4k2wx?;k50;1x960b28:m70=9d;0a4>;4?l0356s|37d94?5|5:?36?l?;<145?>>349<<7??f:p723=838p1>9::02e?850<3227p}<7g83>7}:;hl18852363955`<uz93<7>52z?0f4<3=278;?4>0g9~w6>62909w0=m2;66?850;3;;j6s|39094?4|5:h869;4=257>46a3ty84>4?:3y>7g6=<<16?:8511d8yv5?<3:1>v3<b5871>;4?>0:<k5rs2:6>5<5s49i97::;<14<?77n2wx?5850;0x96d12=?01>96:02e?xu40>0;6?u23c5900=:;>k1==h4}r1;<?6=:r78n54;5:?03g<68o1v>66:18185e13>>70=8c;33b>{t;1k1<7<t=2`b>13<5:=o6<>i;|q0<g<72;q6?ol5449>72c=99l0q~=7c;296~;41=0:4852343930=z{:2o6=4={<1:1?7?=2789>485:p7=c=838p1>79:0:6?852=3=>7p}<8g83>7}:;0=1=5;4=274>23<uz92<7>52z?0==<60<16?8?5779~w6?62909w0=69;3;1>;4=:0<:6s|38094?4|5:3j6<6:;<161?113ty85>4?:3y>7<d=91?01>;8:648yv5>k3:15v3<9580f>;41<08n63<9780f>;41>08n63<9980f>;41008n63<9`80f>;41k08n63<a1824c=z{:3o6=4={<1b4?133492i7??f:p7d7=838p1>o>:02e?85f83=>7p}<a383>7}:;hl1=5;4=253>25<uz9j:7>52z?0f5<60<16?8k5759~w6g42909w0=m1;3;1>;4=h0<86s|3`694?4|5:h96<6:;<16g?133ty8m84?:9y>7g5=91?01?km:64897c>2>?01>;>:66896342>>01>;8:66896322>>01>9::668yv5f?3:1>v3<b582<0=:;?:1;95rs2c;>5<1s49?m7=8;<17=?50349?n7=8;<17b?50349i97?75:?027<0<2wx?l750;0x96d1282>70=94;57?xu4ih0;6?u23c595=3<5:<=6::4}r1bf?6=:r78n54>849>73>=?=1v>ol:18185e13;3963<6`840>{t;hn1<7<t=2`b>4>2349=o79;;|q0e`<72;q6?ol51978960b2>>0q~=md;297~;4k?0:4852386900=:n;0?96s|3ca94?4|5:im69;4=2a4>4>23ty8nh4?:2y>7f0=<<16?n65197896?22=?0q~=mf;297~;4k10?963<c882<0=:;0<1885rs2a3>5<4s49h57::;<1`e?7?=2785:4;5:p7f7=839p1>mn:57896ee282>70=68;66?xu4k;0;6>u23b`900=:;ji1=5;4=2;:>13<uz9h?7>53z?0gf<3=278oi4>849>7<g=<<1v>m;:18085dl3>>70=le;3;1>;41k0?96s|3b794?4|5:in69;4=2ae>4>23ty8h=4?:3y>7a>=91?01>7k:668yv5c93:1?v3<d9871>;4l00:485238f930=z{:n96=4<{<1g=?22349om7?75:?0=a<0>2wx?i=50;1x96bf2=?01>jm:0:6?85>l3=<7p}<d583>6}:;mh188523ea95=3<5:k:6::4}r1g1?6=;r78hn4;5:?0`a<60<16?l?5749~w6b12908w0=kd;66?85cm3;3963<a0842>{t;m=1<7=t=2ff>13<5:k:6:94=2fe>4>23ty8i=4?:3y>7`6=91?01>k>:668yv5b93:1>v3<e0824c=:;ml1885rs2g1>5<4sW9n>63<e2847>;4m;0:;o5rs2g0>5<5s49?m7::;<1f7?77n2wx?h:50;1xZ6c3349n979<;<1f0?70j2wx?h;50;0x962>2=?01>k::02e?xu4m?0;6>uQ3d4896ca28:m70=j6;34f>{t;l=1<7=t^2g4?85a83;;j63<e6823g=z{:o36=4<{_1f<>;4n80:<k523d:952d<uz9n57>53z\0a<=:;o81==h4=2g:>41e3ty8il4?:2y]7`g<5:l86<>i;<1fe?70j2wx?hl50;1xZ6ce349m87??f:?0ag<6?k1v>kl:180[5bk278j84>0g9>7`e=9>h0q~=je;297~X4ml16?k8511d896cb28=i7p}<f683>6}Y;o=019><:02e?85a?3;<n6s|3g:94?5|V:l370:?4;33b>;4n10:;o5rs2db>5<4sW9mm63;04824c=:;ok1=:l4}r1ef?6=;rT8jo52414955`<5:li6<9m;|q0ba<72:qU?kj4=524>46a349mh7?8b:p7cc=839pR>hj;<63<?77n278jh4>7c9~w1672908wS:?0:?74<<68o168=>516`8yv27:3:1?vP;039>05d=99l019>=:05a?xu38=0;6>u2416936=:<991;>523g;955`<uz>;:7>53z?743<0;27?<8483:?0bf<68o1v9>7:18082703=870:?7;50?85an3;;j6s|41;94?4|5=:26:=4=52b>46a3ty?<o4?:3y>05d=?:168=m511d8yv27m3:1?vP;0d9>05`=?:168=k516`8yv27n3:1?9u2282972=:;;91?:52336972=:;;l1?:52326972=:;:?1?:52324972=:;:=1?:5232:972=:;:31?:5232c972=:;;?1?:52334972=:;;=1?:5233:972=:;;31?:5233c972=:;;h1?:5233a972=:;;n1?:5233g972=:;::1?:52323972=:;:81?:52321972=:<ho1?:523`d972=:;k:1?:523c6972=:;k?1?:523c4972=:;k=1?:523c:972=:;k31?:523cc972=:;kh1?:523c3972=:;k81?:523c1972=::9>1?:521ef972=:9mo1?:521ed972=:9l:1?:521d3972=:9l81?:521d1972=:9l>1?:521d7972=:::>1?:52225972=:<9l1==h4}r62<?6=;rT?=55240;955`<5=;36<9m;|q75<<72;q68nm511d8917>2>90q~:>a;297~X39h168<l5729>04g=9>h0q~:>b;290~;5<90<;63;1c824c=:9ll1>o>4=g3900=z{=;o6=4<{_62`>;3:?0:<k5240f952d<uz>:i7>53z\75`=:<;k1==h4=53f>41e3ty?=k4?:2y]04`<5=8n6<>i;<62b?70j2wx8?>50;1xZ14734>8>7??f:?765<6?k1v9<>:180[25927??;4>0g9>077=9>h0q~:=2;297~X3:;168>o511d8914528=i7p};2283>6}Y<;9019=j:02e?825;3;<n6s|43794?5|V=8>70:;2;33b>;3:<0:;o5rs505>5<5s4>9:79<;<613?77n2wx8?o50;0x914f2>9019<m:02e?xu3:l0;6?u243g936=:<;l1==h4}r606?6=:r7???483:?776<68o1v9=9:181824>3=870:<7;33b>{t<:k1<7<t=51b>25<5=9i6<>i;|q77`<72;q68>k5729>06`=99l0q~:;2;2961}:;=k18=5235;905=:;=h18=5235d905=:;j<18=523b:905=:;j318=523bc905=:;jh18=523ba905=:;jn18=523bg905=:;jl18=523b5905=:;m218=523e;905=:;mk18=523e`905=:;mi18=523ef905=:;mo18=52386905=:;0?18=52384905=:;0=18=5238:905=:;0318=5238c905=:;0h18=522d;933=::oi1==h4=561>25<5=>869>4=567>16<5=>>69>4=2fe>16<uz>??7>52z?706<60<1689:5449~w1232909w0:;4;3;1>;3<<0?96s|45794?4|5=>>6<6:;<1f5?123ty?8;4?:2y]010<5=?=6:=4=565>41e3ty?9;4?:by>6c6=?=16>hj5759>6`d=?=16>h75759>000=99l019<i:3`3?824?38i<63;3c81f5=:<;h1>o>4=51e>7d734>8?7<m0:?762<5j91v9;8:180[22?27?954>0g9>001=9>h0q~::9;297~;3=00:<k5244c97f=:<?n1?n5rs57b>5<4s4>>m7??f:?71f<4k27?:h4<c:p00d=839p19;m:02e?822i38i<63;6e8;=>{t<<i1<7=t=57`>46a34>>i7=l;<65b?5d3ty?9i4?:2y>00b=99l019;l:3`3?821m3227p};5d83>6}:<<o1==h4=543>6e<5==;6>m4}r66b?6=;r7?9k4>0g9>00c=:k:0198i:9;8yv2183:1?v3;61824c=:<?81?n5246397f=z{=<:6=4<{<655?77n27?:=4=b19>026=001v98=:180821:3;;j63;6580g>;3?;08o6s|47194?5|5=<86<>i;<656?4e827?;<479:p032=839p198;:02e?821>39h70:83;1`?xu3><0;6>u2477955`<5=<?6?l?;<646?>>3ty?:;4?:2y>030=99l01987:2a891132:i0q~:97;297~;3>>0:<k5247496g6<5==86574}r65<?6=:r7?:54>0g9>023=;j1v986:18082113;;j63;6981f5=:<>>1445rs54b>5<4s4>>57<m0:?72f<?127?:o4>0g9~w1112909w0:86;33b>;3?<0356s|46594?5|5==<6<>i;<64<?5d34>3n7=l;|q73=<72:q68:6511d8911f2:i0196l:2a8yv2013:1?v3;78824c=:<>21>o>4=5:a>=?<uz><m7>53z?73d<68o168:m53b9>0=b=;j1v99m:180820j3;;j63;7`81f5=:<1i1445rs55`>5<4s4><o7??f:?73`<4k27?4h4<c:p02b=839p199k:02e?820k38i<63;8e8;=>{t<>o1<7=t=55f>46a34>3<7=l;<6;b?5d3ty?;k4?:2y>02`=99l0199j:3`3?82?m3227p};8183>6}:<1:1==h4=5:1>6e<5=3;6>m4}r6;5?6=;r7?4<4>0g9>0=6=:k:0196i:9;8yv2?:3:1?v3;83824c=:<1>1?n5248397f=z{=286=4<{<6;7?77n27?4?4=b19>0<6=001v96;:18082?<3;;j63;8780g>;31;08o6s|49794?5|5=2>6<>i;<6;0?4e827?5<479:p0=0=838p1969:02e?82>;39h7p};8683>6}:<1=1==h4=5:5>7d734>2>766;|q7<=<72:q68:952c2891>f21301966:02e?xu31=0;6?u2486955`<5=386574}r6:1?6=<r7?mn484:?7a1<68o168h=5769>0`e=?=1v979:18082e<3=>70:m2;33b>;3j:0<?6s|48594?5|5=ih6::4=5ag>46a34>i>79:;|q7==<72;q68oh5449>03e=99l0q~:69;296~;3l00?963;8`824c=z{=3j6=4={<6`4?2234>=h7??f:p0<d=838p19jn:57891>e28:m7p};9b83>7}:<j;1885247g955`<uz>2h7>52z?7`g<3=27?4n4>0g9~w1?b2909w0:l2;66?821n3;;j6s|48d94?4|5=nh69;4=5:g>46a3ty?m=4?:3y>0f5=<<168:>511d8yv2f93:1>v3;de871>;30l0:<k5rs5c1>5<5s4>h87::;<645?77n2wx8l=50;0x91bb2=?0196i:02e?xu3i=0;6?u24b7900=:<>81==h4}r6b1?6=:r7?hk4;5:?7=5<68o1v9o9:18182d>3>>70:83;33b>{t<h=1<7<t=5g3>13<5=3:6<>i;|q7e=<72;q68n95449>022=99l0q~:n9;296~;3m80?963;93824c=z{=kj6=4={<6`<?2234><97??f:p0dd=838p19k=:57891?428:m7p};ad83>40|5=on6>94=5`e>61<5=i;6>94=5a2>61<5=i96>94=5a0>61<5=i?6>94=5a6>61<5=i=6>94=5a4>61<5=i36>94=5f:>61<5=nj6>94=5fa>61<5=nh6>94=5fg>61<5=nn6>94=5fe>61<5=o;6>94=5g2>61<5=o96>94=5`3>46a3ty?mk4?:2y>0dc=91?019l?:61891gb282<7p};b483>1}:<kl1=5;4=5d1>22<5=io6:94=54a>25<uz>i:7>54z?7g5<60<168nj5779>00d=?=168k?5749~w1d0290=w0:l1;3;1>;3kl0<:63;b5840>;3kh0<963;5e840>;3n80<:6s|4c:94?3|5=i96<6:;<6`a?1234>m>798;<66b?1334>i>799;|q7f<<72=q68n=5197891`52>?019mk:67891062>>0q~:ma;292~;3k=0:48524bg931=:<o:1;9524c6933=:<jk1;952471931=z{=hi6=4;{<6`1?7?=27?j=487:?7ga<0<27?:8484:p0ge=83<p19m9:0:6?82dj3==70:lc;55?82a83=>70:97;57?82e:3=<7p};be83>3}:<j=1=5;4=5aa>23<5=ih6:;4=5d3>20<5=<26::4=5`1>22<uz>ii7>57z?7g=<60<168nl5759>0fc=?>168k<5779>0g2=?>168no5779>020=?=1v9m6:18a82en3;3;63;c182<2=:<j;1=594=5a1>4>034>h?7?77:?7g1<60>168n;5195891e1282<70:l7;3;3>;3k10:4:524bc955`<uz>hj7>54z?7`<<60<168lm5749>0`5=?=168575729~w1b72908w0:ka;3;1>;3m=0<863;78840>{t<m;1<7=t=5fa>4>234>n8799;<64f?133ty?h?4?:4y>0ae=91?019ok:648911c2>>019k<:64891cd2>?0q~:k3;297~;3lm0:485246d931=:<l?1;95rs5f7>5<2s4>oi7?75:?7ea<0<27?4<484:?7af<0>27?i5485:p0a3=839p19ji:0:6?82b<3=>70:73;57?xu3l?0;6>u24d295=3<5=2>6::4=5g6>23<uz>o;7>55z?7a4<60<168lj5749>0=1=?=168hm5769>0`>=?>1v9j7:18682b:3;3963;ab842>;31=0<863;ee81f5=:<l21;95rs5g0>5<es4>o57?77:?7`d<60>168il5195891bd282<70:kd;3;3>;3ll0:4:524ed95=1<5=o;6<68;<6f5?7??27?i?4>869>0`5=99l0q~:jb;296~;3mj0:<k524df931=z{=on6=4l{<6fa?7?=27?h44<b:?7`d<4j27?ho4<b:?7`f<4j27?hi4<b:?7``<4j27?hk4<b:?7a5<4j27?i<4<b:?7a7<4j27?i5486:p0``=838p19kj:0:4?82a93;;j6s|4g294?4|5=l;6<>i;<6e5?103ty?j?4?:3y>0c4=99l019h>:668yv2a;3:1?vP;f29>0c2=99l019h<:05a?xu3n=0;6?u24g6936=:<ln1==h4}r6f3?6=:r7?i84>0g9>0`0=?:1v>7i:18185>l3;;j63<9d847>{t<lk1<7<t=5g;>46a34>n579<;|q711<72:qU88:4=577>41e34>>979<;|q714<72:qU88?4=572>41e34>>>79<;|q70c<72:qU89h4=56e>41e34>><79<;|q70a<72:qU89j4=56g>41e34>?i79<;|q70g<72:qU89l4=56a>41e34>?o79<;|q70<<72:qU8974=56:>41e34>?m79<;|q702<72:qU8994=564>41e34>?479<;|q710<728q688;511d8yv22:3:1=v3;53824c=z{=?;6=4>{<664?77n2wx89k50;3x912b28:m7p};4b83>4}:<=i1==h4}r67e?6=9r7?8l4>0g9~w12?290:w0:;8;33b>{zfj;36=4>{I0`<>h5m;0;7p`l1883>4}O:j20b?k=:09~jf7f280:wE<l8:l1a7<53-8oo7=?c:mg4d=83;pD?m7;o0f6?5<ugi:o7?51zJ1g==i:l8186*=db804a=zfj;o6=4>{I0`<>h5m;0>7p`l1d82>4}O:j20b?k=:79'6ae=;9o0qcm>f;295~N5k11e>h<57:mg76=93;pD?m7;o0f6?><,;nh6>>i;|l`64<728qC>n64n3g1><=zfj896<4>{I0`<>h5m;0j7)<kc;124>{ik;91<7?tH3a;?k4b:3h0qcm=4;395~N5k11e>h<5c:&1`f<4981vbn<::182M4d02d9i?4k;|l`63<628qC>n64n3g1>`=#:mi1?<<4}oa13?6=9rB9o55a2d09b>{ik;21=7?tH3a;?k4b:3;;7)<kc;127>{ik;31<7?tH3a;?k4b:3;:7p`l2`82>4}O:j20b?k=:008 7bd2:;?7p`l2c83>4}O:j20b?k=:018yke5k3;1=vF=c99m6`4=9=1/>im53078yke5l3:1=vF=c99m6`4=9<1vbn<j:082M4d02d9i?4>6:&1`f<49?1vbn<i:182M4d02d9i?4>7:mg66=93;pD?m7;o0f6?7?3-8oo7=>7:mg67=83;pD?m7;o0f6?7>3tdh??4>:0yK6f><f;o96<o4$3f`>67?3tdh?>4?:0yK6f><f;o96<l4}oa00?7=9rB9o55a2d095f=#:mi1?<74}oa01?6=9rB9o55a2d095a=zfj9=6<4>{I0`<>h5m;0:i6*=db805g=zfj9<6=4>{I0`<>h5m;0:j6sac2:95?7|@;i37c<j2;03?!4ck39:o6sac2;94?7|@;i37c<j2;02?xhd;h0:6<uG2b:8j7c52;80(?jl:23g?xhd;k0;6<uG2b:8j7c52;90qcm<c;395~N5k11e>h<5259'6ae=;8o0qcm<d;295~N5k11e>h<5249~jf5b280:wE<l8:l1a7<5>2.9hn4<1g9~jf5a290:wE<l8:l1a7<5?2weo9>51;3xL7e?3g8n>7<7;%0gg?5582weo9?50;3xL7e?3g8n>7<6;|l`07<628qC>n64n3g1>7g<,;nh6><>;|l`06<728qC>n64n3g1>7d<ugi?87?51zJ1g==i:l81>n5+2ea9774<ugi?97>51zJ1g==i:l81>i5rnb65>4<6sA8h46`=e381a>"5lj08=l5rnb64>5<6sA8h46`=e381b>{ik=21<7<tH3a;?k4b:39;7p`l4883>1}O:j20b?k=:238j7db291vbn:n:181M4d02d9i?4<2:l1f`<63tdh8o4?:0yK6f><f;o96>=4}oa7g?6=9rB9o55a2d0971=zfj>o6=4>{I0`<>h5m;0896sac5g95?7|@;i37c<j2;15?!4ck3>n;6sac5d95?7|@;i37c<j2;14?!4ck3>nm6sac4294?7|@;i37c<j2;1;?xhd=80;6<uG2b:8j7c52:30qcm:2;295~N5k11e>h<53`9~jf34290:wE<l8:l1a7<4j2weo8:50;3xL7e?3g8n>7=l;|l`10<728qC>n64n3g1>6b<ugi>:7>51zJ1g==i:l81?h5rnb74>5<6sA8h46`=e380b>{ik<21<7?tH3a;?k4b:3>;7p`l5883>4}O:j20b?k=:538yke2i3:1=vF=c99m6`4=<;1vbn;m:182M4d02d9i?4;3:mg0e=83;pD?m7;o0f6?233tdh9i4?:0yK6f><f;o969;4}oa6a?7=9rB9o55a2d0903=#:mi1>9=4}oa6b?7=9rB9o55a2d0902=#:mi18484}oa54?6=9rB9o55a2d090==zfj<:6=4>{I0`<>h5m;0?56sac7094?7|@;i37c<j2;6b?xhd>:0;6<uG2b:8j7c52=h0qcm94;395~N5k11e>h<54b9'6ae=9m?0qcm95;295~N5k11e>h<54e9~jf01280:wE<l8:l1a7<3m2.9hn4>d79~jf00290:wE<l8:l1a7<3n2weo;651;3xL7e?3g8n>7;?;%0gg?7c?2weo;750;3xL7e?3g8n>7;>;|l`2d<628qC>n64n3g1>04<,;nh6<j7;|l`2g<728qC>n64n3g1>05<ugi=o7?51zJ1g==i:l81995+2ea95a?<ugi=h7>51zJ1g==i:l81985rnb4f>4<6sA8h46`=e3862>"5lj0:hl5rnb4e>5<6sA8h46`=e3863>{ik>:1=7?tH3a;?k4b:3?37)<kc;3gf>{ik>;1<7?tH3a;?k4b:3?27p`l7382>4}O:j20b?k=:4c8 7bd28nh7p`l7283>4}O:j20b?k=:4`8yke0<3:1=vF=c99m6`4==j1vbn9::082M4d02d9i?4:d:&1`f<6n11vbn99:082M4d02d9i?4:e:&1`f<6n91vbn98:082M4d02d9i?4:f:&1`f<5<k1vbn97:182M4d02d9i?490:mg2?=83;pD?m7;o0f6?063tdh;l4?:0yK6f><f;o96;<4}oa4f?6=9rB9o55a2d0926=zfj=h6=4>{I0`<>h5m;0=86sac6f94?7|@;i37c<j2;46?xhd?l0;6<uG2b:8j7c52?<0qcm8f;295~N5k11e>h<5669~jf>7290:wE<l8:l1a7<102weo5?50;3xL7e?3g8n>786;|l`<7<728qC>n64n3g1>3g<ugi3?7>51zJ1g==i:l81:o5rnb:7>5<6sA8h46`=e385g>{ik1?1<7?tH3a;?k4b:3<o7p`l8783>4}O:j20b?k=:7g8yke??3:1=vF=c99m6`4=>o1vbn67:182M4d02d9i?480:mg=?=83;pD?m7;o0f6?163tdh4l4?:0yK6f><f;o96:<4}oa;f?6=9rB9o55a2d0936=zfj2h6=4>{I0`<>h5m;0<86sac9f94?7|@;i37c<j2;56?xhd0l0:6<uG2b:8j7c52><0qcm7f;395~N5k11e>h<5769~jf?7280:wE<l8:l1a7<002weo4?51;3xL7e?3g8n>796;|l`=7<628qC>n64n3g1>2g<ugi2?7?51zJ1g==i:l81;o5rnb;7>4<6sA8h46`=e384g>{ik0?1=7?tH3a;?k4b:3=o7p`l9783>4}O:j20b?k=:6g8yke>?3:1=vF=c99m6`4=?o1vbn77:182M4d02d9i?470:mg<?=83;pD?m7;o0f6?>63tdh5l4?:0yK6f><f;o965<4}oa:f?6=9rB9o55a2d09<6=zfj3h6<4>{I0`<>h5m;0386sac8f94?7|@;i37c<j2;:6?xhd1l0;6<uG2b:8j7c521<0qcm6f;295~N5k11e>h<5869~jfg7280:wE<l8:l1a7<?02weol?50;3xL7e?3g8n>766;|l`e7<728qC>n64n3g1>=g<ugij?7>51zJ1g==i:l814o5rnbc7>5<6sA8h46`=e38;g>{ikh?1<7?tH3a;?k4b:32o7p`la783>4}O:j20b?k=:9g8ykef?3;1=vF=c99m6`4=0o1vbno7:182M4d02d9i?460:mgd?=83;pD?m7;o0f6??63tdhml4?:0yK6f><f;o964<4}oabf?6=9rB9o55a2d09=6=zfjkh6<4>{I0`<>h5m;0286*=db8140=zfjko6=4>{I0`<>h5m;0296sac`g94?7|@;i37c<j2;;5?xhdio0;6<uG2b:8j7c520=0qcmm0;295~N5k11e>h<5999~jfd6290:wE<l8:l1a7<>12weoo<50;3xL7e?3g8n>77n;|l`f6<728qC>n64n3g1><d<ugii87>51zJ1g==i:l815n5rnb`6>5<6sA8h46`=e38:`>{ikk<1<7?tH3a;?k4b:33n7p`lb683>4}O:j20b?k=:8d8ykee03:1=vF=c99m6`4=i91vbnl6:182M4d02d9i?4n1:mggg=83;pD?m7;o0f6?g53tdhno4?:0yK6f><f;o96l=4}oaag?6=9rB9o55a2d09e1=zfjho6=4>{I0`<>h5m;0j96saccg94?7|@;i37c<j2;c5?xhdjo0;6<uG2b:8j7c52h=0qcml0;295~N5k11e>h<5a99~jfe6290:wE<l8:l1a7<f12weon<50;3xL7e?3g8n>7on;|l`g6<728qC>n64n3g1>dd<ugih87>51zJ1g==i:l81mn5rnba6>5<6sA8h46`=e38b`>{ikj<1<7?tH3a;?k4b:3kn7p`lc682>4}O:j20b?k=:`d8yked03:1=vF=c99m6`4=j91vbnm6:182M4d02d9i?4m1:mgfg=83;pD?m7;o0f6?d53tdhoo4?:0yK6f><f;o96o=4}oa`g?6=9rB9o55a2d09f1=zfjio6<4>{I0`<>h5m;0i96sacbg94?7|@;i37c<j2;`5?xhdko0;6<uG2b:8j7c52k=0qcmk0;295~N5k11e>h<5b99~jfb6290:wE<l8:l1a7<e12weoi<50;3xL7e?3g8n>7ln;|l``6<728qC>n64n3g1>gd<ugio87>51zJ1g==i:l81nn5rnbf6>5<6sA8h46`=e38a`>{ikm<1<7?tH3a;?k4b:3hn7p`ld683>4}O:j20b?k=:cd8ykec03:1=vF=c99m6`4=k91vbnj6:182M4d02d9i?4l1:mgag=83;pD?m7;o0f6?e53tdhho4?:0yK6f><f;o96n=4}oagg?6=9rB9o55a2d09g1=zfjno6=4>{I0`<>h5m;0h96saceg94?7|@;i37c<j2;a5?xhdlo0;6<uG2b:8j7c52j=0qcmj0;295~N5k11e>h<5c99~jfc6290:wE<l8:l1a7<d12weoh<50;3xL7e?3g8n>7mn;|l`a6<728qC>n64n3g1>fd<ugin87>51zJ1g==i:l81on5rnbg6>5<6sA8h46`=e38``>{ikl<1<7?tH3a;?k4b:3in7p`le683>4}O:j20b?k=:bd8ykeb03:1=vF=c99m6`4=l91vbnk6:182M4d02d9i?4k1:mg`g=83;pD?m7;o0f6?b53tdhio4?:0yK6f><f;o96i=4}oafg?6=9rB9o55a2d09`1=zfjoo6=4>{I0`<>h5m;0o96sacdg94?7|@;i37c<j2;f5?xhdmo0;6<uG2b:8j7c52m=0qcmi0;295~N5k11e>h<5d99~jf`6290:wE<l8:l1a7<c12weok<50;3xL7e?3g8n>7jn;|l`b6<628qC>n64n3g1>ad<,;nh6>=m;|l`b1<728qC>n64n3g1>ae<ugim97>51zJ1g==i:l81hi5rnbd5>5<6sA8h46`=e38ga>{iko=1<7?tH3a;?k4b:3nm7p`lf983>4}O:j20b?k=:d28ykea13:1=vF=c99m6`4=m81vbnhn:182M4d02d9i?4j2:mgcd=83;pD?m7;o0f6?c43tdhjn4?:0yK6f><f;o96h:4}oae`?6=9rB9o55a2d09a0=zfjln6=4>{I0`<>h5m;0n:6sacgd94?7|@;i37c<j2;g4?xhc890;6<uG2b:8j7c52l20qcj?1;295~N5k11e>h<5e89~ja65290:wE<l8:l1a7<bi2weh==50;3xL7e?3g8n>7km;|lg41<728qC>n64n3g1>`e<ugn;97>51zJ1g==i:l81ii5rne25>5<6sA8h46`=e38fa>{il9=1<7?tH3a;?k4b:3om7p`k0983>4}O:j20b?k=:g28ykb713:1=vF=c99m6`4=n81vbi>n:182M4d02d9i?4i2:m`5d=83;pD?m7;o0f6?`43tdo<n4?:0yK6f><f;o96k:4}of3`?6=9rB9o55a2d09b0=zfm:n6=4>{I0`<>O6?k0:w?4rZ7a95~>d2td9i?4i6:m`5`=83;pD?m7;H34f?7|:3wQ:n4>{9a9yk4b:3l<7p`k1183>4}O:j20E<9m:0y1>x\1k3;p4n4rn3g1>c><ugn:=7>51zJ1g==N9>h1=v<5}[4`>4}?k3we>h<5f89~ja75290:wE<l8:l1a7<ai2weh<=50;3xL7e?3g8n>7hm;|lg51<728qC>n64n3g1>ce<ugn:97>51zJ1g==i:l81ji5rne35>5<6sA8h46G>7c827<zR?i1=v6l:|l1a7<am2weh<950;3xL7e?3g8n>7hi;|lg5=<728qC>n64I05a>4}62tP=o7?t8b8~j7c528:;7p`k1883>4}O:j20E<9m:0y2>x\1k3;p4n4rn3g1>4663tdo=l4?:0yK6f><A8=i6<u>:|X5g?7|0j0vb?k=:021?xhc9k0;6<uG2b:8M41e28q:6pT9c;3x<f<zf;o96<><;|lg5f<728qC>n64n3g1>4633tdo=i4?:0yK6f><f;o96<>:;|lg5`<728qC>n64n3g1>4613tdo=k4?:0yK6f><A8=i6<u>:|X5g?7|0j0vb?k=:024?xhc:90;6<uG2b:8M41e28q:6pT9c;3x<f<zf;o96<>7;|lg64<728qC>n64I05a>4}62tP=o7?t8b8~j7c528:27p`k2383>4}O:j20E<9m:0y2>x\1k3;p4n4rn3g1>46f3tdo>>4?:0yK6f><A8=i6<u>:|X5g?7|0j0vb?k=:02a?xhc:=0:6<uG2b:8j7c528:h7p`k2482>4}O:j20b?k=:02g?xhc:?0:6<uG2b:8j7c528:n7p`k2682>4}O:j20b?k=:02e?xhc:10:6<uG2b:8j7c528;;7p`k2883>4}O:j20b?k=:032?xhc:h0:6<uG2b:8j7c528;97p`k2c82>4}O:j20b?k=:030?xhc:j0:6<uG2b:8j7c528;?7p`k2e82>4}O:j20b?k=:036?xhc:l0:6<uG2b:8j7c528;=7p`k2g82>4}O:j20b?k=:034?xhc;90:6<uG2b:8j7c528;37p`k3082>4}O:j20b?k=:03:?xhc;;0:6<uG2b:8j7c528;j7p`k3282>4}O:j20b?k=:03a?xhc;=0:6<uG2b:8j7c528;h7p`k3482>4}O:j20b?k=:03g?xhc;?0:6<uG2b:8j7c528;n7p`k3682>4}O:j20b?k=:03e?xhc;10:6<uG2b:8j7c5288;7p`k3882>4}O:j20b?k=:002?xhc;h0:6<uG2b:8j7c528897p`k3c82>4}O:j20b?k=:000?xhc;j0:6<uG2b:8j7c5288?7p`k3e82>4}O:j20b?k=:006?xhc;l0:6<uG2b:8j7c5288=7p`k3g82>4}O:j20b?k=:004?xhc<90:6<uG2b:8j7c528837p`k4082>4}O:j20b?k=:00:?xhc<;0;6<uG2b:8j7c5288j7p`k4283>4}O:j20b?k=:00a?xhc<=0;6<uG2b:8j7c5288h7p`k4483>4}O:j20b?k=:00g?xhc<?0;6<uG2b:8M41e28q96pT9c;3x<f<zf;o96<<j;|lg02<728qC>n64n3g1>44a3tdo854?:0yK6f><A8=i6<u=:|X5g?7|0j0vb?k=:013?xhc<00;6<uG2b:8j7c5289:7p`k4`83>4}O:j20b?k=:011?xhc<k0;6<uG2b:8j7c528987p`k4b82>7}O:j20b?k=:017?xhc<m0;6<uG2b:8j7c5289>7p`k4d83>4}O:j20b?k=:015?xhc<o0;6<uG2b:8j7c5289<7p`k5183>4}O:j20b?k=:01;?xhc=80;6<uG2b:8j7c528927p`k5383>4}O:j20b?k=:01b?xhc=:0;6<uG2b:8j7c5289i7p`k5583>4}O:j20b?k=:01`?xhc=<0:6<uG2b:8j7c5289o7)<kc;1b7>{il<<1<7?tH3a;?k4b:3;8i6sad4595?7|@;i37c<j2;30b>"5lj08m95rne7;>5<6sA8h46`=e38205=zfm?26<4>{I0`<>h5m;0:8<5+2ea97d0<ugn>m7>51zJ1g==i:l81=9<4}of6f?7=9rB9o55a2d09515<,;nh6>o8;|lg1f<728qC>n64n3g1>4233tdo9i4>:0yK6f><f;o96<::;%0gg?5f02weh8k50;3xL7e?3g8n>7?;6:m`0`=93;pD?m7;o0f6?73?2.9hn4<a89~ja07290:wE<l8:l1a7<6<11vbi8>:082M4d02d9i?4>489'6ae=;hk0qcj92;295~N5k11e>h<515c8ykb1;3;1=vF=c99m6`4=9=h0(?jl:2ca?xhc>=0;6<uG2b:8j7c528>h7p`k6482>4}O:j20b?k=:06g?!4ck39jo6sad7494?7|@;i37c<j2;37a>{il?=1=7?tH3a;?k4b:3;?j6*=db80ea=zfm<36=4>{I0`<>h5m;0:9=5rne4:>4<6sA8h46`=e38214=#:mi1?lk4}of5e?6=9rB9o55a2d09504<ugn=n7?51zJ1g==i:l81=8=4$3f`>6g23tdo:n4?:0yK6f><f;o96<;;;|lg2a<728qC>n64n3g1>4323tdo:h4?:0yK6f><f;o96<;9;|lg2c<728qC>n64n3g1>4303tdo;=4?:0yK6f><f;o96<;7;|lg34<728qC>n64n3g1>43>3tdo;?4?:0yK6f><f;o96<;n;|lg36<728qC>n64n3g1>43e3tdo;94?:0yK6f><f;o96<;l;|lg30<728qC>n64n3g1>43c3tdo;;4?:0yK6f><f;o96<;j;|lg32<728qC>n64n3g1>43a3tdo;54?:0yK6f><f;o96<8?;|lg3<<728qC>n64n3g1>4063tdo;l4?:0yK6f><f;o96<8=;|lg3g<728qC>n64n3g1>4043tdo;n4?:0yK6f><f;o96<8;;|lg3a<728qC>n64n3g1>4023tdo;h4?:0yK6f><f;o96<89;|lg3c<728qC>n64n3g1>4003tdo4=4?:0yK6f><f;o96<87;|lg<4<728qC>n64n3g1>40>3tdo4?4>:0yK6f><f;o96<8n;%0gg?5>n2weh5=50;3xL7e?3g8n>7?9b:m`=2=83;pD?m7;o0f6?71k2weh5;51;3xL7e?3g8n>7?9d:m`=0=93;pD?m7;o0f6?71m2weh5951;3xL7e?3g8n>7?9f:m`=>=93;pD?m7;o0f6?7082weh5751;3xL7e?3g8n>7?81:m`=g=93;pD?m7;o0f6?70:2weh5l51;3xL7e?3g8n>7?83:m`=e=93;pD?m7;o0f6?70<2weh5j51;3xL7e?3g8n>7?85:m`=c=93;pD?m7;o0f6?70>2weh5h51;3xL7e?3g8n>7?87:m`<6=93;pD?m7;o0f6?7002weh4?50;0xL7e?3g8n>7?89:m`<4=83;pD?m7;o0f6?70i2weh4=50;3xL7e?3g8n>7?8b:m`<2=83;pD?m7;o0f6?70k2weh4;50;3xL7e?3g8n>7?8d:m`<0=83;pD?m7;o0f6?70m2weh4950;3xL7e?3g8n>7?8f:m`<>=83;pD?m7;o0f6?7?82weh4750;3xL7e?3g8n>7?71:m`<g=83;pD?m7;o0f6?7?:2weh4l50;3xL7e?3g8n>7?73:m`<e=83;pD?m7;o0f6?7?<2weh4j50;3xL7e?3g8n>7?75:m`<c=83;pD?m7;o0f6?7?>2weh4h50;3xL7e?3g8n>7?77:m`d6=83;pD?m7;o0f6?7?02wehl?50;3xL7e?3g8n>7?79:m`d4=83;pD?m7;o0f6?7?i2wehl=50;3xL7e?3g8n>7?7b:m`d2=83;pD?m7;o0f6?7?k2wehl;50;3xL7e?3@;<n7?t1;Y2f<6s1i1qc<j2;3;`>{ilh<1<7?tH3a;?k4b:3;3i6sad`594?7|@;i37D?8b;3x5?{]>j0:w5m5}o0f6?7?n2wehl650;3xL7e?3g8n>7?60:m`d?=83;pD?m7;H34f?7|:3wQ:n4>{9a9yk4b:3;2=6sad`c94?7|@;i37D?8b;3x6?{]>j0:w5m5}o0f6?7>:2wehll50;3xL7e?3@;<n7?t2;Y2f<6s1i1qc<j2;3:7>{ilhi1<7?tH3a;?L70j3;p>7sU6b82=e=ug8n>7?64:m`db=83;pD?m7;H34f?7|:3wQ:n4>{9a9yk4b:3;296sad`g94?7|@;i37D?8b;3x6?{]>j0:w5m5}o0f6?7>>2wehlh50;3xL7e?3@;<n7?t2;Y2f<6s1i1qc<j2;3:3>{ilk:1<7?tH3a;?L70j3;p>7sU6b82=e=ug8n>7?68:m`g7=83;pD?m7;H34f?7|:3wQ:n4>{9a9yk4b:3;256sadc094?7|@;i37D?8b;3x6?{]>j0:w5m5}o0f6?7>i2weho=50;3xL7e?3@;<n7?t2;Y2f<6s1i1qc<j2;3:f>{ilk>1<7?tH3a;?L70j3;p>7sU6b82=e=ug8n>7?6c:m`g3=83;pD?m7;H34f?7|:3wQ:n4>{9a9yk4b:3;2h6sadc494?7|@;i37D?8b;3x6?{]>j0:w5m5}o0f6?7>m2weho950;3xL7e?3@;<n7?t2;Y2f<6s1i1qc<j2;3:b>{ilk21<7?tH3a;?L70j3;p>7sU6b82=e=ug8n>7?n0:m`g?=93;pD?m7;o0f6?7f92.9hn4<079~jadf280:wE<l8:l1a7<6i;1/>im53158ykbej3;1=vF=c99m6`4=9h90(?jl:22;?xhcjj0:6<uG2b:8j7c528k?7)<kc;13=>{ilkn1=7?tH3a;?k4b:3;j96*=db804d=zfmhn6=4={I0`<>O6?k0:w<4rZ7a95~>d2td9i?4>a79~jada2909wE<l8:l1a7<6i>1vbim?:182M4d02C:;o4>{38~^3e=9r2h6p`=e382e==zfmi:6=4>{I0`<>h5m;0:m45rnea1>5<6sA8h46G>7c824<zR?i1=v6l:|l1a7<6ih1vbim<:182M4d02d9i?4>ac9~jae3290:wE<l8:K23g<6s;0vV;m51z:`>xh5m;0:mn5rnea6>5<6sA8h46G>7c827<zR?i1=v6l:|l1a7<6im1vbim9:182M4d02C:;o4>{38~^3e=9r2h6p`=e382e`=zfmi<6=4>{I0`<>O6?k0:w?4rZ7a95~>d2td9i?4>ag9~jae?290:wE<l8:K23g<6s;0vV;m51z:`>xh5m;0:n=5rnea:>5<6sA8h46G>7c827<zR?i1=v6l:|l1a7<6j81vbimn:182M4d02C:;o4>{38~^3e=9r2h6p`=e382f7=zfmii6=4>{I0`<>O6?k0:w?4rZ7a95~>d2td9i?4>b29~jaed290:wE<l8:l1a7<6j=1vbimk:182M4d02d9i?4>b49~jaeb290:wE<l8:l1a7<6j?1vbimi:182M4d02d9i?4>b69~jab7290:wE<l8:l1a7<6j11vbij>:182M4d02d9i?4>b89~jab5290:wE<l8:l1a7<6jh1vbij<:182M4d02d9i?4>bc9~jab3290:wE<l8:l1a7<6jj1vbij::182M4d02d9i?4>be9~jab1290:wE<l8:l1a7<6jl1vbij8:182M4d02C:;o4>{08~^3e=9r2h6p`=e382fc=zfmn36=4>{I0`<>h5m;0:o=5rnef:>5<6sA8h46G>7c827<zR?i1=v6l:|l1a7<6k81vbijn:182M4d02d9i?4>c39~jabe290:wE<l8:l1a7<6k:1vbijl:082M4d02d9i?4>c59'6ae=<k<0qcjkd;295~N5k11e>h<51b78ykbcm3;1=vF=c99m6`4=9j<0(?jl:5`4?xhclo0;6<uG2b:8j7c528i<7p`ke182>4}O:j20b?k=:0a;?!4ck3>i46sadd394?7|@;i37c<j2;3`=>{ill81=7?tH3a;?k4b:3;hm6*=db87f<=zfmo86=4>{I0`<>h5m;0:oo5rneg7>4<6sA8h46`=e382gf=#:mi18oo4}off1?6=9rB9o55a2d095fb<ugnn:7?51zJ1g==i:l81=nk4$3f`>1de3tdoi:4?:0yK6f><f;o96<mi;|lga=<628qC>n64n3g1>4b73-8oo7:mc:m``?=83;pD?m7;o0f6?7c92wehho51;3xL7e?3g8n>7?k2:&1`f<3jm1vbikm:182M4d02d9i?4>d29~jacd280:wE<l8:l1a7<6l=1/>im54cg8ykbbl3:1=vF=c99m6`4=9m?0qcjje;295~N5k11e>h<51e48ykbbn3;1=vF=c99m6`4=9m=0(?jl:5f3?xhcn90;6<uG2b:8j7c528n37p`kf082>4}O:j20b?k=:0f:?!4ck3>o=6sadg094?7|@;i37c<j2;3ge>{ilo91=7?tH3a;?k4b:3;on6*=db87`7=zfml?6=4>{I0`<>h5m;0:hn5rned6>4<6sA8h46`=e382`a=#:mi18i=4}ofe2?6=9rB9o55a2d095ac<ugnm;7?51zJ1g==i:l81=ih4$3f`>1b33tdoj54?:0yK6f><f;o96<k?;|lgb<<628qC>n64n3g1>4c63-8oo7:k5:m`cg=83;pD?m7;o0f6?7b:2wehkl51;3xL7e?3g8n>7?j3:&1`f<3l?1vbihl:182M4d02d9i?4>e59~ja`c280:wE<l8:l1a7<6m<1/>im54e58ykbam3:1=vF=c99m6`4=9l<0qcjif;395~N5k11e>h<51d58 7bd2=n37p`j0183>4}O:j20b?k=:0g;?xhb880:6<uG2b:8j7c528o27p`j0383>4}O:j20b?k=:0gb?xhb8:0;6<uG2b:8j7c528oi7p`j0583>4}O:j20b?k=:0g`?xhb8<0;6<uG2b:8j7c528oo7p`j0783>4}O:j20b?k=:0gf?xhb8>0;6<uG2b:8j7c528om7p`j0983>4}O:j20b?k=:0d3?xhb800;6<uG2b:8j7c528l:7p`j0`83>4}O:j20b?k=:0d1?xhb8k0;6<uG2b:8j7c528l87p`j0b83>4}O:j20b?k=:0d7?xhb8m0;6<uG2b:8j7c528l>7p`j0d83>4}O:j20b?k=:0d5?xhb8o0;6<uG2b:8j7c528l<7p`j1183>4}O:j20b?k=:0d;?xhb980;6<uG2b:8j7c528l27p`j1383>4}O:j20b?k=:0db?xhb9:0;6<uG2b:8j7c528li7p`j1583>4}O:j20b?k=:0d`?xhb9<0;6<uG2b:8j7c528lo7p`j1783>4}O:j20b?k=:0df?xhb9>0;6<uG2b:8j7c528lm7p`j1983>4}O:j20b?k=:323?xhb900;6<uG2b:8j7c52;::7p`j1`82>4}O:j20b?k=:321?xhb9k0:6<uG2b:8j7c52;:87p`j1b82>4}O:j20b?k=:327?xhb9m0:6<uG2b:8j7c52;:>7p`j1d82>4}O:j20b?k=:325?xhb9o0:6<uG2b:8j7c52;:<7p`j2182>4}O:j20b?k=:32;?xhb:80:6<uG2b:8j7c52;:27p`j2382>4}O:j20b?k=:32b?xhb::0;6<uG2b:8j7c52;:i7p`j2583>4}O:j20b?k=:32`?xhb:<0:6<uG2b:8j7c52;:o7p`j2782>4}O:j20b?k=:32f?xhb:>0:6<uG2b:8j7c52;:m7p`j2982>4}O:j20b?k=:333?xhb:00:6<uG2b:8j7c52;;:7p`j2`82>4}O:j20b?k=:331?xhb:k0:6<uG2b:8j7c52;;87p`j2b82>4}O:j20b?k=:337?xhb:m0:6<uG2b:8j7c52;;>7p`j2d83>4}O:j20b?k=:335?xhb:o0;6<uG2b:8j7c52;;<7p`j3183>4}O:j20b?k=:33;?xhb;80;6<uG2b:8j7c52;;27p`j3383>4}O:j20b?k=:33b?xhb;:0;6<uG2b:8j7c52;;i7p`j3583>4}O:j20E<9m:0y1>x\1k3;p4n4rn3g1>77d3tdn?84?:0yK6f><f;o96??k;|lf73<628qe>h<520g8ykc4?3;1=v`=e3815c=zfl936<4>{o0f6?4582wei>750;3x^3e=9r2h6pF>0e9m6`4=:;;0D<6;;|lf7d<728qQ:n4>{9a9yM77l2d9i?4=239K5=2<ugo8n7>51zX5g?7|0j0vD<>k;o0f6?45;2B:495rnd1`>5<6sS<h6<u7c;K55b<f;o96?<;;I3;0>{im:n1<7?tZ7a95~>d2tB:<i5a2d09673<@82?7p`j3d83>4}]>j0:w5m5}I33`>h5m;09>;5G1968ykc4n3:1=vT9c;3x<f<z@8:o7c<j2;013>N60=1vbh:?:182k4b:38946sae5394?7|f;o96?<6;|lf07<728qe>h<523c8ykc3;3:1=v`=e3816g=zfl>?6=4>{o0f6?45k2wei9;50;3xj7c52;8o7p`j4783>4}i:l81>?k4}oca1?6=9rd9i?4=2g9~jg56290:wc<j2;004>h5jl0:7p`m3382>4}i:l81>>?4$3f`>62e3tw}X;650;395?7b1rY?87:?d;0956529mh1>l:=;%0`e?70l2.:;n4>0:J5b>N>n2B<<6l=b083>61=:9<1=h;tZ2493~732;91>?4=1;30>43=:90vD;74$3a2>46<f?h1>=:4n3`6>4c>3g8n>7>4i53b>5<<a:o96=44o264>5<<a:o?6=44o574>5<<g=l86=44o266>5<<g=;36=44i52f>5<<g;l>6=44o3ge>5<#9>l1>hk4n05f>5=<g;oh6=4+16d96`c<f8=n6<54o3gb>5<#9>l1>hk4n05f>7=<g;o36=4+16d96`c<f8=n6>54o506>5<#9>l18?:4n05f>5=<g=886=4+16d9072<f8=n6<54o501>5<#9>l18?:4n05f>7=<g=8:6=4+16d9072<f8=n6>54o503>5<#9>l18?:4n05f>1=<g=;m6=4+16d9072<f8=n6854o53f>5<#9>l18?:4n05f>3=<g=;o6=4+16d9072<f8=n6:54o521>5<#9>l18=?4n05f>5=<g=:;6=4+16d9057<f8=n6<54o2df>5<#9>l18=?4n05f>7=<g:lo6=4+16d9057<f8=n6>54o2da>5<#9>l18=?4n05f>1=<g:lj6=4+16d9057<f8=n6854o2d;>5<#9>l18=?4n05f>3=<g:l<6=4+16d9057<f8=n6:54o2gf>5<#9>l1?hj4n05f>5=<g:oh6=4+16d97`b<f8=n6<54o2ga>5<#9>l1?hj4n05f>7=<g:oj6=4+16d97`b<f8=n6>54o2g:>5<#9>l1?hj4n05f>1=<g:o36=4+16d97`b<f8=n6854o2g4>5<#9>l1?hj4n05f>3=<g:o=6=4+16d97`b<f8=n6:54i3da>5<#9>l1>ko4n05f>5=<a;l26=4+16d96cg<f8=n6<54i3d;>5<#9>l1>ko4n05f>7=<a;l<6=4+16d96cg<f8=n6>54i226>5<#9>l1?=:4n05f>5=<a::86=4+16d9752<f8=n6<54i221>5<#9>l1?=:4n05f>7=<a:::6=4+16d9752<f8=n6>54i223>5<#9>l1?=:4n05f>1=<a=??6=4+16d9005<f8=n6=54i572>5<#9>l188=4n05f>4=<a=>m6=4+16d9005<f8=n6?54i56g>5<#9>l188=4n05f>6=<a=>i6=4+16d9005<f8=n6954i56:>5<#9>l188=4n05f>0=<a=><6=4+16d9005<f8=n6;54i565>5<#9>l188=4n05f>2=<j;3;6<49:183!0f2<l0(?l7:3`2?!4d>38o>6*=c181fc=#?l087E88;o0f6?7<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg55;3;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<43A<<7c<j2;08m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c110?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>7=4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo==f;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4<;I44?k4b:3>0e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk9887?56;294~"1i3?27)<m8;0a5>"5k?09h?5+2b2974=#?l087E88;o0f6?3<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg54=3;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<43A<<7c<j2;48m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c102?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>794i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo=<7;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4<;I44?k4b:320e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk9847?56;294~"1i3?27)<m8;0a5>"5k?09h?5+2b2974=#?l087E88;o0f6??<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg5413;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<43A<<7c<j2;c8m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c10e?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>7l4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo==5;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4<;I44?k4b:3i0e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk99:7?56;294~"1i3?27)<m8;0a5>"5k?09h?5+2b2974=#?l087E88;o0f6?b<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg55?3;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<43A<<7c<j2;g8m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c11<?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>7h4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo==9;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4<;I44?k4b:3;;7d:::188m6c=831b8=4?::k2<7<722c8n7>5;n3;1?6=3th8>l4>:783>5}#>h0>56*=b981f4=#:j<1>i<4$3a3>67<,>o1?6F97:l1a7<692c?97>5;h1f>5<<a=:1<75f19094?=n;k0;66a>8483>>{e;;h1=7850;2x 3g==01/>o652c38 7e12;n97)<l0;12?!1b2:1C::5a2d0957=n<<0;66g<e;29?l272900e<6=:188m6d=831d=5;50;9~f64d280=6=4?{%4b>0?<,;h36?l>;%0`2?4c:2.9o=4<1:&4a?5<@?=0b?k=:018m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c11`?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>7?;;h66>5<<a:o1<75f4183>>o60;0;66g<b;29?j7?=3:17pl<2d82>3<729q/:l4:9:&1f=<5j81/>n852e08 7e72:;0(:k53:J53>h5m;0:96g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wi?>>51;494?6|,?k1945+2c:96g7<,;i=6?j=;%0`4?563-=n6>5G669m6`4=9?1b884?::k0a?6=3`>;6=44i0:1>5<<a:h1<75`19794?=zj:9:6<49:183!0f2<30(?l7:3`2?!4d>38o>6*=c1805>"0m390D;94n3g1>41<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg54:3;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<43A<<7c<j2;3;?l222900e>k50;9j05<722c:4?4?::k0f?6=3f;397>5;|`076<62?0;6=u+6`86=>"5j109n<5+2b496a4<,;i;6>?4$6g97>N1?2d9i?4>9:k71?6=3`9n6=44i5294?=n9181<75f3c83>>i60<0;66sm32d95?0=83:p(;o55g9'6g>=:k;0(?m9:3f1?!4d838ij6*8e;18L31<f;o96<o4i5794?N?821b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c174?7=>3:1<v*9a;7e?!4e038i=6*=c781`7=#:j:1>oh4$6g97>N1?2d9i?4>b:k71?6=3`9n6=44i5294?=n9181<75f3c83>>i60<0;66sm35395?0=83:p(;o55g9'6g>=:k;0(?m9:3f1?!4d838ij6*8e;18L31<f;o96<m4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo=;2;392?6=8r.=m7;i;%0a<?4e92.9o;4=d39'6f6=:kl0(:k53:J53>h5m;0:h6g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wim94>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;3f?l212900e>m50;9j6g6=831d==h50;9~fa5=9391<7>t$3a5>7b13-8i47<m1:J53>h5m;0:j6g79;29?l5d2900c<>i:188ygd>280?6=4?{%0`2?4c<2.9n54=b09K22=i:l81>=5f4783>>o4k3:17d<m0;29?j77n3:17plke;397?6=8r.9o;4=d79'6g>=:k;0D;94n3g1>77<a131<75f3b83>>i68o0;66smc082>1<729q/>n852e68 7d?2;h:7E88;o0f6?453`>=6=44i2a94?=n:k:1<75`11d94?=zjl>1=7=50;2x 7e12;n=7)<m8;0a5>N1?2d9i?4=3:k;=?6=3`9h6=44o02e>5<<uki86<4;:183!4d>38o86*=b981f4=O>>1e>h<5259j03<722c8o7>5;h0a4?6=3f;;j7>5;|`f1?7=;3:1<v*=c781`3=#:k21>o?4H758j7c52;?0e5750;9j7f<722e:<k4?::ag0<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:38=7d:9:188m6e=831b>o>50;9l55`=831vnh851;194?6|,;i=6?j9;%0a<?4e92B=;6`=e3813>o?13:17d=l:188k46a2900qom8:087>5<7s-8h:7<k4:&1f=<5j81C::5a2d096==n<?0;66g<c;29?l4e83:17b??f;29?xdb?3;1?7>50z&1g3<5l?1/>o652c38L31<f;o96?74i9;94?=n;j0;66a>0g83>>{ek00:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>7<n;h65>5<<a:i1<75f2c294?=h99l1<75rbd:95?5=83:p(?m9:3f5?!4e038i=6F97:l1a7<5j2c357>5;h1`>5<<g8:m6=44}caa>4<3290;w)<l6;0g0>"5j109n<5G669m6`4=:j1b8;4?::k0g?6=3`8i<7>5;n33b?6=3thn57?53;294~"5k?09h;5+2c:96g7<@?=0b?k=:3f8m=?=831b?n4?::m24c<722wioi4>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;0f?l212900e>m50;9j6g6=831d==h50;9~f`g=9391<7>t$3a5>7b13-8i47<m1:J53>h5m;09j6g79;29?l5d2900c<>i:188ygea280?6=4?{%0`2?4c<2.9n54=b09K22=i:l81?=5f4783>>o4k3:17d<m0;29?j77n3:17pljb;397?6=8r.9o;4=d79'6g>=:k;0D;94n3g1>67<a131<75f3b83>>i68o0;66sma482>1<729q/>n852e68 7d?2;h:7E88;o0f6?553`>=6=44i2a94?=n:k:1<75`11d94?=zjm>1=7=50;2x 7e12;n=7)<m8;0a5>N1?2d9i?4<3:k;=?6=3`9h6=44o02e>5<<ukk<6<4;:183!4d>38o86*=b981f4=O>>1e>h<5359j03<722c8o7>5;h0a4?6=3f;;j7>5;|`g1?7=;3:1<v*=c781`3=#:k21>o?4H758j7c52:?0e5750;9j7f<722e:<k4?::ae<<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:39=7d:9:188m6e=831b>o>50;9l55`=831vni851;194?6|,;i=6?j9;%0a<?4e92B=;6`=e3803>o?13:17d=l:188k46a2900qoom:087>5<7s-8h:7<k4:&1f=<5j81C::5a2d097==n<?0;66g<c;29?l4e83:17b??f;29?xdc?3;1?7>50z&1g3<5l?1/>o652c38L31<f;o96>74i9;94?=n;j0;66a>0g83>>{eim0:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>7=n;h65>5<<a:i1<75f2c294?=h99l1<75rbe:95?5=83:p(?m9:3f5?!4e038i=6F97:l1a7<4j2c357>5;h1`>5<<g8:m6=44}cce>4<3290;w)<l6;0g0>"5j109n<5G669m6`4=;j1b8;4?::k0g?6=3`8i<7>5;n33b?6=3tho57?53;294~"5k?09h;5+2c:96g7<@?=0b?k=:2f8m=?=831b?n4?::m24c<722win<4>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;1f?l212900e>m50;9j6g6=831d==h50;9~fag=9391<7>t$3a5>7b13-8i47<m1:J53>h5m;08j6g79;29?l5d2900c<>i:188ygd4280?6=4?{%0`2?4c<2.9n54=b09K22=i:l818=5f4783>>o4k3:17d<m0;29?j77n3:17plkb;397?6=8r.9o;4=d79'6g>=:k;0D;94n3g1>17<a131<75f3b83>>i68o0;66smb482>1<729q/>n852e68 7d?2;h:7E88;o0f6?253`>=6=44i2a94?=n:k:1<75`11d94?=zjmi1=7=50;2x 7e12;n=7)<m8;0a5>N1?2d9i?4;3:k;=?6=3`9h6=44o02e>5<<ukh<6<4;:183!4d>38o86*=b981f4=O>>1e>h<5459j03<722c8o7>5;h0a4?6=3f;;j7>5;|`g`?7=;3:1<v*=c781`3=#:k21>o?4H758j7c52=?0e5750;9j7f<722e:<k4?::afg<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:3>=7d:9:188m6e=831b>o>50;9l55`=831vnih51;194?6|,;i=6?j9;%0a<?4e92B=;6`=e3873>o?13:17d=l:188k46a2900qolk:087>5<7s-8h:7<k4:&1f=<5j81C::5a2d090==n<?0;66g<c;29?l4e83:17b??f;29?xdb83;1?7>50z&1g3<5l?1/>o652c38L31<f;o96974i9;94?=n;j0;66a>0g83>>{ejo0:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>7:n;h65>5<<a:i1<75f2c294?=h99l1<75rbd395?5=83:p(?m9:3f5?!4e038i=6F97:l1a7<3j2c357>5;h1`>5<<g8:m6=44}cg1>4<4290;w)<l6;0g2>"5j109n<5G669m6`4=<j1b444?::k0g?6=3f;;j7>5;|`1<2<62?0;6=u+2b496a5<,?k14;5+2c:96g7<,>o1?6F97:l1a7<3l2c?97>5;h1f>5<O0910e9>50;9j5=4=831b?o4?::m2<0<722wi>5651;494?6|,;i=6?j<;%4b>=0<,;h36?l>;%5f>6=O>>1e>h<54d9j00<722c8i7>5H928?l272900e<6=:188m6d=831d=5;50;9~f7>>280=6=4?{%0`2?4c;2.=m769;%0a<?4e92.<i7=4H758j7c52=l0e9;50;9j7`<72A2;76g;0;29?l7?:3:17d=m:188k4>22900qo<7a;392?6=8r.9o;4=d29'2d<?>2.9n54=b09'3`<43A<<7c<j2;73?l222900e>k50;J;4>=n<90;66g>8383>>o4j3:17b?75;29?xd50k0:6;4?:1y'6f0=:m90(;o5879'6g>=:k;0(:k53:J53>h5m;0>=6g;5;29?l5b290C4=54i5294?=n9181<75f3c83>>i60<0;66sm29a95?0=83:p(?m9:3f0?!0f21<0(?l7:3`2?!1b2:1C::5a2d0917=n<<0;66g<e;29L=6<3`>;6=44i0:1>5<<a:h1<75`19794?=zj;2o6<49:183!4d>38o?6*9a;:5?!4e038i=6*8e;18L31<f;o968=4i5794?=n;l0;6E6?;:k74?6=3`;3>7>5;h1a>5<<g82>6=44}c0;a?7=>3:1<v*=c781`6=#>h03:6*=b981f4=#?l087E88;o0f6?333`>>6=44i2g94?N?821b8=4?::k2<7<722c8n7>5;n3;1?6=3th?;84>:283>5}#:j<1>i84$3`;>7d63A<<7c<j2;76?l>>2900e>m50;9l55`=831vn99;:080>5<7s-8h:7<k6:&1f=<5j81C::5a2d0913=n000;66g<c;29?j77n3:17pl;6982>1<729q/>n852e68 7d?2;h:7E88;o0f6?303`>=6=44i2a94?=n:k:1<75`11d94?=zj==86<4<:183!4d>38o:6*=b981f4=O>>1e>h<5599j<<<722c8o7>5;n33b?6=3th?:;4>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;7:?l212900e>m50;9j6g6=831d==h50;9~f11528086=4?{%0`2?4c>2.9n54=b09K22=i:l819l5f8883>>o4k3:17b??f;29?xd3>=0:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>7;m;h65>5<<a:i1<75f2c294?=h99l1<75rb552>4<4290;w)<l6;0g2>"5j109n<5G669m6`4==j1b444?::k0g?6=3f;;j7>5;|`727<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:3?o7d:9:188m6e=831b>o>50;9l55`=831vn99?:080>5<7s-8h:7<k6:&1f=<5j81C::5a2d091`=n000;66g<c;29?j77n3:17pl;6182>1<729q/>n852e68 7d?2;h:7E88;o0f6?3a3`>=6=44i2a94?=n:k:1<75`11d94?=zj=<m6<4<:183!4d>38o:6*=b981f4=O>>1e>h<5619j<<<722c8o7>5;n33b?6=3th?9h4>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;42?l212900e>m50;9j6g6=831d==h50;9~f10b28086=4?{%0`2?4c>2.9n54=b09K22=i:l81:?5f8883>>o4k3:17b??f;29?xd3=j0:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>78<;h65>5<<a:i1<75f2c294?=h99l1<75rb54g>4<4290;w)<l6;0g2>"5j109n<5G669m6`4=>=1b444?::k0g?6=3f;;j7>5;|`71d<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:3<>7d:9:188m6e=831b>o>50;9l55`=831vn98l:080>5<7s-8h:7<k6:&1f=<5j81C::5a2d0923=n000;66g<c;29?j77n3:17pl;5882>1<729q/>n852e68 7d?2;h:7E88;o0f6?003`>=6=44i2a94?=n:k:1<75`11d94?=zj=386<4<:183!4d>38o:6*=b981f4=O>>1e>h<5699j<<<722c8o7>5;n33b?6=3th?5?4>:283>5}#:j<1>i84$3`;>7d63A<<7c<j2;4:?l>>2900e>m50;9l55`=831vn969:087>5<7s-8h:7<k4:&1f=<5j81C::5a2d092d=n<?0;66g<c;29?l4e83:17b??f;29?xd3180:6>4?:1y'6f0=:m<0(?l7:3`2?M003g8n>78m;h::>5<<a:i1<75`11d94?=zj=2?6<4;:183!4d>38o86*=b981f4=O>>1e>h<56b9j03<722c8o7>5;h0a4?6=3f;;j7>5;|`7=5<62:0;6=u+2b496a0<,;h36?l>;I44?k4b:3<o7d66:188m6e=831d==h50;9~f1>5280?6=4?{%0`2?4c<2.9n54=b09K22=i:l81:h5f4783>>o4k3:17d<m0;29?j77n3:17pl;8g82>6<729q/>n852e48 7d?2;h:7E88;o0f6?0a3`226=44i2a94?=h99l1<75rb5:3>4<3290;w)<l6;0g0>"5j109n<5G669m6`4=?91b8;4?::k0g?6=3`8i<7>5;n33b?6=3th?4h4>:283>5}#:j<1>i84$3`;>7d63A<<7c<j2;52?l>>2900e>m50;9l55`=831vn99j:087>5<7s-8h:7<k4:&1f=<5j81C::5a2d0937=n<?0;66g<c;29?l4e83:17b??f;29?xd30m0:6>4?:1y'6f0=:m<0(?l7:3`2?M003g8n>79<;h::>5<<a:i1<75`11d94?=zj==h6<4;:183!4d>38o86*=b981f4=O>>1e>h<5759j03<722c8o7>5;h0a4?6=3f;;j7>5;|`7<f<62:0;6=u+2b496a0<,;h36?l>;I44?k4b:3=>7d66:188m6e=831d==h50;9~f11f280?6=4?{%0`2?4c<2.9n54=b09K22=i:l81;;5f4783>>o4k3:17d<m0;29?j77n3:17pl;8c82>6<729q/>n852e48 7d?2;h:7E88;o0f6?103`226=44i2a94?=h99l1<75rb55;>4<3290;w)<l6;0g0>"5j109n<5G669m6`4=?11b8;4?::k0g?6=3`8i<7>5;n33b?6=3th?4l4>:283>5}#:j<1>i84$3`;>7d63A<<7c<j2;5:?l>>2900e>m50;9l55`=831vn998:087>5<7s-8h:7<k4:&1f=<5j81C::5a2d093d=n<?0;66g<c;29?l4e83:17b??f;29?xd3m;0:6;4?:1y'2d<2n2.9n54=b09'6f0=:m80(?m?:3`e?!1b2:1C::5a2d093g=n<<0;66g<e;29?l272900e<6=:188m6d=831d=5;50;9~f1c6280=6=4?{%4b>0`<,;h36?l>;%0`2?4c:2.9o=4=bg9'3`<43A<<7c<j2;5`?l222900e>k50;9j05<722c:4?4?::k0f?6=3f;397>5;|`7a5<62?0;6=u+6`86b>"5j109n<5+2b496a4<,;i;6?li;%5f>6=O>>1e>h<57e9j00<722c8i7>5;h63>5<<a8296=44i2`94?=h91?1<75rb5fe>4<1290;w)8n:4d8 7d?2;h:7)<l6;0g6>"5k909nk5+7d80?M003g8n>79j;h66>5<<a:o1<75f4183>>o60;0;66g<b;29?j7?=3:17pl;dd82>3<729q/:l4:f:&1f=<5j81/>n852e08 7e72;hm7)9j:29K22=i:l81;k5f4483>>o4m3:17d:?:188m4>52900e>l50;9l5=3=831vn9jk:085>5<7s-<j68h4$3`;>7d63-8h:7<k2:&1g5<5jo1/;h4<;I44?k4b:32;7d:::188m6c=831b8=4?::k2<7<722c8n7>5;n3;1?6=3th?hn4>:783>5}#>h0>j6*=b981f4=#:j<1>i<4$3a3>7da3-=n6>5G669m6`4=081b884?::k0a?6=3`>;6=44i0:1>5<<a:h1<75`19794?=zj=ni6<49:183!0f2<l0(?l7:3`2?!4d>38o>6*=c181fc=#?l087E88;o0f6?>53`>>6=44i2g94?=n<90;66g>8383>>o4j3:17b?75;29?xd3lh0:6;4?:1y'2d<2n2.9n54=b09'6f0=:m80(?m?:3`e?!1b2:1C::5a2d09<6=n<<0;66g<e;29?l272900e<6=:188m6d=831d=5;50;9~f1b>280=6=4?{%4b>0`<,;h36?l>;%0`2?4c:2.9o=4=bg9'3`<43A<<7c<j2;:7?l222900e>k50;9j05<722c:4?4?::k0f?6=3f;397>5;|`7g=<62?0;6=u+6`86b>"5j109n<5+2b496a4<,;i;6?li;%5f>6=O>>1e>h<5849j00<722c8i7>5;h63>5<<a8296=44i2`94?=h91?1<75rb5a4>4<1290;w)8n:4d8 7d?2;h:7)<l6;0g6>"5k909nk5+7d80?M003g8n>769;h66>5<<a:o1<75f4183>>o60;0;66g<b;29?j7?=3:17pl;c782>3<729q/:l4:f:&1f=<5j81/>n852e08 7e72;hm7)9j:29K22=i:l814:5f4483>>o4m3:17d:?:188m4>52900e>l50;9l5=3=831vn9m::085>5<7s-<j68h4$3`;>7d63-8h:7<k2:&1g5<5jo1/;h4<;I44?k4b:3237d:::188m6c=831b8=4?::k2<7<722c8n7>5;n3;1?6=3th?o94>:783>5}#>h0>j6*=b981f4=#:j<1>i<4$3a3>7da3-=n6>5G669m6`4=001b884?::k0a?6=3`>;6=44i0:1>5<<a:h1<75`19794?=zj=i86<49:183!0f2<l0(?l7:3`2?!4d>38o>6*=c181fc=#?l087E88;o0f6?>f3`>>6=44i2g94?=n<90;66g>8383>>o4j3:17b?75;29?xd3k;0:6;4?:1y'2d<2n2.9n54=b09'6f0=:m80(?m?:3`e?!1b2:1C::5a2d09<g=n<<0;66g<e;29?l272900e<6=:188m6d=831d=5;50;9~f1e6280=6=4?{%4b>0`<,;h36?l>;%0`2?4c:2.9o=4=bg9'3`<43A<<7c<j2;:`?l222900e>k50;9j05<722c:4?4?::k0f?6=3f;397>5;|`7g5<62?0;6=u+6`86b>"5j109n<5+2b496a4<,;i;6?li;%5f>6=O>>1e>h<58e9j00<722c8i7>5;h63>5<<a8296=44i2`94?=h91?1<75rb5`e>4<1290;w)8n:4d8 7d?2;h:7)<l6;0g6>"5k909nk5+7d80?M003g8n>76j;h66>5<<a:o1<75f4183>>o60;0;66g<b;29?j7?=3:17pl;ed82>3<729q/:l4:f:&1f=<5j81/>n852e08 7e72;hm7)9j:29K22=i:l814k5f4483>>o4m3:17d:?:188m4>52900e>l50;9l5=3=831vn9oj:085>5<7s-<j68h4$3`;>7d63-8h:7<k2:&1g5<5jo1/;h4<;I44?k4b:33;7d:::188m6c=831b8=4?::k2<7<722c8n7>5;n3;1?6=3th8;94>:283>5}#:j<1>i84$3`;>7d63A<<7c<j2;;2?l>>2900e>m50;9l55`=831vn>9<:080>5<7s-8h:7<k6:&1f=<5j81C::5a2d09=7=n000;66g<c;29?j77n3:17pl<5c82>1<729q/>n852e68 7d?2;h:7E88;o0f6??43`>=6=44i2a94?=n:k:1<75`11d94?=zj:=96<4<:183!4d>38o:6*=b981f4=O>>1e>h<5959j<<<722c8o7>5;n33b?6=3th8944>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;;6?l212900e>m50;9j6g6=831d==h50;9~f61b28086=4?{%0`2?4c>2.9n54=b09K22=i:l815;5f8883>>o4k3:17b??f;29?xd4>m0:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>778;h65>5<<a:i1<75f2c294?=h99l1<75rb25g>4<4290;w)<l6;0g2>"5j109n<5G669m6`4=111b444?::k0g?6=3f;;j7>5;|`02g<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:3327d:9:188m6e=831b>o>50;9l55`=831vn>9l:080>5<7s-8h:7<k6:&1f=<5j81C::5a2d09=d=n000;66g<c;29?j77n3:17pl<6882>1<729q/>n852e68 7d?2;h:7E88;o0f6??e3`>=6=44i2a94?=n:k:1<75`11d94?=zj:=i6<4<:183!4d>38o:6*=b981f4=O>>1e>h<59b9j<<<722c8o7>5;n33b?6=3th8::4>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;;g?l212900e>m50;9j6g6=831d==h50;9~f61f28086=4?{%0`2?4c>2.9n54=b09K22=i:l815h5f8883>>o4k3:17b??f;29?xd4><0:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>77i;h65>5<<a:i1<75f2c294?=h99l1<75rb25:>4<4290;w)<l6;0g2>"5j109n<5G669m6`4=i91b444?::k0g?6=3f;;j7>5;|`026<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:3k:7d:9:188m6e=831b>o>50;9l55`=831vn>97:080>5<7s-8h:7<k6:&1f=<5j81C::5a2d09e7=n000;66g<c;29?j77n3:17pl<6082>1<729q/>n852e68 7d?2;h:7E88;o0f6?g43`>=6=44i2a94?=n:k:1<75`11d94?=zj:=<6<4<:183!4d>38o:6*=b981f4=O>>1e>h<5a59j<<<722c8o7>5;n33b?6=3th89k4>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;c6?l212900e>m50;9j6g6=831d==h50;9~f61128086=4?{%0`2?4c>2.9n54=b09K22=i:l81m;5f8883>>o4k3:17b??f;29?xd4=m0:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>7o8;h65>5<<a:i1<75f2c294?=h99l1<75rb252>4<4290;w)<l6;0g2>"5j109n<5G669m6`4=i11b444?::k0g?6=3f;;j7>5;|`01=<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:3k27d:9:188m6e=831b>o>50;9l55`=831vn>l<:085>5<7s-<j6874$3`;>7d63-8h:7<k2:&1g5<492.<i7=4H758j7c52hk0e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk9i>7?56;294~"1i3?27)<m8;0a5>"5k?09h?5+2b2974=#?l087E88;o0f6?ge3`>>6=44i2g94?=n<90;66g>8383>>o4j3:17b?75;29?xd4j80:6;4?:1y'2d<212.9n54=b09'6f0=:m80(?m?:238 2c=;2B=;6`=e38bg>o3=3:17d=j:188m16=831b=5<50;9j7g<722e:484?::a7gd=93<1<7>t$7c91<=#:k21>o?4$3a5>7b53-8h<7=>;%5f>6=O>>1e>h<5ae9j00<722c8i7>5;h63>5<<a8296=44i2`94?=h91?1<75rb2`b>4<1290;w)8n:4;8 7d?2;h:7)<l6;0g6>"5k908=6*8e;18L31<f;o96lk4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo=m9;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4<;I44?k4b:3km7d:::188m6c=831b8=4?::k2<7<722c8n7>5;n3;1?6=3th8n54>:783>5}#>h0>56*=b981f4=#:j<1>i<4$3a3>67<,>o1?6F97:l1a7<e82c?97>5;h1f>5<<a=:1<75f19094?=n;k0;66a>8483>>{e;k=1=7850;2x 3g==01/>o652c38 7e12;n97)<l0;12?!1b2:1C::5a2d09f4=n<<0;66g<e;29?l272900e<6=:188m6d=831d=5;50;9~f6d1280=6=4?{%4b>0?<,;h36?l>;%0`2?4c:2.9o=4<1:&4a?5<@?=0b?k=:c08m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c1a1?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>7l<;h66>5<<a:o1<75f4183>>o60;0;66g<b;29?j7?=3:17pl<b582>3<729q/:l4:9:&1f=<5j81/>n852e08 7e72:;0(:k53:J53>h5m;0i86g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wi?o>51;494?6|,?k1945+2c:96g7<,;i=6?j=;%0`4?563-=n6>5G669m6`4=j<1b884?::k0a?6=3`>;6=44i0:1>5<<a:h1<75`19794?=zj:km6<49:183!0f2<30(?l7:3`2?!4d>38o>6*=c1805>"0m390D;94n3g1>g0<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg5>j3;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<43A<<7c<j2;`4?l222900e>k50;9j05<722c:4?4?::k0f?6=3f;397>5;|`0=d<62?0;6=u+6`86=>"5j109n<5+2b496a4<,;i;6>?4$6g97>N1?2d9i?4m8:k71?6=3`9n6=44i5294?=n9181<75f3c83>>i60<0;66sm38;95?0=83:p(;o5589'6g>=:k;0(?m9:3f1?!4d839:7)9j:29K22=i:l81n45f4483>>o4m3:17d:?:188m4>52900e>l50;9l5=3=831vn>77:085>5<7s-<j6874$3`;>7d63-8h:7<k2:&1g5<492.<i7=4H758j7c52kk0e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk92;7?56;294~"1i3?27)<m8;0a5>"5k?09h?5+2b2974=#?l087E88;o0f6?de3`>>6=44i2g94?=n<90;66g>8383>>o4j3:17b?75;29?xd41?0:6;4?:1y'2d<212.9n54=b09'6f0=:m80(?m?:238 2c=;2B=;6`=e38ag>o3=3:17d=j:188m16=831b=5<50;9j7g<722e:484?::a7<3=93<1<7>t$7c91<=#:k21>o?4$3a5>7b53-8h<7=>;%5f>6=O>>1e>h<5be9j00<722c8i7>5;h63>5<<a8296=44i2`94?=h91?1<75rb2;7>4<1290;w)8n:4;8 7d?2;h:7)<l6;0g6>"5k908=6*8e;18L31<f;o96ok4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo=ke;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4<;I44?k4b:3hm7d:::188m6c=83B3<65f4183>>o60;0;66g<b;29?j7?=3:17pl<de82>3<729q/:l4:9:&1f=<5j81/>n852e08 7e72:;0(:k53:J53>h5m;0h<6g;5;29?l5b290C4=54i5294?=n9181<75f3c83>>i60<0;66sm3ea95?0=83:p(;o5589'6g>=:k;0(?m9:3f1?!4d839:7)9j:29K22=i:l81o<5f4483>>o4m3:1D5>4;h63>5<<a8296=44i2`94?=h91?1<75rb2fa>4<1290;w)8n:4;8 7d?2;h:7)<l6;0g6>"5k908=6*8e;18L31<f;o96n<4i5794?=n;l0;6E6?;:k74?6=3`;3>7>5;h1a>5<<g82>6=44}c1ge?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>7m<;h66>5<<a:o1<7F70:9j05<722c:4?4?::k0f?6=3f;397>5;|`0`<<62?0;6=u+6`86=>"5j109n<5+2b496a4<,;i;6>?4$6g97>N1?2d9i?4l4:k71?6=3`9n6=4G8198m16=831b=5<50;9j7g<722e:484?::a7a>=93<1<7>t$7c91<=#:k21>o?4$3a5>7b53-8h<7=>;%5f>6=O>>1e>h<5c49j00<722c8i7>5H928?l272900e<6=:188m6d=831d=5;50;9~f6e0280=6=4?{%4b>0?<,;h36?l>;%0`2?4c:2.9o=4<1:&4a?5<@?=0b?k=:b48m13=831b?h4?:I:3?>o383:17d?72;29?l5e2900c<6::188yg5dn3;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<43A<<7c<j2;a4?l222900e>k50;J;4>=n<90;66g>8383>>o4j3:17b?75;29?xd4kl0:6;4?:1y'2d<212.9n54=b09'6f0=:m80(?m?:238 2c=;2B=;6`=e38`<>o3=3:17d=j:18K<5=<a=:1<75f19094?=n;k0;66a>8483>>{e;jn1=7850;2x 3g==01/>o652c38 7e12;n97)<l0;12?!1b2:1C::5a2d09g<=n<<0;66g<e;29L=6<3`>;6=44i0:1>5<<a:h1<75`19794?=zj:ih6<49:183!0f2<30(?l7:3`2?!4d>38o>6*=c1805>"0m390D;94n3g1>fg<a=?1<75f3d83>M>732c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk9hn7?56;294~"1i3?27)<m8;0a5>"5k?09h?5+2b2974=#?l087E88;o0f6?ee3`>>6=44i2g94?N?821b8=4?::k2<7<722c8n7>5;n3;1?6=3th8ol4>:783>5}#>h0>56*=b981f4=#:j<1>i<4$3a3>67<,>o1?6F97:l1a7<dk2c?97>5;h1f>5<O0910e9>50;9j5=4=831b?o4?::m2<0<722wi?n751;494?6|,?k1945+2c:96g7<,;i=6?j=;%0`4?563-=n6>5G669m6`4=km1b884?::k0a?6=@1:07d:?:188m4>52900e>l50;9l5=3=831vn>m7:085>5<7s-<j6874$3`;>7d63-8h:7<k2:&1g5<492.<i7=4H758j7c52jo0e9;50;9j7`<72A2;76g;0;29?l7?:3:17d=m:188k4>22900qo=l6;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4<;I44?k4b:3im7d:::188m6c=83B3<65f4183>>o60;0;66g<b;29?j7?=3:17pl<4g82>3<729q/:l4:9:&1f=<5j81/>n852e08 7e72:;0(:k53:J53>h5m;0o<6g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wi?9l51;494?6|,?k1945+2c:96g7<,;i=6?j=;%0`4?563-=n6>5G669m6`4=l81b884?::k0a?6=3`>;6=44i0:1>5<<a:h1<75`19794?=zj:>26<49:183!0f2<30(?l7:3`2?!4d>38o>6*=c1805>"0m390D;94n3g1>a4<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg53i3;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<43A<<7c<j2;f0?l222900e>k50;9j05<722c:4?4?::k0f?6=3f;397>5;|`172<62?0;6=u+6`86b>"5j109n<5+2b496a4<,;i;6?li;%5f>6=O>>1e>h<5d59j00<722c8i7>5;h63>5<<a8296=44i2`94?=h91?1<75rb317>4<1290;w)8n:4d8 7d?2;h:7)<l6;0g6>"5k909nk5+7d80?M003g8n>7j:;h66>5<<a:o1<75f4183>>o60;0;66g<b;29?j7?=3:17pl=3g82>3<729q/:l4:f:&1f=<5j81/>n852e08 7e72;hm7)9j:29K22=i:l81h;5f4483>>o4m3:17d:?:188m4>52900e>l50;9l5=3=831vn?=l:085>5<7s-<j68h4$3`;>7d63-8h:7<k2:&1g5<5jo1/;h4<;I44?k4b:3n<7d:::188m6c=831b8=4?::k2<7<722c8n7>5;n3;1?6=3th:m44>:283>5}#:j<1>i84$3`;>7d63A<<7c<j2;f;?l>>2900e>m50;9l55`=831vn<o7:080>5<7s-8h:7<k6:&1f=<5j81C::5a2d09`<=n000;66g<c;29?j77n3:17pl>9e82>1<729q/>n852e68 7d?2;h:7E88;o0f6?bf3`>=6=44i2a94?=n:k:1<75`11d94?=zj8k<6<4<:183!4d>38o:6*=b981f4=O>>1e>h<5dc9j<<<722c8o7>5;n33b?6=3th:5o4>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;f`?l212900e>m50;9j6g6=831d==h50;9~f4g128086=4?{%0`2?4c>2.9n54=b09K22=i:l81hi5f8883>>o4k3:17b??f;29?xd6100:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>7jj;h65>5<<a:i1<75f2c294?=h99l1<75rb0c6>4<4290;w)<l6;0g2>"5j109n<5G669m6`4=lo1b444?::k0g?6=3f;;j7>5;|`2=2<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:3o;7d:9:188m6e=831b>o>50;9l55`=831vn<o;:080>5<7s-8h:7<k6:&1f=<5j81C::5a2d09a4=n000;66g<c;29?j77n3:17pl>9482>1<729q/>n852e68 7d?2;h:7E88;o0f6?c53`>=6=44i2a94?=n:k:1<75`11d94?=zj8k86<4<:183!4d>38o:6*=b981f4=O>>1e>h<5e29j<<<722c8o7>5;n33b?6=3th:5>4>:583>5}#:j<1>i:4$3`;>7d63A<<7c<j2;g7?l212900e>m50;9j6g6=831d==h50;9~f4g528086=4?{%0`2?4c>2.9n54=b09K22=i:l81i85f8883>>o4k3:17b??f;29?xd6180:694?:1y'6f0=:m>0(?l7:3`2?M003g8n>7k9;h65>5<<a:i1<75f2c294?=h99l1<75rb0c2>4<4290;w)<l6;0g2>"5j109n<5G669m6`4=m>1b444?::k0g?6=3f;;j7>5;|`2=5<62=0;6=u+2b496a2<,;h36?l>;I44?k4b:3o37d:9:188m6e=831b>o>50;9l55`=831vn?;=:085>5<7s-<j68h4$3`;>7d63-8h:7<k2:&1g5<5jo1/;h4<;I44?k4b:3o27d:::188m6c=831b8=4?::k2<7<722c8n7>5;n3;1?6=3th99<4>:783>5}#>h0>j6*=b981f4=#:j<1>i<4$3a3>7da3-=n6>5G669m6`4=mh1b884?::k0a?6=3`>;6=44i0:1>5<<a:h1<75`19794?=zj;?;6<49:183!0f2<l0(?l7:3`2?!4d>38o>6*=c181fc=#?l087E88;o0f6?ce3`>>6=44i2g94?=n<90;66g>8383>>o4j3:17b?75;29?xd5<o0:6;4?:1y'2d<2n2.9n54=b09'6f0=:m80(?m?:3`e?!1b2:1C::5a2d09af=n<<0;6E6?;:k0a?6=3`>;6=44i0:1>5<<a:h1<75`19794?=zj8l<6<49:183!0f2<l0(?l7:3`2?!4d>38o>6*=c181fc=#?l087E88;o0f6?cc3`>>6=44i2g94?=n<90;66g>8383>>o4j3:17b?75;29?xd6n?0:6;4?:1y'2d<2n2.9n54=b09'6f0=:m80(?m?:3`e?!1b2:1C::5a2d09a`=n<<0;66g<e;29?l272900e<6=:188m6d=831d=5;50;9~f4`2280=6=4?{%4b>0`<,;h36?l>;%0`2?4c:2.9o=4=bg9'3`<43A<<7c<j2;ge?l222900e>k50;9j05<722c:4?4?::k0f?6=3f;397>5;|`2b1<62?0;6=u+6`86b>"5j109n<5+2b496a4<,;i;6?li;%5f>6=O>>1e>h<5f19j00<72A2;76g<e;29?l272900e<6=:188m6d=831d=5;50;9~f76c280=6=4?{%4b>0?<,;h36?l>;%0`2?4c:2.9o=4<1:&4a?5<@?=0b?k=:g38m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c03g?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>7h=;h66>5<<a:o1<75f4183>>o60;0;66g<b;29?j7?=3:17pl=0c82>3<729q/:l4:9:&1f=<5j81/>n852e08 7e72:;0(:k53:J53>h5m;0m?6g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wi=kh51;494?6|,?k19k5+2c:96g7<,;i=6?j=;%0`4?4en2.<i7=4H758j7c52o>0e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk;mi7?56;294~"1i3?m7)<m8;0a5>"5k?09h?5+2b296g`<,>o1?6F97:l1a7<a=2c?97>5;h1f>5<<a=:1<75f19094?=n;k0;66a>8483>>{e9on1=7850;2x 3g==o1/>o652c38 7e12;n97)<l0;0ab>"0m390D;94n3g1>c0<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg7ak3;1:7>50z&5e?3a3-8i47<m1:&1g3<5l;1/>n>52cd8 2c=;2B=;6`=e38e3>o3=3:1D5>4;h1f>5<<a=:1<75f19094?=n;k0;66a>8483>>{e9l?1=7850;2x 3g==o1/>o652c38 7e12;n97)<l0;0ab>"0m390D;94n3g1>c><a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg7b<3;1:7>50z&5e?3a3-8i47<m1:&1g3<5l;1/>n>52cd8 2c=;2B=;6`=e38e=>o3=3:17d=j:188m16=831b=5<50;9j7g<722e:484?::a5`5=93<1<7>t$7c91c=#:k21>o?4$3a5>7b53-8h<7<mf:&4a?5<@?=0b?k=:gc8m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c3f6?7=>3:1<v*9a;7e?!4e038i=6*=c781`7=#:j:1>oh4$6g97>N1?2d9i?4ib:k71?6=3`9n6=44i5294?=n9181<75f3c83>>i60<0;66sm1d395?0=83:p(;o55g9'6g>=:k;0(?m9:3f1?!4d838ij6*8e;18L31<f;o96km4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo?j0;392?6=8r.=m7;i;%0a<?4e92.9o;4=d39'6f6=:kl0(:k53:J53>h5m;0mh6g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wi=ih51;494?6|,?k19k5+2c:96g7<,;i=6?j=;%0`4?4en2.<i7=4H758j7c52oo0e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk;oi7?56;294~"1i3?m7)<m8;0a5>"5k?09h?5+2b296g`<,>o1?6F97:l1a7<an2c?97>5;h1f>5<<a=:1<75f19094?=n;k0;66a>8483>>{e9mn1=7850;2x 3g==o1/>o652c38 7e12;n97)<l0;0ab>"0m390D;94n3g1>4673`>>6=44i2g94?=n<90;66g>8383>>o4j3:17b?75;29?xd5<10:6;4?:1y'2d<2n2.9n54=b09'6f0=:m80(?m?:3`e?!1b2:1C::5a2d09557<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg7c;3;1:7>50z&1g3<5l:1/:l476:&1f=<5j81/;h4l;I44?k4b:3;;>6g;5;29?l5b290C4=54i5294?=n9181<75f3c83>>i60<0;66sm1cc95?0=83:p(;o5589'6g>=:k;0(?m9:3f1?!4d839:7)9j:29K22=i:l81===4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo<=1;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4<;I44?k4b:3;;86g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wi>?>51;494?6|,?k1945+2c:96g7<,;i=6?j=;%0`4?563-=n6>5G669m6`4=99?0e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk8:j7?56;294~"1i3?27)<m8;0a5>"5k?09h?5+2b2974=#?l087E88;o0f6?77>2c?97>5;h1f>5<<a=:1<75f19094?=n;k0;66a>8483>>{e:8o1=7850;2x 3g==01/>o652c38 7e12;n97)<l0;12?!1b2:1C::5a2d09551<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg46l3;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<43A<<7c<j2;33<>o3=3:17d=j:188m16=831b=5<50;9j7g<722e:484?::a64e=93<1<7>t$7c91<=#:k21>o?4$3a5>7b53-8h<7=>;%5f>6=O>>1e>h<511;8m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c02f?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>7??a:k71?6=3`9n6=44i5294?=n9181<75f3c83>>i60<0;66sm20c95?0=83:p(;o5589'6g>=:k;0(?m9:3f1?!4d839:7)9j:29K22=i:l81==l4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo<96;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4l;I44?k4b:3;;o6g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wi>;;51;494?6|,?k1945+2c:96g7<,;i=6?j=;%0`4?563-=n6n5G669m6`4=99n0e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk8=87?56;294~"1i3?27)<m8;0a5>"5k?09h?5+2b2974=#?l0h7E88;o0f6?77m2c?97>5;h1f>5<<a=:1<75f19094?=n;k0;66a>8483>>{e:?91=7850;2x 3g==01/>o652c38 7e12;n97)<l0;12?!1b2j1C::5a2d0955`<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg41:3;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<d3A<<7c<j2;324>o3=3:17d=j:188m16=831b=5<50;9j7g<722e:484?::a637=93<1<7>t$7c91<=#:k21>o?4$3a5>7b53-8h<7=>;%5f>f=O>>1e>h<51038m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c054?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d8`?M003g8n>7?>2:k71?6=3`9n6=44i5294?=n9181<75f3c83>>i60<0;66sm24d95?0=83:p(;o5589'6g>=:k;0(?m9:3f1?!4d839:7)9j:b9K22=i:l81=<=4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo<:e;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4l;I44?k4b:3;:86g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wi>8j51;494?6|,?k1945+2c:96g7<,;i=6?j=;%0`4?563-=n6n5G669m6`4=98?0e9;50;9j7`<722c?<7>5;h3;6?6=3`9i6=44o0:6>5<<uk88>7?56;294~"1i3?27)<m8;0a5>"5k?09h?5+2b2974=#?l0h7E88;o0f6?76>2c?97>5;h1f>5<<a=:1<75f19094?=n;k0;66a>8483>>{e::;1=7850;2x 3g==01/>o652c38 7e12;n97)<l0;12?!1b2j1C::5a2d09541<a=?1<75f3d83>>o383:17d?72;29?l5e2900c<6::188yg4483;1:7>50z&5e?3>3-8i47<m1:&1g3<5l;1/>n>5309'3`<d3A<<7c<j2;32<>o3=3:17d=j:188m16=831b=5<50;9j7g<722e:484?::a67`=93<1<7>t$7c91<=#:k21>o?4$3a5>7b53-8h<7=>;%5f>f=O>>1e>h<510;8m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c01a?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d8`?M003g8n>7?>a:k71?6=3`9n6=44i5294?=n9181<75f3c83>>i60<0;66sm23f95?0=83:p(;o5589'6g>=:k;0(?m9:3f1?!4d839:7)9j:b9K22=i:l81=<l4i5794?=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo<=c;392?6=8r.=m7;6;%0a<?4e92.9o;4=d39'6f6=;81/;h4l;I44?k4b:3;:o6g;5;29?l5b2900e9>50;9j5=4=831b?o4?::m2<0<722wi>=:51;494?6|,?k1945+2c:96g7<,;i=6?j=;%0`4?563-=n6>5G669m6`4=98n0e9;50;J;4>=n;l0;66g;0;29?l7?:3:17d=m:188k4>22900qo<<a;397?6=8r.9o;47f:&5e?74j2.9n54=b09K22=i:l81=<k4i6694?=n?<0;66a>4383>>{e:o:1=7=50;2x 7e121l0(;o51218 7d?2;h:7E88;o0f6?76n2c<87>5;h56>5<<g8>96=44}c0f`?7=;3:1<v*=c78;b>"1i3;8?6*=b981f4=O>>1e>h<51328m22=831b;84?::m207<722wi>:m51;794?6|,;i=65h4$7c9562<,;h36?l>;I44?k4b:3;9=6g84;29?l122900e:850;9j32<722e:8?4?::a62g=93?1<7>t$3a5>=`<,?k1=>;4$3`;>7d63A<<7c<j2;316>o0<3:17d9::188m20=831b;:4?::m207<722wi>:l51;694?6|,;i=65h4$7c9544<,;h36?l>;I44?k4b:3;9?6g84;29?l122900e:850;9l514=831vn9mm:087>5<7s-8h:76i;%4b>45>3-8i47<m1:J53>h5m;0:>95f7583>>o0=3:17d99:188k4252900qo<jb;390?6=8r.9o;47f:&5e?75?2.9n54=b09K22=i:l81=?;4i6694?=n?<0;66g86;29?j73:3:17pl=e882>1<729q/>n858g9'2d<6:11/>o652c38L31<f;o96<<9;h57>5<<a>?1<75f7783>>i6<;0;66sm26g95?5=83:p(?m9:9d8 3g=9:90(?l7:3`2?M003g8n>7?=7:k40?6=3`=>6=44o061>5<<uk82>7?53;294~"5k?03j6*9a;30e>"5j109n<5G669m6`4=9;20e::50;9j30<722e:8?4?::a63>=9391<7>t$3a5>=`<,?k1=>>4$3`;>7d63A<<7c<j2;31=>o0<3:17d9::188k4252900qo?m7;397?6=8r.9o;47f:&5e?74>2.9n54=b09K22=i:l81=?o4i6694?=n?<0;66a>4383>>{e9k91=7=50;2x 7e121l0(;o51248 7d?2;h:7E88;o0f6?75j2c<87>5;h56>5<<g8>96=44}c3a4?7=;3:1<v*=c78;b>"1i3;8:6*=b981f4=O>>1e>h<513a8m22=831b;84?::m207<722wi=lm51;194?6|,;i=65h4$7c9560<,;h36?l>;I44?k4b:3;9h6g84;29?l122900c<:=:188ygcc28086=4?{%0`2?>a3-<j6<=9;%0a<?4e92B=;6`=e3826`=n?=0;66g85;29?j73:3:17pl=4`82>1<729q/>n858g9'2d<6;01/>o652c38L31<f;o96<<i;h57>5<<a>?1<75f7783>>i6<;0;66sm26:95?2=83:p(?m9:9d8 3g=9;=0(?l7:3`2?M003g8n>7?<0:k40?6=3`=>6=44i6494?=h9=81<75rb355>4<3290;w)<l6;:e?!0f288<7)<m8;0a5>N1?2d9i?4>309j31<722c<97>5;h55>5<<g8>96=44}c040?7=<3:1<v*=c78;b>"1i3;9;6*=b981f4=O>>1e>h<51208m22=831b;84?::k42?6=3f;?>7>5;|`137<62=0;6=u+2b49<c=#>h0:>:5+2c:96g7<@?=0b?k=:010?l132900e:;50;9j33<722e:8?4?::a626=93>1<7>t$3a5>=`<,?k1=?94$3`;>7d63A<<7c<j2;300>o0<3:17d9::188m20=831d=9<50;9~f70b280?6=4?{%0`2?>a3-<j6<<8;%0a<?4e92B=;6`=e38270=n?=0;66g85;29?l112900c<:=:188yg41k3;187>50z&1g3<?n2.=m7?=7:&1f=<5j81C::5a2d09560<a>>1<75f7483>>o0>3:17b?;2;29?xd5>h0:694?:1y'6f0=0o1/:l4>269'6g>=:k;0D;94n3g1>4503`=?6=44i6794?=n??0;66a>4383>>{e9k21=7:50;2x 7e121l0(;o51038 7d?2;h:7E88;o0f6?7402c<87>5;h56>5<<a><1<75`15094?=zj8h?6<4;:183!4d>32m7)8n:032?!4e038i=6F97:l1a7<6;01b;94?::k41?6=3`==6=44o061>5<<uk;i=7?54;294~"5k?03j6*9a;325>"5j109n<5G669m6`4=9:k0e::50;9j30<722c<:7>5;n376?6=3th:mi4>:583>5}#:j<14k5+6`8254=#:k21>o?4H758j7c5289i7d9;:188m23=831b;;4?::m207<722wiih4>:583>5}#:j<14k5+6`8254=#:k21>o?4H758j7c5289h7d9;:188m23=831b;;4?::m207<722wi>>751;794?6|,;i=65h4$7c954`<,;h36?l>;I44?k4b:3;8h6g84;29?l122900e:850;9j32<722e:8?4?::a660=93?1<7>t$3a5>=`<,?k1=?<4$3`;>7d63A<<7c<j2;30a>o0<3:17d9::188m20=831b;:4?::m207<722wi=o751;794?6|,;i=65h4$7c9570<,;h36?l>;I44?k4b:3;8j6g84;29?l122900e:850;9j32<722e:8?4?::a5g3=93?1<7>t$3a5>=`<,?k1=?84$3`;>7d63A<<7c<j2;374>o0<3:17d9::188m20=831b;:4?::m207<722wi=o<51;794?6|,;i=65h4$7c9570<,;h36?l>;I44?k4b:3;?=6g84;29?l122900e:850;9j32<722e:8?4?::a5dc=93?1<7>t$3a5>=`<,?k1=?84$3`;>7d63A<<7c<j2;376>o0<3:17d9::188m20=831b;:4?::m207<722wiik4>:483>5}#:j<14k5+6`8263=#:k21>o?4H758j7c528>87d9;:188m23=831b;;4?::k43?6=3f;?>7>5;|`2<c<62:0;6=u+2b49<c=#>h0:?>5+2c:96g7<@?=0b?k=:067?l132900e:;50;9l514=831vn>:;:086>5<7s-8h:76i;%4b>44f3-8i47<m1:J53>h5m;0:885f7583>>o0=3:17d99:188m21=831d=9<50;9~f1gc280?6=4?{%0`2?>a3-<j6<=k;%0a<?4e92B=;6`=e38203=n?=0;66g85;29?l112900c<:=:188yg2fk3;197>50z&1g3<?n2.=m7?=a:&1f=<5j81C::5a2d09511<a>>1<75f7483>>o0>3:17d98:188k4252900qo:le;391?6=8r.9o;47f:&5e?7512.9n54=b09K22=i:l81=964i6694?=n?<0;66g86;29?l102900c<:=:188yg2dk3;197>50z&1g3<?n2.=m7?=e:&1f=<5j81C::5a2d0951?<a>>1<75f7483>>o0>3:17d98:188k4252900qo<;2;397?6=8r.9o;47f:&5e?74i2.9n54=b09K22=i:l81=9o4i6694?=n?<0;66a>4383>>{e:9;1=7;50;2x 7e121l0(;o513;8 7d?2;h:7E88;o0f6?73j2c<87>5;h56>5<<a><1<75f7683>>i6<;0;66sm22g95?2=83:p(?m9:9d8 3g=9;n0(?l7:3`2?M003g8n>7?;c:k40?6=3`=>6=44i6494?=h9=81<75rb362>4<2290;w)<l6;:e?!0f289h7)<m8;0a5>N1?2d9i?4>4e9j31<722c<97>5;h55>5<<a>=1<75`15094?=zj;>;6<4::183!4d>32m7)8n:006?!4e038i=6F97:l1a7<6<l1b;94?::k41?6=3`==6=44i6594?=h9=81<75rb320>4<3290;w)<l6;:e?!0f288h7)<m8;0a5>N1?2d9i?4>4g9j31<72A?>76g85;29?l112900c<:=:188yg2b<3;197>50z&1g3<?n2.=m7?<7:&1f=<5j81C::5a2d09506<a>>1<75f7483>>o0>3:17d98:18K10=<g8>96=44}c3f=?7==3:1<v*=c78;b>"1i3;9i6*=b981f4=O>>1e>h<51438m22=831b;84?::k42?6=3`=<6=44o061>5<<uk;n;7?54;294~"5k?03j6*9a;30<>"5j109n<5G669m6`4=9<80e::50;9j30<722c<:7>5;n376?6=3th?j?4>:483>5}#:j<14k5+6`8277=#:k21>o?4H758j7c528?87d9;:188m23=831b;;4?::k43?6=3f;?>7>5;|`7b5<62<0;6=u+2b49<c=#>h0:?n5+2c:96g7<@?=0b?k=:077?l132900e:;50;9j33<722c<;7>5;n376?6=3th?n94>:483>5}#:j<14k5+6`826d=#:k21>o?4H758j7c528?>7d9;:188m23=831b;;4?::k43?6=3f;?>7>5;|`7ga<62<0;6=u+2b49<c=#>h0:?:5+2c:96g7<@?=0b?k=:075?l132900e:;50;9j33<722c<;7>5;n376?6=3th?ol4>:483>5}#:j<14k5+6`826`=#:k21>o?4H758j7c528?<7d9;:188m23=831b;;4?::k43?6=@<?07b?;2;29?xd4=80:694?:1y'6f0=0o1/:l4>269'6g>=:k;0D;94n3g1>43?3`=?6=44i6794?=n??0;66a>4383>>{e;h;1=7;50;2x 7e121l0(;o513;8 7d?2;h:7E88;o0f6?7212c<87>5;h56>5<<a><1<75f7683>>i6<;0;66sm3`295?5=83:p(?m9:9d8 3g=9::0(?l7:3`2?M003g8n>7?:a:k40?6=@<?07d9::188k4252900qo=:3;390?6=8r.9o;47f:&5e?75?2.9n54=b09K22=i:l81=8l4i6694?=n?<0;66g86;29?j73:3:17pl<5682>1<729q/>n858g9'2d<6:>1/>o652c38L31<f;o96<;l;h57>5<<a>?1<75f7783>>i6<;0;66sm34795?2=83:p(?m9:9d8 3g=9;=0(?l7:3`2?M003g8n>7?:d:k40?6=3`=>6=44i6494?=h9=81<75rb53a>4<5290;w)<l6;5;?!4e038i=6*73;5b?M003g8n>7?:e:k47?6=3f;;j7>5;|`0a6<62;0;6=u+2b493==#:k21>o?4$9193d=O>>1e>h<514d8m25=831d==h50;9~f6c228096=4?{%0`2?1?3-8i47<m1:&;7?1f3A<<7c<j2;354>o0;3:17b??f;29?xd5no0:6?4?:1y'6f0=?11/>o652c38 =5=?h1C::5a2d09537<a>91<75`11d94?=zj;ln6<4=:183!4d>3=37)<m8;0a5>"?;3=j7E88;o0f6?71:2c<?7>5;n33b?6=3th9ji4>:383>5}#:j<1;55+2c:96g7<,191;l5G669m6`4=9?90e:=50;9l55`=831vn?hl:081>5<7s-8h:797;%0a<?4e92.3?79n;I44?k4b:3;=86g83;29?j77n3:17pl<0`82>7<729q/>n85799'6g>=:k;0(5=57`9K22=i:l81=;;4i6194?=h99l1<75rb22:>4<5290;w)<l6;5;?!4e038i=6*73;5b?M003g8n>7?96:k47?6=3f;;j7>5;|`04=<62;0;6=u+2b493==#:k21>o?4$9193d=O>>1e>h<51758m25=831d==h50;9~f66028096=4?{%0`2?1?3-8i47<m1:&;7?1f3A<<7c<j2;35<>o0;3:17b??f;29?xd48?0:6?4?:1y'6f0=?11/>o652c38 =5=?h1C::5a2d0953?<a>91<75`11d94?=zj=?=6<4=:183!4d>3=37)<m8;0a5>"?;3=j7E88;o0f6?71i2c<?7>5;n33b?6=3th8854>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;=n6g83;29?j77n3:17pl;5982>7<729q/>n8512d8 7d?2;h:7)6<:061?M003g8n>7?9c:k47?6=3f;;j7>5;|`7b1<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;35`>o0;3:17b??f;29?xd4<?0:6?4?:1y'6f0=9:l0(?l7:3`2?!>428>97E88;o0f6?71m2c<?7>5;n33b?6=3th?=44>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;=j6g83;29?j77n3:17pl=f782>7<729q/>n8512d8 7d?2;h:7)6<:061?M003g8n>7?80:k47?6=3f;;j7>5;|`1b1<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;345>o0;3:17b??f;29?xd5n:0:6?4?:1y'6f0=9:l0(?l7:3`2?!>428>97E88;o0f6?70:2c<?7>5;n33b?6=3th9j?4>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;<?6g83;29?j77n3:17pl=f082>7<729q/>n8512d8 7d?2;h:7)6<:061?M003g8n>7?84:k47?6=3f;;j7>5;|`707<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;341>o0;3:17b??f;29?xd3;l0:6?4?:1y'6f0=9:l0(?l7:3`2?!>428>97E88;o0f6?70>2c<?7>5;n33b?6=3th??l4>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;<;6g83;29?j77n3:17pl;3782>7<729q/>n8512d8 7d?2;h:7)6<:061?M003g8n>7?88:k47?6=3f;;j7>5;|`777<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;34=>o0;3:17b??f;29?xd3:l0:6?4?:1y'6f0=9:l0(?l7:3`2?!>428>97E88;o0f6?70i2c<?7>5;n33b?6=3th?>l4>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;<n6g83;29?j77n3:17pl;2782>7<729q/>n8512d8 7d?2;h:7)6<:061?M003g8n>7?8c:k47?6=3f;;j7>5;|`74g<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;34`>o0;3:1D5>4;n33b?6=3th?<44>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;<i6g83;29L=6<3f;;j7>5;|`74=<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;34b>o0;3:1D5>4;n33b?6=3th?<:4>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;3<6g83;29L=6<3f;;j7>5;|`743<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;3;5>o0;3:1D5>4;n33b?6=3th?<84>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;3>6g83;29L=6<3f;;j7>5;|`741<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;3;7>o0;3:1D5>4;n33b?6=3th?<>4>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;386g83;29L=6<3f;;j7>5;|`0b3<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;3;1>o0;3:17b??f;29?xd4n<0:6?4?:1y'6f0=9:l0(?l7:3`2?!>428>97E88;o0f6?7?>2c<?7>5;n33b?6=3th8j94>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;3;6g83;29?j77n3:17pl<f282>7<729q/>n8512d8 7d?2;h:7)6<:061?M003g8n>7?78:k47?6=3f;;j7>5;|`0b7<62;0;6=u+2b4956`<,;h36?l>;%:0>4253A<<7c<j2;3;=>o0;3:17b??f;29?xd4n80:6?4?:1y'6f0=9:l0(?l7:3`2?!>428>97E88;o0f6?7?i2c<?7>5;n33b?6=3th8j=4>:383>5}#:j<1=>h4$3`;>7d63-286<:=;I44?k4b:3;3n6g83;29?j77n3:17pl<eg82>7<729q/>n8512d8 7d?2;h:7)6<:061?M003g8n>7?7c:k47?6=3f;;j7>5;|`14d<62?0;6=u+6`86b>"5j109n<5+2b496a4<,;i;6?li;%5f>6=O>>1e>h<519f8m13=831b?h4?::k74?6=3`;3>7>5;h1a>5<<g82>6=44}c070?7=>3:1<v*9a;7e?!4e038i=6*=c781`7=#:j:1>oh4$6g97>N1?2d9i?4>8d9j00<722c8i7>5;h63>5<<a8296=44i2`94?=h91?1<75rb5g0>4<2290;w)<l6;:e?!0f288n7)<m8;0a5>N1?2d9i?4>8g9j31<722c<97>5H478?l112900e:950;9l514=831vn<k7:086>5<7s-8h:76i;%4b>44f3-8i47<m1:J53>h5m;0:5=5f7583>>o0=3:17d99:188m21=831d=9<50;9~f1`6280>6=4?{%0`2?>a3-<j6<=>;%0a<?4e92B=;6`=e382=4=n?=0;66g85;29?l112900e:950;9l514=831vn?<<:086>5<7s-8h:76i;%4b>44a3-8i47<m1:J53>h5m;0:5?5f7583>>o0=3:17d99:188m21=831d=9<50;9~f14a280?6=4?{%0`2?4c=2.9n54=b09K22=i:l81=4=4i6694?=n?<0;66g=b183>>i68o0;66sm42295?3=83:p(?m9:9d8 3g=98h0(?l7:3`2?M003g8n>7?64:k40?6=3`=>6=44i6494?=n?>0;66a>4383>>{e<:;1=7;50;2x 7e121l0(;o510:8 7d?2;h:7E88;o0f6?7>=2c<87>5;h56>5<<a><1<75f7683>>i6<;0;66sm42595?2=83:p(?m9:3f6?!4e038i=6F97:l1a7<61?1b;94?::k41?6=3`8i<7>5;n33b?6=3th??54>:483>5}#:j<14k5+6`8256=#:k21>o?4H758j7c5283<7d9;:188m23=831b;;4?::k43?6=3f;?>7>5;|`77<<62<0;6=u+2b49<c=#>h0:=h5+2c:96g7<@?=0b?k=:0;;?l132900e:;50;9j33<722c<;7>5;n376?6=3th??o4>:583>5}#:j<1>i;4$3`;>7d63A<<7c<j2;3:=>o0<3:17d9::188m7d72900c<>i:188yg24k3;197>50z&1g3<?n2.=m7?>d:&1f=<5j81C::5a2d095<g<a>>1<75f7483>>o0>3:17d98:188k4252900qo:<d;391?6=8r.9o;47f:&5e?76=2.9n54=b09K22=i:l81=4l4i6694?=n?<0;66g86;29?l102900c<:=:188yg25j3;187>50z&1g3<5l<1/>o652c38L31<f;o96<7l;h57>5<<a>?1<75f2c294?=h99l1<75rb50`>4<2290;w)<l6;:e?!0f28;j7)<m8;0a5>N1?2d9i?4>9e9j31<722c<97>5;h55>5<<a>=1<75`15094?=zj=8o6<4::183!4d>32m7)8n:03:?!4e038i=6F97:l1a7<61l1b;94?::k41?6=3`==6=44i6594?=h9=81<75rb51e>4<3290;w)<l6;0g1>"5j109n<5G669m6`4=90l0e::50;9j30<722c9n=4?::m24c<722wi89>51;794?6|,;i=65h4$7c9577<,;h36?l>;I44?k4b:3;j<6g84;29?l122900e:850;9j32<722e:8?4?::a017=93?1<7>t$3a5>=`<,?k1=<94$3`;>7d63A<<7c<j2;3b5>o0<3:17d9::188m20=831b;:4?::m207<722wi8>=51;694?6|,;i=6?j:;%0a<?4e92B=;6`=e382e7=n?=0;66g85;29?l4e83:17b??f;29?xd3;=0:684?:1y'6f0=0o1/:l4>179'6g>=:k;0D;94n3g1>4g43`=?6=44i6794?=n??0;66g87;29?j73:3:17pl;3482>0<729q/>n858g9'2d<6:=1/>o652c38L31<f;o96<o;;h57>5<<a>?1<75f7783>>o0?3:17b?;2;29?xd3:>0:694?:1y'6f0=:m?0(?l7:3`2?M003g8n>7?n5:k40?6=3`=>6=44i3`3>5<<g8:m6=44}c61<?7==3:1<v*=c78;b>"1i3;:86*=b981f4=O>>1e>h<51`48m22=831b;84?::k42?6=3`=<6=44o061>5<<uk>957?55;294~"5k?03j6*9a;317>"5j109n<5G669m6`4=9h=0e::50;9j30<722c<:7>5;h54>5<<g8>96=44}c626?7=:3:1<v*=c784<>"5j109n<5+8284e>N1?2d9i?4>a99j36<722e:<k4?::a`7<62;0;6=u+2b49<c=#>h0:?h5+2c:96g7<@?=0b?k=:0c:?l132900c<:=:188yg21j3;1>7>50z&1g3<?n2.=m7?<e:&1f=<5j81C::5a2d095dg<a>>1<75`15094?=zj=226<4=:183!4d>32m7)8n:01f?!4e038i=6F97:l1a7<6ik1b;94?::m207<722wi?:>51;094?6|,;i=65h4$7c956c<,;h36?l>;I44?k4b:3;jo6g84;29?j73:3:17pl>a182>7<729q/>n858g9'2d<6;l1/>o652c38L31<f;o96<ok;h57>5<<g8>96=44}c6fg?7==3:1<v*=c78;b>"1i3;9j6*=b981f4=O>>1e>h<51`g8m22=831b;84?::k42?6=3`=<6=44o061>5<<uk>nh7?54;294~"5k?09h85+2c:96g7<@?=0b?k=:0ce?l132900e:;50;9j6g6=831d==h50;9~f4ce280>6=4?{%0`2?>a3-<j6<?l;%0a<?4e92B=;6`=e382f5=n?=0;66g85;29?l112900e:950;9l514=831vn<kj:086>5<7s-8h:76i;%4b>4473-8i47<m1:J53>h5m;0:n<5f7583>>o0=3:17d99:188m21=831d=9<50;9~f4ca280?6=4?{%0`2?4c=2.9n54=b09K22=i:l81=o<4i6694?=n?<0;66g=b183>>i68o0;66sm25495?3=83:p(?m9:9d8 3g=98:0(?l7:3`2?M003g8n>7?m3:k40?6=3`=>6=44i6494?=n?>0;66a>4383>>{e:==1=7:50;2x 7e12;n>7)<m8;0a5>N1?2d9i?4>b59j31<722c<97>5;h0a4?6=3f;;j7>5;|`e0?7=13:1<v*=c781fg=#>h09no5+2c:96g7<,>o186F97:l1a7<6j<1b884?::k0a?6=@1:07d=m:188m71=831b>54?::k1e?6=3`8i6=44o0:6>5<<g82=6=44}c1f4?7=>3:1<v*9a;7:?!4e038i=6*=c781`7=#:j:1?<5+7d80?M003g8n>7?m6:k71?6=3`9n6=4G8198m16=831b=5<50;9j7g<722e:484?::ab4<6200;6=u+2b496gd<,?k1>ol4$3`;>7d63-=n6:5G669m6`4=9k=0e9;50;9j7`<722c8n7>5;h04>5<<a;21<75f2`83>>o5j3:17b?75;29?j7?>3:17pl=3282>3<729q/:l4:9:&1f=<5j81/>n852e08 7e72:;0(:k5c:J53>h5m;0:n55f4483>>o4m3:17d:?:188m4>52900e>l50;9l5=3=831vn9:<:085>5<7s-<j6874$3`;>7d63-8h:7<k2:&1g5<492.<i7=4H758j7c528h27d:::188m6c=83B3<65f4183>>o60;0;66g<b;29?j7?=3:17pl;4582>3<729q/:l4:9:&1f=<5j81/>n852e08 7e72:;0(:k53:J53>h5m;0:nl5f4483>>o4m3:1D5>4;h63>5<<a8296=44i2`94?=h91?1<75rb566>4<1290;w)8n:4;8 7d?2;h:7)<l6;0g6>"5k908=6*8e;18L31<f;o96<lm;h66>5<<a:o1<7F70:9j05<722c:4?4?::k0f?6=3f;397>5;|`0a4<62:0;6=u+2b49<c=#>h0:?=5+2c:96g7<@?=0b?k=:0``?l132900e:;50;9l514=831vn>ji:085>5<7s-<j6874$3`;>7d63-8h:7<k2:&1g5<492.<i7=4H758j7c528ho7d:::188m6c=83B3<65f4183>>o60;0;66g<b;29?j7?=3:17pl;e482>6<729q/>n858g9'2d<6;:1/>o652c38L31<f;o96<lj;h57>5<<a>?1<75`15094?=zj8oh6<4;:183!4d>32m7)8n:00a?!4e038i=6F97:l1a7<6jo1b;94?::k41?6=3`==6=44o061>5<<uk>i>7?55;294~"5k?03j6*9a;31e>"5j109n<5G669m6`4=9j:0e::50;9j30<722c<:7>5;h54>5<<g8>96=44}c1:`?7==3:1<v*=c78;b>"1i3;8;6*=b981f4=O>>1e>h<51b38m22=831b;84?::k42?6=3`=<6=44o061>5<<uk>n47?55;294~"5k?03j6*9a;30g>"5j109n<5G669m6`4=9j80e::50;9j30<722c<:7>5;h54>5<<g8>96=44}c0f<?7=93:1<v*=c78204=#0:0:8?5+8b8224=O>>1e>h<51b18m7d12900qo<ja;395?6=8r.9o;4>409'<6<6<;1/4n4>619K22=i:l81=n:4i3`5>5<<uk8no7?51;294~"5k?0:8<5+828207=#0j0::95G669m6`4=9j?0e?l9:188yg4bn3;1=7>50z&1g3<6<81/4>4>439'<f<6>:1C::5a2d095f0<a;h=6=44}c0e1?7=93:1<v*=c78204=#0:0:8?5+8b820<=O>>1e>h<51b58m7d12900qo<i7;395?6=8r.9o;474:&;7?1f3-2h6<8l;I44?k4b:3;h46a=b683>>{e:o21=7?50;2x 7e121>0(5=57`9'<f<6>m1C::5a2d095f?<g;h<6=44}c0e=?7=93:1<v*=c78;0>"?;3=j7)6l:04f?M003g8n>7?la:m1f2<722wi>kl51;394?6|,;i=65:4$9193d=#0j0::k5G669m6`4=9jh0c?l8:188yg5783;1=7>50z&1g3<?<2.3?79n;%:`>4303A<<7c<j2;3`g>i5j>0;66sm31395?7=83:p(?m9:968 =5=?h1/4n4>5e9K22=i:l81=nj4o3`4>5<<uk9;>7?51;294~"5k?0386*73;5b?!>d28?=7E88;o0f6?7dm2e9n:4?::a755=93;1<7>t$3a5>=2<,191;l5+8b821g=O>>1e>h<51bd8k7d02900qo=?5;395?6=8r.9o;474:&;7?1f3-2h6<:i;I44?k4b:3;o<6a=b683>>{e;=?1=7?50;2x 7e128>:7)6<:061?!>d28><7E88;o0f6?7c92c9n;4?::a711=93;1<7>t$3a5>4263-286<:=;%:`>4213A<<7c<j2;3g6>o5j?0;66sm3d095?7=83:p(?m9:968 =5=?h1/4n4>499K22=i:l81=i=4o3`4>5<<uk9n87?51;294~"5k?0386*73;5b?!>d28>j7E88;o0f6?7c<2e9n:4?::a7`0=93;1<7>t$3a5>4263-286<:=;%:`>4173A<<7c<j2;3g1>o5j?0;66sm3d595?7=83:p(?m9:062?!>428>97)6l:051?M003g8n>7?k6:k1f3<722wi?h651;394?6|,;i=6<:>;%:0>4253-2h6<9;;I44?k4b:3;o;6g=b783>>{e;l31=7?50;2x 7e128>:7)6<:061?!>d28=<7E88;o0f6?7c02c9n;4?::a7`g=93;1<7>t$3a5>4263-286<:=;%:`>41f3A<<7c<j2;3g=>o5j?0;66sm3d`95?7=83:p(?m9:062?!>428>97)6l:06f?M003g8n>7?ka:k1f3<722wi?hm51;394?6|,;i=6<:>;%:0>4253-2h6<;l;I44?k4b:3;on6g=b783>>{e;lo1=7?50;2x 7e128>:7)6<:061?!>d28>i7E88;o0f6?7ck2c9n;4?::a7c1=93;1<7>t$3a5>4263-286<:=;%:`>4323A<<7c<j2;3g`>o5j?0;66sm3g:95?7=83:p(?m9:062?!>428>97)6l:07b?M003g8n>7?ke:k1f3<722wi?ko51;394?6|,;i=6<:>;%:0>4253-2h6<;;;I44?k4b:3;oj6g=b783>>{e;oh1=7?50;2x 7e128>:7)6<:061?!>d28?87E88;o0f6?7b82c9n;4?::a7cb=93;1<7>t$3a5>4263-286<:=;%:`>4353A<<7c<j2;3f5>o5j?0;66sm3gg95?7=83:p(?m9:062?!>428>97)6l:072?M003g8n>7?j2:k1f3<722wi8=>51;394?6|,;i=6<:>;%:0>4253-2h6<;?;I44?k4b:3;n?6g=b783>>{e<981=7?50;2x 7e128>:7)6<:061?!>d28>o7E88;o0f6?7b<2c9n;4?::a05c=93;1<7>t$3a5>=2<,191;l5+8b821<=O>>1e>h<51d78k7d02900qo:>8;395?6=8r.9o;4>409'<6<6<;1/4n4>449K22=i:l81=h84i3`5>5<<uk>:m7?51;294~"5k?0386*73;5b?!>d28?n7E88;o0f6?7b?2e9n:4?::a04b=93;1<7>t$3a5>4263-286<:=;%:`>4003A<<7c<j2;3f<>o5j?0;66sm40g95?7=83:p(?m9:062?!>428>97)6l:045?M003g8n>7?j9:k1f3<722wi8<h51;394?6|,;i=6<:>;%:0>4253-2h6<8n;I44?k4b:3;nm6g=b783>>{e<;:1=7?50;2x 7e128>:7)6<:061?!>d28<37E88;o0f6?7bj2c9n;4?::a077=93;1<7>t$3a5>4263-286<:=;%:`>42d3A<<7c<j2;3fg>o5j?0;66sm43095?7=83:p(?m9:062?!>428>97)6l:046?M003g8n>7?jd:k1f3<722wi8?=51;394?6|,;i=6<:>;%:0>4253-2h6<8m;I44?k4b:3;ni6g=b783>>{e<;?1=7?50;2x 7e128>:7)6<:061?!>d28<27E88;o0f6?7bn2c9n;4?::a010=93;1<7>t$3a5>=2<,191;l5+8b8234=O>>1e>h<51g28k7d02900qo::7;395?6=8r.9o;4>409'<6<6<;1/4n4>5g9K22=i:l81=k?4i3`5>5<<uk>m?7?51;294~"5k?0:8<5+828207=#0j0:895G669m6`4=9o80e?l9:188yg22<3;1=7>50z&1g3<?<2.3?79n;%:`>4123A<<7c<j2;3e7>i5j>0;66sm44795?4=83:p(?m9:6:8 7d?2;h:7)6<:6c8L31<f;o96<h;;h50>5<<g8:m6=44}c665?7=93:1<v*=c78;0>"?;3=j7)6l:041?M003g8n>7?i5:m1f2<722wi88<51;094?6|,;i=6:64$3`;>7d63-286:o4H758j7c528l=7d9<:188k46a2900qo:;f;395?6=8r.9o;474:&;7?1f3-2h6<;7;I44?k4b:3;m;6a=b683>>{e<<:1=7<50;2x 7e12>20(?l7:3`2?!>42>k0D;94n3g1>4`?3`=86=44o02e>5<<uk>?h7?51;294~"5k?0386*73;5b?!>d28=27E88;o0f6?7a12e9n:4?::a01c=9381<7>t$3a5>2><,;h36?l>;%:0>2g<@?=0b?k=:0db?l142900c<>i:188yg23j3;1=7>50z&1g3<?<2.3?79n;%:`>41?3A<<7c<j2;3ef>i5j>0;66sm45a95?4=83:p(?m9:6:8 7d?2;h:7)6<:6c8L31<f;o96<hl;h50>5<<g8:m6=44}c67=?7=93:1<v*=c78;0>"?;3=j7)6l:055?M003g8n>7?id:m1f2<722wi89o51;094?6|,;i=6:64$3`;>7d63-286:o4H758j7c528ln7d9<:188k46a2900qo:;7;395?6=8r.9o;474:&;7?1f3-2h6<9<;I44?k4b:3;mj6a=b683>>{e<=21=7<50;2x 7e12>20(?l7:3`2?!>42>k0D;94n3g1>7673`=86=44o02e>5<<uk>:87?52;397~"5k?0<>6F=c59m6`4=:9;0e?>::088k7632800n?>j:187>5<7s-8h:7<k1:&1f=<5j81C::5a2d09654<a>>1<75f7483>>o5j90;6E6?;:m24c<722wx>=;50;0xZ762348;i79;;|q141<72;qU>=:4=32f>46a3ty9<k4?:0y>65c=:k:0qpl;1482>7<62:q/>n85739K6f2<f;o96?><;h17g?7=3f9?n7?5;c17`?6=<3:1<v*=c781`4=#:k21>o?4H758j7c52;:?7d9;:188m23=831b>o>50;J;4>=h99l1<75rs26`>5<5sW9?o63<4e840>{t;=h1<7<t^26a?853l3;;j6s|35g94?7|5:>o6?l?;|a040=9381=7=t$3a5>24<@;i?7c<j2;031>o39;0:66a;0g82>>d3990;694?:1y'6f0=:m;0(?l7:3`2?M003g8n>7<?6:k40?6=@<?07d9::188m7d7290C4=54o02e>5<<uz>:>7>52z\757=:<8:1;95rs52e>5<5sW>;j63;11824c=z{=;:6=4>{<624?4e82wvn9?8:081>4<4s-8h:79=;I0`0>h5m;09<:5f4`d95?=h<ho1=75m4c294?2=83:p(?m9:3f2?!4e038i=6F97:l1a7<5811b;94?::k41?6=3`8i<7>5H928?j77n3:17p};ag83>7}Y<hl019l?:668yv2fm3:1>vP;ad9>0g6=99l0q~:m1;295~;3j909n=5r}c60>4<7290;wE<kb:&1g3<3:2B9o?5G11g8j7c52;:27pl>8882>5<729qC>ij4$3a5>4>?3A8h>6F>0d9m6`4=:9k0q~<61;296~;5190?963=938207=z{=:m6=4<4z?1=5<4m278>>4<e:?061<4m278>k4<e:?071<4m278?84<e:?073<4m278?:4<e:?07=<4m278?44<e:?07d<4m278>84<e:?063<4m278>:4<e:?06=<4m278>44<e:?06d<4m278>o4<e:?06f<4m278>i4<e:?06`<4m278?=4<e:?074<4m278??4<e:?076<4m27?mh4<e:?0f6<4m278n?4<e:?0f4<4m278no4<e:?0fd<4m278n44<e:?0f=<4m278n:4<e:?0f3<4m278n84<e:?0f1<4m278n=4<e:?0ec<4m279?:4<e:?171<4m27:i84<e:?2a1<4m27:i>4<e:?2a7<4m27:i<4<e:?2a5<4m27:hk4<e:?2``<4m27:hi4<e:?141<4m27?=;4;0g9~w7`a290iw0<60;63?844?3>;70<<4;63?844n3>;70<<c;63?84303>;70<i0;56?87?n3=>70<if;33b>;5<=0?<63=22842>{t:1l1<7kt=3;3>4>23483;7=j;<0;<?5b348357=j;<0;e?5b3483n7=j;<0;g?5b3483h7=j;<0;a?5b3482>79;;<00=?123488:798;<3;b?133489?79:;|q1=1<72;q6??=5449>`6<68o1v>>m:181855;3;3963k2;57?xu5i90;6?u2336900=:ll0:<k5rs235>5<4s49987?75:?a=?4e827oi766;|q1e3<72;q6??h5449>a1<68o1v>?m:180855n3;3963l1;0a4>;b<3227p}=a983>7}:;:>18852e4824c=z{:;h6=4<{<100?7?=27h?7<m0:?f1?>>3ty9ml4?:3y>763=<<16i;4>0g9~w67c2908w0=<5;3;1>;d=38i<63j6;::?xu5ik0;6?u2324900=:m>0:<k5rs23f>5<4s498:7?75:?`3?4e827n;766;|q1ef<72;q6?>95449>a=<68o1v>?i:180854?3;3963l9;0a4>;b03227p}=ae83>7}:;:218852e8824c=z{:8;6=4<{<10<?7?=27hn7<m0:?f=?>>3ty9mh4?:3y>76?=<<16il4>0g9~w6462908w0=<9;3;1>;dl38i<63ja;::?xu5io0;6?u232c900=:mk0:<k5rs201>5<4s498m7?75:?`b?4e827nn766;|q1=3<72;q6??;5449>`1<68o1v>>l:180855=3;3963n5;0a4>;c<3227p}=9683>7}:;;<18852d4824c=z{::o6=4<{<112?7?=27j;7<m0:?g1?>>3ty9554?:3y>771=<<16h;4>0g9~w66b2908w0==7;3;1>;f138i<63k6;::?xu5100;6?u233:900=:l>0:<k5rs22e>5<4s49947?75:?bf?4e827o;766;|q1=d<72;q6??75449>`=<68o1v>??:18085513;3963nd;0a4>;c03227p}=9c83>7}:;;k18852d8824c=z{:;:6=4<{<11e?7?=27jj7<m0:?g=?>>3ty95n4?:3y>77d=<<16hl4>0g9~w6752908w0==b;3;1>;e938i<63ka;::?xu51m0;6?u233a900=:lk0:<k5rs230>5<4s499o7?75:?a7?4e827on766;|q1=`<72;q6??j5449>`f<68o1v>?;:180855l3;3963m5;0a4>;ck3227p}=9g83>7}:;;o18852de824c=z{:;>6=4<{<11a?7?=27i;7<m0:?g`?>>3ty9m?4?:3y>766=<<16hk4>0g9~w6702908w0=<0;3;1>;ej38i<63kf;::?xu5i:0;6?u2323900=:m90:<k5rs23;>5<4s498=7?75:?a`?4e827n<766;|q1e1<72;q6?><5449>a4<68o1v>?6:180854:3;3963mf;0a4>;b93227p}=a483>7}:;:918852e3824c=z{:;j6=49{<107?7?=278?k4<e:?005<4m2788<4<e:?007<4m27n>766;|q07g<72mq6?>h5449>76`=91?01hj5749>a`<0>27nj79;;<170?1334>8<798;<60<?1034>8o799;<61g?1034>?<798;<600?1134>94798;|q006<72<q6?>h5419>716=<916?9?5419>714=<916?9:51508yv4f93:1>v3<41871>;bl3;?>6s|32a94?e|5:>;6<6:;<gg>22<5lo1;952eg842>;4<=0<:63;31841>;3;10<963;3b841>;3:j0<:63;41842>;3;=0<863;29842>{t:h=1<7<t=262>13<5lo1=9<4}r10`?6=jr788<4>849>a`<0=27nj798;<170?1034>8<799;<60<?1334>8o79;;<61g?1234>?<79;;<600?1034>9479:;|q1e<<72;q6?9<5449>ac<6<;1v>=j:18b853:3;3963jf;56?853<3=>70:<0;57?82403==70:<c;54?825k3=?70:;0;56?824<3=>70:=8;57?xua>3:1ov3n4;65?82213>=70:87;65?82bm3>>70:ne;66?85203>=70?60;65?87ei3>>70<?a;66?8`32;=01k?5269>015=<<1vk;50;6:8g32:i01i=53b9>f<<3>27h=7:9;<a0>10<5j?18;52c6872>;d13>=70mm:5489fb=<?16ok4;6:?b1?2134k<6984=`;903=:ik0?:63nd;65?8ga2=<01o?5479>f6<3>27i97:9;<`4>10<5kh18;52be872>;en3>=70:98;65?821>3>=70:94;65?821:3>=70:90;65?822m3>=70::c;65?822i3>=70:9c;1`?822139h70:76;65?82?<3>=70:72;65?82?83>=70:8e;65?820k3>=70:8a;65?82003>=70:7a;1`?820?39h70=:b;65?85213>=70=9d;65?851j3>=70=99;65?851?3>=70=95;65?851;3>=70=91;65?852n3>=70=:d;65?850939h70=:8;1`?87>l3>=70?6b;65?87>13>=70?67;65?87>=3>=70?63;65?87>93>=70?n1;1`?87>839h70:jd;56?8`32;201k:52`9>b1<5j27m=7<7;<d2>7g<5o;1>o5rse394?5|5h>1>o>4=e19<<=:l;0:8?5rs`694?5|5h>1==h4=c;97f=:ll08o6s|b883>6}:j00:<k52c080g>;b<39h7p}l1;297~;d93;;j63l3;1`?8c22:i0q~m<:1808e428:m70m::2a89`0=;j1vn;50;1x9f3=99l01n953b9>a2<4k2wxo:4?:2y>g2<68o16o44<c:?f<?5d3tyh57>53z?`=?77n27hn7=l;<g:>6e<uzii6=4<{<aa>46a34io6>m4=dc97f=z{jn1<7=t=bf955`<5jl1?n52ec80g>{tko0;6>u2cg824c=:i<08o63k4;1`?xuf=3:1?v3n5;33b>;f?39h70j::2a8yvg02908w0o8:02e?8g>2:i01i853b9~wd?=839p1l7511d89dd=;j16h:4<c:peg<72:q6mo4>0g9>ea<4k27o47=l;|qb`?6=;r7jh7??f:?bb?5d34n26>m4}rce>5<4s4km6<>i;<`2>6e<5mk1?n5rsc394?5|5k;1==h4=c197f=:lk08o6s|b283>6}:j:0:<k52b480g>;ck39h7p}m5;297~;e=3;;j63m7;1`?8bc2:i0q~l8:1808d028:m70lm:2a89a`=;j1vol50;1x9gd=99l01oj53b9>a5<4k2wxni4?:2y>fa<68o16nk4<c:?f5?5d3tyij7>52z?ab?77n27n>7=l;|q157<72:q6>595449>64g=91?01>h9:618yv7ei3:1mv3=8680f>;50108n63=8880f>;50h08n63=8c80f>;50j08n63=8e80f>;50l08n63>b`82<0=::081;85rs35e>5<4s483;7?75:?13d<0?279:l485:p645=839p1?67:578977e282>70=i5;50?xu5090;6>u229:95=3<5;=j6:84=34`>23<uz8:87>53z?1<<<3=279=n4>849>7c2=?:1v?6>:18084?13;3963=7`841>;5>l0<96s|20794?5|5;2j69;4=33g>4>2349m?79<;|q1<7<72:q6>5o51978971f2>>01?9?:678yv46>3:1?v3=8c871>;59l0:48523g0936=z{;286=4<{<0;f?7?=279;n487:?137<0=2wx><950;1x97>d2=?01??i:0:6?85a93=87p}=8583>6}::1i1=5;4=35`>20<5;=?6:;4}r02<?6=;r794i4;5:?165<60<16?k>5729~w7>22908w0<7d;3;1>;5?j0<963=77841>{t:831<7=t=3:f>13<5;8:6<6:;<1fb?143ty94;4?:2y>6=c=91?01?9l:668971?2>?0q~:me;293~;3?<03563;c982<0=:<jh1;9524bg932=:<o81;;524c6932=:<jk1;;5rs54;>5<5s4><97=l;<65<?77n2wx8lo50;0x911228:m70:l8;66?xu3jm0;6:u24669<<=:<?21>o>4=5a4>4>234>hn79:;<6`g?1234>m<799;<6a6?133ty?:;4?:2y>022=;j168;653b9>030=99l0q~:n8;296~;3?=0:<k524b5900=z{=hh6=48{<647?>>34>=:7<m0:?7g3<60<168nl5779>0fe=??168k>5749>0g4=?>1v98;:180820;39h70:96;1`?821<3;;j6s|4`494?4|5==86<>i;<6`2?223ty?no4?:4y>024=00168;:52c2891e2282>70:i0;54?82dl3=?7p};6383>6}:<>81?n5247697f=:<?81==h4}r6b0?6=:r7?;?4>0g9>0f3=<<1v9ln:184820932270:92;0a4>;3k=0:48524bg931=:<o:1;9524c6933=:<jk1;95rs543>5<4s4><=7=l;<656?5d34>=<7??f:p0d4=838p199>:02e?82d<3>>7p};b883>0}:<>:1445247296g6<5=i86<6:;<6e6?1234>hh79:;|q71`<72:q68:>53b9>036=;j1688k511d8yv2f83:1>v3;71824c=:<j91885rs5`;>5<1s4>=j766;<66a?4e827?o?4>849>0fc=?<168k<5769>0g4=??1v9;l:180821n39h70::e;1`?822k3;;j6s|48g94?4|5=<m6<>i;<6`6?223ty?n:4?:6y>03c=001688m52c2891e6282>70:le;55?82e<3=?70:la;56?82a93==7p};5`83>6}:<?o1?n5244a97f=:<<k1==h4}r6:g?6=:r7?:h4>0g9>0f7=<<1v9l9:186821l32270::a;0a4>;3k90:48524bf933=:<o;1;85rs57:>5<4s4>=h7=l;<66e?5d34>>57??f:p0<g=838p198k:02e?82d83>>7p};6`83>6}:<?i1445244;96g6<5=<i6<:=;|q7==<72;q68;m511d891da2=?0q~:k8;291~;31:03563;e382<0=:<hi1;;524df96g6<5=o36::4}r6;2?6=:r7?5>4<c:?7<3<68o1v9om:18182>;3;;j63;e3871>{t<m=1<78t=5;1>=?<5=2=6?l?;<6f5?7?=27?mi485:?7af<0?27?i5487:p0=2=839p197=:2a891>12:i0196;:02e?xu3i00;6?u2480955`<5=o:69;4}r6g2?6=<r7?5<479:?7<1<5j9168h>5197891c22>?0q~:72;297~;31808o63;8580g>;30;0:<k5rs5c4>5<5s4>2=7??f:?7a5<3=2wx8i;50;6x91?72130196=:3`3?82cn3;3963;e5841>{t<1:1<7=t=5;3>6e<5=296>m4=5:3>46a3ty?m84?:3y>0<6=99l019ji:578yv2c<3:1:v3;8g8;=>;30909n=524eg95=3<5=ko6::4=5g`>20<5=o36:;4}r64a?6=;r7?4k4<c:?7<5<4k27?;h4>0g9~w1g42909w0:7f;33b>;3ll0?96s|4e194?2|5=2n6574=55f>7d734>oh7?75:?7a0<0<2wx8:m50;1x91>b2:i0199j:2a8911d28:m7p};a083>7}:<1o1==h4=5fg>13<uz>o>7>56z?7<a<?127?;n4=b19>0ae=91?019ok:64891c42><019kl:678yv20i3:1?v3;8e80g>;3?j08o63;7`824c=z{=3m6=4={<6;`?77n27?hn4;5:p0a7=83>p196l:9;8911f2;h;70:kb;3;1>;3m=0<:6s|46:94?5|5=2h6>m4=55b>6e<5==36<>i;|q7=a<72;q685m511d891be2=?0q~:k0;290~;30k03563;7981f5=:<mk1=5;4=5g7>22<uz><;7>53z?7<g<4k27?;54<c:?732<68o1v97m:18182?j3;;j63;d`871>{t<121<7=t=5:b>=?<5==<6?l?;<6;=?73:2wx84750;0x91>f28:m70:k9;66?xu3il0;6<8t=5g1>6c<5=o:6>k4=5g3>6c<5=nm6>k4=5ff>6c<5=no6>k4=5f`>6c<5=ni6>k4=5fb>6c<5=n26>k4=5a;>6c<5=i<6>k4=5a5>6c<5=i>6>k4=5a7>6c<5=i86>k4=5a1>6c<5=i:6>k4=5a3>6c<5=hm6>k4=5gf>6c<5=;<69oj;|q7a6<72kq68h<5419>0`7=<9168h>5419>0a`=<9168ik5419>0ab=<9168im5419>0ad=<9168io5419>0a?=<9168h=51508yv2bm3:1ov3;e380f>;3m808n63;e180f>;3lo08n63;dd80f>;3lm08n63;db80f>;3lk08n63;d`80f>;3l008n63;ed82<0=:<l21;;5rs5ae>5<3s4>o57?75:?7ef<0=27?i>484:?7<<<0<2wx8n750;`x91e?2=:019m8:52891e12=:019m::52891e32=:019m<:52891e52=:019m>:52891e72=:019li:52891ef28>97p};b483>1}:<kl1=5;4=5d1>22<5=io6:94=54a>22<uz>nj7>52z?7a`<3827?j<4>439~w1ga2908w0:ne;63?82fm3;3963;1687ec=z{:k>6=47{<140?>>349i?7?75:?1ag<0>279i4485:?014<0<2789>484:?012<0<27898484:p70d=838p1>9;:2a8963e28:m7p}<8383>7}:;>>1==h4=2`0>13<uz9j87>53z?036<?12789o4=b19>7g4=91?0q~=:9;297~;4?:08o63<5c80g>;4=00:<k5rs2:2>5<5s49<?7??f:?0f7<3=2wx?l=50;1x961521301>;6:3`3?85e93;396s|37f94?5|5:=96>m4=27:>6e<5:<o6<>i;|q0<5<72;q6?:<511d896d62=?0q~=ne;297~;4?l03563<6e81f5=:;kh1=5;4}r15f?6=;r78;h4<c:?02a<4k278:o4>0g9~w6>e2909w0=8e;33b>;4jk0?96s|3`f94?5|5:=o6574=24a>7d7349im7?75:p73?=839p1>9k:2a8960e2:i01>86:02e?xu40h0;6?u236f955`<5:hj69;4}r1bg?6=;r78;n479:?02<<5j916?o751978yv51?3:1?v3<7b80g>;4>008o63<66824c=z{:226=4={<14g?77n278n44;5:p7dd=839p1>9m:9;896002;h;70=m8;3;1>{t;??1<7=t=25a>6e<5:<<6>m4=246>46a3ty8454?:3y>72d=99l01>l7:578yv5fi3:1?v3<7`8;=>;4><09n=523c595=3<uz9=?7>53z?03d<4k278:84<c:?026<68o1v>68:181850i3;;j63<b6871>{t;h31<7=t=25:>=?<5:<86?l?;<1a2?7?=2wx?;?50;1x961>2:i01>8<:2a8960628:m7p}<8783>7}:;>31==h4=2`5>13<uz9j47>57z?03=<?1278:<4=b19>7g3=91?01>:i:2g8962e2:o01>:6:2g8962f2:o0q~=:f;297~;4?108o63<6080g>;4=o0:<k5rs2:6>5<5s49<47??f:?0f0<3=2wx?l950;1x961021301>;i:3`3?85e<3;396s|34f94?5|5:=<6>m4=27e>6e<5:?o6<>i;|q0<1<72;q6?:9511d896d32=?0q~=n6;297~;4??03563<5e81f5=:;k:1=5;4}r16<?6=;r78;;4<c:?01a<4k278954>0g9~w6>42909w0=86;33b>;4j90?96s|37d94?5|5:=:6574=27;>7d7349<<7?;2:p72`=838p1>9>:02e?85fn3>>7p}<a383>7}:;hl1=5;4=253>22<uz9h87>53z?0=g<3=278oh4>849>7fb=<<1v>:m:1814~;41k08i63<9`80a>;41008i63<9980a>;41>08i63<9780a>;41<08i63<9580a>;4ll08i63<de80a>;4lj08i63<dc80a>;4lh08i63<d880a>;4l108i63<c680a>;4ko08i63<cd80a>;4km08i63<cb80a>;4kk08i63<c`80a>;4k008i63<c980a>;4k?08i63i4;1f?85b839n70:;3;1f?823<39n70:;5;1f?85cn39n70:>5;17f>{t<=81<7<;{<1:f?273492m7:?;<1:=?27349247:?;<1:3?273492:7:?;<1:1?27349287:?;<1ga?27349oh7:?;<1gg?27349on7:?;<1ge?27349o57:?;<1g<?27349h;7:?;<1`b?27349hi7:?;<1``?27349ho7:?;<1`f?27349hm7:?;<1`=?27349h47:?;<1`2?27349?j7:?;<17f?27349?57:?;<17e?27348n5799;<0eg?77n27?8?483:?706<3827?894;0:?700<38278hk4;0:p7<e=833p1>7m:2`896?f2:h01>76:2`896??2:h01>78:2`896?12:h01>7::2`896?32:h01>o?:061?xu41:0;6?u238`95=3<5:?<6:84}r1`7?6=;r785l4;5:?0ga<60<16?nm5449~w6?52909w0=6a;3;1>;4=<0<:6s|3b094?5|5:3269;4=2a`>4>2349hn7::;|q0=4<72;q6?475197896342><0q~=l1;297~;4110?963<cc82<0=:;jk1885rs2;3>5<5s49247?75:?014<0>2wx?n>50;1x96?02=?01>mn:0:6?85d13>>7p}<8g83>7}:;0=1=5;4=274>23<uz9ij7>53z?0=3<3=278o44>849>7f>=<<1v>6j:18185>>3;3963<54841>{t;ko1<7=t=2;6>13<5:i36<6:;<1`2?223ty84i4?:3y>7<3=91?01>;<:678yv5el3:1?v3<95871>;4k?0:4852f5871>{t;1i1<7<t=2;7>4>2349>=79:;|q0`2<72:q6?ik5449>7d7=?>16?ih51978yv5c>3:1?v3<dd82<0=:;mn188523`3933=z{:n>6=4<{<1g`?7?=278hn4;5:?0e4<0=2wx?i:50;1x96bd282>70=kb;66?85f93=?7p}<d283>6}:;mh1=5;4=2fb>13<5:3o6:94}r1g6?6=;r78hl4>849>7a?=<<16?4j5779~w6b62908w0=k9;3;1>;4l10?963<9e841>{t;m:1<7<t=2f;>4>23492h79;;|q00c<72;q6?n95449>71`=91?0q~=mc;296~;4k>0:48523bd900=z{:i>6=4={<1`b?7?=278oh4;5:p71?=838p1>:i:578962>282>7p}<4`83>7}:;=h1885235c95=3<uz9?o7>52z?00g<60<168<;535a8yv5b=3:1>v3<48871>;4m<0:<k5rs2g0>5<5s49?m7::;<1f7?77n2wx>>650;0x97502=?01?=6:061?xu5;>0;69u222595=3<5;9j6::4=31:>20<5;9=6::4}r001?6=:r79?94;5:?173<6<;1v?=;:186844<3;3963>d280a>;5;h0<963=38840>;5;?0<:6s|25294?4|5;9m69;4=363>4253ty9<94?:33x975a2:o01?=l:2g894`02:o01<h9:2g894`22:o01<h;:2g8976c2:o01?>l:2g8976e2:o01<hi:2g894`b2:o01<hk:2g894`d2:o01<ln:2g897462:o01?<?:2g8977a2:o01??j:2g8977c2:o01??l:2g8977e2:o01??n:2g897552:o01?=>:2g897572:o01?<i:2g8974b2:o01?<k:2g8974d2:o01?>n:2g89c7=;l16>>=53d9>042=:9>0q~<<f;29=~;5;o0:4852250931=::9;1;95222g931=::=:1;952211930=::;91;:521d`933=:9lo1;;5rs31g>5<5s488o7::;<00a?73:2wx>>m50;;x975d282>70<;2;56?84793=>70<<e;55?84383==70<?3;55?845;3=?70?jb;57?87bm3=?7p}>db83>6}:9h3144521d795=3<58o26:;4}r3:`?6=:r7:m44<c:?2=a<68o1v<j=:18187f13;;j63>e4871>{t9mh1<7:t=0c;>=?<583o6?l?;<3f0?7?=27:i:486:p5<d=839p1<o7:2a894?c2:i01<7m:02e?xu6l80;6?u21`:955`<58o?69;4}r3ge?6=<r7:m:479:?2=g<5j916=h=5197894c02>>0q~?69;297~;6i>08o63>9c80g>;6100:<k5rs0f3>5<5s4;j;7??f:?2a6<3=2wx=i750;6x94g121301<76:3`3?87b:3;3963>e8843>{t90=1<7=t=0c5>6e<58326>m4=0;4>46a3ty:ok4?:3y>5d0=99l01<k=:578yv7c03:18v3>a48;=>;61>09n=521d395=3<58o36:94}r3:1?6=;r7:m84<c:?2=2<4k27:584>0g9~w4eb2909w0?n5;33b>;6m80?96s|1e594?2|58k?6574=0;6>7d734;n<7?75:?2a=<0>2wx=4=50;1x94g32:i01<7::2a894?428:m7p}>c883>7}:9h>1==h4=0g3>13<uz;o:7>54z?2e6<?127:5>4=b19>5a`=91?01<k8:678yv7>93:1?v3>a280g>;61:08o63>90824c=z{8i?6=4={<3b7?77n27:hk4;5:p5a3=83>p1<o=:9;894?62;h;70?ke;3;1>;6m00<:6s|18294?5|58k96>m4=0;2>6e<583;6<>i;|q2fc<72;q6=l<511d894bb2=?0q~?6f;297~;6i803563>9181f5=:9h:1=9<4}r3af?6=:r7:m<4>0g9>5ab=<<1v<mk:181842:3>>70?m9;376>{t:931<7?={<066?5b348>=7=j;<064?5b348?j7=j;<03`?7?=279854<e:?123<4m279:84<e:?121<4m279:>4<e:?127<4m279:<4<e:?125<4m2799k4<e:?11`<4m2799i4<e:?2f0<0=279894<e:p61>=83kp1?;=:52897362=:01?;?:528972a2=:01?:7:0:6?840m3=?70<;a;56?84413=<70<<6;56?843<3;3>6s|25g94?5|5;?96<6:;<3a=?12348?;7<m0:p5f>=838p1?;>:57894d?28>97p}=4e83>1}::<;1=5;4=0`;>23<58h26:94=365>21<uz;h?7>52z?115<3=27:n:4>439~w72d290>w0<:0;3;1>;6j>0<863>b9840>;6j00<:63=47842>{t:=h1<78t=36e>13<5;>m6<6:;<3a3?1234;i4799;<3a=?13348?:79;;|q2gf<72;q6=k95449>5dc=9=80q~?ja;291~;6n>0?<63>f7874>;6n<0?<63>f5874>;6mo0:<k5rs0d0>5<2s4;m;7?75:?2e`<0=279<<486:?2ag<0?27:ih487:p5f1=838p1<h9:57894gc28>97p}>f383>1}:9o<1=5;4=0cg>23<58kn6:94=0g`>20<uz;h>7>52z?2b0<3=27:mn4>439~w4`6290>w0?i5;3;1>;6ij0<863>ae840>;6il0<:63>eb841>{t9o:1<78t=0d7>13<58l?6<6:;<3bg?1234;jh799;<3ba?1334;no79;;|q2gg<72;q6>=j5449>5g3=9=80q~?l6;296~;58j0?963>b58207=z{;:36=4<{<03g?7?=27:n9485:?2f0<0?2wx=n?50;0x976e2=?01<l<:061?xu58>0;69u221`95=3<58h86::4=0`7>22<58h>6:84}r3`e?6=:r7:jk4;5:?2f7<6<;1v?>=:18687an3>;70?ie;63?87al3>;70?ic;63?847;3;?>6s|21294?c|58lm6>l4=0df>6d<58lo6>l4=0d`>6d<5;996>l4=312>6d<5;9;6>l4=30e>6d<5;8n6>l4=30g>6d<5;8h6>l4=322>42534l:6>l4=310>6d<uz;mn7>53z?2bc<60<16=o<5749>617=?=1v<m::18187am3>>70?m1;376>{t9ok1<7:t=0df>4>234;i=79:;<3a6?10348?=79:;|q2g5<72;q6=kj5449>5g6=9=80q~?i9;291~;6nm0:48521c2931=:9k;1;9521c0933=::=;1;;5rs0d;>5<1s4;mo7::;<3eg?7?=27:n=485:?2f4<0>27:n?484:?104<0?2wx=h850;`x94c22=:01<k;:52894c42=:01<k=:52894c62=:01<k?:52894ba2=:01<jj:52894bc2=:01?>;:2`894c?28>97p}>d583>6}:9mn1=5;4=0g:>22<58k;6::4}r07=?6=:r79854;5:?10d<6<;1v?=m:18187c;3>>70<<a;376>{t9m91<7<t=0f0>4>2348?m799;|q2<`<72;q6=oo5419>5=`=9=80q~?7d;296~;6jh08n63=438207=z{;8i6=4<{<015?223488>7::;<007?7?=2wx>?<50;;x97462:h01?<?:2`8977a2:h01??j:2`8977c2:h01??l:2`8977e2:h01??n:2`8974428>97p}=2`83>6}::;:1885222095=3<5;9:69;4}r01=?6=;r79=k4;5:?174<60<16>>>5449~w74?2908w0<>e;66?84483;3963=2g871>{t:;=1<7=t=33g>13<5;8m6<6:;<01a?223ty9>;4?:2y>64e=<<16>?k51978974c2=?0q~<=5;297~;59k0?963=2e82<0=::;i1885rs307>5<5s48:m7::;<01g?7?=2wx>:750;0x97012=?01?9m:061?xu5?m0;6ou227497g=::??1?o5227697g=::?91?o5227097g=::?;1?o5227297g=::<l1?o5224g97g=::<n1?o5226g9514<uz8>o7>52z?123<60<16>:65779~w7102909w0<95;66?84003;?>6s|24`94?4|5;<>6<6:;<042?113ty9;84?:3y>632=<<16>:851508yv42i3:1>v3=6582<0=::>>1;;5rs350>5<5s48=?7::;<040?73:2wx>8750;0x9704282>70<82;55?xu5?80;6?u2270900=::>81=9<4}r06<?6=:r79:?4>849>626=??1v?8i:18184193>>70<80;376>{t:<=1<7<t=342>4>2348=i799;|q12a<72;q6>;>5449>63c=9=80q~<:6;296~;5>90:485227a933=z{;<i6=4={<06b?22348=o7?;2:p603=838p1?;i:0:6?841i3==7p}=6883>7}::<o1885227c9514<uz8>87>52z?11`<60<16>;65749~w7002909w0<:d;66?84103;?>6s|24194?4|5;?o6<6:;<66<?143ty9<84?:2y>652=<<16>=:5197891732;:>7p};5783>f}::o:1;9522df931=::lh1;9522d;931=:<<<1==h4=50e>7d734>8;7<m0:?77g<5j9168?l52c28915a2;h;70:<3;0a4>;3:>09n=5rs3d7>5<5s48m<7?;2:?1b1<0;2wx>kk50;0x97cc2>?01?hj:02e?xu5n:0;6?u22df9514<5;l86:=4}r04g?6=:r79;n4>439>62d=?=1v?9n:181840i3;?>63=7c841>{t:=>1<7ht=35a>20<5;=n6:;4=34;>22<5;>j6::4=35;>22<5;==6::4=357>22<5;=96::4=353>22<5;<n6::4=34`>22<5;<j6::4=367>4>2348?:79:;<073?133ty8854?:3y>0fd=9=801>:7:618yv4al3:1>v3=ec841>;5nm0:<k5rs3d1>5<5s48nn7?;2:?1b7<0;2wx>k?50;0x97c>28>970<i1;50?xu58?0;68u21c1930=:9k>1;;521c7931=::9k18=5221c95=3<uzl<6=4={<6b`?73:27?mn487:p0<3=83>p19ol:66891c328>970:j3;54?82bk3=?7p}=f783>7}:<hi1=9<4=3d5>25<uzl36=4={<6`a?73:27?on487:p0<1=839p19ml:66891ec28>970:m2;56?xu3900;6?u24ba9514<5=;26:=4}r077?6=>r79<<487:?17`<0=279<>484:?2ag<0=27:ih485:?2af<6<;1vk750;0x972628>970<;0;56?xu39k0;69u2252932=:<8h1==h4=0ge>7d734l:69;4}r6f3?6=:r7?i9487:?7a0<6<;1v<k6:18187b13;?>63>e9840>{t9l=1<7<t=0g4>42534;n479:;|q7b7<72;q68k<5150891`62>>0q~:i0;296~;3n90:8?524g3932=z{=3=6=4<{<6a0?1234>hm798;<6a6?73:2wx?9850;0x91d328>970=;6;50?xu4=90;65u23439514<5=9:6:94=51:>23<5=9o6:84=50g>22<5=>:6:94=516>21<5=826::4}r1b5?6=:r78m<4>439>7d6=?<1v>7i:18185f83=?70=6d;376>{t;<81<76t=270>42534>8=79;;<60=?1034>8h79;;<61`?1134>?=79;;<601?1334>9579:;|q013<721q6?895150891562>?019=6:668915c2>?019<k:65891262><019=::678914>2>=0q~=:4;29<~;4=<0:8?52423933=:<:31;;5242f932=:<;n1;852453930=:<:?1;;5243;933=z{=;j6=4<{<62f?1434>:m7<m7:\75d=z{:o96=4<{<1f7?14349n>7<m7:\0a7=z{:o?6=4<{<1f1?14349n87<m7:\0a1=z{;li6=4<{<0eb?14348mn7<m7:\1bg=z{;l26=4<{<0ea?14348m57<m7:\1b<=z{;l36=4<{<0e`?14348m47<m7:\1b==z{;l<6=4<{<0eg?14348m;7<m7:\1b2=z{::>6=4<{<13e?14349;97<m7:\040=z{::j6=4={<13e?77n27?<o483:p755=839p1>>6:61896642;h<7S=?3:p75?=838p1>>6:02e?82713=87p}<0383>6}:;921;>5231096g1<V::97p}<0983>6}:;921==h4=52;>25<5=:<6:=4}r135?6=;r78<:483:?044<5j>1U?=?4}r133?6=;r78<:4>0g9>050=?:168=;5729~w6672908w0=?6;50?857838i;6P<019~w6612908w0=?6;33b>;38=0<?63;02847>{t<=<1<7=t=575>25<5=>=6?l8;_672>{t;==1<7=t=26;>46a349?;7<m6:\002=z{=?<6=4<{<66<?77n27?9:4=b79]001<uz>m87>52z?7b1<0;27?ii4>0g9~w1`42908w0:i4;33b>;3n:09n;5Q4g18yv53=3:1?v3<47824c=:;=?1>o84^266?xu3910;6>u240;955`<5=;36?l9;_62<>{t:o?1<7=t=3d5>46a348m97<m6:\1b0=z{;om6=4<{<0e0?77n279ik4=b79]6``<uz8no7>53z?1b6<68o16>hm52c48Z7cd3ty9il4?:2y>6c4=99l01?kn:3`5?[4bi2wx>h650;1x97`628:m70<j8;0a2>X5m11v9<::180823:3;;j63;2481f3=Y<;?0q~:<e;296~;3;l0<?63;3g824c=z{=886=4<{<60a?77n27?>>4=b79]075<uz>8m7>52z?77d<0;27??o4>0g9~w1452908w0:<a;33b>;3:;09n;5Q4308yv24>3:1>v3;37847>;3;>0:<k5rs502>5<4s4>8:7??f:?764<5j?1U8??4}r606?6=:r7???483:?776<68o1v9<?:180824:3;;j63;2181f3=Y<;:0q~:=e;296~;3:l0<?63;2g824c=z{=;m6=4<{<61a?77n27?=k4=b79]04`<uz>9m7>52z?76d<0;27?>o4>0g9~w17b2908w0:=a;33b>;39l09n;5Q40g8yv25>3:1>v3;27847>;3:>0:<k5rs53g>5<4s4>9:7??f:?75a<5j?1U8<j4}r636?6=;r7?<o4>0g9>054=:k<0R9>=;|q745<72:q68=7511d891672;h=7S:?0:p7cc=839p19>7:02e?85am38i:6P<fd9~w6`c2908w0:?7;33b>;4nm09n;5Q3gf8yv5aj3:1?v3;07824c=:;oh1>o84^2da?xu4nh0;6>u2417955`<5:lj6?l9;_1ee>{t;o21<7=t=527>46a349m47<m6:\0b==z{:l<6=4<{<637?77n278j:4=b79]7c1<uz9ni7>53z?0b3<68o16?hk52c48Z6cb3ty8in4?:2y>7c3=99l01>kl:3`5?[5bk2wx?hl50;1x96`328:m70=jb;0a2>X4mk1v>kn:18085a;3;;j63<e`81f3=Y;lk0q~=j9;297~;4n;0:<k523d;96g0<V:o27p}<e983>6}:;o;1==h4=2g;>7d13W9n46s|3d594?5|5:l;6<>i;<1f3?4e>2T8i:5rs2g5>5<4s49nj7??f:?0a3<5j?1U?h84}r071?6=:r79894;5:?102<68o1v9kn:18182b;3=>70:j8;376>{tnj0;6?u243d931=:<::1=9<4}rdg>5<5s4>9j79:;<605?73:2wxjh4?:3y>061=?=168>651508yv`a2909w0:<7;56?82413;?>6s|11294?4|5=9i6::4=51`>4253ty:<<4?:3y>06d=?<168>j51508yv77:3:1>v3;2c840>;3:j0:8?5rs020>5<5s4>9n79:;<61`?73:2wx==:50;0x915a2>>019:?:061?xu68<0;6?u242d930=:<=;1=9<4}r332?6=:r7??>484:?771<6<;1v<>8:181824;3=>70:<5;376>{t9921<7<t=504>22<5=836<:=;|q24<<72;q68?95749>07?=9=80q~:?e;297~;39;0<?63;0d81f2=Y<9o0q~:>2;296~;39;0:<k524049044<uz>nn7>52z?7af<6<;168hj5759~w4ce2909w0?jb;376>;6mo0<96s|1dg94?4|58on6<:=;<3fb?133ty98;4?:3y>610=9=801?:8:678yv`4290:w0h;:2`8yv`52909w0h;:0:6?85b83>>7p}<e183>7}:;l:1=5;4=2g2>22<uzl:6=4={<d2>4>23488?7::;|q706<72;q689=5197891232=?0q~:;4;296~;3<=0:4852457900=z{=>>6=4={<671?7?=278i<485:p7`7=838p1>k>:061?85cn3>>7p};5583>6}:<<>1>o94=576>25<V=??7p};5483>4}:<<?1==h4}r665?6=;r7?9<4=b69>004=?:1U88?4}r666?6=9r7?9?4>0g9~w12a2908w0:;f;0a3>;3=90<?6P;4g9~w137290:w0::0;33b>{t<=n1<7=t=56g>7d034>?i79<;_67`>{t<=o1<7?t=56f>46a3ty?8o4?:2y>01d=:k=019:l:618Z12e3ty?8n4?:0y>01e=99l0q~:;9;297~;3<009n:5245c936=Y<=30q~:;a;295~;3<h0:<k5rs564>5<4s4>?;7<m7:?70=<0;2T?8:5rs56;>5<6s4>?47??f:~j4ga13:1=v`=e383?xh6iok1<7<tn3g1>4=zf8kmn7>51zl1a7<53td:mkm50;3xj7c52:1vb<oid;295~h5m;0?7p`>agg94?7|f;o9685rn0ceb?6=9rd9i?49;|l2f56=83;pb?k=:69~j4d793:1=v`=e38;?xh6j981<7?tn3g1><=zf8h;?7>51zl1a7<f3td:n=:50;3xj7c52k1vb<l?5;295~h5m;0h7p`>b1494?7|f;o96i5rn0`33?6=9rd9i?4j;|l2f5>=83;pb?k=:g9~j4d713:1=v`=e3824>{i9k:j6=4>{o0f6?763td:n=l50;3xj7c52880qc?m0b83>4}i:l81=>5rn0`3`?6=9rd9i?4>4:m5g6b290:wc<j2;36?xh6j9l1<7?tn3g1>40<ug;i==4?:0ym6`4=9>1vb<l>1;295~h5m;0:46sa1c31>5<6sg8n>7?6;|l2f45=83;pb?k=:0c8yk7e9=0;6<ua2d095g=zf8h:97>51zl1a7<6k2we=o?9:182k4b:3;o7p`>b0594?7|f;o96<k4}o3a5=<728qe>h<51g9~j4d613:1=v`=e3814>{i9k;j6=4>{o0f6?463td:n<l50;3xj7c52;80qc?m1b83>4}i:l81>>5rn0`2`?6=9rd9i?4=4:m5g7b290:wc<j2;06?xh6j8l1<7?tn3g1>70<ug;i>=4?:0ym6`4=:>1vb<l=1;295~h5m;0946sa1c01>5<6sg8n>7<6;|l2f75=83;pb?k=:3c8yk7e:=0;6<ua2d096g=zf8h997>51zl1a7<5k2we=o<9:182k4b:38o7p`>b3594?7|f;o96?k4}o3a6=<728qe>h<52g9~j4d513:1=v`=e3804>{i9k8j6=4>{o0f6?563td:n?l50;3xj7c52:80qc?m2b83>4}i:l81?>5rn0`1`?6=9rd9i?4<4:m5g4b290:wc<j2;16?xh6j;l1<7?tn3g1>60<ug;i?=4?:0ym6`4=;>1vb<l<1;295~h5m;0846sa1c11>5<6sg8n>7=6;|l2f65=83;pb?k=:2c8yk7e;=0;6<ua2d097g=zf8h897>51zl2<4<63g8n>7=l;|l2f60=83;pb<6>:19m6`4=;m1vb<l<7;295~h5m;08i6sa1c1;>5<6sg8n>7=i;|l2f6?=83;pb?k=:528yk7e;h0;6<ua2d0904=zf8h8n7>51zl1a7<3:2we=o=l:182k4b:3>87p`>b2f94?7|f;o969:4}o3a7`<728qe>h<5449~j4d4n3:1=v`=e3872>{i9k>;6=4>{o0f6?203td:n9?50;3xj7c52=20qc?m4383>4}i:l81845rn0`77?6=9rd9i?4;a:m5g23290:wc<j2;6a?xh6j=?1<7?tn3g1>1e<ug;i8;4?:0ym6`4=<m1vb<l;7;295~h5m;0?i6sa1c6;>5<6sg8n>7:i;|l2f1?=83;pb?k=:428yk7e<h0;6<ua2d0914=zf8h?n7>51zl1a7<2:2we=o:l:182k4b:3?87p`>b5f94?7|f;o968:4}o3a0`<728qe>h<5549~j4d3n3:1=v`=e3862>{i9k?;6=4>{o0f6?303td:n8?50;3xj7c52<20qc?m5383>4}i:l81945rn0`67?6=9rd9i?4:a:m5g33290:wc<j2;7a?xh6j<?1<7?tn3g1>0e<ug;i9;4?:0ym6`4==m1vb<l:7;295~h5m;0>i6sa1c7;>5<6sg8n>7;i;|l2f0?=83;pb?k=:728yk7e=h0;6<ua2d0924=zf8h>n7>51zl1a7<1:2we=o;l:182k4b:3<87p`>b4f94?7|f;o96;:4}o3a1`<728qe>h<5649~j4d2n3:1=v`=e3852>{i9k<;6=4>{o0f6?003td:n;?50;3xj7c52?20qc?m6383>4}i:l81:45rn0`57?6=9rd9i?49a:m5g03290:wc<j2;4a?xh6j??1<7?tn3g1>3e<ug;i:;4?:0ym6`4=>m1vb<l97;295~h5m;0=i6sa1c4;>5<6sg8n>78i;|l2f3?=83;pb?k=:628yk7e>h0;6<ua2d0934=zf8h=n7>51zl1a7<0:2we=o8l:182k4b:3=87p`>b7f94?7|f;o96::4}o3a2`<728qe>h<5749~j4d1n3:1=v`=e3842>{i9k=;6=4>{o0f6?103td:n:?50;3xj7c52>20qc?m7383>4}i:l81;45rn0`47?6=9rd9i?48a:m5g13290:wc<j2;5a?xh6j>?1<7?tn3g1>2e<ug;i;;4?:0ym6`4=?m1vb<l87;295~h5m;0<i6sa1c5;>5<6sg8n>79i;|l2f2?=83;pb?k=:928yk7e?h0;6<ua2d09<4=zf8h<n7>51zl1a7<?:2we=o9l:182k4b:3287p`>b6f94?7|f;o965:4}o3a3`<728qe>h<5849~j4d0n3:1=v`=e38;2>{i9k2;6=4>{o0f6?>03td:n5?50;3xj7c52120qc?m8383>4}i:l81445rn0`;7?6=9rd9i?47a:m5g>3290:wc<j2;:a?xh6j1?1<7?tn3g1>=e<ug;i4;4?:0ym6`4=0m1vb<l77;295~h5m;03i6sa1c:;>5<6sg8n>76i;|l2f=?=83;pb?k=:828yk7e0h0;6<ua2d09=4=zf8h3n7>51zl1a7<>:2we=o6l:182k4b:3387p`>b9f94?7|f;o964:4}o3a<`<728qe>h<5949~j4d?n3:1=v`=e38:2>{i9k3;6=4>{o0f6??03td:n4?50;3xj7c52020qc?m9383>4}i:l81545rn0`:7?6=9rd9i?46a:m5g?3290:wc<j2;;a?xh6j0?1<7?tn3g1><e<ug;i5;4?:0ym6`4=1m1vb<l67;295~h5m;02i6sa1c;;>5<6sg8n>77i;|l2f<?=83;pb?k=:`28yk7e1h0;6?ua2d09e4=zf8h2n7>51zl1a7<f:2we=o7l:182k4b:3k87p`>b8f94?7|f;o96l:4}o3a=`<728qe>h<5a49~j4d>n3:1=v`=e38b2>{i9kk;6=4>{o0f6?g03td:nl?50;0xj7c52h20qc?ma383>4}i:l81m45rn0`b7?6=9rd9i?4na:m5gg3290:wc<j2;ca?xh6jh?1<7?tn3g1>de<ug;im;4?:0ym6`4=im1vb<ln7;295~h5m;0ji6sa1cc;>5<6sg8n>7oi;|l2fd?=83;pb?k=:c28yk7eih0;6<ua2d09f4=zf8hjn7>51zl1a7<e:2we=ool:182k4b:3h87p`>b`f94?7|f;o96o:4}o3ae`<728qe>h<5b49~j4dfn3:1=v`=e38a2>{i9kh;6=4>{o0f6?d03td:no?50;3xj7c52k20qc?mb383>4}i:l81n45rn0`a7?6=9rd9i?4ma:m5gd3290:wc<j2;`a?xh6jk?1<7?tn3g1>ge<ug;in;4?:0ym6`4=jm1vb<lm7;295~h5m;0ii6sa1c`;>5<6sg8n>7li;|l2fg?=83;pb?k=:b28yk7ejh0;6<ua2d09g4=zf8hin7>51zl1a7<d:2we=oll:182k4b:3i87p`>bcf94?7|f;o96n:4}o3af`<728qe>h<5c49~j4den3:1=v`=e38`2>{i9ki;6=4>{o0f6?e03td:nn?50;3xj7c52j20qc?mc383>4}i:l81o45rn0``7?6=9rd9i?4la:m5ge3290:wc<j2;aa?xh6jj?1<7?tn3g1>fe<ug;io;4?:0ym6`4=km1vb<ll7;295~h5m;0hi6sa1ca;>5<6sg8n>7mi;|l2ff?=83;pb?k=:e28yk7ekh0;6?ua2d09`4=zf8hhn7>51zl1a7<c:2we=oml:182k4b:3n87p`>bbf94?7|f;o96i:4}o3ag`<728qe>h<5d49~j4ddn3:1=v`=e38g2>{i9kn;6=4>{o0f6?b03td:ni?50;3xj7c52m20qc?md383>4}i:l81h45rn0`g7?6=9rd9i?4ka:m5gb3290:wc<j2;fa?xh6jm?1<7?tn3g1>ae<ug;ih;4?:0ym6`4=lm1vb<lk7;295~h5m;0oi6sa1cf;>5<6sg8n>7ji;|l2fa?=83;pb?k=:d28yk7elh0;6<ua2d09a4=zf8hon7>51zl1a7<b:2we=ojl:182k4b:3o87p`>bef94?7|f;o96h:4}o3a``<728qe>h<5e49~j4dcn3:1=v`=e38f2>{i9ko;6=4>{o0f6?c03td:nh?50;3xj7c52l20qc?me383>4}i:l81i45rn0`f7?6=9rd9i?4ja:m5gc3290:wc<j2;ga?xh6jl?1<7?tn3g1>`e<ug;ii;4?:0ym6`4=mm1vb<lj7;295~h5m;0ni6sa1cg;>5<6sg8n>7ki;|l2f`?=838pb?k=:g28yk7emh0;6<ua2d09b4=zf8hnn7>51zl1a7<a:2we=okl:182k4b:3l87p`>bdf94?7|f;o96k:4}o3aa`<728qe>h<5f49~j4dbn3:1=v`=e38e2>{i9kl;6=4>{o0f6?`03td:nk?50;0xj7c52o20qc?mf383>4}i:l81j45rn0`e7?6=9rd9i?4ia:m5g`3290:wc<j2;da?xh6jo?1<7?tn3g1>ce<ug;ij;4?:0ym6`4=nm1vb<li7;295~h5m;0mi6sa1cd;>5<6sg8n>7hi;|l2fc?=83;pb?k=:023?xh6jok1<7?tn3g1>4663td:nkl50;3xj7c528:97p`>bga94?7|f;o96<><;|l2fcb=83;pb?k=:027?xh6joo1<7?tn3g1>4623td:nkh50;3xj7c528:=7p`>c1294?7|f;o96<>8;|l2g57=83;pb?k=:02;?xh6k981<7?tn3g1>46>3td:o==50;3xj7c528:j7p`>c1694?7|f;o96<>m;|l2g53=83;pb?k=:02`?xh6k9<1<7?tn3g1>46c3td:o=950;3xj7c528:n7p`>c1:94?7|f;o96<>i;|l2g5?=83;pb?k=:033?xh6k9k1<7?tn3g1>4763td:o=l50;3xj7c528;97p`>c1a94?7|f;o96<?<;|l2g5b=83;pb?k=:037?xh6k9o1<7?tn3g1>4723td:o=h50;3xj7c528;=7p`>c0294?7|f;o96<?8;|l2g47=83;pb?k=:03;?xh6k881<7?tn3g1>47>3td:o<=50;3xj7c528;j7p`>c0694?7|f;o96<?m;|l2g43=83;pb?k=:03`?xh6k8<1<7?tn3g1>47c3td:o<950;3xj7c528;n7p`>c0:94?7|f;o96<?i;|l2g4?=83;pb?k=:003?xh6k8k1<7?tn3g1>4463td:o<l50;3xj7c528897p`>c0a94?7|f;o96<<<;|l2g4b=83;pb?k=:007?xh6k8o1<7?tn3g1>4423td:o<h50;3xj7c5288=7p`>c3294?7|f;o96<<8;|l2g77=83;pb?k=:00;?xh6k;81<7?tn3g1>44>3td:o?=50;3xj7c5288j7p`>c3694?7|f;o96<<m;|l2g73=83;pb?k=:00`?xh6k;<1<7?tn3g1>44c3td:o?950;3xj7c5288n7p`>c3:94?7|f;o96<<i;|l2g7?=83;pb?k=:013?xh6k;k1<7?tn3g1>4563td:o?l50;3xj7c528997p`>c3a94?7|f;o96<=<;|l2g7b=83;pb?k=:017?xh6k;o1<7?tn3g1>4523td:o?h50;3xj7c5289=7p`>c2294?7|f;o96<=8;|l2g67=83;pb?k=:01;?xh6k:81<7?tn3g1>45>3td:o>=50;3xj7c5289j7p`>c2694?7|f;o96<=m;|l2g63=83;pb?k=:01`?xh6k:<1<7?tn3g1>45c3td:o>950;3xj7c5289n7p`>c2:94?7|f;o96<=i;|l2g6?=83;pb?k=:063?xh6k:k1<7?tn3g1>4263td:o>l50;3xj7c528>97p`>c2a94?7|f;o96<:<;|l2g6b=83;pb?k=:067?xh6k:o1<7?tn3g1>4223td:o>h50;3xj7c528>=7p`>c5294?7|f;o96<:8;|l2g17=83;pb?k=:06;?xh6k=81<7?tn3g1>42>3td:o9=50;3xj7c528>j7p`>c5694?7|f;o96<:m;|l2g13=83;pb?k=:06`?xh6k=<1<7?tn3g1>42c3td:o9950;3xj7c528>n7p`>c5:94?7|f;o96<:i;|l2g1?=83;pb?k=:073?xh6k=k1<7?tn3g1>4363td:o9l50;3xj7c528?97p`>c5a94?7|f;o96<;<;|l2g1b=83;pb?k=:077?xh6k=o1<7?tn3g1>4323td:o9h50;3xj7c528?=7p`>c4294?7|f;o96<;8;|l2g07=83;pb?k=:07;?xh6k<81<7?tn3g1>43>3td:o8=50;0xj7c528?j7p`>c4694?7|f;o96<;m;|l2g03=83;pb?k=:07`?xh6k<<1<7?tn3g1>43c3td:o8950;3xj7c528?n7p`>c4:94?7|f;o96<;i;|l2g0?=83;pb?k=:043?xh6k<k1<7?tn3g1>4063td:o8l50;3xj7c528<97p`>c4a94?7|f;o96<8<;|l2g0b=83;pb?k=:047?xh6k<o1<7?tn3g1>4023td:o8h50;3xj7c528<=7p`>c7294?7|f;o96<88;|l2g37=83;pb?k=:04;?xh6k?81<7?tn3g1>40>3td:o;=50;3xj7c528<j7p`>c7694?7|f;o96<8m;|l2g33=83;pb?k=:04`?xh6k?<1<7?tn3g1>40c3td:o;950;3xj7c528<n7p`>c7:94?7|f;o96<8i;|l2g3?=83;pb?k=:053?xh6k?k1<7?tn3g1>4163td:o;l50;3xj7c528=97p`>c7a94?7|f;o96<9<;|l2g3b=83;pb?k=:057?xh6k?o1<7?tn3g1>4123td:o;h50;3xj7c528==7p`>c6294?7|f;o96<98;|l2g27=83;pb?k=:05;?xh6k>81<7?tn3g1>41>3td:o:=50;3xj7c528=j7p`>c6694?7|f;o96<9m;|l2g23=83;pb?k=:05`?xh6k><1<7?tn3g1>41c3td:o:950;3xj7c528=n7p`>c6:94?7|f;o96<9i;|l2g2?=83;pb?k=:0:3?xh6k>k1<7?tn3g1>4>63td:o:l50;3xj7c528297p`>c6a94?7|f;o96<6<;|l2g2b=83;pb?k=:0:7?xh6k>o1<7?tn3g1>4>23td:o:h50;3xj7c5282=7p`>c9294?7|f;o96<68;|l2g=7=83;pb?k=:0:;?xh6k181<7?tn3g1>4>>3td:o5=50;3xj7c5282j7p`>c9694?7|f;o96<6m;|l2g=3=83;pb?k=:0:`?xh6k1<1<7?tn3g1>4>c3td:o5950;3xj7c5282n7p`>c9:94?7|f;o96<6i;|l2g=?=83;pb?k=:0;3?xh6k1k1<7?tn3g1>4?63td:o5l50;3xj7c528397p`>c9a94?7|f;o96<7<;|l2g=b=83;pb?k=:0;7?xh6k1o1<7?tn3g1>4?23td:o5h50;3xj7c5283=7p`>c8294?7|f;o96<78;|l2g<7=83;pb?k=:0;;?xh6k081<7?tn3g1>4?>3td:o4=50;3xj7c5283j7p`>c8694?7|f;o96<7m;|l2g<3=83;pb?k=:0;`?xh6k0<1<7?tn3g1>4?c3td:o4950;3xj7c5283n7p`>c8:94?7|f;o96<7i;|l2g<?=83;pb?k=:0c3?xh6k0k1<7?tn3g1>4g63td:o4l50;3xj7c528k97p`>c8a94?7|f;o96<o<;|l2g<b=83;pb?k=:0c7?xh6k0o1<7?tn3g1>4g23td:o4h50;3xj7c528k=7p`>c`294?7|f;o96<o8;|l2gd7=83;pb?k=:0c;?xh6kh81<7?tn3g1>4g>3td:ol=50;3xj7c528kj7p`>c`694?7|f;o96<om;|l2gd3=83;pb?k=:0c`?xh6kh<1<7?tn3g1>4gc3td:ol950;3xj7c528kn7p`>c`:94?7|f;o96<oi;|l2gd?=83;pb?k=:0`3?xh6khk1<7?tn3g1>4d63td:oll50;3xj7c528h97p`>c`a94?7|f;o96<l<;|l2gdb=83;pb?k=:0`7?xh6kho1<7?tn3g1>4d23td:olh50;3xj7c528h=7p`>cc294?7|f;o96<l8;|l2gg7=83;pb?k=:0`;?xh6kk81<7?tn3g1>4d>3td:oo=50;3xj7c528hj7p`>cc694?7|f;o96<lm;|l2gg3=83;pb?k=:0``?xh6kk<1<7?tn3g1>4dc3td:oo950;3xj7c528hn7p`>cc:94?7|f;o96<li;|l2gg?=83;pb?k=:0a3?xh6kkk1<7?tn3g1>4e63td:ool50;3xj7c528i97p`>cca94?7|f;o96<m<;|l2ggb=83;pb?k=:0a7?xh6kko1<7?tn3g1>4e23td:ooh50;3xj7c528i=7p`>cb294?7|f;o96<m8;|l2gf7=83;pb?k=:0a;?xh6kj81<7?tn3g1>4e>3td:on=50;0xj7c528ij7p`>cb694?7|f;o96<mm;|l2gf3=83;pb?k=:0a`?xh6kj<1<7?tn3g1>4ec3td:on950;3xj7c528in7p`>cb:94?7|f82:6<5a2d095f`<ug;ho44?:0ym6`4=9m:0qc?lc`83>4}i:l81=i?4}o3`gg<728qe>h<51e08yk7dkj0;6<ua2d095a5<ug;hoi4?:0ym6`4=9m>0qc?lcd83>4}i:l81=i;4}o3`gc<728qe>h<51e48yk7dl90;6<ua2d095a1<ug;hh<4?:0ym6`4=9m20qc?ld383>4}i:l81=i74}o3``6<728qe>h<51ec8yk7dl=0;6<ua2d095ad<ug;hh84?:0ym6`4=9mi0qc?ld783>4}i:l81=ij4}o3``2<728qe>h<51eg8yk7dl10;6<ua2d095a`<ug;hh44?:0ym6`4=9l:0qc?ld`83>4}i:l81=h?4}o3``g<728qe>h<51d08yk7dlj0;6<ua2d095`5<ug;hhi4?:0ym6`4=9l>0qc?nd483>4}i91;1=6`=e382a0=zf8kn<7>51zl2<4<63g8n>7?j6:m5dce290:wc?71;38j7c528o<7p`>ag494?7|f82:6<5a2d095`><utwvLMMt1da4>=0dn<h<opNOBz06f~4c13:1<7<51146>`1c3tq9h44?:181>``12l2o7pu=d883>5<528:8<7k7e:x6a?=83:1>7??678ef4=zs;n26=4?:382431=m>o0qv<k9;294?4=n<31i8j4}z0g=?6=8381j;:5e778y~4c13:1<7<5f7;9a31<ur8o57>50;09b3g=m?30qv<k9;294?4=n?h1i;l4}z0g=?6=8381j;m5e7f8y~4c13:1<7<5f7f9a3`<ur8o57>50;09b3c=m>;0qv<k9;294?4=n?l1i:=4}z0g=?6=8381j8o5e5;8y~4c13:1<7<5f4`9a1d<ur8o57>50;09b0e=m=n0qv<k9;294?4=n<n1i9h4}z0g=?6=8381j8k5e438y~4c13:1<7<5f4d9a05<ur8o57>50;09b36=m<?0qv<k9;294?4=n?;1i894}z0g=?6=8381j;<5e4;8y~4c13:1<7<5f719a0d<ur8o57>50;09b33=m<l0qv<k9;294?4=n?<1i;?4}z0g=?6=8381j;95e718y~4c13:1<7<51173>46612wp>i750;296?77<o0:<<94}z0g=?6=8381==:j:0221>{|:m31<7>52;330a<68890qv<k9;294?4=99>h6<>>1:x6a?=83:1>7??4c8245`<ur8o57>50;09552f28:;h6st2e;94?6=:3;;844>01`8y~4c13:1<7<5117a>465k2wp>i750;296?77=h0:<?o4}z0g=?6=8381==;6:021<>{|:m31<7>52;331=<68;<0qv<k9;294?4=99?<6<>=4:x6a?=83:1>7??5782474<ur8o57>50;09553228:9<6st2e;94?6=:3;;994>00g8y~4c13:1<7<5fc49b=0<ur8o57>50;09bg3=n1>0qv<k9;294?4=nkl1j464}z0g=?6=8381jok5f848y~4c13:1<7<5fcf9b<2<ur8o57>50;09bge=n080qv<k9;294?4=nkh1j4>4}z0g=?6=8381joo5f9g8y~4c13:1<7<5fc;9b=e<ur8o57>50;09bg>=n1k0qv<k9;294?4=nk>1j564}z0g=?6=8381in=5e8d8y~4c13:1<7<5eb09a<b<ur8o57>50;09af7=m0h0qv<k9;294?4=mj:1i474}z0g=?6=8381ioh5e858y~4c13:1<7<5ecg9a<3<ur8o57>50;09agb=m090qv<k9;294?4=n?21i:;4}z0g=?6=8381==;>:022f>{|:m31<7>52;331f<68;o0qv<k9;294?4=nk=1j4o4}z0g=?6=8381in:5e`38y~4c13:1<7<5f459b`e<ur8o57>50;09b00=nlh0qv<k9;294?4=n<?1jho4}z0g=?6=8381j8:5fd;8y~4c13:1<7<5f419b`><ur8o57>50;09aa0=mmh0qv<k9;294?4=moi1il84}z0g=?6=8381inh5e`68y~4c13:1<7<5eb`9ad3<ur8o57>50;09b26=n;80qv<k9;294?4=n>h1==97;|y1`=<729096<>m3;6:?x}5l10;6=4=:02ae?353tq9h54?:181>46d93?27pu=d983>5<528:h478?;|y1`=<729096<>lf;44?x}5l10;6=4=:02g2?0b3tq9h54?:181>46cl3=>7pu=d983>5<528:n879l;|y1`=<729096<>jb;:0?x}5l10;6=4=:02e6?>f3tq9h54?:181>46a133:7pu=d983>5<528;;<777;|y1`=<729096<??7;;e?x}5l10;6=4=:033a?g13tq9h54?:181>476=3ko7pu=d983>5<528;:o7l;;|y1`=<729096<?=3;`a?x}5l10;6=4=:031e?e53tq9h54?:181>47493i27pu=d983>5<528;847j?;|y1`=<729096<?<f;f4?x}5l10;6=4=:0372?bb3tq9h54?:181>473l3o>7pu=d983>5<528;>87kl;|y1`=<729096<?:b;d0?x}5l10;6=4=:0356?`f3tq9h54?:181>47113;;?6st2e:94?6=:3;:;=4>0b9~7b?290;6?4>1659543<ur8o47>50;09541b28;n7pu=d983>5<528;3?7?=3:x6a>=83:1>7?>868262=zs;n36=4?:3825=e=9;i0qv<k8;294?4=983;6<=?;|y1`=<729096<?65;301>{|:m21<7>52;32=<<6;01vw?j7:183>7<690o1=>k4}z0g<?6=8381=<o=:061?x}5l10;6=4=:03b3?73?2wp>i650;296?76ik0:8o5r{3f;>5<72;0:=o>51428y~4c03:1<7<510`7>4333tq9h54?:181>47e13;>56st2e:94?6=:3;:ni4>5e9~7b?290;6?4>1b09534<ur8o47>50;0954e128<=7pu=d983>5<528;hn7?9b:x6a>=83:1>7?>cg822c=zs;n36=4?:3825a2=9>>0qv<k8;294?4=98n36<97;|y1`=<729096<?kd;34`>{|:m21<7>52;32a4<6081vw?j7:183>7<69l<1=584}z0g<?6=8381=<kn:0:b?x}5l10;6=4=:03fb?7?n2wp>i650;296?76n:0:5>5r{3f;>5<72;0:=k6518:8y~4c03:1<7<510d`>4?d3tq9h54?:181>44793;j=6st2e:94?6=:3;9<84>a49~7b?290;6?4>21c95dg<ur8o47>50;09576b28kn7pu=d983>5<5288:?7?m3:x6a>=83:1>7?=1682f2=zs;n36=4?:38264e=9ki0qv<k8;294?4=9;8;6<m?;|y1`=<729096<<=5;3`1>{|:m21<7>52;316<<6k01vw?j7:183>7<6:;o1=nk4}z0g<?6=8381=?==:0f1?x}5l10;6=4=:0003?7c?2wp>i650;296?75;k0:ho5r{3f;>5<72;0:>9>51d28y~4c03:1<7<51367>4c33tq9h54?:181>44313;n56st2e:94?6=:3;98i4>ee9~7b?290;6?4>24395c7<ur8o47>50;09573?28l37pu=d983>5<5288>j7?if:x6a>=83:1>7?=678143=zs;n36=4?:38263b=:9n0qv<k8;294?4=9;=?6??;;|y1`=<729096<<8b;02f>{|:m21<7>52;31<7<5:;1vw?j7:183>7<6:131>?74}z0g<?6=8381=?6k:30g?x}5l10;6=4=:00:5?4492wp>i650;296?751?09?;5r{3f;>5<72;0:>4o522c8y~4c03:1<7<513;e>75a3tq9h54?:181>44f;38??6st2e:94?6=:3;9m54=499~7b?290;6?4>2`a961e<ur8o47>50;0957d62;?:7pu=d983>5<5288i97<:5:x6a>=83:1>7?=b`811d=zs;n36=4?:3826gc=:<o0qv<k8;294?4=9;i86?8<;|y1`=<729096<<l7;053>{|:m21<7>52;31gf<5>j1vw?j7:183>7<6:m:1>:>4}z0g<?6=8381=?j::356?x}5l10;6=4=:00g=?4012wp>i650;296?75ll09;h5r{3f;>5<72;0:>h<52908y~4c03:1<7<513g5>7>13tq9h54?:181>44bj383n6st2e:94?6=:3;9ik4=8g9~7b?290;6?4>2g696<2<ur8o47>50;0957`?2;337pu=d983>5<5288mh7<6d:x6a>=83:1>7?<0081e4=zs;n36=4?:382750=:h<0qv<k8;294?4=9::j6?on;|y1`=<729096<=?f;0bb>{|:m21<7>52;3056<5j:1vw?j7:183>7<6;821>o64}z0g<?6=8381=>?l:3``?x}5l10;6=4=:0115?4d92wp>i650;296?74:<09o85r{3f;>5<72;0:??o52bc8y~4c03:1<7<5120f>7eb3tq9h54?:181>454;38o?6st2e:94?6=:3;8?l4=db9~7b?290;6?4>35396`3<ur8o47>50;09562?2;on7pu=d983>5<5289?j7<i7:x6a>=83:1>7?<578045=zs;n36=4?:38270b=;930qv<k8;294?4=9:<?6>?=;|y1`=<729096<=9b;12f>{|:m21<7>52;3037<4:=1vw?j7:183>7<6;>31??j4}z0g<?6=8381=>6?:215?x}5l10;6=4=:01;3?54n2wp>i650;296?740l08855r{3f;>5<72;0:?4;53438y~4c03:1<7<512;`>63f3tq9h54?:181>45f;39=?6st2e:94?6=:3;8ml4<6b9~7b?290;6?4>3c39723<ur8o47>50;0956d?2:=n7pu=d983>5<5289ij7=77:x6a>=83:1>7?<c780=5=zs;n36=4?:3827fb=;030qv<k8;294?4=9:n:6>7k;|y1`=<729096<=k5;1b5>{|:m21<7>52;30`d<4i?1vw?j7:183>7<6;mo1?lo4}z0g<?6=8381=>k<:2ce?x}5l10;6=4=:01f3?5e;2wp>i650;296?74mj08n55r{3f;>5<72;0:?k>53ca8y~4c03:1<7<512d6>6e63tq9h54?:181>45a139h96st2e:94?6=:3;8jh4<c`9~7b?290;6?4>41097fc<ur8o47>50;0951602:n87pu=d983>5<528>;n7=k7:x6a>=83:1>7?;1180`f=zs;n36=4?:382042=;l:0qv<k8;294?4=9=;26>k:;|y1`=<729096<:>d;1f=>{|:m21<7>52;3767<4ml1vw?j7:183>7<6<;<1?k<4}z0g<?6=8381=9<m:2d4?x}5l10;6=4=:061b?5aj2wp>i650;296?73;=0?<=5r{3f;>5<72;0:8>654168y~4c03:1<7<5151g>16>3tq9h54?:181>423<3>:<6st2e:94?6=:3;?8o4;169~7b?290;6?4>440904c<ur8o47>50;09513>2=8>7pu=d983>5<528>=<7:=c:x6a>=83:1>7?;668776=zs;n36=4?:38203c=<:k0qv<k8;294?4=9==>69:>;|y1`=<729096<:8c;67<>{|:m21<7>52;37<6<3<o1vw?j7:183>7<6<1k18884}z0g<?6=8381=97>:57g?x}5l10;6=4=:06:<?21<2wp>i650;296?731o0?:o5r{3f;>5<72;0:8l854608y~4c03:1<7<515cg>11>3tq9h54?:181>42e<3>3<6st2e:94?6=:3;?no4;869~7b?290;6?4>4b090=c<ur8o47>50;0951e>2=3>7pu=d983>5<528>o<7:6c:x6a>=83:1>7?;d687e6=zs;n36=4?:3820ac=<hk0qv<k8;294?4=9=o>69l>;|y1`=<729096<:jc;6a<>{|:m21<7>52;37b6<3jo1vw?j7:183>7<6<ok18n84}z0g<?6=8381=8>>:5ag?x}5l10;6=4=:073<?2c<2wp>i650;296?728o0?ho5r{3f;>5<72;0:9<854d08y~4c03:1<7<5143g>1c>3tq9h54?:181>435<3>m<6st2e:94?6=:3;>>o4;f69~7b?290;6?4>52090cc<ur8o47>50;09505>2<:>7pu=d983>5<528??<7;?c:x6a>=83:1>7?:468656=zs;n36=4?:38211c==8k0qv<k8;294?4=9<?>68<>;|y1`=<729096<;:c;71<>{|:m21<7>52;3626<2:o1vw?j7:183>7<6=?k19>64}z0g<?6=8381=89>:462?x}5l10;6=4=:074<?33i2wp>i650;296?72?o0>9>5r{3f;>5<72;0:95=55458y~4c03:1<7<514:4>03e3tq9h54?:181>43?k3?=<6st2e:94?6=:3;>5=4:659~7b?290;6?4>587913?<ur8o47>50;0950?>2<<o7pu=d983>5<528?2i7;82:x6a>=83:1>7?:a38633=zs;n36=4?:3821d1==>h0qv<k8;294?4=9<ki689i;|y1`=<729096<;m0;7;0>{|:m21<7>52;36f1<2011vw?j7:183>7<6=k3195j4}z0g<?6=8381=8lk:4;2?x}5l10;6=4=:07`6?3>>2wp>i650;296?72k?0>5l5r{3f;>5<72;0:9nl558d8y~4c03:1<7<514f1>0g?3tq9h54?:181>43c13?i=6st2e:94?6=:3;>i=4:b`9~7b?290;6?4>5d591f5<ur8o47>50;0950cb2<ih7pu=d983>5<528?m97;k5:x6a>=83:1>7?:fb86``=zs;n36=4?:382255==l=0qv<k8;294?4=9?:j68kj;|y1`=<729096<8>1;7e1>{|:m21<7>52;355=<2nj1vw?j7:183>7<6>8l1:=;4}z0g<?6=8381=;<9:72f?x}5l10;6=4=:041`?06?2wp>i650;296?71;=0=>=5r{3f;>5<72;0::>l563;8y~4c03:1<7<51761>3553tq9h54?:181>40313<8n6st2e:94?6=:3;=9=49459~7b?290;6?4>645921b<ur8o47>50;09533b2??=7pu=d983>5<528<=978:f:x6a>=83:1>7?96b852==zs;n36=4?:382225=>>;0qv<k8;294?4=9?=j6;9n;|y1`=<729096<871;4;5>{|:m21<7>52;35<=<1011vw?j7:183>7<6>1l1:5h4}z0g<?6=8381=;79:7;5?x}5l10;6=4=:04:`?0>l2wp>i650;296?71i=0=m95r{3f;>5<72;0::ll56``8y~4c03:1<7<517`1>3d53tq9h54?:181>40e13<i56st2e:94?6=:3;=o=49c19~7b?290;6?4>6b592f1<ur8o47>50;0953eb2?in7pu=d983>5<528<o978k5:x6a>=83:1>7?9db85`f=zs;n36=4?:3822`5=>l90qv<k8;294?4=9?oj6;kn;|y1`=<729096<8i1;4e5>{|:m21<7>52;35b=<1n11vw?j7:183>7<6>ol1:kh4}z0g<?6=8381=:>9:625?x}5l10;6=4=:053`?17l2wp>i650;296?709=0<=95r{3f;>5<72;0:;<l570`8y~4c03:1<7<51601>2453tq9h54?:181>41513=956st2e:94?6=:3;<?=48319~7b?290;6?4>7259361<ur8o47>50;09525b2>9n7pu=d983>5<528=?>79;2:x6a>=83:1>7?8478403=zs;n36=4?:38231g=?=k0qv<k8;294?4=9>?;6:;?;|y1`=<729096<9:6;562>{|:m21<7>52;341g<0=k1vw?j7:183>7<6??:1;;>4}z0g<?6=8381=:8::646?x}5l10;6=4=:055e?11i2wp>i650;296?70>l0<:h5r{3f;>5<72;0:;:<57608y~4c03:1<7<51655>2113tq9h54?:181>410i3=<m6st2e:94?6=:3;<;h487d9~7b?290;6?4>79093=4<ur8o47>50;0952>12>2=7pu=d983>5<528=3m797a:x6a>=83:1>7?88g84<c=zs;n36=4?:3823<2=?0>0qv<k8;294?4=9>326:76;|y1`=<729096<96e;5:a>{|:m21<7>52;34e6<0i:1vw?j7:183>7<6?h21;l64}z0g<?6=8381=:ok:6cg?x}5l10;6=4=:05a6?1e:2wp>i650;296?70j>0<n:5r{3f;>5<72;0:;om57ca8y~4c03:1<7<516a2>2e63tq9h54?:181>41d>3=h:6st2e:94?6=:3;<oo48cc9~7b?290;6?4>7e293a6<ur8o47>50;0952b12>n=7pu=d983>5<528=oo79kc:x6a>=83:1>7?8e384a7=zs;n36=4?:3823`>=?l20qv<k8;294?4=9>on6:kj;|y1`=<729096<9i4;5e0>{|:m21<7>52;34bd<0nh1vw?j7:183>7<6?oo1;kk4}z0g<?6=8381=5>;:927?x}5l10;6=4=:0:3=?>712wp>i650;296?7?8o03<k5r{3f;>5<72;0:4<;58078y~4c03:1<7<5193a>=7e3tq9h54?:181>4>6n32:j6st2e:94?6=:3;3>847249~7b?290;6?4>83c9<7g<ur8o47>50;095=57219;7pu=d983>5<52828:76<6:x6a>=83:1>7?73c8;7g=zs;n36=4?:382<17=0=;0qv<k8;294?4=91><65:8;|y1`=<729096<6;c;:7g>{|:m21<7>52;3;17<?=;1vw?j7:183>7<60<214864}z0g<?6=8381=5;j:97f?x}5l10;6=4=:0:50?>1<2wp>i650;296?7?>h03:l5r{3f;>5<72;0:4;h587d8y~4c03:1<7<51956>=123tq9h54?:181>4>0132<56st2e:94?6=:3;3;h477d9~7b?290;6?4>8919<=5<ur8o47>50;095=>?21237pu=d983>5<52823n767b:x6a>=83:1>7?78d8;<`=zs;n36=4?:382<<7=00;0qv<k8;294?4=913?657;;|y1`=<729096<667;::3>{|:m21<7>52;3;=d<?1h1vw?j7:183>7<600n144j4}z0g<?6=8381=5o?:9c3?x}5l10;6=4=:0:b7?>f;2wp>i650;296?7?i?03m;5r{3f;>5<72;0:4l758`;8y~4c03:1<7<519c`>=gd3tq9h54?:181>4>fn32jj6st2e:94?6=:3;3n?47b39~7b?290;6?4>8c79<g3<ur8o47>50;095=d?21h37pu=d983>5<5282in76mb:x6a>=83:1>7?7bd8;f`=zs;n36=4?:382<f7=0j;0qv<k8;294?4=91i?65m;;|y1`=<729096<6l7;:`3>{|:m21<7>52;3;gd<?kh1vw?j7:183>7<60jn14nj4}z0g<?6=8381=5j?:9f3?x}5l10;6=4=:0:g7?>c;2wp>i650;296?7?l?03h;5r{3f;>5<72;0:4i758e;8y~4c03:1<7<519f`>=bd3tq9h54?:181>4>cn32oj6st2e:94?6=:3;3i?47e39~7b?290;6?4>8d79<`3<ur8o47>50;095=c?21o37pu=d983>5<5282nn76jb:x6a>=83:1>7?7ed8;a`=zs;n36=4?:382<c7=0o;0qv<k8;294?4=91l?65h;;|y1`=<729096<6i7;:e3>{|:m21<7>52;3;bd<?nh1vw?j7:183>7<60on14kj4}z0g<?6=8381=4>?:823?x}5l10;6=4=:0;37??7;2wp>i650;296?7>8?02<;5r{3f;>5<72;0:5=7591;8y~4c03:1<7<5182`><6d3tq9h54?:181>4?7n33;j6st2e:94?6=:3;2=?46139~7b?290;6?4>9079=43<ur8o47>50;095<7d20;n7pu=d983>5<52839?7o>b:x6a>=83:1>7?6288b64=zs;n36=4?:382=7`=i;=0qv<k8;294?4=909>6l<k;|y1`=<729096<7<b;c07>{|:m21<7>52;3:05<f;11vw?j7:183>7<61=<1m>k4}z0g<?6=8381=4:l:`67?x}5l10;6=4=:0;65?g312wp>i650;296?7>=>0j8k5r{3f;>5<72;0:58j5a478y~4c03:1<7<51841>d3f3tq9h54?:181>4?103k=<6st2e:94?6=:3;2:h4n679~7b?290;6?4>9619e3d<ur8o47>50;095<1>2h=:7pu=d983>5<5283<j7o87:x6a>=83:1>7?6858b3f=zs;n36=4?:382==g=i180qv<k8;294?4=903;6l67;|y1`=<729096<765;c;`>{|:m21<7>52;3:=g<f1:1vw?j7:183>7<61h;1m474}z0g<?6=8381=4o9:`;f?x}5l10;6=4=:0;bg?gf<2wp>i650;296?7>j;0jmi5r{3f;>5<72;0:5o;5acd8y~4c03:1<7<518`;>de53tq9h54?:181>4?ej3kh96st2e:94?6=:3;2nh4nc99~7b?290;6?4>9b39efd<ur8o47>50;095<e32hoh7pu=d983>5<5283hm7oi2:x6a>=83:1>7?6cg8bb2=zs;n36=4?:382=a3=ion0qv<k8;294?4=90ni6o><;|y1`=<729096<7j0;`3<>{|:m21<7>52;3:a3<e8l1vw?j7:183>7<61lh1n<l4}z0g<?6=8381=4h::c10?x}5l10;6=4=:0;eg?d4i2wp>i650;296?7f8?0i895r{3f;>5<72;0:m=j5b5`8y~4c03:1<7<51`37>g353tq9h54?:181>4g6j3h>56st2e:94?6=:3;j>?4m619~7b?290;6?4>a349f32<ur8o47>50;095d4c2k<n7pu=d983>5<528k8=7l85:x6a>=83:1>7?n378a3a=zs;n36=4?:382e6e=j1<0qv<k8;294?4=9h>96o6i;|y1`=<729096<o;8;`:1>{|:m21<7>52;3b0d<e1>1vw?j7:183>7<6i=i1n474}z0g<?6=8381=l:j:c;a?x}5l10;6=4=:0c64?d>l2wp>i650;296?7f=;0i5k5r{3f;>5<72;0:m8:5b`38y~4c03:1<7<51`75>gg43tq9h54?:181>4g203hj96st2e:94?6=:3;j9l4ma69~7b?290;6?4>a4a9fd?<ur8o47>50;095d3b2kki7pu=d983>5<528k=<7lnd:x6a>=83:1>7?n638aec=zs;n36=4?:382e32=jk;0qv<k8;294?4=9h<=6ol<;|y1`=<729096<o98;`a1>{|:m21<7>52;3b2d<ej>1vw?j7:183>7<6i?i1no74}z0g<?6=8381=l8j:c`a?x}5l10;6=4=:0c44?del2wp>i650;296?7f?;0ink5r{3f;>5<72;0:m::5bb38y~4c03:1<7<51`55>ge43tq9h54?:181>4g003hh96st2e:94?6=:3;j;l4mc69~7b?290;6?4>a6a9ff?<ur8o47>50;095d1b2kii7pu=d983>5<528k3<7lld:x6a>=83:1>7?n838agc=zs;n36=4?:382e=2=jm;0qv<k8;294?4=9h2=6oj<;|y1`=<729096<o78;`g1>{|:m21<7>52;3b<d<el>1vw?j7:183>7<6i1i1ni74}z0g<?6=8381=l6j:cfa?x}5l10;6=4=:0c:4?dcl2wp>i650;296?7f1;0ihk5r{3f;>5<72;0:m4:5bd38y~4c03:1<7<51`;5>gc43tq9h54?:181>4g>03hn96st2e:94?6=:3;j5l4me69~7b?290;6?4>a8a9f`?<ur8o47>50;095d?b2koi7pu=d983>5<528kj<7ljd:x6a>=83:1>7?na38aac=zs;n36=4?:382ed2=jo;0qv<k8;294?4=9hk=6oh<;|y1`=<729096<on8;`e1>{|:m21<7>52;3bed<en>1vw?j7:183>7<6ihn1nko4}z0g<?6=8381=loi:cd`?x}5l10;6=4=:0ca6?dan2wp>i650;296?7fj=0h<<5r{3f;>5<72;0:mo95c168y~4c03:1<7<51``:>f613tq9h54?:181>4gek3i;56st2e:94?6=:3;jnh4l0c9~7b?290;6?4>ab39g5c<ur8o47>50;095de42j;;7pu=d983>5<528kh:7m>3:x6a>=83:1>7?nc98`50=zs;n36=4?:382efd=ik<0qv<ka;294?4=9hih6ll8;|y1`d<729096<old;ca<>{|:m21<7>52;3b`3<fj01vw?jn:183>7<6im=1moo4}z0ge?6=8381=lj7:``a?x}5l10;6=4=:0cf5?ge82wp>io50;296?7fm;0jn<5r{3fb>5<72;0:mh=5ac08y~4c03:1<7<51`g`>ddd3tq9hl4?:181>4gbl3kih6st2ec94?6=:3;jih4nbd9~7b?290;694>ag59f7>=<<0?;6st2e:94?6=<l0:mk65b7`9f24=j>k1n5=5b9a9=71=1;h15?h59219=61=1:h15>h59519=11=1=h159h59419=01=1<h158h59719=31=1?h15;h59619=21=1>h15:h59919==1=11h155h59819=<1=10h154h59`19=d1=1hh15lh59c19=g1=1kh15oh59b19=f1=1jh15nh59e19=a1=1mh15ih59d19=`1=1lh15hh59g19=c1=1oh15kh5a119e51=i9h1m=h5a019e41=ijo1mi?5ae69ea1=imk1mij5ad29e`5=il<1mh75b378yxFGXrwKL
/projects/S3Demo/S3demo_pad.csv
1,7 → 1,7
#Release 12.3 - par M.70d (lin64)
#Release 12.3 - par M.70d (lin)
#Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
#Fri May 2 20:24:13 2014
#Tue May 6 22:08:33 2014
 
#
## NOTE: This file is designed to be imported into a spreadsheet program
47,17 → 47,17
P26,,,VCCO_2,,,2,,,,,2.50,,,,
P27,led<7>,IOB,IO_L02N_2/CSO_B,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P28,ssg<4>,IOB,IO_L03P_2/RDWR_B,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P29,,DIFFSTB,IO_L03N_2/VS2,UNUSED,,2,,,,,,,,,
P30,,DIFFMTB,IO_L04P_2/VS1,UNUSED,,2,,,,,,,,,
P31,,DIFFSTB,IO_L04N_2/VS0,UNUSED,,2,,,,,,,,,
P32,,DIFFMTB,IO_L05P_2,UNUSED,,2,,,,,,,,,
P33,,DIFFSTB,IO_L05N_2,UNUSED,,2,,,,,,,,,
P34,,DIFFMTB,IO_L06P_2/D7,UNUSED,,2,,,,,,,,,
P35,,DIFFSTB,IO_L06N_2/D6,UNUSED,,2,,,,,,,,,
P36,,DIFFMTB,IO_L07P_2/D5,UNUSED,,2,,,,,,,,,
P37,,DIFFSTB,IO_L07N_2/D4,UNUSED,,2,,,,,,,,,
P29,led_ext<7>,IOB,IO_L03N_2/VS2,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P30,btn_ext<4>,IBUF,IO_L04P_2/VS1,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE,
P31,led_ext<6>,IOB,IO_L04N_2/VS0,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P32,led_ext<5>,IOB,IO_L05P_2,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P33,led_ext<4>,IOB,IO_L05N_2,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P34,led_ext<3>,IOB,IO_L06P_2/D7,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P35,led_ext<2>,IOB,IO_L06N_2/D6,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P36,led_ext<0>,IOB,IO_L07P_2/D5,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P37,btn_ext<2>,IBUF,IO_L07N_2/D4,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE,
P38,,,VCCINT,,,,,,,,1.2,,,,
P39,,IBUF,IP_2/VREF_2,UNUSED,,2,,,,,,,,,
P39,btn_ext<0>,IBUF,IP_2/VREF_2,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE,
P40,,DIFFMTB,IO_L08P_2/GCLK14,UNUSED,,2,,,,,,,,,
P41,,DIFFSTB,IO_L08N_2/GCLK15,UNUSED,,2,,,,,,,,,
P42,,,GND,,,,,,,,,,,,
64,17 → 64,17
P43,mclk,IBUF,IO_L09P_2/GCLK0,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE,
P44,,DIFFSTB,IO_L09N_2/GCLK1,UNUSED,,2,,,,,,,,,
P45,,,VCCO_2,,,2,,,,,2.50,,,,
P46,,DIFFSTB,IO_2/MOSI/CSI_B,UNUSED,,2,,,,,,,,,
P46,led_ext<1>,IOB,IO_2/MOSI/CSI_B,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P47,,,GND,,,,,,,,,,,,
P48,,DIFFMTB,IO_L10P_2/INIT_B,UNUSED,,2,,,,,,,,,
P49,,DIFFSTB,IO_L10N_2/D3,UNUSED,,2,,,,,,,,,
P50,,DIFFMTB,IO_L11P_2/D2,UNUSED,,2,,,,,,,,,
P49,btn_ext<3>,IBUF,IO_L10N_2/D3,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE,
P50,btn_ext<1>,IBUF,IO_L11P_2/D2,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE,
P51,,DIFFMTB,IO_L12P_2/D0/DIN/MISO,UNUSED,,2,,,,,,,,,
P52,,DIFFSTB,IO_L11N_2/D1,UNUSED,,2,,,,,,,,,
P52,rxd,IBUF,IO_L11N_2/D1,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE,
P53,,DIFFSTB,IO_L12N_2/CCLK,UNUSED,,2,,,,,,,,,
P54,,,DONE,,,,,,,,,,,,
P55,,,VCCAUX,,,,,,,,2.5,,,,
P56,,DIFFMLR,IO_L01P_1,UNUSED,,1,,,,,,,,,
P56,txd,IOB,IO_L01P_1,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P57,an<1>,IOB,IO_L01N_1,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE,
P58,,,GND,,,,,,,,,,,,
P59,an<0>,IOB,IO_L02P_1/RHCLK0,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE,
/projects/S3Demo/webtalk.log
1,9 → 1,16
Release 12.3 - WebTalk (M.70d)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
Project Information
--------------------
ProjectID=
ProjectIteration=
 
WebTalk Summary
----------------
INFO:WebTalk:3 - WebTalk is disabled.
INFO:WebTalk:2 - WebTalk is enabled.
 
INFO:WebTalk:8 - WebTalk Install setting is ON.
INFO:WebTalk:7 - WebTalk User setting is OFF.
INFO:WebTalk:6 - WebTalk User setting is ON.
 
INFO:WebTalk:5 - /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/12.3/ISE_DS/ISE/data/reports/webtalk_introduction.html
/projects/S3Demo/S3demo_guide.ncd
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
###5264:XlxV32DM 3ffb 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###4512:XlxV32DM 3fff 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###3928:XlxV32DM 3fff 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###4040:XlxV32DM 3fff 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###4084:XlxV32DM 3fff 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###5752:XlxV32DM 3fff 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###4392:XlxV32DM 3fff 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###4632:XlxV32DM 3fff 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###4732:XlxV32DM 3fff 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###488:XlxV32DM 536 1d0eNrVlDtuwzAQRC+TA3D557DxAVLERxApqgzg2tDdw1m7s1knKYR9wkgAuTs7yLaed9kDDDx2uA3JYxsYGWItJHRIiZDdwJoN1nvYNGBbhrH1Q0ZDc6wFudVPmf/1+2fxcPdbcfVbRoKZ5XCznO8FWQl2JbiV4FdCWAlxJaSVkFdCWQnbSmj1PNn+qO2PsLN9zka4OL/eLNwwr23eH20Ov9tmPXfSc2d48fB+wOfJ3cIfHcFFhPTm/P1x/vTfbKI3zs9JhTyfnhHnUkTfEfN4ven4I5M6L5ev+7XBmHrtMFJvYvhyE3m+hYBDa3zWMv2n0GYgKOwzFhSOGQ6EKIwIJcegUAqMC6XE0FAqjA6lxgBR2hkjSgfDhJQs/a/kuQVKugtKmRuhtHEvlHY6T+mg/0hZ6EIlRy8qBTpSKdGXSoXuVOqcptLgTEnFcLJKlvNV8pxync38AUgbZpU=
###4272:XlxV32DM 3ff8 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###4704:XlxV32DM 3ff4 1248eNqlW2lTG0kS/Svz0TaxpuvoSz2rQEaHtRbHSALDpw4hCcyMER7Atd4IBb99q7qqq7IugT0TYTrz5ctX2VXZt2Y6wwSfPtz/WZ/1pvP66Xo2Xd/8nnbrb4vlXzVGRTUNMrJuNJR30XU09JJuEdctuygPh+okGkHRCI5GSDRCo5E0GsmikTwaKaKREieRyN33Hwn/7/eki9GLFPSiDHpZBr1CBr8sg18hQ16WIa+QoS/L0FfIpC/LpK+QyV6WyV4hk78sk79CpnhZpniFTNnF+EUKwrh8BUmeLNArBDF+sfiyS9EqwtncP3EKQusX4pjuJrRnN0hbXY03s9sbcdCFYBSGcRgmYZiG4TQMZ2GYNwnxYX5uDaEoiOIgSoIoDaJpEM2CaI5gYzw+HX5/ELNcBkDVSTtiZEeMIuTG+LQkFna8/vGErjyoTtoGFPrrx+9fn7jsojURtBGwMbAJsCmwU2BnwM6BXQC77OoS4FBdpG0MysGAg0E5mBgZCHfNDoKCCYCpSUyNmRkzN2ZhTH4mqGa4miVZNSOr9d39Wm3ru8W395ubO44PJvX4eFnNJuPDwWT/EJ33+yvtXR6dXQxtd1S07rDUFjImNiYxJtUjDFMustDeENqXWnukU0dGe2S0R0Z7RK+0edwfQmeknYuT6RA6OlIP93sIOhg6BDp6nHoEc0YwZwRzuEPV3h2BPT0Ce3q0P1q21ufZaHDMV2uaV7Mvi2/rOmkN1Bq4NUhrUH5Cmm3741nvw2RQ9+bzaWdy8nm7XVfz/qz+MDk5/FTPL08H143fH8zGo+MG4HkOUp/NBlN+YpDwOa+pPu0dfuqNdLLCpvOVBRzxAxr4s9PBoK+g0/FxPT465Ts4nkuVef+oPh9MZ+OTYzXW+XjwWRYwGX/g7fp5wP/NCP83QhWftIv+R7kjs6KxecmHEp197J0OFCoErhqrVV9VF+PJ+PjiXxf9D78l79Fvs/mZNHqzw/EYVxd/ZNXF6qoer3ji6q7+cLtZ3W5ueOnCO1r8ef9wvn54vL3ftNDtxkDVJa4u/0irxYafljK5QY2LpIuUi6WLxU0435JO0m1wIvHmOF9s+Onu5MPZsDGRMbExSWPSavGwXpDq6uv3QvxpwKy6emrGT5Txvj+Y9C7rXv8/MoTaEPJCuA1hECoaRJQqSaQlEUBaCITXPeYVSBsBGwObNDa/FhhHXfBlnJ/u5VXeOO4wAkWQgoIUDCk4SCGQAvdnqVHaEadz7cEEChLWLaomwfjI8bHjE8enjV9Wy69/rW6ZXEltq0vwokX4pY9PpXFUfAWhhydDR5CO2gfJFYQgHUM69tWxTSeQTnx1YtMppFNfndr0FNJTXz216RmkZ756ZtNzSM999dymF5Be+OqFTS8hvfTVS0HXa47AmutFWgIESGPYAdjvAGx3AIYdgP0OwI467ADsdwC2OwDDDsB+B2Bi7ScG+6m1lwABXAK4xJsTW5cCLvV0qcVNATf1dFOLmwFu5ulmFjcH3NzTzS1uAbiFp1tY3BJwS0+36aNCeXWiLVRqKzEmQLExiTGpMVNjZsbMjVkYs9TjGllsxsVmXAwIRGcZi2or1VamrVxbhbbKvFrerb7ebtbc2DyJ5491a6iZdXzs+MTxUyWEWiHkCCEnsfWpSsRtInZGxM4IpCW2DU6zxq8TtUVqi9WWXMvt8u5bvf67eUBKPKRNMpAUz0h187ApxB91O/Hl9uYLrr485vxfA5Hq9nFdVH9dobo/HCJt8ZtPYX06HBetwftVWTVPHPHLJHTfjw4nn/jd/lqjcgaVTn+8agzOODmbN0tmucgKIzuMnDC2w9gJEzsszliyiqPl/ffNU837qM/7aPk/MW4RjqAdIdSN6cUjOBoh0QiNRtJoJItG8mikiEbK8CR8/d7cwa2jIf6Uec4f/UO5P+7V24dwCO2KoR0x3I0Oh+KhHVkkHqLxUBoPZfFQHg8V8VDZlW2v35esoYtcHzk+ttOR7TpRYrvUdlPbzWw3t93CdktV1ufF7ZP4fpE4PnJ87PjE8anjp46fOX5bnfLrxHaR7WLbJbZLbTe13cx2c37ahj44oRexQM4fDGKh/ROMdgTbd3tR7UKdBfyQ2i3ZevLZzfL1xRKgqHlAtoG2BOTiD092LnJz9W2sk4v8XOzm6vvOCO5qNnel1h4jZ49NNYkDO4nYSTSlJA7sJBInkYRHJF4idRJpeETqJaZOYhoeMfUSMycxC4+YeYm5k5iHR8y9xMJJLMIjFl5i6SSW4RGbW+wlQOrE8tDK8hLbdaLYSrU9YnnU8lLLyywvt7zC8kq1i49fbq/FYTy7vUHNwXgVhNuZI4FoN4TirjoFOegOIRIUokEhuksoDQplQaFsl1AeFCqCQsUuIfH5zUODVN4nISoOgSQE0hCYhsAsBOYhsAiBpV89DjYDDjYD3tUMONgMONgMeFcz4GAz4GAz4F3NgIPNgIPNgEEzeBOHwyAOgSQE0hCYhsAsBOYhsAjsGgf9c0SDcoElP5kszUtPfkewbN5W8tCKh1ZWaNWEsurretW8FhdbpLZYbYnaUrVN1TbrFs0277SZ4nsc36p349JGwMbAJsCmwE6BnQE7B7Z85WxsBGwMbAJsCuwU2Fl3qe28A1VzfpupbLVHxkeOjx2fOD51/NTxM8fP1dP+Hb8mrJu/8NW48JtH9tNrYO83z/S5i7SP9fwKCSLjhowApA4LUt1fX5Pq2+KBVA/rVSH+qPcMDz9WK/EHfnfgrnoX/shTnhYbssiqx8ebppvEFqktVluitlRtU7UV3SS2spsai68F36q55+VLp/3KTMUh6EL1MASOpBCCQkgTkkTlQEgLWaASkqt9rWwVz0o+nQ5SDwOYEiGwGmLKzdTAENLVWKASoqAaqsehamSA6GogpkRSWE1q9rlQA0NIV2OBSiiDQpkhpCoHQlrIApWQPARUxdKRpzvxAORh5sHEDeEARniH/fdJfreRBvzMJhDUhuB3qyuFqJOmcHDLwx4PQx5pecTjEcijLY96PAp5actLPV4KeVnLyzxeJnlF46jDTlhtRu5l5F31kZA76huamFzt6FPI0w9+4uB/5BLSit0s9OuPL0v13i4EozCMwzAJwzQMp2E4C8N5GC7Es6kDq5dpV2FcvUnzstRrtCCOIjiO4CSC0wieRvAsgucRvIjgpTt5LLzoLLzoLLzoLLzoLLzoLLzoLLzoLLzoLLzoLLLobOeis8iis8iis8iis8iis8iis8iis8iis8iis8iis3bRCwkfi18pSwutW0N/TJA+VgH95vPa8ZFNQA4BuQTsELBLIA6BuATqEKhLSB1C6hIyh5C5hNwh5C6hcAiFSygdgvhonUhE/LpksVnJuwMPqmefE9Ws8ivcEjrisQq65nUMQOVHo8KD9B0mtkL6MasBxRestjpxmXZBvJDQl+Xvzddy45hLu4uBHARzwCdzAIlv4K2LIR18MgcQpBNIJ746sekU0qmvTm16Cumpr57a9AzSM189s+k5pOe+em7TC0gvfPXCppeQXgbWqvRHVD+kUG6dGBMZExuTGJMaMzVmZszcmIUxy7YLxUXcvC8nIVCjjxaqjgTxNNS2MfYh1B55ABRHnpowBpubLeGPhVoIwbjbyMxuZAYbmfmNzOxGZrCRmd/IzG5kBhuZ+Y3M7EZmsJGZ38jMbmQGG5n5jczsRmawkZnfyMxuZAYbmfmNzOxGZrCRmd+0zG5aZpqWmaZlpmmZaVpmmpaZpmWmaZlpWmaalpvltTbb9ko8pO03AIl+Q1kQ3j8xE+AE2iM3d+Mi3EiWscj+CU7iMec6wB4DR6ANtrcXFlpfp23pj+vN4urr2tCkr//nhTyCl+211I+4e+8QcKEn1InkvIi/Eb98VeyRJ8ufZBTVjyV5xEmyoGm11Q9Rze99P5xcdIbji0G/0zwxyeB5b3I26PQnl0lnPOx74HaLr7nOdHw+aCUQ7oxPWmdyfnh0MsNpZzYZfOZ/xC+KaV5th8MLfiM6nssfGgsr6QhsNpXIbMqpnUlvfvixPpnWwyGPdmaXx4cy3BMmV8r+sZISWvyKUGMHqgruH/qV/WuULgNlXf7STP0jJThTPyu0c6YuAzP10/u3+BWlSFn85m07Ujkfx8N5PR2MOpOzucg6Ghx1pr2jbf/fSfNa6GfIZMtPDFv/4Nhu+Ql+OzubnQ6O+x0ym/fmA45hjrml8ZPklsu9efPmuYfevemRvecefft2r4fevuvht41QE+fRHnl74GDC3+P4Fi8V1si86WHB3mukRCqH8Z5QF8hbTs8d+gEPvHvmOYrP6cLhzLXLFAW+M0yubOp+61XyLEs5MKXISt4pOr94uXRRxt4bKftOybapYrxIrpUg+bK45xbdU/PS5C793D0vVY3VVnOgquF7mfnpByDdzF/hEp+dIg3V1YTL8Q7MGXZq3/P2G6y/u4QBul0VT0BOAihEFeF0EOc8R6t1mAd2m0GmPa6eezhJ5BpynqOL3i7WntM0XGEVUDj4iaWndr5pLjARfBgCaOIwjWk/m4OHH9t5IEsIbhFsJHmEID4yFiHVOO7c6xx/kfVJBLRac4YC2LMELeKexHKDPdsjlk4EDLnUoeYspoveewNPTkBcBp51RAvs2QIHoqv0IgIBHXArkOfRhXSfQ8Ui4gTljlMHlXO0qv4PAuBY8g==###4432:XlxV32DM 3fff 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###4252:XlxV32DM 3fff 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###4092:XlxV32DM 3fff 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###3844:XlxV32DM 3fff eeceNqtm8vO27gOgF9mHkA321KM2Z+nKKCLBXQzXXRZ9N2PLqREx1Z/280AM8lPRSIlip9JJrP+I5f5tbn1ezQv9lq/c7bk1x98m8vfco759bdTm01vZPqX/fqHa/mSk13/49q9uPNrkqjXrOJa1/Pr98DTR5M4vORs1/S36H8va1JQFf3+Oan8Rv76V21LGotreqPLm2/5P/kDLn1A5A/YVxDrN85lEqvNEDHPYlHEFsX6lZSsZTy/yWOMjG1z0mBYlocN5QvItc1y6btp0VTL0uu3GMqsSGYlBd+CW3//dpJnw+aXeM2/ynFwNq3lyCadzsGVcw2+vMSlvoRy6ElrfTXZztVJkQ9R0TPX9czVBkcuJBy57YudejLZJdj7agZWC7jasvYL8cfVfkqu6+7zsuQs6+6LnNOzlELXs8zyfJZ5XjtLwSwZXWA0HWnMfhN6IbrKSfsi1kQVcYDNGzXJAebNAbPLOwmwow1eY7uZ05xuKuesC6YiEPWTXMKrAndJeC0x4iabNzHRAxYQImrBEDFwwhpCBCIjvcgeINmMoPrfEwmYpMa9+xEjUc2gZvJvkbhXQ8Nvg+jKywaZw0hlcbRE3IJuipyIW9Dxeeni4ol0JkU+ozzFqMofZ2WVQMRZJyuBvkkizjrZlsVGEHHWyfKVqV4GMeiUNf7cIP7SPb8Zf3IQfxN7En9iFH/xSfxZvPxiH38O5XIQfxLiT5zGn4T4EzT+DNFF4s8SVT3+hNIlDvhrenOA9u/xR+OOBqI6CUQMuKbgJNAmjoEWzgONRhiJOPWHiPspBJA+K+7XWAhJxO0aC6mJuF1jITgRt2tc9wLi/TUOo8fIfPcaT6NrLJ5cYzW4xu3sb11jj3dI7a9xQPk0uMYTXGN1eo0nuMaKXmNHdJFr7Ikq+hyPAwfM8q4DlpED1BMHzCMHyCcO2HD3894BEeXLwAELOGA+dcACDpipAwLRRRywEVXdAUqOQO6OIA80XT14oC515oHQzowTD3yVDEs7cEFoETWtV3NrkgInM2sKnBSQFLjqy6lmekMTV4mpcXpDE1dj+4IlcU3zeuIaGVmtuUFtgSxGcF4WO3PD4u7FgbDzwAucOzw3dTkQhJ0GXuAcSxKhL0eCMPCUy+uSQxbGoXymhywWWQ85y/Mh53k9EuaNjC4w2iLBWKKrR4LxRBV1wehZoPxdF+ihC8wTFyxDF9gnLmj7X/Yu2FCuBy7Q4ILl1AUaXLBQFwSii7ggElXEBSXdPH0a2LsusEMXLE9cYIYu0E9c0PZvdi6wmL5YO3CBBReYUxdYcIEhLsAUvujqLrCCqKLPg1B2yl/q/Xnghw+C9gDwI2Lzx8QurPR7UIcup6A2tss7iDu+fd9/2qjio41uX25U8dFG2V9tNK1LN6p4l59uVHG6USIlG53U8NESbz7h61KnUeWARNFef8JPSo+iygEno3vwhM9mlid8VrBrcsHDJ8vJedZtiTrxrDWV5Tns8rx23pzT1VpgTVhrlMV6YNUO29l90+ar+4Zzzw7KPT2oYqI7bQLmN7sMyHd52z85NUfvm3QxbXJ52df2tlHbUxnAdoxgaTjrBoFgW483kZd8gZMTaX3Raf3hWb1gXByAxODcsLc3M5iW6j1Xramzf/AFnFFOJa8mD89hXlcT7H215evVVF5JnNXXFpOEKb7V12etKsXm96YxBKW4nHXnVZb3VeCKyctES77f+Pum7Po/bsCgWP/Bs0+eHbWy+/Z4SSGop9shb+sPF/E0pzfzZ/ANj+++iUffoE8m9r4K+ASPMLLR5J+y8ZfVXOffvFwaLgUdtrHZDGPJ4DrGMe/K98slauUduxyPEpvf+Vh7I7AesqwT0n1ay3jTWKe2LjzbJXnSM2IIqTgdqMrXsjdOuILEI0dSUZXH2waKqhoWYH+65gkPRSx8n5rFZdyzaqQv3OUTVFCsJvfZ2M5XzFNzrJd5aaGqkc996TKSbEmv2FPYthGA0kWL+isAqd6OPnLnWOMCUYR4QhQ/Ioq6TxRZS849Ub4Ovje2qB1byjGcsUXdYQsfsWW6w5Yo/4YtFCklJaZIaaANBCmOXQHPNAKPkHfAM4/AM30NHgWpfD5nwMCM4FEY71zgWAOP4xi4voLHAT24bSOujljkCn43kb1BkBSRExI4kSXNFphKzSRI2iIxkSBpw45VutfkK4lyy2W1DVSxvjVAku87I0gqwQtTAUkAkGTkG5K4qEjiFEly6QvUeRU8URfwRDUAj1F/BM+VhAfqshPwzE/As43Ao5+AZ/4b8Ox4owe8mW/xxgx4s9zijfl4LlOK7q/BIy6BZxmBZ7kDHj0Cj7kAngkj12AE6gYehWMax5YjeDYAjziAJwB4BNIDv55ObqHgMRiRG9KA2AJTNTGTgCdOxEQCnqhQ1bwDD2YdyTZQNfetAXi2vjMKntCnAng2AIg+gEcDeAwFj+8L1HnLDjx2BJ7pXsYDgnBKoDgikH1AIMVGBPJPCOQ/n/rYAYr0LRS5AYrMLRTFD6DI7FGkL6FIX0KRGaHI3UGRHaEoXEARttC4w5i0DUUzjlkcMwcUKQYo0gcURUBR4wl+w5bcQlEUIUbTUsCH2G2BqRsxk6LIERMpivDb/3TBKYoaDiKq8n1r1X7M/PIbiqLYp1YUKQZIsQcUWUCRoyja+gJ1niEoUoyNUDT/dQ50bLsigZ60c5QYEEg+aOcoJj6WA/kBeOwt8IQBeNwN8Cg2fT4HMpfAYy6Bx43Ac6vr4wfgkRe6PthTzgcOEegbeFri0aLTHcEjADzmHTzpulfwwIhiEps3E/01JpsQPKLSIEuaLTBVETNJa5dxYiJpfbPWJxIEPPWWy2obqBJ9awAe0XdGwKN4nwrgEQAQfwCPB/AEAh7F+gJ1nqM5kOcj8Cyfy4HEqAqTT9o/YlSFySftHy8/ngOxUTnmb7WWR+VYuJMD+fnzOZC9hCJ7qQE9D1Ak7/SB1DJC0YU+kMRYZlgCKSy5pGzxjOWYmg8oEliO2XcUCSzHMJHxWCP5meZAvnVmsRzzc7cFpk7ETNqaFsREkgN5bDl5SXMg31rTWI552bdW7Rdb3xltTYc+taJIQDmmlkNrGsoxRssxJfoCdd68Q9EyQpH+6xxIjKow+agPNKrC5JM+kDefyoHYqPjaboFnVHzFW+Dxn8+B3CXwuEvg0SPw3OkDKTMCz4U+kGx5CRZfyjTwtKjG4kvpYx8Iiy93AA8WXw7pgRWR9zvwtIoIiy/vuy0w1REzKXg0MZGCB1tO3uzAgy0ngcWXN31r0AdifWcUPLFPhT4QFF/KHMADxRejxZfY+gJ1nt6BJ4zAYz7YBxpVYfJJH0gOq7AnfSAfP58Djcoxzm6xaFSPcX4HRkF8Pgvyl2DkL8HIjmB0pxOk3AhGFzpBsqUXWJAp12CEVRBrcLBHGGFB5g+dICzIPH5BD2Ge3UJgFDA9kFCQZUmzBaZyYiaF0UZMpDDCppOPOxi1dg5HGMW+NYCR6DujnSDepwKMoCBT7gAjKMgYLcgk6wvUeZbCKMgRjOzffxsmRwx69MMeNWCQetAJkmH6WBa0jdBz76c9cYSeW7/tCfrzeVC4hJ5rvwDyI/Tc6QWpMECPuvILIGxCsxaDoaEHm9DJqTDmj+hRgJ5wQI8E9LTfBs2IHr1Dj8aYVIge3W2BqdRMgp6giIkEPUGiqomiJ2DbSUpUNfWtAXpU3xlFj+xTAT0KEBIO6NkAPZGiR/QF6jy/Q48Zocd9MA+aBgxSj34KNI8Y9KQXVH5m+eHvw9gIRvd+C8RHMLr1Y6D6f5N/Ng/aLsFouwSjTu7/A5fcmXY=###3900:XlxV32DM 3fff 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###3876:XlxV32DM 3fff f0ceNqtm8mS5DYOhl/Gt7lwX1Lh+zxFR4hbRF+mDz46+t2HFAGJWqBWZaU77GyLIghQP74CkSqbXuwl//1b2/TiNk31L/kVWf10vn3+/kfPsd9iGHsVP9VP3j5/lFRHDdfDqIVRW0dtHeXJ1FHx79886W61LhNKG+FmW7iv59rnjxSm37+Dzu7FX/41vzj79y9pTf3U01/cyVdw089i6+T64fpHi2H6Vcryf5yl/slF/axT0kvqPE0/pVlu+CXd3D89a59B23Zd1X/rUm0Fqdn0P+7Cy5q2pHoZw6bFiWymn6EvE5fJv+qeLf8rVWif1XPHjtY4WFNHa/bP1nLzVYzWxPRf7l03Wfo/GQzXMLvhukWJd4vMdkuGNSt6tARGnIDpQsD0edthD3tqu7Vsun99K5tVebTqwSpHqwashsdWdU7HqOcHUUeIuj9zM09D+Dy1NflossC0NP0KBe9Kh7vWZ5W3u/QiIDnehY9YHx9xOT/iVSjhaEWAFdknF0ZN/kf71BOrPYAaZMufUBM4LGMBxwSOzTBm2IzpKuqCZVoMtL/8aP9pGZv75Kbjmql/ty3pGZtmGKn50kd8H9EZFmzPLfHpR0295TKypZqqip6W8dVZmBqHOGp6/ahZuVz3QwzLdTcv1x0uNfelWFouz0iTgkvNW+x9qSUxIbKGuh9lubxkP0ztBKzjnUjhREABBJQbARswVwN93ryQrLg9HwXwUa58bErPJOf8pznnOMU58Q7nBMU59gbnCvsY5xTFOfYdzmmCc7Z8g3NFfp5z4RHnyiPORYpz/CucSwTnTPkz52aOHNCYz2nlXMExhWPxzDmFnNME5wTQrBw5V/OljyCsCjjTntvAueUpym6qw6fIzVmYKoY4Rs6NMYycQ/dqYgycW9JEdt9gKbbFDpwTW2QD55bsh6nAOQG8SifOKeCcHjjnhrX7vHjJOQWc0yPniiI5N7/BuXjLOUlwzuR3OKcIzpn4DufMxzhnCM7Z/B3OWYpz6Tuc8x/gnNtzLj7hXGaPOJcJzpn0Fc4VinPhAec0csBiPhfk3CxxzOBYPnPOIOcswTnVaZbZiXMSOIcEWR7rAh+/45xHACiEj9+chaluiGPgXNFDDAPnisKlzI5zeDps+9iXGmIHzqktspFzcpsKnFPAq3LinAHO2ZFzYjPQ5+VLzhngnN1xrhLu5eqffMRcuMYc8A24piYE3R3fNMW3+Q2+lfgdIkFuqmmHJkehKX4HTZ5CU/gOmsodmip0CCiNLMr8AYv08vguKeO/QBnPKcq4B5RZT1WYuJ6vlLE45nCMnSnjkDJ+R5m81hwaKMMRCAkTvOxYUrbLqycwIw9OjggJg4MjQpBjVckjQiKmsUaExC0wQIje3O6s8PzECAeM8CMj1GZ8YYRnl4xwwAg/MiKohRHzqxwYUX/c/Fzq92/XQDzKgyQVSlJPv7ouqifGUSSxb5DEeKpS0m9USlacubRY4zNibk2zi/pIp1MViOfAy/roT5xIpzIQG12XhdGfzIXPV0TRPKl1rKQoZL5AIasoCqkHvSvs4SQ8t1m1nukMjuERykocS9hPSr1ltRgYKRQxnY3vFKpb0hM9YEUTdhVNwIqmTuiMCH5zCaaO3g44CnrwdMBRwLKkCnjAkcXjV825vpQVW4R9KeM3/4eKZslSmNopZTx009WBVs2ZRqvm7EqrDoPWhY9Q0VRDhy68cWBRjl34MFOkyrenNSCUmQ7ISnfHNjNTMJLvwChQMOJvwCh8okgy045PmuJTfItPhuJTeItP5QN88ns+PetMiUcU0xTFxFcoZiiKsQcUi5j/eDJpCQIUw5IkYdfK6jPFNFLM7CmGpZEJcC4TkK0RUWRm4Bt2pgJWWWFXZQXsbVdTwLeyOQtT8xDHyLcwxDDyDWMLu3IrIIGqb7BU3GIHvoUtspFv8zYV+BaARubENw18M0M1ZvxmoM/TazVWH3NkFMXKx3pOJhLw0uUdeCUCXjq9Aa8oPtRz0slSzJrfYpajmOXfYVb/8f/ZmupRlynJR8yyBLN0/gqzHMGsqs8/n//wK7CEZzzrVmatHMAOVHX4xCyLzHIEsxIwS56YFYFZ2GXCqqQ9t4FZUWMyJwBJ1JuzMFUNcQzMinyIYWBWxLjjriaL2OmpvsFSYosdmJW2yEZmxW0qMAveY7DuxCwLzHIjs8JmoM+zO2YZglmWfez7QJMpZoV3mFUoZvl3mOU+xixPMcu9xayZYpZ9i1nh498AxvSIWeoRszzFrPkrzJopZj3pWa25jt/o23ntWWHbKa3HNn9mlkdmzQSz8H0GdWJWBmbh13gRT2j1uY3MWpMZXz+oV1ZnYeo8xDEyyw4xjMzCk3D1ZmTWehjMuJTbYgdmDZGNzMrbVGAWvLFg5xOzPDBrHpmVNgN9nt8xq6LwZSu10pFZ/GHT+8kx0TKKWu/0rGL+dPdbp0ABx7wFnEgBR78DnCTugKP1l450WT5pfNtAQeRLLadIQUQ/gMjaxFkPJXGFyNpyWnM2nCESECJx3/heuz3wulTGZs6KlyRGVCSxXV496TMSH5wcCZEGB0dC4Am0ingkxPo+Er52Va+sgfWlLNvchtc+4wkBARAQRwSUzXhHQBgQoPobEu0PIkB1BMiaMnx5hNN/+PLK1VTvPrzcyIWEFu/WA0mgDFnnCz5mMS7HRwMKDKQ1OVBaal349z99Yg2jubDE367U4bqJtm2QcFANLzeItrk2tghlfyGlClFihBIiDNUzHnYRyvmQbZynS7JwzBtWjQgGkMo9TDkf94lnCBPbrZlfbE/3ddyeukyfF9faB04NWQzb0yfW7Wkrt+j/bleG7dEKfmi1AKufU7/TpmWy2MZqLE35oYtDUOIwV+IIpDjCM3EIUhzmXhwCxRFQHGIvjoTiCAdxSEoc85U41EfEEUhxyFtxSFIc/l4cEsURUBxy3B7wqN2gUBxhFYfaxvbikJQ41JU4IimO+Zk4JCkOey8OieKIKA65F0dEccSDODQhjvqD4UIc5iPiiKQ4xK04NCmO+V4cGsURURx6L46IAjAojriKw2xje3EoQhxcX4kjkeLwz8ShSHG4e3EoFEdCcai9OAKKIx3E4Shy+Ctx+I+II5Hi4LficKQ4wr04HIojoTjcXhwJBeBRHGkVh9/G9uLQhDiEvxJHJsXhnolDk+Lw9+LQKI6M4tB7ccwojrwTh4KXBi/YKK4iLGSE9lmEhoxwvo/QYIQFIzT7CD1GWA4RWipCdo5QM0ZGaJ5FaMkIw32EFspfxjBCu48QDxrthl2EnlKpuYqQkxHqZxF6MsJ4HyF+l8I4Ruj3EWK/ot0wRth/C+QCYcKdEaZy/ADCVCYRtp5ErxDWfb1EWJJ3COsTm8QzIKxdGbYHPGo3xI6w5c4FYQp/2aX9ZYew/rb3VWnArraufGLrjr9xMdCf3W5dJrdO3W9dRjow3Lq827rCcHsKbF1h69aVbWy3dZIihwgXbJSOyivz7FAhaXKI27ySQI7mQs8ruSMHuAY3DHlleKQiTOcIDSeLn/Vl5tsI+3KXEZbbCPvE9tYbh+KnXRkiBNfghiFCzaiDIU9XbKQPhiBAvjbYriLsy10/w3QXYZ+4sBEOhu3KWL+s342z48GwEAleLqq7wD5R3QW6aeBvq7tCVnfxvrqD1lBbGaq7crk7LcBe3YW1aRDYNjbmt2bUuZDPV9qgz4XymTboc2G+1wa+ds7wC0EmCW0czoWB6ihxdyWOj3SUAt00cHfiCHRHKd2KI2BHKWDTIPD99mDTIGBHKaxNgyC2sb04yHNhvhIHfS4Uz8RBnwvLvTjwdWGGv2XP1GX0yw07cVAdJR6vxPHVjtL/ASsFjys=###6148:XlxV32DM 3fff 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###4712:XlxV32DM 3fff 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###4440:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 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###4716:XlxV32DM 3fff 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###4520:XlxV32DM 3fff 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###2184:XlxV32DM 1c09 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
/projects/S3Demo/S3demo.vhd
43,14 → 43,18
entity S3demo is
Port (
mclk : in std_logic;
btn : in std_logic_vector(3 downto 0);
btn : in std_logic_vector(3 downto 0);
btn_ext : in std_logic_vector(4 downto 0);
swt : in std_logic_vector(7 downto 0);
led : out std_logic_vector(7 downto 0);
led : out std_logic_vector(7 downto 0);
led_ext : out std_logic_vector(7 downto 0);
an : out std_logic_vector(3 downto 0);
ssg : out std_logic_vector(7 downto 0);
hs : out std_logic;
vs : out std_logic;
red, grn, blu : out std_logic;
red, grn, blu : out std_logic;
txd : out std_logic;
rxd : in std_logic;
kd, kc : in std_logic);
end S3demo;
72,7 → 76,19
Port ( CLK, RST, KD, KC: in std_logic;
an: out std_logic_vector (3 downto 0);
sseg: out std_logic_vector (6 downto 0));
end component;
end component;
component DataCntrl is
Port (
TXD : out std_logic;-- := '1';
RXD : in std_logic;-- := '1';
CLK : in std_logic;
LEDS : out std_logic_vector(7 downto 0);-- := "11111111";
RST : in std_logic);-- := '0');
end component;
------------------------------------------------------------------------
-- Signal Declarations
------------------------------------------------------------------------
102,11 → 118,19
KD => kd,
KC => kc,
an => ankb,
sseg => ssegkb);
sseg => ssegkb);
RS232Proj: DataCntrl port map( TXD => txd,
RXD => rxd,
CLK => mclk,
LEDS => led,--rxd_out_vector,
RST => btn(3));-- := '0');
rst <= btn(0);
led(7 downto 1) <= swt(7 downto 1);
led(0) <= swt(0);
--led(7 downto 1) <= swt(7 downto 1);
--led(0) <= swt(0);
dig <= "0111111" when cntr = "0000" else
"0000110" when cntr = "0001" else
147,6 → 171,15
end if;
end process;
 
 
led_ext(0) <= not btn_ext(0);
led_ext(1) <= not btn_ext(0);
led_ext(2) <= not btn_ext(1);
led_ext(3) <= not btn_ext(1);
led_ext(4) <= not btn_ext(2);
led_ext(5) <= not btn_ext(2);
led_ext(6) <= not btn_ext(3);
led_ext(7) <= not btn_ext(4);
end Behavioral;
 
/projects/S3Demo/S3demo.twx
329,11 → 329,10
<!ELEMENT twName (#PCDATA)>
<!ELEMENT twValue (#PCDATA)>
]>
<twReport><twHead anchorID="1"><twExecVer>Release 12.3 Trace (lin64)</twExecVer><twCopyright>Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.</twCopyright><twCmdLine>/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin64/unwrapped/trce -intstyle ise -v 3 -s 5 -n
3 -fastpaths -xml S3demo.twx S3demo.ncd -o S3demo.twr S3demo.pcf -ucf
S3demo.ucf
<twReport><twHead anchorID="1"><twExecVer>Release 12.3 Trace (lin)</twExecVer><twCopyright>Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.</twCopyright><twCmdLine>/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/trce -intstyle ise -v 3 -s 5 -n 3
-fastpaths -xml S3demo.twx S3demo.ncd -o S3demo.twr S3demo.pcf -ucf S3demo.ucf
 
</twCmdLine><twDesign>S3demo.ncd</twDesign><twDesignPath>S3demo.ncd</twDesignPath><twPCF>S3demo.pcf</twPCF><twPcfPath>S3demo.pcf</twPcfPath><twDevInfo arch="spartan3a" pkg="vq100"><twDevName>xc3s200a</twDevName><twSpeedGrade>-5</twSpeedGrade><twSpeedVer>PRODUCTION 1.41 2010-09-15</twSpeedVer></twDevInfo><twRptInfo twRptLvl="twVerbose" twReportMinPaths="true" dlyHyperLnks="t" ><twEndptLimit>3</twEndptLimit></twRptInfo><twEnvVar name="NONE" description="No environment variables were set" /></twHead><twInfo anchorID="2">INFO:Timing:2698 - No timing constraints found, doing default enumeration.</twInfo><twInfo anchorID="3">INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</twInfo><twInfo anchorID="4">INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</twInfo><twInfo anchorID="5">INFO:Timing:3390 - This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.</twInfo><twInfo anchorID="6">INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.</twInfo><twBody><twVerboseRpt><twDataSheet anchorID="7" twNameLen="15"><twClk2OutList anchorID="8" twDestWidth="6" twPhaseWidth="10"><twSrc>mclk</twSrc><twClk2Out twOutPad = "an&lt;0&gt;" twMinTime = "7.026" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "8.518" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "an&lt;1&gt;" twMinTime = "7.437" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "9.031" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;0&gt;" twMinTime = "8.215" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "10.536" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;1&gt;" twMinTime = "8.512" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "10.724" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;2&gt;" twMinTime = "8.622" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "10.619" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;3&gt;" twMinTime = "8.497" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "10.470" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;4&gt;" twMinTime = "9.029" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "11.566" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;5&gt;" twMinTime = "8.692" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "10.950" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;6&gt;" twMinTime = "8.626" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "10.623" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out></twClk2OutList><twClk2SUList anchorID="9" twDestWidth="4"><twDest>mclk</twDest><twClk2SU><twSrc>mclk</twSrc><twRiseRise>3.812</twRiseRise></twClk2SU></twClk2SUList><twPad2PadList anchorID="10" twSrcWidth="6" twDestWidth="6"><twPad2Pad><twSrc>btn&lt;0&gt;</twSrc><twDest>an&lt;0&gt;</twDest><twDel>7.464</twDel></twPad2Pad><twPad2Pad><twSrc>btn&lt;0&gt;</twSrc><twDest>ssg&lt;7&gt;</twDest><twDel>5.532</twDel></twPad2Pad><twPad2Pad><twSrc>btn&lt;1&gt;</twSrc><twDest>an&lt;1&gt;</twDest><twDel>7.599</twDel></twPad2Pad><twPad2Pad><twSrc>btn&lt;2&gt;</twSrc><twDest>an&lt;2&gt;</twDest><twDel>8.325</twDel></twPad2Pad><twPad2Pad><twSrc>btn&lt;3&gt;</twSrc><twDest>an&lt;3&gt;</twDest><twDel>8.350</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>an&lt;0&gt;</twDest><twDel>8.194</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>an&lt;1&gt;</twDest><twDel>8.710</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>an&lt;2&gt;</twDest><twDel>9.136</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>an&lt;3&gt;</twDest><twDel>9.308</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>led&lt;0&gt;</twDest><twDel>4.993</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;0&gt;</twDest><twDel>8.947</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;1&gt;</twDest><twDel>9.380</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;2&gt;</twDest><twDel>9.499</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;3&gt;</twDest><twDel>8.929</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;4&gt;</twDest><twDel>10.205</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;5&gt;</twDest><twDel>9.606</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;6&gt;</twDest><twDel>9.503</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;1&gt;</twSrc><twDest>led&lt;1&gt;</twDest><twDel>5.003</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;2&gt;</twSrc><twDest>led&lt;2&gt;</twDest><twDel>5.037</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;3&gt;</twSrc><twDest>led&lt;3&gt;</twDest><twDel>4.991</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;4&gt;</twSrc><twDest>led&lt;4&gt;</twDest><twDel>5.225</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;5&gt;</twSrc><twDest>led&lt;5&gt;</twDest><twDel>4.987</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;6&gt;</twSrc><twDest>led&lt;6&gt;</twDest><twDel>4.987</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;7&gt;</twSrc><twDest>led&lt;7&gt;</twDest><twDel>6.069</twDel></twPad2Pad></twPad2PadList><twOffsetTables></twOffsetTables></twDataSheet></twVerboseRpt></twBody><twFoot><twTimestamp>Fri May 2 20:24:17 2014 </twTimestamp></twFoot><twClientInfo anchorID="11"><twClientName>Trace</twClientName><twAttrList><twAttrListItem><twName>Trace Settings</twName><twValue>
</twCmdLine><twDesign>S3demo.ncd</twDesign><twDesignPath>S3demo.ncd</twDesignPath><twPCF>S3demo.pcf</twPCF><twPcfPath>S3demo.pcf</twPcfPath><twDevInfo arch="spartan3a" pkg="vq100"><twDevName>xc3s200a</twDevName><twSpeedGrade>-5</twSpeedGrade><twSpeedVer>PRODUCTION 1.41 2010-09-15</twSpeedVer></twDevInfo><twRptInfo twRptLvl="twVerbose" twReportMinPaths="true" dlyHyperLnks="t" ><twEndptLimit>3</twEndptLimit></twRptInfo><twEnvVar name="NONE" description="No environment variables were set" /></twHead><twInfo anchorID="2">INFO:Timing:2698 - No timing constraints found, doing default enumeration.</twInfo><twInfo anchorID="3">INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</twInfo><twInfo anchorID="4">INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</twInfo><twInfo anchorID="5">INFO:Timing:3390 - This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.</twInfo><twInfo anchorID="6">INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.</twInfo><twBody><twVerboseRpt><twDataSheet anchorID="7" twNameLen="15"><twSUH2ClkList anchorID="8" twDestWidth="6" twPhaseWidth="10"><twDest>mclk</twDest><twSUH2Clk ><twSrc>btn&lt;3&gt;</twSrc><twSUHTime twInternalClk ="mclk_BUFGP" twClkPhase ="0.000" ><twSU2ClkTime twEdge="twRising" twCrnrFst="f">1.289</twSU2ClkTime><twH2ClkTime twEdge="twRising" twCrnrFst="f">0.324</twH2ClkTime></twSUHTime></twSUH2Clk></twSUH2ClkList><twClk2OutList anchorID="9" twDestWidth="6" twPhaseWidth="10"><twSrc>mclk</twSrc><twClk2Out twOutPad = "an&lt;0&gt;" twMinTime = "7.036" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "8.531" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "an&lt;1&gt;" twMinTime = "7.587" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "9.219" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;0&gt;" twMinTime = "8.921" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "11.202" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;1&gt;" twMinTime = "8.925" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "11.110" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;2&gt;" twMinTime = "8.778" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "10.926" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;3&gt;" twMinTime = "8.766" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "11.008" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;4&gt;" twMinTime = "9.775" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "12.286" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;5&gt;" twMinTime = "8.790" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "11.038" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out><twClk2Out twOutPad = "ssg&lt;6&gt;" twMinTime = "8.985" twMinCrnr="f" twMinEdge ="twRising" twMaxTime = "11.281" twMaxCrnr="f" twMaxEdge ="twRising" twInternalClk="mclk_BUFGP" twClkPhase="0.000" ></twClk2Out></twClk2OutList><twClk2SUList anchorID="10" twDestWidth="4"><twDest>mclk</twDest><twClk2SU><twSrc>mclk</twSrc><twRiseRise>3.894</twRiseRise></twClk2SU></twClk2SUList><twPad2PadList anchorID="11" twSrcWidth="10" twDestWidth="10"><twPad2Pad><twSrc>btn&lt;0&gt;</twSrc><twDest>an&lt;0&gt;</twDest><twDel>7.251</twDel></twPad2Pad><twPad2Pad><twSrc>btn&lt;0&gt;</twSrc><twDest>ssg&lt;7&gt;</twDest><twDel>5.729</twDel></twPad2Pad><twPad2Pad><twSrc>btn&lt;1&gt;</twSrc><twDest>an&lt;1&gt;</twDest><twDel>7.651</twDel></twPad2Pad><twPad2Pad><twSrc>btn&lt;2&gt;</twSrc><twDest>an&lt;2&gt;</twDest><twDel>7.337</twDel></twPad2Pad><twPad2Pad><twSrc>btn&lt;3&gt;</twSrc><twDest>an&lt;3&gt;</twDest><twDel>8.237</twDel></twPad2Pad><twPad2Pad><twSrc>btn_ext&lt;0&gt;</twSrc><twDest>led_ext&lt;0&gt;</twDest><twDel>5.009</twDel></twPad2Pad><twPad2Pad><twSrc>btn_ext&lt;0&gt;</twSrc><twDest>led_ext&lt;1&gt;</twDest><twDel>5.492</twDel></twPad2Pad><twPad2Pad><twSrc>btn_ext&lt;1&gt;</twSrc><twDest>led_ext&lt;2&gt;</twDest><twDel>6.201</twDel></twPad2Pad><twPad2Pad><twSrc>btn_ext&lt;1&gt;</twSrc><twDest>led_ext&lt;3&gt;</twDest><twDel>5.902</twDel></twPad2Pad><twPad2Pad><twSrc>btn_ext&lt;2&gt;</twSrc><twDest>led_ext&lt;4&gt;</twDest><twDel>5.510</twDel></twPad2Pad><twPad2Pad><twSrc>btn_ext&lt;2&gt;</twSrc><twDest>led_ext&lt;5&gt;</twDest><twDel>5.740</twDel></twPad2Pad><twPad2Pad><twSrc>btn_ext&lt;3&gt;</twSrc><twDest>led_ext&lt;6&gt;</twDest><twDel>6.200</twDel></twPad2Pad><twPad2Pad><twSrc>btn_ext&lt;4&gt;</twSrc><twDest>led_ext&lt;7&gt;</twDest><twDel>5.256</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>an&lt;0&gt;</twDest><twDel>8.111</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>an&lt;1&gt;</twDest><twDel>9.103</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>an&lt;2&gt;</twDest><twDel>7.979</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>an&lt;3&gt;</twDest><twDel>8.142</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;0&gt;</twDest><twDel>8.932</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;1&gt;</twDest><twDel>9.285</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;2&gt;</twDest><twDel>8.511</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;3&gt;</twDest><twDel>9.010</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;4&gt;</twDest><twDel>9.699</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;5&gt;</twDest><twDel>8.732</twDel></twPad2Pad><twPad2Pad><twSrc>swt&lt;0&gt;</twSrc><twDest>ssg&lt;6&gt;</twDest><twDel>9.283</twDel></twPad2Pad></twPad2PadList><twOffsetTables></twOffsetTables></twDataSheet></twVerboseRpt></twBody><twFoot><twTimestamp>Tue May 6 22:08:35 2014 </twTimestamp></twFoot><twClientInfo anchorID="12"><twClientName>Trace</twClientName><twAttrList><twAttrListItem><twName>Trace Settings</twName><twValue>
 
Peak Memory Usage: 253 MB
Peak Memory Usage: 101 MB
</twValue></twAttrListItem></twAttrList></twClientInfo></twReport>
/projects/S3Demo/S3demo.unroutes
1,9 → 1,19
Release 12.3 - par M.70d (lin64)
Release 12.3 - par M.70d (lin)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
Fri May 2 20:24:13 2014
Tue May 6 22:08:33 2014
 
All signals are completely routed.
 
WARNING:ParHelpers:361 - There are 7 loadless signals in this design. This design will cause Bitgen to issue DRC
warnings.
 
swt<1>_IBUF
swt<2>_IBUF
swt<3>_IBUF
swt<4>_IBUF
swt<5>_IBUF
swt<6>_IBUF
swt<7>_IBUF
 
 
/projects/S3Demo/S3demo_usage.xml
4,575 → 4,621
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<DeviceUsageSummary rev="2">
<DesignStatistics TimeStamp="Fri May 2 20:24:22 2014"><group name="NetStatistics">
<item name="NumNets_Active" rev="2">
<attrib name="value" value="216"/></item>
<item name="NumNets_Gnd" rev="2">
<DeviceUsageSummary rev="11">
<DesignStatistics TimeStamp="Tue May 6 22:08:39 2014"><group name="NetStatistics">
<item name="NumNets_Active" rev="11">
<attrib name="value" value="325"/></item>
<item name="NumNets_Gnd" rev="11">
<attrib name="value" value="1"/></item>
<item name="NumNets_Vcc" rev="2">
<item name="NumNets_Vcc" rev="11">
<attrib name="value" value="1"/></item>
<item name="NumNodesOfType_Active_CLKPIN" rev="2">
<attrib name="value" value="52"/></item>
<item name="NumNodesOfType_Active_CNTRLPIN" rev="2">
<attrib name="value" value="41"/></item>
<item name="NumNodesOfType_Active_DOUBLE" rev="2">
<attrib name="value" value="199"/></item>
<item name="NumNodesOfType_Active_DUMMY" rev="2">
<attrib name="value" value="229"/></item>
<item name="NumNodesOfType_Active_DUMMYESC" rev="2">
<attrib name="value" value="15"/></item>
<item name="NumNodesOfType_Active_GLOBAL" rev="2">
<item name="NumNodesOfType_Active_CLKPIN" rev="11">
<attrib name="value" value="93"/></item>
<item name="NumNodesOfType_Active_CNTRLPIN" rev="11">
<attrib name="value" value="83"/></item>
<item name="NumNodesOfType_Active_DOUBLE" rev="11">
<attrib name="value" value="349"/></item>
<item name="NumNodesOfType_Active_DUMMY" rev="11">
<attrib name="value" value="383"/></item>
<item name="NumNodesOfType_Active_DUMMYESC" rev="11">
<attrib name="value" value="14"/></item>
<item name="NumNodesOfType_Active_GLOBAL" rev="11">
<attrib name="value" value="47"/></item>
<item name="NumNodesOfType_Active_HFULLHEX" rev="11">
<attrib name="value" value="7"/></item>
<item name="NumNodesOfType_Active_HLONG" rev="11">
<attrib name="value" value="2"/></item>
<item name="NumNodesOfType_Active_HUNIHEX" rev="11">
<attrib name="value" value="26"/></item>
<item name="NumNodesOfType_Active_HFULLHEX" rev="2">
<attrib name="value" value="3"/></item>
<item name="NumNodesOfType_Active_HLONG" rev="2">
<item name="NumNodesOfType_Active_INPUT" rev="11">
<attrib name="value" value="515"/></item>
<item name="NumNodesOfType_Active_IOBOUTPUT" rev="11">
<attrib name="value" value="14"/></item>
<item name="NumNodesOfType_Active_OMUX" rev="11">
<attrib name="value" value="273"/></item>
<item name="NumNodesOfType_Active_OUTPUT" rev="11">
<attrib name="value" value="249"/></item>
<item name="NumNodesOfType_Active_PREBXBY" rev="11">
<attrib name="value" value="159"/></item>
<item name="NumNodesOfType_Active_VFULLHEX" rev="11">
<attrib name="value" value="38"/></item>
<item name="NumNodesOfType_Active_VLONG" rev="11">
<attrib name="value" value="13"/></item>
<item name="NumNodesOfType_Active_VUNIHEX" rev="11">
<attrib name="value" value="36"/></item>
<item name="NumNodesOfType_Vcc_CNTRLPIN" rev="11">
<attrib name="value" value="5"/></item>
<item name="NumNodesOfType_Vcc_DUMMY" rev="11">
<attrib name="value" value="2"/></item>
<item name="NumNodesOfType_Active_HUNIHEX" rev="2">
<attrib name="value" value="6"/></item>
<item name="NumNodesOfType_Active_INPUT" rev="2">
<attrib name="value" value="318"/></item>
<item name="NumNodesOfType_Active_IOBOUTPUT" rev="2">
<attrib name="value" value="15"/></item>
<item name="NumNodesOfType_Active_OMUX" rev="2">
<attrib name="value" value="154"/></item>
<item name="NumNodesOfType_Active_OUTPUT" rev="2">
<attrib name="value" value="161"/></item>
<item name="NumNodesOfType_Active_PREBXBY" rev="2">
<attrib name="value" value="84"/></item>
<item name="NumNodesOfType_Active_VFULLHEX" rev="2">
<attrib name="value" value="19"/></item>
<item name="NumNodesOfType_Active_VLONG" rev="2">
<attrib name="value" value="4"/></item>
<item name="NumNodesOfType_Active_VUNIHEX" rev="2">
<attrib name="value" value="18"/></item>
<item name="NumNodesOfType_Gnd_DOUBLE" rev="2">
<attrib name="value" value="3"/></item>
<item name="NumNodesOfType_Gnd_DUMMY" rev="2">
<attrib name="value" value="3"/></item>
<item name="NumNodesOfType_Gnd_INPUT" rev="2">
<item name="NumNodesOfType_Vcc_INPUT" rev="11">
<attrib name="value" value="7"/></item>
<item name="NumNodesOfType_Gnd_OMUX" rev="2">
<attrib name="value" value="2"/></item>
<item name="NumNodesOfType_Gnd_OUTPUT" rev="2">
<item name="NumNodesOfType_Vcc_PREBXBY" rev="11">
<attrib name="value" value="5"/></item>
<item name="NumNodesOfType_Gnd_PREBXBY" rev="2">
<attrib name="value" value="8"/></item>
<item name="NumNodesOfType_Vcc_VCCOUT" rev="11">
<attrib name="value" value="9"/></item>
</group>
<group name="MiscellaneousStatistics">
<item name="AGG_BONDED_IO" rev="10">
<attrib name="value" value="55"/></item>
<item name="AGG_IO" rev="10">
<attrib name="value" value="55"/></item>
<item name="AGG_SLICE" rev="10">
<attrib name="value" value="121"/></item>
<item name="NUM_4_INPUT_LUT" rev="10">
<attrib name="value" value="162"/></item>
<item name="NUM_BONDED_IBUF" rev="10">
<attrib name="value" value="21"/></item>
<item name="NUM_BONDED_IOB" rev="10">
<attrib name="value" value="34"/></item>
<item name="NUM_BUFGMUX" rev="10">
<attrib name="value" value="4"/></item>
<item name="NUM_CYMUX" rev="10">
<attrib name="value" value="61"/></item>
<item name="NUM_LUT_RT" rev="10">
<attrib name="value" value="62"/></item>
<item name="NUM_SHIFT" rev="10">
<attrib name="value" value="2"/></item>
<item name="NUM_SLICEL" rev="10">
<attrib name="value" value="119"/></item>
<item name="NUM_SLICEM" rev="10">
<attrib name="value" value="2"/></item>
<item name="NUM_SLICE_FF" rev="10">
<attrib name="value" value="157"/></item>
<item name="NUM_SLICE_LATCH" rev="10">
<attrib name="value" value="9"/></item>
<item name="NUM_XOR" rev="10">
<attrib name="value" value="66"/></item>
</group>
<group name="SiteStatistics">
<item name="IBUF-DIFFMI_NDT" rev="2">
<item name="IBUF-DIFFMI_NDT" rev="11">
<attrib name="value" value="1"/></item>
<item name="IBUF-DIFFMLR" rev="2">
<item name="IBUF-DIFFMLR" rev="11">
<attrib name="value" value="2"/></item>
<item name="IBUF-DIFFMTB" rev="2">
<attrib name="value" value="5"/></item>
<item name="IBUF-DIFFSLR" rev="2">
<item name="IBUF-DIFFMTB" rev="11">
<attrib name="value" value="7"/></item>
<item name="IBUF-DIFFSLR" rev="11">
<attrib name="value" value="2"/></item>
<item name="IBUF-DIFFSTB" rev="2">
<attrib name="value" value="3"/></item>
<item name="IOB-DIFFMLR" rev="2">
<item name="IBUF-DIFFSTB" rev="11">
<attrib name="value" value="6"/></item>
<item name="IOB-DIFFMLR" rev="11">
<attrib name="value" value="10"/></item>
<item name="IOB-DIFFMTB" rev="11">
<attrib name="value" value="6"/></item>
<item name="IOB-DIFFSLR" rev="11">
<attrib name="value" value="9"/></item>
<item name="IOB-DIFFMTB" rev="2">
<attrib name="value" value="3"/></item>
<item name="IOB-DIFFSLR" rev="2">
<item name="IOB-DIFFSTB" rev="11">
<attrib name="value" value="9"/></item>
<item name="IOB-DIFFSTB" rev="2">
<attrib name="value" value="4"/></item>
<item name="SLICEL-SLICEM" rev="2">
<attrib name="value" value="25"/></item>
<item name="SLICEL-SLICEM" rev="11">
<attrib name="value" value="49"/></item>
</group>
<group name="MiscellaneousStatistics">
<item name="AGG_BONDED_IO" rev="1">
<attrib name="value" value="40"/></item>
<item name="AGG_IO" rev="1">
<attrib name="value" value="40"/></item>
<item name="AGG_SLICE" rev="1">
<attrib name="value" value="74"/></item>
<item name="NUM_4_INPUT_LUT" rev="1">
<attrib name="value" value="106"/></item>
<item name="NUM_BONDED_IBUF" rev="1">
<attrib name="value" value="15"/></item>
<item name="NUM_BONDED_IOB" rev="1">
<attrib name="value" value="25"/></item>
<item name="NUM_BUFGMUX" rev="1">
<attrib name="value" value="3"/></item>
<item name="NUM_CYMUX" rev="1">
<attrib name="value" value="53"/></item>
<item name="NUM_LUT_RT" rev="1">
<attrib name="value" value="53"/></item>
<item name="NUM_SHIFT" rev="1">
<attrib name="value" value="1"/></item>
<item name="NUM_SLICEL" rev="1">
<attrib name="value" value="73"/></item>
<item name="NUM_SLICEM" rev="1">
<attrib name="value" value="1"/></item>
<item name="NUM_SLICE_FF" rev="1">
<attrib name="value" value="97"/></item>
<item name="NUM_XOR" rev="1">
<attrib name="value" value="57"/></item>
</group>
</DesignStatistics>
<DeviceUsage TimeStamp="Fri May 2 20:24:22 2014"><group name="SiteSummary">
<item name="BUFGMUX" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="3"/></item>
<item name="BUFGMUX_GCLKMUX" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="3"/></item>
<item name="BUFGMUX_GCLK_BUFFER" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="3"/></item>
<item name="IBUF" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="15"/></item>
<item name="IBUF_DELAY_ADJ_BBOX" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="15"/></item>
<item name="IBUF_INBUF" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="15"/></item>
<item name="IBUF_PAD" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="15"/></item>
<item name="IOB" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="25"/></item>
<item name="IOB_OUTBUF" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="25"/></item>
<item name="IOB_PAD" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="25"/></item>
<item name="SLICEL" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="73"/></item>
<item name="SLICEL_C1VDD" rev="2">
<DeviceUsage TimeStamp="Tue May 6 22:08:39 2014"><group name="SiteSummary">
<item name="BUFGMUX" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="4"/></item>
<item name="SLICEL_CYMUXF" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="28"/></item>
<item name="SLICEL_CYMUXG" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="25"/></item>
<item name="SLICEL_F" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="53"/></item>
<item name="SLICEL_F5MUX" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="8"/></item>
<item name="SLICEL_FFX" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="46"/></item>
<item name="SLICEL_FFY" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="50"/></item>
<item name="SLICEL_G" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="52"/></item>
<item name="SLICEL_GNDF" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="24"/></item>
<item name="SLICEL_GNDG" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="25"/></item>
<item name="SLICEL_XORF" rev="2">
<item name="BUFGMUX_GCLKMUX" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="4"/></item>
<item name="BUFGMUX_GCLK_BUFFER" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="4"/></item>
<item name="IBUF" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="21"/></item>
<item name="IBUF_DELAY_ADJ_BBOX" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="21"/></item>
<item name="IBUF_INBUF" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="21"/></item>
<item name="IBUF_PAD" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="21"/></item>
<item name="IOB" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="34"/></item>
<item name="IOB_OUTBUF" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="34"/></item>
<item name="IOB_PAD" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="34"/></item>
<item name="SLICEL" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="119"/></item>
<item name="SLICEL_C1VDD" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="5"/></item>
<item name="SLICEL_CYMUXF" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="32"/></item>
<item name="SLICEL_CYMUXG" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="29"/></item>
<item name="SLICEL_XORG" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="28"/></item>
<item name="SLICEM" rev="2">
<item name="SLICEL_F" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="79"/></item>
<item name="SLICEL_F5MUX" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="10"/></item>
<item name="SLICEL_FFX" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="77"/></item>
<item name="SLICEL_FFY" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="86"/></item>
<item name="SLICEL_G" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="81"/></item>
<item name="SLICEL_GNDF" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="27"/></item>
<item name="SLICEL_GNDG" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="29"/></item>
<item name="SLICEL_XORF" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="34"/></item>
<item name="SLICEL_XORG" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="32"/></item>
<item name="SLICEM" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
<item name="SLICEM_FFX" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
<item name="SLICEM_FFY" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
<item name="SLICEM_G" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
<item name="SLICEM_WSGEN" rev="2">
<attrib name="total" value="1000000"/><attrib name="used" value="1"/></item>
<item name="SLICEM_FFY" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
<item name="SLICEM_G" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
<item name="SLICEM_WSGEN" rev="11">
<attrib name="total" value="1000000"/><attrib name="used" value="2"/></item>
</group>
</DeviceUsage>
<ReportConfigData TimeStamp="Fri May 2 20:24:22 2014"><group name="SLICEL_CYMUXF">
<item name="0" rev="2">
<attrib name="0" value="28"/><attrib name="0_INV" value="0"/></item>
<item name="1" rev="2">
<attrib name="1_INV" value="0"/><attrib name="1" value="28"/></item>
<ReportConfigData TimeStamp="Tue May 6 22:08:39 2014"><group name="IBUF_PAD">
<item name="IOATTRBOX" rev="11">
<attrib name="LVCMOS25" value="21"/></item>
</group>
<group name="SLICEL_CYMUXG">
<item name="0" rev="2">
<attrib name="0" value="25"/><attrib name="0_INV" value="0"/></item>
</group>
<group name="IBUF_PAD">
<item name="IOATTRBOX" rev="2">
<attrib name="LVCMOS25" value="15"/></item>
</group>
<group name="SLICEM_G">
<item name="DI" rev="2">
<attrib name="DI" value="1"/><attrib name="DI_INV" value="0"/></item>
<item name="G_ATTR" rev="2">
<attrib name="SHIFT_REG" value="1"/></item>
<item name="LUT_OR_MEM" rev="2">
<attrib name="RAM" value="1"/></item>
<item name="DI" rev="11">
<attrib name="DI" value="2"/><attrib name="DI_INV" value="0"/></item>
<item name="G_ATTR" rev="11">
<attrib name="SHIFT_REG" value="2"/></item>
<item name="LUT_OR_MEM" rev="11">
<attrib name="RAM" value="2"/></item>
</group>
<group name="SLICEL">
<item name="BX" rev="2">
<attrib name="BX_INV" value="0"/><attrib name="BX" value="27"/></item>
<item name="BY" rev="2">
<attrib name="BY" value="19"/><attrib name="BY_INV" value="1"/></item>
<item name="CE" rev="2">
<attrib name="CE" value="9"/><attrib name="CE_INV" value="0"/></item>
<item name="CIN" rev="2">
<attrib name="CIN_INV" value="0"/><attrib name="CIN" value="25"/></item>
<item name="CLK" rev="2">
<attrib name="CLK" value="40"/><attrib name="CLK_INV" value="11"/></item>
<item name="SR" rev="2">
<attrib name="SR" value="32"/><attrib name="SR_INV" value="0"/></item>
<item name="BX" rev="11">
<attrib name="BX_INV" value="3"/><attrib name="BX" value="41"/></item>
<item name="BY" rev="11">
<attrib name="BY" value="33"/><attrib name="BY_INV" value="2"/></item>
<item name="CE" rev="11">
<attrib name="CE" value="30"/><attrib name="CE_INV" value="0"/></item>
<item name="CIN" rev="11">
<attrib name="CIN_INV" value="0"/><attrib name="CIN" value="29"/></item>
<item name="CLK" rev="11">
<attrib name="CLK" value="80"/><attrib name="CLK_INV" value="11"/></item>
<item name="SR" rev="11">
<attrib name="SR" value="51"/><attrib name="SR_INV" value="0"/></item>
</group>
<group name="SLICEM_WSGEN">
<item name="CK" rev="11">
<attrib name="CK" value="1"/><attrib name="CK_INV" value="1"/></item>
<item name="SYNC_ATTR" rev="11">
<attrib name="ASYNC" value="2"/></item>
<item name="WE" rev="11">
<attrib name="WE_INV" value="0"/><attrib name="WE" value="2"/></item>
</group>
<group name="SLICEM">
<item name="BY" rev="2">
<attrib name="BY" value="1"/><attrib name="BY_INV" value="0"/></item>
<item name="CLK" rev="2">
<attrib name="CLK" value="0"/><attrib name="CLK_INV" value="1"/></item>
<item name="SR" rev="2">
<attrib name="SR" value="1"/><attrib name="SR_INV" value="0"/></item>
<item name="BX" rev="11">
<attrib name="BX_INV" value="0"/><attrib name="BX" value="1"/></item>
<item name="BY" rev="11">
<attrib name="BY" value="2"/><attrib name="BY_INV" value="0"/></item>
<item name="CE" rev="11">
<attrib name="CE" value="1"/><attrib name="CE_INV" value="0"/></item>
<item name="CLK" rev="11">
<attrib name="CLK" value="1"/><attrib name="CLK_INV" value="1"/></item>
<item name="SR" rev="11">
<attrib name="SR" value="2"/><attrib name="SR_INV" value="0"/></item>
</group>
<group name="IOB_OUTBUF">
<item name="IN" rev="2">
<attrib name="IN_INV" value="0"/><attrib name="IN" value="25"/></item>
<item name="SUSPEND" rev="2">
<attrib name="3STATE" value="25"/></item>
<item name="IN" rev="11">
<attrib name="IN_INV" value="8"/><attrib name="IN" value="26"/></item>
<item name="SUSPEND" rev="11">
<attrib name="3STATE" value="34"/></item>
</group>
<group name="SLICEL_FFX">
<item name="CE" rev="2">
<attrib name="CE" value="9"/><attrib name="CE_INV" value="0"/></item>
<item name="CK" rev="2">
<attrib name="CK" value="36"/><attrib name="CK_INV" value="10"/></item>
<item name="D" rev="2">
<attrib name="D" value="46"/><attrib name="D_INV" value="0"/></item>
<item name="FFX_INIT_ATTR" rev="2">
<attrib name="INIT0" value="46"/></item>
<item name="FFX_SR_ATTR" rev="2">
<attrib name="SRLOW" value="46"/></item>
<item name="LATCH_OR_FF" rev="2">
<attrib name="FF" value="46"/></item>
<item name="SR" rev="2">
<attrib name="SR" value="27"/><attrib name="SR_INV" value="0"/></item>
<item name="SYNC_ATTR" rev="2">
<attrib name="ASYNC" value="34"/><attrib name="SYNC" value="12"/></item>
<group name="SLICEL_CYMUXF">
<item name="0" rev="11">
<attrib name="0" value="32"/><attrib name="0_INV" value="0"/></item>
<item name="1" rev="11">
<attrib name="1_INV" value="0"/><attrib name="1" value="32"/></item>
</group>
<group name="SLICEL_XORF">
<item name="1" rev="2">
<attrib name="1_INV" value="0"/><attrib name="1" value="29"/></item>
<group name="SLICEL_CYMUXG">
<item name="0" rev="11">
<attrib name="0" value="29"/><attrib name="0_INV" value="0"/></item>
</group>
<group name="SLICEL_FFY">
<item name="CE" rev="2">
<attrib name="CE" value="9"/><attrib name="CE_INV" value="0"/></item>
<item name="CK" rev="2">
<attrib name="CK" value="39"/><attrib name="CK_INV" value="11"/></item>
<item name="D" rev="2">
<attrib name="D" value="49"/><attrib name="D_INV" value="1"/></item>
<item name="FFY_INIT_ATTR" rev="2">
<attrib name="INIT0" value="50"/></item>
<item name="FFY_SR_ATTR" rev="2">
<attrib name="SRLOW" value="50"/></item>
<item name="LATCH_OR_FF" rev="2">
<attrib name="FF" value="50"/></item>
<item name="SR" rev="2">
<attrib name="SR" value="32"/><attrib name="SR_INV" value="0"/></item>
<item name="SYNC_ATTR" rev="2">
<attrib name="ASYNC" value="36"/><attrib name="SYNC" value="14"/></item>
</group>
<group name="BUFGMUX_GCLKMUX">
<item name="DISABLE_ATTR" rev="2">
<attrib name="LOW" value="3"/></item>
<item name="S" rev="2">
<attrib name="S_INV" value="3"/><attrib name="S" value="0"/></item>
<item name="DISABLE_ATTR" rev="11">
<attrib name="LOW" value="4"/></item>
<item name="S" rev="11">
<attrib name="S_INV" value="4"/><attrib name="S" value="0"/></item>
</group>
<group name="SLICEL_F5MUX">
<item name="S0" rev="2">
<attrib name="S0" value="8"/><attrib name="S0_INV" value="0"/></item>
</group>
<group name="IBUF_DELAY_ADJ_BBOX">
<item name="DELAY_ADJ_ATTRBOX" rev="2">
<attrib name="FIXED" value="15"/></item>
<item name="IBUF_DELAY_VALUE" rev="2">
<attrib name="DLY0" value="15"/></item>
<item name="IFD_DELAY_VALUE" rev="2">
<attrib name="DLY0" value="15"/></item>
<item name="SEL_IN" rev="2">
<attrib name="SEL_IN" value="15"/><attrib name="SEL_IN_INV" value="0"/></item>
<item name="DELAY_ADJ_ATTRBOX" rev="11">
<attrib name="FIXED" value="21"/></item>
<item name="IBUF_DELAY_VALUE" rev="11">
<attrib name="DLY0" value="21"/></item>
<item name="IFD_DELAY_VALUE" rev="11">
<attrib name="DLY0" value="21"/></item>
<item name="SEL_IN" rev="11">
<attrib name="SEL_IN" value="21"/><attrib name="SEL_IN_INV" value="0"/></item>
</group>
<group name="SLICEM_WSGEN">
<item name="CK" rev="2">
<attrib name="CK" value="0"/><attrib name="CK_INV" value="1"/></item>
<item name="SYNC_ATTR" rev="2">
<attrib name="ASYNC" value="1"/></item>
<item name="WE" rev="2">
<attrib name="WE_INV" value="0"/><attrib name="WE" value="1"/></item>
<group name="SLICEL_FFX">
<item name="CE" rev="11">
<attrib name="CE" value="27"/><attrib name="CE_INV" value="0"/></item>
<item name="CK" rev="11">
<attrib name="CK" value="67"/><attrib name="CK_INV" value="10"/></item>
<item name="D" rev="11">
<attrib name="D" value="74"/><attrib name="D_INV" value="3"/></item>
<item name="FFX_INIT_ATTR" rev="11">
<attrib name="INIT0" value="69"/><attrib name="INIT1" value="8"/></item>
<item name="FFX_SR_ATTR" rev="11">
<attrib name="SRLOW" value="77"/></item>
<item name="LATCH_OR_FF" rev="11">
<attrib name="FF" value="73"/><attrib name="LATCH" value="4"/></item>
<item name="REV" rev="11">
<attrib name="REV_INV" value="0"/><attrib name="REV" value="1"/></item>
<item name="SR" rev="11">
<attrib name="SR" value="41"/><attrib name="SR_INV" value="0"/></item>
<item name="SYNC_ATTR" rev="11">
<attrib name="ASYNC" value="51"/><attrib name="SYNC" value="26"/></item>
</group>
<group name="SLICEM_FFY">
<item name="CK" rev="2">
<attrib name="CK" value="0"/><attrib name="CK_INV" value="1"/></item>
<item name="D" rev="2">
<group name="SLICEL_XORF">
<item name="1" rev="11">
<attrib name="1_INV" value="0"/><attrib name="1" value="34"/></item>
</group>
<group name="SLICEL_FFY">
<item name="CE" rev="11">
<attrib name="CE" value="29"/><attrib name="CE_INV" value="0"/></item>
<item name="CK" rev="11">
<attrib name="CK" value="75"/><attrib name="CK_INV" value="11"/></item>
<item name="D" rev="11">
<attrib name="D" value="84"/><attrib name="D_INV" value="2"/></item>
<item name="FFY_INIT_ATTR" rev="11">
<attrib name="INIT0" value="77"/><attrib name="INIT1" value="9"/></item>
<item name="FFY_SR_ATTR" rev="11">
<attrib name="SRLOW" value="86"/></item>
<item name="LATCH_OR_FF" rev="11">
<attrib name="FF" value="81"/><attrib name="LATCH" value="5"/></item>
<item name="SR" rev="11">
<attrib name="SR" value="48"/><attrib name="SR_INV" value="0"/></item>
<item name="SYNC_ATTR" rev="11">
<attrib name="ASYNC" value="57"/><attrib name="SYNC" value="29"/></item>
</group>
<group name="SLICEM_FFX">
<item name="CE" rev="11">
<attrib name="CE" value="1"/><attrib name="CE_INV" value="0"/></item>
<item name="CK" rev="11">
<attrib name="CK" value="1"/><attrib name="CK_INV" value="0"/></item>
<item name="D" rev="11">
<attrib name="D" value="1"/><attrib name="D_INV" value="0"/></item>
<item name="FFY_INIT_ATTR" rev="2">
<attrib name="INIT0" value="1"/></item>
<item name="FFY_SR_ATTR" rev="2">
<item name="FFX_INIT_ATTR" rev="11">
<attrib name="INIT1" value="1"/></item>
<item name="FFX_SR_ATTR" rev="11">
<attrib name="SRLOW" value="1"/></item>
<item name="LATCH_OR_FF" rev="2">
<item name="LATCH_OR_FF" rev="11">
<attrib name="FF" value="1"/></item>
<item name="SYNC_ATTR" rev="2">
<item name="SYNC_ATTR" rev="11">
<attrib name="ASYNC" value="1"/></item>
</group>
<group name="SLICEM_FFY">
<item name="CE" rev="11">
<attrib name="CE" value="1"/><attrib name="CE_INV" value="0"/></item>
<item name="CK" rev="11">
<attrib name="CK" value="1"/><attrib name="CK_INV" value="1"/></item>
<item name="D" rev="11">
<attrib name="D" value="2"/><attrib name="D_INV" value="0"/></item>
<item name="FFY_INIT_ATTR" rev="11">
<attrib name="INIT0" value="1"/><attrib name="INIT1" value="1"/></item>
<item name="FFY_SR_ATTR" rev="11">
<attrib name="SRLOW" value="2"/></item>
<item name="LATCH_OR_FF" rev="11">
<attrib name="FF" value="2"/></item>
<item name="SYNC_ATTR" rev="11">
<attrib name="ASYNC" value="2"/></item>
</group>
<group name="IOB_PAD">
<item name="DRIVEATTRBOX" rev="2">
<attrib name="12" value="25"/></item>
<item name="IOATTRBOX" rev="2">
<attrib name="LVCMOS25" value="25"/></item>
<item name="SLEW" rev="2">
<attrib name="SLOW" value="25"/></item>
<item name="DRIVEATTRBOX" rev="11">
<attrib name="12" value="34"/></item>
<item name="IOATTRBOX" rev="11">
<attrib name="LVCMOS25" value="34"/></item>
<item name="SLEW" rev="11">
<attrib name="SLOW" value="34"/></item>
</group>
<group name="IOB">
<item name="O1" rev="2">
<attrib name="O1_INV" value="0"/><attrib name="O1" value="25"/></item>
<item name="O1" rev="11">
<attrib name="O1_INV" value="8"/><attrib name="O1" value="26"/></item>
</group>
<group name="BUFGMUX">
<item name="S" rev="2">
<attrib name="S_INV" value="3"/><attrib name="S" value="0"/></item>
<item name="S" rev="11">
<attrib name="S_INV" value="4"/><attrib name="S" value="0"/></item>
</group>
<group name="SLICEL_F5MUX">
<item name="S0" rev="11">
<attrib name="S0" value="10"/><attrib name="S0_INV" value="0"/></item>
</group>
</ReportConfigData>
<ReportPinData TimeStamp="Fri May 2 20:24:22 2014"><group name="SLICEL_CYMUXF">
<item name="0" rev="2">
<attrib name="value" value="28"/></item>
<item name="1" rev="2">
<attrib name="value" value="28"/></item>
<item name="OUT" rev="2">
<attrib name="value" value="28"/></item>
<item name="S0" rev="2">
<attrib name="value" value="28"/></item>
<ReportPinData TimeStamp="Tue May 6 22:08:39 2014"><group name="IBUF_PAD">
<item name="PAD" rev="11">
<attrib name="value" value="21"/></item>
</group>
<group name="SLICEL_CYMUXG">
<item name="0" rev="2">
<attrib name="value" value="25"/></item>
<item name="1" rev="2">
<attrib name="value" value="25"/></item>
<item name="OUT" rev="2">
<attrib name="value" value="25"/></item>
<item name="S0" rev="2">
<attrib name="value" value="25"/></item>
</group>
<group name="IBUF_PAD">
<item name="PAD" rev="2">
<attrib name="value" value="15"/></item>
</group>
<group name="IBUF_INBUF">
<item name="IN" rev="2">
<attrib name="value" value="15"/></item>
<item name="OUT" rev="2">
<attrib name="value" value="15"/></item>
<item name="IN" rev="11">
<attrib name="value" value="21"/></item>
<item name="OUT" rev="11">
<attrib name="value" value="21"/></item>
</group>
<group name="SLICEM_G">
<item name="A1" rev="2">
<attrib name="value" value="1"/></item>
<item name="A2" rev="2">
<attrib name="value" value="1"/></item>
<item name="A3" rev="2">
<attrib name="value" value="1"/></item>
<item name="A4" rev="2">
<attrib name="value" value="1"/></item>
<item name="D" rev="2">
<attrib name="value" value="1"/></item>
<item name="DI" rev="2">
<attrib name="value" value="1"/></item>
<item name="WS" rev="2">
<attrib name="value" value="1"/></item>
<item name="A1" rev="11">
<attrib name="value" value="2"/></item>
<item name="A2" rev="11">
<attrib name="value" value="2"/></item>
<item name="A3" rev="11">
<attrib name="value" value="2"/></item>
<item name="A4" rev="11">
<attrib name="value" value="2"/></item>
<item name="D" rev="11">
<attrib name="value" value="2"/></item>
<item name="DI" rev="11">
<attrib name="value" value="2"/></item>
<item name="WS" rev="11">
<attrib name="value" value="2"/></item>
</group>
<group name="BUFGMUX_GCLK_BUFFER">
<item name="IN" rev="2">
<attrib name="value" value="3"/></item>
<item name="OUT" rev="2">
<attrib name="value" value="3"/></item>
</group>
<group name="SLICEL">
<item name="BX" rev="2">
<attrib name="value" value="27"/></item>
<item name="BY" rev="2">
<item name="BX" rev="11">
<attrib name="value" value="44"/></item>
<item name="BY" rev="11">
<attrib name="value" value="35"/></item>
<item name="CE" rev="11">
<attrib name="value" value="30"/></item>
<item name="CIN" rev="11">
<attrib name="value" value="29"/></item>
<item name="CLK" rev="11">
<attrib name="value" value="91"/></item>
<item name="COUT" rev="11">
<attrib name="value" value="29"/></item>
<item name="F1" rev="11">
<attrib name="value" value="78"/></item>
<item name="F2" rev="11">
<attrib name="value" value="44"/></item>
<item name="F3" rev="11">
<attrib name="value" value="38"/></item>
<item name="F4" rev="11">
<attrib name="value" value="29"/></item>
<item name="G1" rev="11">
<attrib name="value" value="81"/></item>
<item name="G2" rev="11">
<attrib name="value" value="48"/></item>
<item name="G3" rev="11">
<attrib name="value" value="38"/></item>
<item name="G4" rev="11">
<attrib name="value" value="20"/></item>
<item name="CE" rev="2">
<attrib name="value" value="9"/></item>
<item name="CIN" rev="2">
<attrib name="value" value="25"/></item>
<item name="CLK" rev="2">
<item name="SR" rev="11">
<attrib name="value" value="51"/></item>
<item name="COUT" rev="2">
<attrib name="value" value="25"/></item>
<item name="F1" rev="2">
<attrib name="value" value="52"/></item>
<item name="F2" rev="2">
<attrib name="value" value="23"/></item>
<item name="F3" rev="2">
<attrib name="value" value="20"/></item>
<item name="F4" rev="2">
<attrib name="value" value="16"/></item>
<item name="G1" rev="2">
<attrib name="value" value="52"/></item>
<item name="G2" rev="2">
<attrib name="value" value="24"/></item>
<item name="G3" rev="2">
<attrib name="value" value="21"/></item>
<item name="G4" rev="2">
<attrib name="value" value="16"/></item>
<item name="SR" rev="2">
<attrib name="value" value="32"/></item>
<item name="X" rev="2">
<attrib name="value" value="22"/></item>
<item name="XQ" rev="2">
<attrib name="value" value="46"/></item>
<item name="Y" rev="2">
<attrib name="value" value="14"/></item>
<item name="YQ" rev="2">
<attrib name="value" value="50"/></item>
<item name="X" rev="11">
<attrib name="value" value="31"/></item>
<item name="XQ" rev="11">
<attrib name="value" value="77"/></item>
<item name="Y" rev="11">
<attrib name="value" value="19"/></item>
<item name="YQ" rev="11">
<attrib name="value" value="86"/></item>
</group>
<group name="SLICEM_WSGEN">
<item name="CK" rev="11">
<attrib name="value" value="2"/></item>
<item name="WE" rev="11">
<attrib name="value" value="2"/></item>
<item name="WSG" rev="11">
<attrib name="value" value="2"/></item>
</group>
<group name="SLICEM">
<item name="BY" rev="2">
<item name="BX" rev="11">
<attrib name="value" value="1"/></item>
<item name="CLK" rev="2">
<item name="BY" rev="11">
<attrib name="value" value="2"/></item>
<item name="CE" rev="11">
<attrib name="value" value="1"/></item>
<item name="G1" rev="2">
<item name="CLK" rev="11">
<attrib name="value" value="2"/></item>
<item name="G1" rev="11">
<attrib name="value" value="2"/></item>
<item name="G2" rev="11">
<attrib name="value" value="2"/></item>
<item name="G3" rev="11">
<attrib name="value" value="2"/></item>
<item name="G4" rev="11">
<attrib name="value" value="2"/></item>
<item name="SR" rev="11">
<attrib name="value" value="2"/></item>
<item name="XQ" rev="11">
<attrib name="value" value="1"/></item>
<item name="G2" rev="2">
<attrib name="value" value="1"/></item>
<item name="G3" rev="2">
<attrib name="value" value="1"/></item>
<item name="G4" rev="2">
<attrib name="value" value="1"/></item>
<item name="SR" rev="2">
<attrib name="value" value="1"/></item>
<item name="YQ" rev="2">
<attrib name="value" value="1"/></item>
<item name="YQ" rev="11">
<attrib name="value" value="2"/></item>
</group>
<group name="IOB_OUTBUF">
<item name="IN" rev="2">
<attrib name="value" value="25"/></item>
<item name="OUT" rev="2">
<attrib name="value" value="25"/></item>
<item name="IN" rev="11">
<attrib name="value" value="34"/></item>
<item name="OUT" rev="11">
<attrib name="value" value="34"/></item>
</group>
<group name="SLICEL_CYMUXF">
<item name="0" rev="11">
<attrib name="value" value="32"/></item>
<item name="1" rev="11">
<attrib name="value" value="32"/></item>
<item name="OUT" rev="11">
<attrib name="value" value="32"/></item>
<item name="S0" rev="11">
<attrib name="value" value="32"/></item>
</group>
<group name="SLICEL_CYMUXG">
<item name="0" rev="11">
<attrib name="value" value="29"/></item>
<item name="1" rev="11">
<attrib name="value" value="29"/></item>
<item name="OUT" rev="11">
<attrib name="value" value="29"/></item>
<item name="S0" rev="11">
<attrib name="value" value="29"/></item>
</group>
<group name="BUFGMUX_GCLKMUX">
<item name="I0" rev="11">
<attrib name="value" value="4"/></item>
<item name="OUT" rev="11">
<attrib name="value" value="4"/></item>
<item name="S" rev="11">
<attrib name="value" value="4"/></item>
</group>
<group name="IBUF_DELAY_ADJ_BBOX">
<item name="IBUF_OUT" rev="11">
<attrib name="value" value="21"/></item>
<item name="SEL_IN" rev="11">
<attrib name="value" value="21"/></item>
</group>
<group name="SLICEL_C1VDD">
<item name="1" rev="11">
<attrib name="value" value="5"/></item>
</group>
<group name="IBUF">
<item name="I" rev="2">
<attrib name="value" value="15"/></item>
<item name="PAD" rev="2">
<attrib name="value" value="15"/></item>
<item name="I" rev="11">
<attrib name="value" value="21"/></item>
<item name="PAD" rev="11">
<attrib name="value" value="21"/></item>
</group>
<group name="SLICEL_FFX">
<item name="CE" rev="2">
<attrib name="value" value="9"/></item>
<item name="CK" rev="2">
<attrib name="value" value="46"/></item>
<item name="D" rev="2">
<attrib name="value" value="46"/></item>
<item name="Q" rev="2">
<attrib name="value" value="46"/></item>
<item name="SR" rev="2">
<item name="CE" rev="11">
<attrib name="value" value="27"/></item>
<item name="CK" rev="11">
<attrib name="value" value="77"/></item>
<item name="D" rev="11">
<attrib name="value" value="77"/></item>
<item name="Q" rev="11">
<attrib name="value" value="77"/></item>
<item name="REV" rev="11">
<attrib name="value" value="1"/></item>
<item name="SR" rev="11">
<attrib name="value" value="41"/></item>
</group>
<group name="SLICEL_XORF">
<item name="0" rev="2">
<attrib name="value" value="29"/></item>
<item name="1" rev="2">
<attrib name="value" value="29"/></item>
<item name="O" rev="2">
<attrib name="value" value="29"/></item>
<item name="0" rev="11">
<attrib name="value" value="34"/></item>
<item name="1" rev="11">
<attrib name="value" value="34"/></item>
<item name="O" rev="11">
<attrib name="value" value="34"/></item>
</group>
<group name="SLICEL_FFY">
<item name="CE" rev="2">
<attrib name="value" value="9"/></item>
<item name="CK" rev="2">
<attrib name="value" value="50"/></item>
<item name="D" rev="2">
<attrib name="value" value="50"/></item>
<item name="Q" rev="2">
<attrib name="value" value="50"/></item>
<item name="SR" rev="2">
<attrib name="value" value="32"/></item>
<item name="CE" rev="11">
<attrib name="value" value="29"/></item>
<item name="CK" rev="11">
<attrib name="value" value="86"/></item>
<item name="D" rev="11">
<attrib name="value" value="86"/></item>
<item name="Q" rev="11">
<attrib name="value" value="86"/></item>
<item name="SR" rev="11">
<attrib name="value" value="48"/></item>
</group>
<group name="SLICEL_XORG">
<item name="0" rev="2">
<attrib name="value" value="28"/></item>
<item name="1" rev="2">
<attrib name="value" value="28"/></item>
<item name="O" rev="2">
<attrib name="value" value="28"/></item>
<item name="0" rev="11">
<attrib name="value" value="32"/></item>
<item name="1" rev="11">
<attrib name="value" value="32"/></item>
<item name="O" rev="11">
<attrib name="value" value="32"/></item>
</group>
<group name="BUFGMUX_GCLKMUX">
<item name="I0" rev="2">
<attrib name="value" value="3"/></item>
<item name="OUT" rev="2">
<attrib name="value" value="3"/></item>
<item name="S" rev="2">
<attrib name="value" value="3"/></item>
</group>
<group name="SLICEL_F5MUX">
<item name="F" rev="2">
<attrib name="value" value="8"/></item>
<item name="G" rev="2">
<attrib name="value" value="8"/></item>
<item name="OUT" rev="2">
<attrib name="value" value="8"/></item>
<item name="S0" rev="2">
<attrib name="value" value="8"/></item>
</group>
<group name="IBUF_DELAY_ADJ_BBOX">
<item name="IBUF_OUT" rev="2">
<attrib name="value" value="15"/></item>
<item name="SEL_IN" rev="2">
<attrib name="value" value="15"/></item>
</group>
<group name="SLICEM_WSGEN">
<item name="CK" rev="2">
<group name="SLICEM_FFX">
<item name="CE" rev="11">
<attrib name="value" value="1"/></item>
<item name="WE" rev="2">
<item name="CK" rev="11">
<attrib name="value" value="1"/></item>
<item name="WSG" rev="2">
<item name="D" rev="11">
<attrib name="value" value="1"/></item>
<item name="Q" rev="11">
<attrib name="value" value="1"/></item>
</group>
<group name="SLICEM_FFY">
<item name="CK" rev="2">
<item name="CE" rev="11">
<attrib name="value" value="1"/></item>
<item name="D" rev="2">
<attrib name="value" value="1"/></item>
<item name="Q" rev="2">
<attrib name="value" value="1"/></item>
<item name="CK" rev="11">
<attrib name="value" value="2"/></item>
<item name="D" rev="11">
<attrib name="value" value="2"/></item>
<item name="Q" rev="11">
<attrib name="value" value="2"/></item>
</group>
<group name="IOB_PAD">
<item name="PAD" rev="2">
<attrib name="value" value="25"/></item>
<item name="PAD" rev="11">
<attrib name="value" value="34"/></item>
</group>
<group name="IOB">
<item name="O1" rev="2">
<attrib name="value" value="25"/></item>
<item name="PAD" rev="2">
<attrib name="value" value="25"/></item>
<item name="O1" rev="11">
<attrib name="value" value="34"/></item>
<item name="PAD" rev="11">
<attrib name="value" value="34"/></item>
</group>
<group name="SLICEL_C1VDD">
<item name="1" rev="2">
<group name="BUFGMUX">
<item name="I0" rev="11">
<attrib name="value" value="4"/></item>
<item name="O" rev="11">
<attrib name="value" value="4"/></item>
<item name="S" rev="11">
<attrib name="value" value="4"/></item>
</group>
<group name="BUFGMUX">
<item name="I0" rev="2">
<attrib name="value" value="3"/></item>
<item name="O" rev="2">
<attrib name="value" value="3"/></item>
<item name="S" rev="2">
<attrib name="value" value="3"/></item>
<group name="BUFGMUX_GCLK_BUFFER">
<item name="IN" rev="11">
<attrib name="value" value="4"/></item>
<item name="OUT" rev="11">
<attrib name="value" value="4"/></item>
</group>
<group name="SLICEL_F">
<item name="A1" rev="2">
<attrib name="value" value="52"/></item>
<item name="A2" rev="2">
<attrib name="value" value="23"/></item>
<item name="A3" rev="2">
<attrib name="value" value="20"/></item>
<item name="A4" rev="2">
<attrib name="value" value="16"/></item>
<item name="D" rev="2">
<attrib name="value" value="53"/></item>
<item name="A1" rev="11">
<attrib name="value" value="78"/></item>
<item name="A2" rev="11">
<attrib name="value" value="44"/></item>
<item name="A3" rev="11">
<attrib name="value" value="38"/></item>
<item name="A4" rev="11">
<attrib name="value" value="29"/></item>
<item name="D" rev="11">
<attrib name="value" value="79"/></item>
</group>
<group name="SLICEL_F5MUX">
<item name="F" rev="11">
<attrib name="value" value="10"/></item>
<item name="G" rev="11">
<attrib name="value" value="10"/></item>
<item name="OUT" rev="11">
<attrib name="value" value="10"/></item>
<item name="S0" rev="11">
<attrib name="value" value="10"/></item>
</group>
<group name="SLICEL_G">
<item name="A1" rev="2">
<attrib name="value" value="52"/></item>
<item name="A2" rev="2">
<attrib name="value" value="24"/></item>
<item name="A3" rev="2">
<attrib name="value" value="21"/></item>
<item name="A4" rev="2">
<attrib name="value" value="16"/></item>
<item name="D" rev="2">
<attrib name="value" value="52"/></item>
<item name="A1" rev="11">
<attrib name="value" value="81"/></item>
<item name="A2" rev="11">
<attrib name="value" value="48"/></item>
<item name="A3" rev="11">
<attrib name="value" value="38"/></item>
<item name="A4" rev="11">
<attrib name="value" value="20"/></item>
<item name="D" rev="11">
<attrib name="value" value="81"/></item>
</group>
<group name="SLICEL_GNDF">
<item name="0" rev="2">
<attrib name="value" value="24"/></item>
<item name="0" rev="11">
<attrib name="value" value="27"/></item>
</group>
<group name="SLICEL_GNDG">
<item name="0" rev="2">
<attrib name="value" value="25"/></item>
<item name="0" rev="11">
<attrib name="value" value="29"/></item>
</group>
</ReportPinData>
<CmdHistory>
/projects/S3Demo/_ngo/netlist.lst
1,2 → 1,2
/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ngc 1399072780
/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ngc 1399424055
OK
/projects/S3Demo/S3DemoPHR.mcs
0,0 → 1,9352
:020000040000FA
:10000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00
:10001000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0
:10002000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0
:10003000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0
:10004000FFFFFFFFFFFF55990C8500E004008C8641
:100050009077CC843CF08C8500918C82F4008C43AA
:10006000408401C90C87FFF30C8300818C811081CF
:100070004C8000F84C8300A04C8700204C85007019
:100080004C8600004C810000CC82184FCC4600000A
:1000900000000C44000000000C8500800A0600806F
:1000A000C445000000000000000000000000000047
:1000B0000000000000000000000000000000000040
:1000C0000000000000000000000000000000000030
:1000D0000000000000000000000000000000000020
:1000E0000000000000000000000000000000000010
:1000F0000000000000000000000000000000000000
:1001000000000000000000000000000000000000EF
:1001100000000000000000000000000000000000DF
:10012000000000000000402000000002000000006D
:1001300000080000000000000000000000000000B7
:1001400000000000000000000000000000000000AF
:10015000000000000000000000000000000000009F
:10016000000000000000000000000000000000008F
:10017000000000000000000000000000000000007F
:10018000000000000000000000000000000000006F
:10019000000000000000000000000000000000005F
:1001A000000000000000000000000000000000004F
:1001B000000000000000000000000000000000003F
:1001C000000000000000000000000000000000002F
:1001D000000000000000000000000000000000001F
:1001E000000000000000000000000000000000000F
:1001F00000000000000000000000000000000000FF
:1002000000000000000000000000000000000000EE
:1002100000000000000000000000000000000000DE
:1002200000000000000000000000000000000000CE
:100230000000000000000000000040200000080056
:10024000004100000000000000000000000000006D
:10025000000000000000000000000000000000009E
:10026000000000000000000000000000000000008E
:10027000000000000000000000000000000000007E
:10028000000000000000000000000000000000006E
:10029000000000000000000000000000000000005E
:1002A000000000000000000000000000000000004E
:1002B000000000000000000000000000000000003E
:1002C00000000000000000000000000072000000BC
:1002D000008000020000000000000000000000009C
:1002E000000000000000000000000000000000000E
:1002F00000000000000000000000000000000000FE
:1003000000000000000000000000000000000000ED
:1003100000000000000000000000000000000000DD
:1003200000000000000000000000000000000000CD
:1003300000000000000000000000000000000000BD
:1003400000000000000000000000000000000000AD
:10035000000000000000000000000000000000009D
:10036000000000000000000000000000000000008D
:10037000000000000000000000000000000000007D
:10038000000000000000000000000000000000006D
:10039000000000000000000000000000000000005D
:1003A000000000000000000000000000000000004D
:1003B000000000000000000000000000000000003D
:1003C000000000000000000000000000000000002D
:1003D00000000000001000000000002A00000000E3
:1003E000000000000000000000000000000000000D
:1003F00000000000000000000000000000000000FD
:1004000000000000000000000000000000000000EC
:1004100000000000000000000000000000000000DC
:1004200000000000000000000000000000000000CC
:1004300000000000000000000000000000000000BC
:1004400000000000000000000000000000000000AC
:10045000000000000000000000000000000000009C
:10046000000000000000000000000000000000008C
:10047000000000000000000000000000000000007C
:10048000000000000000000000000000000000006C
:10049000000000000000000000000000000000005C
:1004A000000000000000000000000000000000004C
:1004B000000000000000000000000000000000003C
:1004C000000000000000000000000000000000002C
:1004D000000000000000000000000000000000001C
:1004E000000000000000000000000000000000000C
:1004F0000000000000000000000000000080000874
:1005000000340240010000000000000000004080B4
:1005100002081812030000000000000000000000A4
:1005200000000000000000000000000000000000CB
:1005300000000000000000000000000000000000BB
:1005400000000000000000000000000000000000AB
:10055000000000000000000000000000000000009B
:100560000000000000800060140000400000408097
:1005700002081008800100000000000000000000D8
:100580000000000000008001000008C00101000020
:10059000000000000000000000000000000000005B
:1005A000000000000000000000000000000000004B
:1005B000000000000000000000000000000000003B
:1005C000000000000000000000000000000000002B
:1005D000000000000000000000000000000000001B
:1005E00000000000000000000000000000004000CB
:1005F000C014100880010002130000000000000079
:1006000000000C00000000000000000000000000DE
:1006100000000200000002000000000000000000D6
:1006200000000000000000000000000000000000CA
:1006300000000000000000000000000000000000BA
:1006400000000000000000000000000000000000AA
:10065000000000000000000000000000000000009A
:10066000000000000000000000000000000000008A
:10067000000000000000000000000000000000007A
:10068000000000000000000000000000000000006A
:10069000000000000000000000000000200000003A
:1006A000000000000000000000000000000000004A
:1006B000000000000000000000000000000000003A
:1006C000000000000000000000000000000000002A
:1006D000000000000000000000000000000000001A
:1006E000000000000000000000000000000000000A
:1006F00000000000000000000000000000000000FA
:1007000000000000000800000000000000000000E1
:1007100000000000003E0A00000000000000000091
:1007200000000000000000001001000000000000B8
:1007300000000000000000001001000000000000A8
:1007400000000000000000000000000000000000A9
:100750000000000000000000000000000000000099
:100760000000000000000000000000000000000089
:100770000000000000000000000000000000000079
:100780000000000000000000000000000000000069
:1007900000000000000000001081080000000000C0
:1007A0000000000000000000000000000000000049
:1007B00010810800000000000000000000000000A0
:1007C0000000000000000000000000000000000029
:1007D0000000000000000000000000000000000019
:1007E0000000000000000000000000000000000009
:1007F00000000000000000000000000000000000F9
:1008000000000000000000000000000000000000E8
:100810000000000000000000008008000000000050
:1008200000000000000000000000000000000000C8
:1008300000000000000000000000000000010000B7
:1008400000000000000000000000000000010000A7
:100850000000000000000000000000000000000098
:100860000000000000000000000000000000000088
:100870000000000000000000000000000000000078
:100880000000000000000000000000000000000068
:100890000000000000000000000000000000000058
:1008A00000000000000000000000000000810000C7
:1008B0000000000000000000000000000000000038
:1008C00000000000008100000000000000000000A7
:1008D0000000000000000000000000000000000018
:1008E0000000000000000000000000000000000008
:1008F00000000000000000000000000000000000F8
:1009000000000000000000000000000000000000E7
:1009100000000000000000000000000000000000D7
:100920000000000000000000000000000080000047
:1009300000000000000000000000000000000000B7
:1009400000000000000000000000000000000000A7
:100950000000000000000000000000000000000097
:100960000000000000000000000000000000000087
:100970000000000000000000000000000000000077
:100980000000000000000000000000000000000067
:100990000000000000000000000000000000000057
:1009A0000000000000000000000000000000000047
:1009B0000000000000000000000000000000000037
:1009C0000000000000000000000000000000000027
:1009D0000000000000000000000000000000000017
:1009E0000000000000000000000000000000000007
:1009F00000000000000000000000000000000000F7
:100A000000000000000000000000000000000000E6
:100A100000000000000000000000000000000000D6
:100A200000000000000000000000000000000000C6
:100A300000000000000000000000000000000000B6
:100A400000000000000000000000000000000000A6
:100A50000000000000000000000000000000000096
:100A60000000000000000000000000000000000086
:100A70000000000000000000000000000000000076
:100A80000000000000000000000000000000000066
:100A90000000000000000000000000000000000056
:100AA0000000000000000000000000000000000046
:100AB0000000000000000000000000000000000036
:100AC0000000000000000000000000000000000026
:100AD0000000000000000000000000000000000016
:100AE0000000000000000000000000000000000006
:100AF00000000000000000000000000000000000F6
:100B000000000000000000000000000000000000E5
:100B100000000000000000000000000000000000D5
:100B200000000000000000000000000000000000C5
:100B300000000000000000000000000000000000B5
:100B400000000000000000000000000000000000A5
:100B50000000000000000000000000000000000095
:100B60000000000000000000000000000000000085
:100B70000000000000000000000000000000000075
:100B80000000000000000000000000000000000065
:100B90000000000000000000000000000000000055
:100BA0000000000000000000000000000000000045
:100BB0000000000000000000000000000000000035
:100BC0000000000000000000000000000000000025
:100BD0000000000000000000000000000000000015
:100BE0000000000000000000000000000000000005
:100BF00000000000000000000000000000000000F5
:100C000000000000000000000000000000000000E4
:100C100000000000000000000000000000000000D4
:100C200000000000000000000000000000000000C4
:100C300000000000000000000000000000000000B4
:100C400000000000000000000000000000000000A4
:100C50000000000000000000000000000000000094
:100C60000000000000000000000000000000000084
:100C70000000000000000000000000000000000074
:100C80000000000000000000000000000000000064
:100C90000000000000000000000000000000000054
:100CA0000000000000000000000000000000000044
:100CB0000000000000000000000000000000000034
:100CC0000000000000000000000000000000000024
:100CD0000000000000000000000000000000000014
:100CE0000000000000000000000000000000000004
:100CF00000000000000000000000000000000000F4
:100D000000000000000000000000000000000000E3
:100D100000000000000000000000000000000000D3
:100D200000000000000000000000000000000000C3
:100D300000000000000000000000000000000000B3
:100D400000000000000000000000000000000000A3
:100D50000000000000000000000000000000000093
:100D60000000000000000000000000000000000083
:100D70000000000000000000000000000000000073
:100D80000000000000000000000000000000000063
:100D90000000000000000000000000000000000053
:100DA0000000000000000000000000000000000043
:100DB0000000000000000000000000000000000033
:100DC0000000000000000000000000000000000023
:100DD0000000000000000000000000000000000013
:100DE0000000000000000000000000000000000003
:100DF00000000000000000000000000000000000F3
:100E000000000000000000000000000000000000E2
:100E100000000000000000000000000000000000D2
:100E200000000000000000000000000000000000C2
:100E300000000000000000000000000000000000B2
:100E400000000000000000000000000000000000A2
:100E50000000000000000000000000000000000092
:100E60000000000000000000000000000000000082
:100E70000000000000000000000000000000000072
:100E80000000000000000000000000000000000062
:100E90000000000000000000000000000000000052
:100EA0000000000000000000000000000000000042
:100EB0000000000000000000000000000000000032
:100EC0000000000000000000000000000000000022
:100ED0000000000000000000000000000000000012
:100EE0000000000000000000000000000000000002
:100EF00000000000000000000000000000000000F2
:100F000000000000000000000000000000000000E1
:100F100000000000000000000000000000000000D1
:100F200000000000000000000000000000000000C1
:100F300000000000000000000000000000000000B1
:100F400000000000000000000000000000000000A1
:100F50000000000000000000000000000000000091
:100F60000000000000000000000000000000000081
:100F70000000000000000000000000000000000071
:100F80000000000000000000000000000000000061
:100F90000000000000000000000000000000000051
:100FA0000000000000000000000000000000000041
:100FB0000000000000000000000000000000000031
:100FC000000000000000000000000002000000001F
:100FD0000000000000000000000000010000000010
:100FE0000000000000000000000000000000000001
:100FF00000000000000000000000000000000000F1
:1010000000000000000000000000000000000000E0
:1010100000000000000000000000000000000000D0
:1010200000000000000000000000000000000000C0
:1010300000000000000000000000000200000000AE
:1010400000000000000000000000000000000000A0
:10105000000000020000000000000000000000008E
:101060000000000000000000000000000000000080
:101070000000000000000000000000000000000070
:101080000000000000000000000000000000000060
:101090000000000000000000000000000000000050
:1010A0000000000000000000000000000000000040
:1010B0000000000000000000000000000000000030
:1010C0000000000000000000000000000000000020
:1010D0000000000000000000000000000000000010
:1010E0000000000000000000000000000000A00060
:1010F00000000000000000000000000000000000F0
:1011000000000000000000000000000000000000DF
:1011100000000000000000000000000000000000CF
:1011200000000000000000000000000000000000BF
:1011300000000000000000000000000000000000AF
:10114000000000000000000000000000000020007F
:10115000000000000000000000000000000000008F
:10116000000000000000200000000000000000005F
:10117000000000000000000000000000000000006F
:10118000000000000000000000000000000000005F
:10119000000000000000000000000000000000004F
:1011A000000000000000000000000000000000003F
:1011B000000000000000000000000000000000002F
:1011C000000000000000000000000000000000001F
:1011D000000000000000000000000000000000000F
:1011E00000000000000000000000000000000000FF
:1011F00000000000000000000000000000000000EF
:1012000000000000000000000000000000000000DE
:1012100000000000000000000000000000000000CE
:1012200000000000000000000000000000000000BE
:1012300000000000000000000000000000000000AE
:10124000000000000000000000000000000000009E
:10125000000000000000000000000000000000008E
:10126000000000000000000000000000000000007E
:10127000000000000000000000000000000000006E
:10128000000000000000000000000000000000005E
:10129000000000000000000000000000000000004E
:1012A000000000000000000000000000000000003E
:1012B000000000000000000000000000000000002E
:1012C000000000000000000000000000000000001E
:1012D000000000000000000000000000000000000E
:1012E00000000000000000000000000000000000FE
:1012F00000000000000000000000000000000000EE
:1013000000000000000000000000000000000000DD
:1013100000000000000000000000000000000000CD
:1013200000000000000000000000000000000000BD
:1013300000000000000000000000000000000000AD
:10134000000000000000000000000000000000009D
:10135000000000000000000000000000000000008D
:10136000000000000000000000000000000000007D
:10137000000010000000000000000000000000005D
:10138000000000000000000000001000000000004D
:10139000000000000000000000000000000000004D
:1013A000000000000000000000000000000000003D
:1013B000000000000000000000000000000000002D
:1013C000000000000000000000000000000000001D
:1013D000000000000000000000000000000000000D
:1013E00000000000000000000000000000000000FD
:1013F00000001000000000000000000000000000DD
:1014000000000000000000000000000000000000DC
:1014100000000000000000000000000000000000CC
:1014200000000000000000000000000000000000BC
:1014300000000000000000000000000000000000AC
:10144000000000000000000000000000000000009C
:10145000000000000000000000000000000000008C
:10146000000000000000000000000000000000007C
:10147000000000000000000000000000000000006C
:10148000000000000000500000000000000000000C
:1014900000000000000000000000000000005000FC
:1014A000000000000000000000000000000000003C
:1014B000000000000000000000000000000000002C
:1014C000000000000000000000000000000000001C
:1014D000000000000000000000000000000000000C
:1014E00000000000000000000000000000000000FC
:1014F00000000000000000000000000000000000EC
:10150000000000000000500000000000000000008B
:1015100000000000000000000000000000000000CB
:10152000000000000140000000000000000000007A
:1015300000000000000000000000000004000000A7
:10154000000000000000000000000000000000009B
:10155000000000000000000000000000000000008B
:10156000000000000000000000000000000000007B
:10157000000000000000000000000000000000006B
:10158000000000000000000000000000000000005B
:1015900000000000800000000000000000000000CB
:1015A00000000000000000000000000080000000BB
:1015B000000000000000000000000000000000002B
:1015C000000000000000000000000000000000001B
:1015D000000000000000000000000000000000000B
:1015E00000000000000000000000000000000000FB
:1015F00000000000000000000000000000000000EB
:1016000000000000000000000000000000000000DA
:1016100000000000000000000000000000000000CA
:1016200000000000000000000000000000000000BA
:101630000000000000000000B000000000000000FA
:10164000000000000000000000000000000000009A
:10165000000000000000000000000000000000008A
:10166000000000000000000000000000000000007A
:10167000000000000000000000000000000000006A
:10168000000000000000000000000000000000005A
:10169000000000000000000000000000000000004A
:1016A000000000000000000000000000000000003A
:1016B000000000000000000000000000000000002A
:1016C000000000000000000000000000000000001A
:1016D000000000000000000000000000000000000A
:1016E00000000000000000000000000000000000FA
:1016F00000000000000000000000000000000000EA
:1017000000000000000000000000000000000000D9
:1017100000000000000000000000000000000000C9
:1017200000000000000000000000000000000000B9
:1017300000000000000000000000000000000000A9
:101740000000000000000000000000000810000081
:101750000000000000000000000000000000000089
:10176000000000000C00000000000000000000006D
:101770000000000000000000000000000000000069
:101780000000000000000000000000000000000059
:101790000000000000000000000000000000000049
:1017A0000000000000000000000000000000000039
:1017B00000000000000000000000000030000000F9
:1017C0000000000000000000000000000000000019
:1017D00000000000100000000000000000000000F9
:1017E00000000000000000000000000000000000F9
:1017F00000000000000000000000000000000000E9
:1018000000000000000000000000000000000000D8
:1018100000000000000000000000000000000000C8
:1018200000000000000000000000000000000000B8
:1018300000000000000000000000000000000000A8
:101840000000000000000000000000000000000098
:101850000000000000000000000000000000000088
:101860000000000000000000000000000000000078
:101870000000000000000000000000000000000068
:101880000000000000000000000000000000000058
:101890000000000000000000000000000000000048
:1018A0000000000000000000000000000000000038
:1018B0000000000000000000000000000000000028
:1018C0000000000000000000000000000000000018
:1018D0000000000000000000000000000000000008
:1018E00000000000000000000000000000000000F8
:1018F00000000000000000000000000000000000E8
:1019000000000000000000000000000000000000D7
:1019100000000000000000000000000000000000C7
:1019200000000000000000000000000000000000B7
:1019300000000000000000000000000000000000A7
:101940000000000000000000000000000000000097
:101950000000000000000000000000000000000087
:101960000000000000000000000000000000000077
:101970000000000000000000000000000000000067
:101980000000000000000000000000000000000057
:101990000000000000000000000000000000000047
:1019A0000000000000000000000000000000000037
:1019B0000000000000000000000000000000000027
:1019C0000000000000000000000000000000000017
:1019D0000000000000000000000000000000000007
:1019E00000000000000000000000000000000000F7
:1019F00000000000000000000000000000000000E7
:101A000000000000000000000000000000000000D6
:101A100000000000000000000000000000000000C6
:101A200000000000000000000000000000000000B6
:101A300000000000000000000000000000000000A6
:101A40000000000000000000000000000000000096
:101A50000000000000000000000000000000000086
:101A60000000000000000000000000000000040072
:101A70000000000000000400000000000000000062
:101A80000000000000000000000000000000000056
:101A90000000000000000000000000000000000046
:101AA0000000000000000000000000000000000036
:101AB0000000000000000000000000000000000026
:101AC0000000000000000000000000000000000016
:101AD0000000000000000000000000000000000006
:101AE00000000000000000000000000000000000F6
:101AF00000000000000000000000000000000000E6
:101B000000000000000000000000000000000000D5
:101B100000000000000000000000000000000000C5
:101B200000000000000000000000000000000000B5
:101B300000000000000000000000000000000000A5
:101B40000000000000000000000000000000000095
:101B50000000000000000000000000000000000085
:101B60000000000000000000000000000000000075
:101B70000000000000000000000000000000000065
:101B8000000004000000000000000400000000004D
:101B90000000000000000000000000001001000034
:101BA0000000000000000000000000000000000035
:101BB0000000000000000000000000000000000025
:101BC0000000000000000000000000000000000015
:101BD0000000000000000000000000000000000005
:101BE00000000000000000000000000000000000F5
:101BF000FFEFFFDFFF7FFFDFFEFF100000020100AD
:101C0000FEFEFFEF000000000000000000000000EA
:101C100000000000000000000000000000000000C4
:101C200000000000000000000000000000000000B4
:101C300000000000000000000000000000000000A4
:101C40000000000000000000000000000000000094
:101C50000000000000000000000000000000000084
:101C600000000000FFFEFFFF000000000000000079
:101C70000000000000000000000000000000000064
:101C80000000000000000000000000000000000054
:101C90000000000000000000000000000000000044
:101CA0000000000000000000000010000000000024
:101CB0000001000000000000000000000000000023
:101CC0000000000000000000000000000000000014
:101CD0000000000000000000000000000000000004
:101CE00000000000000000000000000000000000F4
:101CF00000000000000000000000000000000000E4
:101D00000000000000000C0000000C0000000C00AF
:101D100000000C0000000C000000000000000000AB
:101D200000000000000000000000000000000000B3
:101D300000000000000000000000000000000000A3
:101D40000000000000000000000000000000000093
:101D50000000000000000000000000000000000083
:101D60000000000000000000000000000000000073
:101D7000000000000000000048000C2000000000EF
:101D80000000000000000000000000000000000053
:101D90000000000000000000000000000000000043
:101DA0000000000000000000000000000000000033
:101DB000000000000000000000000000000000081B
:101DC0000000000000000000000000000000000013
:101DD0000000000000000000000000000000000003
:101DE00000000000000000000000000000000000F3
:101DF00000000000000000000000000000000000E3
:101E000000000000000000000000000000000000D2
:101E10000000000000000000D8B472A9DFB472B95D
:101E2000DFB472B9DFB472B9DFB472B00000000081
:101E300000000000000000000000000000000000A2
:101E40000000000000000000000000000000000092
:101E50000000000000000000000000000000000082
:101E600000000000000000000000000080140000DE
:101E70000000000000000000000000000000000062
:101E80000000000000000000000000000000000052
:101E90000000000000000000000000000000000042
:101EA0000000000000000000000000000000000032
:101EB0000000000000000000000000000000000022
:101EC0000000000000000000000000000000000012
:101ED00010000040000000000000000000000000B2
:101EE00000000000000000000000000000000000F2
:101EF00000000000000000000000000000000000E2
:101F000000000000000000000000000000000000D1
:101F100000000000000000000000000000000000C1
:101F20000000000000000000000000000F0F33332D
:101F3000FF005555333355550F0FFF003333AAAA11
:101F4000FFFFFFFF00000000000000000000000095
:101F50000000000000000000000000000000000081
:101F60000000000000000000000000000000000071
:101F70000000000000000000000000000000000061
:101F8000FFFFFFFF00000000000000000000000055
:101F90000000000000000000000000000000000041
:101FA0000808F7FF0000000000000000000000002B
:101FB0000000000000000000000000000000000021
:101FC0000000000000000000000000000000000011
:101FD0000000000000000000000000000000000001
:101FE00000000000000000000000000000000000F1
:101FF00000000000000000000000000000000000E1
:1020000000000000000000000000000000000000D0
:1020100000000000000000000000000000000000C0
:1020200000000000000000000000000000000000B0
:1020300000000000000000000000000000000000A0
:102040000000040300001401000004030000140158
:102050000000040200000400000000000000000076
:102060000000000000000000000000000000000070
:102070000000000000000000000000000000000060
:102080000000000000000000000000000000000050
:10209000000000010000000100000000000000003E
:1020A0000000000000000000000000000000000030
:1020B0000000000000000000000000000000000020
:1020C0000000000000000000000000000000000010
:1020D0000000000000000000000000000000000000
:1020E00000000000000000000000000000000000F0
:1020F00000000000000000000000000000000000E0
:1021000000000000000000000000000000000000CF
:1021100000000000000000000000000000000000BF
:1021200000000000000000000000000000000000AF
:10213000000000000000000000000000000000009F
:10214000000000000000000000000000000000008F
:1021500000000000410080000000140141008000E8
:102160000000140101000000000024000000000035
:10217000000000000000000000000000000000005F
:10218000000000000000000000000000000000004F
:10219000000000000000000000000000000000003F
:1021A000000000004000800000004001000000002E
:1021B000000000000000000000000000000000001F
:1021C000000000000000000000000000000000000F
:1021D00000000000000000000000000000000000FF
:1021E00000000000000000000000000000000000EF
:1021F00000000000000000000000000000000000DF
:1022000000000000000000000000000000000010BE
:1022100000000000000000000000000000000000BE
:1022200000000000000000000000000000000000AE
:10223000000000000000000000000000000000009E
:10224000000000000000000000000000000000008E
:10225000000000000000000000000000000000007E
:10226000000000000000000000C803C0C0C19300CF
:102270001381C2C0C805C701030003C00000C40029
:10228000000000000000000000000000000000004E
:10229000000000000000000000000000000000003E
:1022A000000000000000000000000000000000002E
:1022B000000000000000000000000000000013000B
:1022C000000000000000000000000000000000000E
:1022D00000000000000000000000000000000000FE
:1022E00000000000000000000000000000000000EE
:1022F00000000000000000000000000000000000DE
:1023000000000000000000000000000000000000CD
:1023100000000000000000000000000000000000BD
:10232000000000200000000000000000000000008D
:10233000000000000000000000000000000000009D
:10234000000000000000000000000000000000008D
:10235000000000000000000000000000000000007D
:10236000000000000000000000000000000000006D
:102370000000000000000000000000000000042039
:102380008003800800038202000040010402400232
:10239000000000000000000000000000000000003D
:1023A000000000000000000000000000000000002D
:1023B000000000000000000000000000000000001D
:1023C000000000000000000000000000000000000D
:1023D00000000000000000000000000000000000FD
:1023E00000000000000000000000000000000000ED
:1023F00000000000000000000000000000000000DD
:1024000000000000000000000000000000000000CC
:1024100000000000000000000000000000000000BC
:1024200000000000000000000000000000000000AC
:10243000000000000000001000000000000000008C
:10244000000000000000000000000000000000008C
:10245000000000000000000000000000000000007C
:10246000000000000000000000000000000000006C
:10247000000000000000000000000000000000005C
:10248000000000000000000000000000000000004C
:10249000400584281C00013C0005F06800800370A2
:1024A00040070000000000000000000000000000E5
:1024B000000000000000000000000000000000001C
:1024C000000000000000000000000000000000000C
:1024D00000000000000000000000000000000000FC
:1024E00000000000000000000000000000000000EC
:1024F00000000000000000000000000000000000DC
:10250000000080001C00F00000000000000000003F
:1025100000000000000000000000000000000000BB
:1025200000000000000000000000000000000000AB
:10253000000000000000000000000000000000009B
:10254000000000000000000000000000000000008B
:102550000000C002000000000000000000000000B9
:10256000000000000000000000000000000000006B
:10257000000000000000000000000000000000005B
:10258000000000000000000000000000000000004B
:10259000000000000000000000000000000000003B
:1025A000000000000000E0002827011000050034B2
:1025B0002800E11080070000002002000000000059
:1025C000000000000000000000000000000000000B
:1025D00000000000000000000000000000000000FB
:1025E00000000000000000000000000000000000EB
:1025F00000000000000000000000000000000000DB
:1026000000000000000000000000000000000000CA
:1026100000000000002003001D000000000000007A
:1026200000000000000000000000000000000000AA
:10263000000000000000000000000000000000009A
:10264000000000000000000000000000000000008A
:10265000000000000000000000000000000000403A
:10266000000000000000000000000000000000006A
:10267000000000000000000000000000000000005A
:10268000000000000000000000000000000000004A
:10269000000000000000000000000000000000003A
:1026A000000000000000000000000000000000002A
:1026B0000000000000000000200401388800010034
:1026C0000014040500A008280020000800000200F3
:1026D00000000000000000000000000000000000FA
:1026E00000000000000000000000000000000000EA
:1026F00000000000000000000000000000000000DA
:1027000000000000000000000000000000000000C9
:1027100000000000000000000000000000000000B9
:10272000000000000000000000400800020048080F
:102730000000000000000000000000000000000099
:102740000000000000000000000000000000000089
:102750000000000000000000000000000000000079
:102760000000000000000000000000000000000069
:102770000000000000000000000000000000000059
:102780000000000000000000000000000000000049
:102790000000000000000000000000000000000039
:1027A0000000000000000000000000000000000029
:1027B0000000000000000000000000000000000019
:1027C0000000000000000000000000000008800B76
:1027D00020C0037C500080000080010C90020000AB
:1027E00000000000000000000000000000000000E9
:1027F00000000000000000000000000000000000D9
:1028000000000000000000000000000000000000C8
:1028100000000000000000000000000000000000B8
:1028200000000000000000000000000000000000A8
:102830000000000000000000000000000080010017
:102840001000101000000000000000000000000058
:102850000000000000000000000000000000000078
:102860000000000000000000000000000000000068
:102870000000000000000000000000000000000058
:102880000000000000000000000000000000000048
:102890000000000000000000000000000000000038
:1028A0000000000000000000000000000000000028
:1028B0000000000000000000000000000000000018
:1028C0000000000000000000000000000000000008
:1028D00000000000000000000000000000000000F8
:1028E000000C00803C80DB10000C0C0000C70910BD
:1028F0000007000200870300000000000000000045
:1029000000000000000000000000000000000000C7
:1029100000000000000000000000000000000000B7
:1029200000000000000000000000000000000000A7
:102930000000000000000000000000000000000097
:102940000000000000000000000000000000000087
:10295000004059004000400400000000000000005A
:102960000000000000000000000000000000000067
:102970000000000000000000000000000000000057
:102980000000000000000000000000000000000047
:102990000000000000000000000000000000000037
:1029A0000000000000000000000000000000000027
:1029B0000000000000000000000000000000000017
:1029C0000000000000000000000000000000000007
:1029D00000000000000000000000000000000000F7
:1029E00000000000000000000000000000000000E7
:1029F0000000000040404000200042000000200095
:102A00000000010040200000000000000000000065
:102A10000000000000002000000000000000000096
:102A200000000000000000000000000000000000A6
:102A30000000000000000000000000000000000096
:102A40000000000000000000000000000000000086
:102A50000000000000000000000000000000000076
:102A60000000000000000000200000000000001036
:102A70001000000000000000000001000000000045
:102A80000000000000000000000000000000000046
:102A90000000000000000000000000000000020034
:102AA0000000000000000000000000000000000026
:102AB000000000000009000000000000000000000D
:102AC0000000000000000000000000000000000006
:102AD00000000000000000000000000000000000F6
:102AE00000000000000000000000000000000000E6
:102AF00000000000000000000000000000000000D6
:102B000000000000000000000000000000000000C5
:102B100000000000000000000000000000000000B5
:102B200000000000000000000000000A000000009B
:102B30000000000000000000000000000D00000088
:102B40000000000D00000000000000A000000000D8
:102B50000000000000000000000000000000000075
:102B60000000000000000000000000000000000065
:102B70000000000000000000000000000000000055
:102B80000000002020000000000000000000000005
:102B90000000000000000000000000000000000035
:102BA0000000000000000000000000050000000020
:102BB0000000000000000000000000000000000015
:102BC0000000000000000000000000000000000005
:102BD0000000000000000000000080000000010074
:102BE00000000000000000000000000000000000E5
:102BF00000000000000000000000000000000000D5
:102C000000000000000000000000000000000000C4
:102C10000000000000000000000000002000200074
:102C2000200000000000000000000800003000004C
:102C30000000000000000000000000000000100084
:102C40000000000000000000000000000000000084
:102C50000000000000000000000000000002000072
:102C60000000000000000000000000000000000064
:102C70000000000000000000000000000000000054
:102C80000000000000000000000000000000000044
:102C90000E00000000000000000000000000000026
:102CA00000000C0000000000000000000000000018
:102CB0000000000000000000000000000000000014
:102CC0000000000000000C000000000000000000F8
:102CD00000000000000000000000000000000000F4
:102CE00000000000000000000000000000000000E4
:102CF00000000000000000000000000000000000D4
:102D000000000000000000000000000000000000C3
:102D10000000000000000000000000000000300083
:102D20000000100000000000000000000000000093
:102D30000000000000000000000000000000000093
:102D40000000002000200000000000000000000043
:102D50001000100000000000000000000000000053
:102D60000000000000000000000000000000000063
:102D70000000000000000010000000000000000043
:102D80000000000000000000000000000000000043
:102D90000000000000000000000000000000000033
:102DA0000000000000000000000000000000000023
:102DB0000000000000000000000000000000000013
:102DC0000000000000000000000000000000000003
:102DD00000000000000000000000000000000000F3
:102DE00000000000000000000000000000000000E3
:102DF00000000000000000000000000000000000D3
:102E000000000000000000000000000000000000C2
:102E100000000000000000000000000000000000B2
:102E200000000000000000000000000000000000A2
:102E30000000000000000000000000000000000092
:102E40000000000000000000000000000000000082
:102E500000000000000000800000000000000000F2
:102E60000000000080008000000000000000000062
:102E70000000000000000000000000000000000052
:102E80000000000000000000000000000000000042
:102E90000000000000000000000000000000000032
:102EA0000000000000000000000000000000000022
:102EB0000000000000000000000000000000000012
:102EC0000000000000000000000000000000000002
:102ED00000000000000010000000000000000000E2
:102EE00000000000000000000000000000000000E2
:102EF00000000000000000000000000000000000D2
:102F000000000000000000000000000000000000C1
:102F100000000000000000000000000000000000B1
:102F200000000000000000000000000000000000A1
:102F30000000000000000000000000000000000091
:102F40000000000000001000000010000000000061
:102F50000000000000000000000000000000000071
:102F60000000000000000010000000000010000041
:102F70000000000000000000000000000000000051
:102F80000000000000000000000000000000000041
:102F90000000000000000000000000000000001021
:102FA0000000000000000000000000000000000021
:102FB0000000000000000000000000000000000011
:102FC00000000000000000000020200000000000C1
:102FD00000000000000000000000000000000000F1
:102FE00000000000000000000000200000000000C1
:102FF00000000000000000000000000000000000D1
:1030000000000000000000000000000000000000C0
:1030100000000000000000000000000000000000B0
:1030200000000000000000000000000000000000A0
:103030000000000000000000000000000000000090
:103040000000000000000000000000000000000080
:103050000000000000000000000000000000000070
:103060000000000000000000000000000000000060
:103070000000000000000000000000000000000050
:103080000000000000000000000000000000000040
:103090000000000000000000000000000000000030
:1030A0000000000000000000000000000000000020
:1030B0000000000000000000000000000000000010
:1030C0000000000000000000000000000000000000
:1030D0000000000000000000000000000004FFF7F6
:1030E000000000000F00FEFF00000000FFFFFFFFD8
:1030F00000000000000000000000000000000000D0
:1031000000000000000000000000000000000000BF
:1031100000000000000000000000000000000000AF
:10312000100100000000000000000000000000008E
:10313000000000000000000000000000000000008F
:10314000000000000000000000000000000000007F
:10315000000000000000000000000000000000006F
:10316000000000000000000000000000000000005F
:10317000000000000000000000000000000000004F
:10318000000000000000000000000000000000003F
:10319000000000000000000000000000000000002F
:1031A000000000000000000000000000000000001F
:1031B000000000000000000000000000000000000F
:1031C00000000000000000000000000000000000FF
:1031D00000000000000000000000000000000000EF
:1031E00000000000000000000000000000000000DF
:1031F00000000C000000000000000C0000000000B7
:1032000000008C0200000000000000000000000030
:1032100000000000000000000000000000000000AE
:10322000000000000000000000000000000000009E
:10323000000000000001000010C0000000000000BD
:10324000000000000000000000000000000000007E
:10325000000000000000000000000000000000006E
:10326000000000000000000000000000000000005E
:10327000000000000000000000000000000000004E
:10328000000000000000000000000000000000003E
:10329000000000000000000000000000000000002E
:1032A000000000000000000000000000000000001E
:1032B000000000000000000000000000000000000E
:1032C00000000000000000000000000000000000FE
:1032D00000000000000000000000000000000000EE
:1032E00000000000000000000000000000000000DE
:1032F00000000000000000000000000000000000CE
:103300000000000000000000D8B472A9DFB472B958
:10331000DFB472B9DFB472B9DFB472B0000000007C
:10332000000000000000000000000000000000009D
:10333000000000000000000000000000000000008D
:10334000000000000000000000000000000000007D
:10335000000000000000000000000000000000006D
:10336000000000000000000000000000000000005D
:10337000000000000000000000000000000000004D
:10338000000000000000000000000000000000003D
:10339000000000000000000000000000000000002D
:1033A000000000000000000000000000000000001D
:1033B000000000000000000000000000000000000D
:1033C00000000000000000000000000000000000FD
:1033D00000000000000000000000000000000000ED
:1033E00000000000000000000000000000000000DD
:1033F00000000000000000000000000000000000CD
:1034000000000000000000000000000000000000BC
:10341000000000000000000000000000555533339C
:1034200033335555FF005555FF0055553333F0F0F4
:10343000000000000000000000000000000000008C
:10344000000000000000000000000000000000007C
:10345000000000000000000000000000000000006C
:10346000000000000000000000000000000000005C
:10347000000000000000000000000000000000004C
:10348000000000000000000000000000000000003C
:10349000000000000000000000000000000000002C
:1034A000000000000000000000000000000000001C
:1034B000000000000000000000000000000000000C
:1034C00000000000000000000000000000000000FC
:1034D00000000000000000000000000000000000EC
:1034E00000000000000000000000000000000000DC
:1034F00000000000000000000000000000000000CC
:1035000000000000000000000000000000000000BB
:1035100000000000000000000000000000000000AB
:103520000000000000000000000000006400000136
:103530000000100122000403000014012A0004030B
:10354000000010000000000000000000000000006B
:10355000000000000000000000000000000000006B
:10356000000000000000000000000000000000005B
:103570000000000000040000000000000000000047
:10358000000000000000000000000000000000003B
:10359000000000000000000000000000000000002B
:1035A000000000000000000000000000000000001B
:1035B000000000000000000000000000000000000B
:1035C00000000000000000000000000000000000FB
:1035D00000000000000000000000000000000000EB
:1035E00000000000000000000000000000000000DB
:1035F00000000000000000000000000000000000CB
:1036000000000000000000000000000000000000BA
:1036100000000000000000000000000000000000AA
:10362000000000000000000000000000000000009A
:10363000000000000000000000000000000000008A
:1036400040008000000000014700800000001401DD
:103650006100800000001401000000000000000074
:10366000000000000000000000000000000000005A
:10367000000000000000000000000000000000004A
:103680000000000000000000000800000000000032
:10369000000000000000000000000000000000002A
:1036A000000000000000000000000000000000001A
:1036B000000000000000000000000000000000000A
:1036C00000000000000000000000000000000000FA
:1036D00000000000000000000000000000000000EA
:1036E00000000000000000000000000000000000DA
:1036F00000000000000000000000000000000000CA
:1037000000000000000000000000000000000000B9
:1037100000000000000000000000000000000000A9
:103720000000000000000000000000000000000099
:103730000000000000000000000000000000000089
:103740000000000000000000000000000000000079
:1037500000000000C80000800000C8C8DBC800C02E
:10376000C4008000C3D381C88000C40000000000F2
:103770000000000000000000000000000000000049
:103780000000000000000000000000000000000039
:103790000000000000000000000000000020000009
:1037A0000000000000000000000000000000000019
:1037B0000000000000000000000000000000000009
:1037C00000000000000000000000000000000000F9
:1037D00000000000000000000000000000000000E9
:1037E00000000000000000000000000000000000D9
:1037F00000000000000000000000000000000000C9
:1038000000000000000000000000000000000000B8
:1038100000000000000000000000000000000000A8
:103820000000000000000000000000000000000098
:103830000000000000000000000000000000000088
:103840000000000000000000000000000000000078
:103850000000000000000000000000000000000068
:103860000000000000000000000000021000000046
:1038700000001002000080008402C00080000000F0
:103880000000000000000000000000000000000038
:103890000000000000000000000000000000000028
:1038A0000000000000000000000000000000000018
:1038B0000000000000000000000000000000000008
:1038C00000000000000000000000000000000000F8
:1038D00000000000000000000000000000000000E8
:1038E00000000000000000000000000000000000D8
:1038F00000000000000000000000000000000000C8
:1039000000000000000000000000000000000000B7
:1039100000000000000000000000000000000000A7
:103920000000000000000000000000000000000097
:103930000000000000000000000000000000000087
:103940000000000000000000000000000000000077
:103950000000000000000000000000000000000067
:103960000000000000000000000000000000000057
:1039700000000000000000000000000080050000C2
:103980000080010000000000000001000000003C79
:103990000000E00000000000000000000000000047
:1039A0000000000000000000000000000000000017
:1039B0000000000000000000000000000000000007
:1039C00000000002000000000000000000000000F5
:1039D00000000000000000000000000000000000E7
:1039E00000000000000000000000000000000000D7
:1039F00000000000000000000000000000000000C7
:103A000000000000000000000000000000000000B6
:103A100000000000000000000000000000000000A6
:103A20000000000000000000000000000000000096
:103A30000000000000000000000000000000000086
:103A40000000000000000000000000000000000076
:103A50000000000000000000000000000000000066
:103A60000000000000000000000000000000000056
:103A70000000000000000000000000000000000046
:103A80000000000000000000000000000000000036
:103A90000007003400300100000500001C00000099
:103AA0001000000000000000000000000000000006
:103AB0000000000000000000000000000000000006
:103AC00000000000000000000000000000000000F6
:103AD00000000000000020000000000000000000C6
:103AE00000000000000000000000000000000000D6
:103AF00000000000000000000000000000000000C6
:103B000000000000000000000000000000000000B5
:103B100000000000000000000000000000000000A5
:103B20000000000000000000000000000000000095
:103B30000000000000000000000000000000000085
:103B40000000000000000000000000000000000075
:103B50000000000000000000000000000000000065
:103B60000000000000000000000000000000000055
:103B70000000000000000000000000000000000045
:103B80000000000000000000000000000000000035
:103B90000000000000000000000000000000000025
:103BA00000000000000C08000040000000180100A8
:103BB00010000100000002000000200000000000D2
:103BC00000000000000000000000000000000000F5
:103BD00000000000000000000000000000000000E5
:103BE00000000000000000000000000000000000D5
:103BF00000000000000000000000000000000000C5
:103C000000000000000000000000000000000000B4
:103C100000000000000000000000000000000000A4
:103C20000000000000000000000000000000000094
:103C30000000000000000000000000000000000084
:103C40000000000000000000000000000000000074
:103C50000000000000000000000000000000000064
:103C60000000000000000000000000000000000054
:103C70000000000000000000000000000000000044
:103C80000000000000000000000000000000000034
:103C90000000000000000000000000000000000024
:103CA0000000000000000000000000000000000014
:103CB0000000000000000000000800000080C100BB
:103CC0001008000000880300000000100000000041
:103CD00000000000000000000000000000000000E4
:103CE00000000000000000000000000000000000D4
:103CF00000000000000000000000000000000000C4
:103D000000000000000000000000000000000000B3
:103D100000000000000000000000000000000000A3
:103D20000000000000000000000000000000000093
:103D30000000000000000000000000000000000083
:103D40000000000000000000000000000000000073
:103D50000000000000000000000000000000000063
:103D60000000000000000000000000000000000053
:103D70000000000000000000000000000000000043
:103D80000000000000000000000000000000000033
:103D90000000000000000000000000000000000023
:103DA0000000000000000000000000000000000013
:103DB0000000000000000000000000000000000003
:103DC00000000000000000000000000000000000F3
:103DD00000000802000000000000D8000000008081
:103DE00000070000000000000000000000000000CC
:103DF00000000000000000000000000000000000C3
:103E000000000000000000000000000000000000B2
:103E1000000000000000000000000000000008009A
:103E20000000000000000000000000000000000092
:103E30000000000000000000000000000000000082
:103E40000000000000000000000000000000000072
:103E50000000000000000000000000000000000062
:103E60000000000000000000000000000000000052
:103E70000000000000000000000000000000000042
:103E80000000000000000000000000000000000032
:103E90000000000000000000000000000000001012
:103EA0000000000000000000000000000000000012
:103EB0000000000000000000000000000000000002
:103EC00000000000000000002000000000000000D2
:103ED00000000000000000002000000000000000C2
:103EE000000000000000420000000000000001008F
:103EF00000000000000000000000000000000000C2
:103F000000000000000000000000000000000000B1
:103F10000000000000000000000000008000000021
:103F20000000000000000000000000000000000091
:103F30000000080000000000000000000000000079
:103F40000000000000000000000000000000000071
:103F50000000000000000000000000000000000061
:103F60000000000000000000000000000000000051
:103F70000000000000000000000000000000000041
:103F80000000000000000000000000000000000031
:103F90000000000000000000000000000000000021
:103FA0000000080000000000000000000000000009
:103FB00000000030000000000000000000000000D1
:103FC0000000000000700000000000000000000081
:103FD00000000000000000000000000000000000E1
:103FE00000000000000000000000000000000000D1
:103FF00000000000000000000000000000000000C1
:10400000000040006000000600000000000000000A
:1040100000000000000000000000000000000000A0
:104020000000000000000000000000000000000090
:104030000000000000000000000000000000000080
:104040000000000000000000000000000000000070
:104050000000000000000000000000000000000060
:104060000000000000000000000000000000000050
:104070000000000000000000000000000000000040
:104080000000000000000000000000000000000030
:104090000000000000000000000000000000000020
:1040A0000000000000000000000000000000000010
:1040B00000000000000001000000000000000000FF
:1040C00000000000000000000000000000000000F0
:1040D00000000000000000000000000000000000E0
:1040E00000000000000000000000000000000000D0
:1040F00020000000000000000000000000000000A0
:10410000300000000000000000000000000000007F
:104110000000000000000800000000000000000097
:10412000000000000000000000000000000000008F
:10413000000000000000000000000000000000007F
:104140000000000010000000000000060000000059
:10415000000000000000000000000000000000005F
:10416000000000000000000000000000000000004F
:10417000000000000000000000000000000000003F
:10418000000000000000000000000000000000002F
:10419000000000000000000000000000000000001F
:1041A000000000000000000000000000000000000F
:1041B00000000000000000000000000000000000FF
:1041C00000000000000000000000000000000000EF
:1041D00000000000000000000000000000000000DF
:1041E00000000000000000000000000000000000CF
:1041F00000000000000000000000000000000000BF
:10420000000000004000000000000000000000006E
:10421000000000000000000000000000000000009E
:10422000000000000000000000000000000000008E
:10423000000000000000000000000000000000007E
:10424000000000000000000000000000000000006E
:10425000000000000000000000000000000000005E
:10426000000000000000000000000000000000004E
:10427000000000000000000000000000000000003E
:10428000000000000000000000000000000000002E
:10429000000000000000000000000000000000001E
:1042A000000000000000000000000000000000000E
:1042B00000000000000000000000000000000000FE
:1042C00000000000000000000000000000000000EE
:1042D00000000000000000000000000000000000DE
:1042E00000000000000000000000000000000000CE
:1042F00000000000000000000000000000000000BE
:1043000000000000000000000000000000000000AD
:10431000000000000000000020000000000000007D
:10432000000000000000000000000000000000008D
:10433000000000000000000000000000000000007D
:10434000000000000000000000000000000000006D
:10435000000000000000000000000000000000005D
:10436000000000000000000000000000000000004D
:1043700000000000000000000000007000000000CD
:10438000000000000000000000000000000000002D
:10439000000000000000000000000000000000001D
:1043A000000000000000000000000000000000000D
:1043B00000000000000000000000000000000000FD
:1043C00000000000000000000000000000000000ED
:1043D00000000000000000000000000000000000DD
:1043E00000000000000000000000000000000000CD
:1043F00000000000000000000000000000000000BD
:1044000000000000000000000000000000000000AC
:10441000000000000000000000000000000000009C
:10442000000000000000000000000000000000008C
:10443000000000000000000000000000000000007C
:10444000000000000000000000000000000000006C
:10445000000000000000000000000000000000005C
:10446000000000000000000000000000000000004C
:10447000000000000000000000000000000000003C
:10448000000000000000000000000000000000002C
:10449000000000000000000000000000000000001C
:1044A000000000000000000000000000000000000C
:1044B00000000000000000000000000000000000FC
:1044C00000000000000000000000000000000000EC
:1044D00000000000000000000000000000000000DC
:1044E00000000000000000000000000000000000CC
:1044F00000000000000000000000000000000000BC
:1045000000000000000000000000000000000000AB
:10451000000000000000000000000000000000009B
:10452000000000000000000000000000000000008B
:10453000000000000000000000000000000000007B
:10454000000000000000000000000000000000006B
:10455000000000000000000000000000000000005B
:10456000000000000000000000000000000000004B
:10457000000000000000000000000000000000003B
:10458000000000000000000000000000000000002B
:10459000000000000000000000000000000000001B
:1045A000000000000000000000000000000000000B
:1045B00000000000000000000000000000000000FB
:1045C00000000000000000000000000000000000EB
:1045D00000000000000000000000000000000000DB
:1045E00000000000000000000000000000000000CB
:1045F00000000000000000000000000000000000BB
:1046000000000000000000000000000000000000AA
:10461000000000000000000000000000000000009A
:10462000000000000000000000000000000000008A
:10463000000000000000000000000000000000007A
:10464000000000000000000000000000000000006A
:10465000000000000000000000000000000000005A
:10466000000000000000000000000000000000004A
:10467000000000000000000000000000000000003A
:10468000000000000000000000000000000000002A
:10469000000000000000000000000000000000001A
:1046A000000000000000000000000000000000000A
:1046B00000000000000000000000000000000000FA
:1046C00000000000000000000000000000000000EA
:1046D00000000000000000000000000000000000DA
:1046E00000000000000000000000000000000000CA
:1046F00000000000000000000000000000000000BA
:1047000000000000000000000000000000000000A9
:104710000000000000000000000000000000000099
:104720000000000000000000000000000000000089
:104730000000000000000000000000000000000079
:104740000000000000000000000000000000000069
:104750000000000000000000000000000000000059
:104760000000000000000000000000000000000049
:104770000000000000000000000000000000000039
:104780000000000000000000000000000000000029
:104790000000000000000000000000000000000019
:1047A0000000000000000000000000000000000009
:1047B00000000000000000000000000000000000F9
:1047C00000000000000000000000000000000000E9
:1047D00000000000000000000000000000000000D9
:1047E00000000000000000000000000000000000C9
:1047F00000000000000000000000000000000000B9
:1048000000000000000000000000000000000000A8
:104810000000000000000000000000000000000098
:104820000000000000000000000000000000000088
:104830000000000000000000000000000000000078
:104840000000000000000000000000000000000068
:104850000000000000000000000000000000000058
:104860000000000000000000000000000000000048
:104870000000000000000000000000000000000038
:104880000000000000000000000000000000000028
:104890000000000000000000000000000000000018
:1048A0000000000000000000000000000000000008
:1048B00000000000000000000000000000000000F8
:1048C00000000000000000000000000000000000E8
:1048D00000000000000000000000000000000000D8
:1048E00000000000000000000000000000000000C8
:1048F00000000000000000000000000000000000B8
:1049000000000000000000000000000000000000A7
:104910000000000000000000000000000000000097
:104920000000000000000000000000000000000087
:104930000000000000000000000000000000000077
:104940000000000000000000000000000000000067
:104950000000000000000000000000000000000057
:104960000000000000000000000000000000000047
:104970000000000000000000000000000000000037
:104980000000000000000000000000000000000027
:104990000000000000000000000000000000000017
:1049A0000000000000000000000000000000000007
:1049B00000000000000000000000000000000000F7
:1049C00000000000000000000000000000000000E7
:1049D00000000000000000000000000000000000D7
:1049E00000000000000000000000000000000000C7
:1049F00000000000000000000000000000000000B7
:104A000000000000000000000000000000000000A6
:104A10000000000000000000000000000000000096
:104A20000000000000000000000000000000000086
:104A30000000000000000000000000000000000076
:104A40000000000000000000000000000000000066
:104A50000000000000000000000000000000000056
:104A60000000000000000000000000000000000046
:104A70000000000000000000000000000000000036
:104A80000000000000000000000000000000000026
:104A90000000000000000000000000000000000016
:104AA0000000000000000000000000000000000006
:104AB00000000000000000000000000000000000F6
:104AC00000000000000000000000000000000000E6
:104AD00000000000000000000000000000000000D6
:104AE00000000000000000000000000000000000C6
:104AF00000000000000000000000000000000000B6
:104B000000000000000000000000000000000000A5
:104B10000000000000000000000000000000000095
:104B20000000000000000000000000000000000085
:104B30000000000000000000000000000000000075
:104B40000000000000000000000000000000000065
:104B50000000000000000000000000000000000055
:104B60000000000000000000000000000000000045
:104B70000000000000000000000000000000000035
:104B80000000000000000000000000000000000025
:104B90000000000000000000000000000000000015
:104BA0000000000000000000000000000000000005
:104BB00000000000000000000000000000000000F5
:104BC00000000000000000000000000000000000E5
:104BD00000000000000000000000000000000000D5
:104BE00000000000000000000000000000000000C5
:104BF00000000000000000000000000000000000B5
:104C000000000000000000000000000000000000A4
:104C10000000000000000000000000000000000094
:104C20000000000000000000000000000000000084
:104C30000000000000000000000000000000000074
:104C40000000000000000000000000000000000064
:104C50000000000000000000000000000000000054
:104C60000000000000000000000000000000000044
:104C70000000000000000000000000000000000034
:104C80000000000000000000000000000000000024
:104C90000000000000000000000000000000000014
:104CA0000000000000000000000000000000000004
:104CB00000000000000000000000000000000000F4
:104CC00000000000000000000000000000000000E4
:104CD00000000000000000000000000000000000D4
:104CE00000000000000000000000000000000000C4
:104CF00000000000000000000000000000000000B4
:104D000000000000000000000000000000000000A3
:104D10000000000000000000000000000000000093
:104D20000000000000000000000000000000000083
:104D30000000000000000000000000000000000073
:104D40000000000000000000000000000000000063
:104D50000000000000000000000000000000000053
:104D60000000000000000000000000000000000043
:104D70000000000000000000000000000000000033
:104D80000000000000000000000000000000000023
:104D90000000000000000000000000000000000013
:104DA0000000000000000000000000000000000003
:104DB00000000000000000000000000000000000F3
:104DC00000000000000000000000000000000000E3
:104DD00000000000000000000000000000000000D3
:104DE00000000000000000000000000000000000C3
:104DF00000000000000000000000000000000000B3
:104E000000000000000000000000000000000000A2
:104E10000000000000000000000000000000000092
:104E20000000000000000000000000000000000082
:104E30000000000000000000000000000000000072
:104E40000000000000000000000000000000000062
:104E50000000000000000000000000000000000052
:104E60000000000000000000000000000000000042
:104E70000000000000000000000000000000000032
:104E80000000000000000000000000000000000022
:104E90000000000000000000000000000000000012
:104EA0000000000000000000000000000000000002
:104EB00000000000000000000000000000000000F2
:104EC00000000000000000000000000000000000E2
:104ED00000000000000000000000000000000000D2
:104EE00000000000000000000000000000000000C2
:104EF00000000000000000000000000000000000B2
:104F000000000000000000000000000000000000A1
:104F10000000000000000000000000000000000091
:104F20000000000000000000000000000000000081
:104F30000000000000000000000000000000000071
:104F40000000000000000000000000000000000061
:104F50000000000000000000000000000000000051
:104F60000000000000000000000000000000000041
:104F70000000000000000000000000000000000031
:104F80000000000000000000000000000000000021
:104F90000000000000000000000000000000000011
:104FA0000000000000000000000000000000000001
:104FB00000000000000000000000000000000000F1
:104FC00000000000000000000000000000000000E1
:104FD00000000000000000000000000000000000D1
:104FE00000000000000000000000000000000000C1
:104FF00000000000000000000000000000000000B1
:1050000000000000000000000000000000000000A0
:105010000000000000000000000000000000000090
:105020000000000000000000000000000000000080
:105030000000000000000000000000000000000070
:105040000000000000000000000000000000000060
:105050000000000000000000000000000000000050
:105060000000000000000000000000000000000040
:105070000000000000000000000000000000000030
:105080000000000000000000000000000000000020
:105090000000000000000000000000000000000010
:1050A0000000000000000000000000000000000000
:1050B00000000000000000000000000000000000F0
:1050C00000000000000000000000000000000000E0
:1050D00000000000000000000000000000000000D0
:1050E00000000000000000000000000000000000C0
:1050F00000000000000000000000000000000000B0
:10510000000000000000000000000000000000009F
:10511000000000000000000000000000000000008F
:10512000000000000000000000000000000000007F
:10513000000000000000000000000000000000006F
:10514000000000000000000000000000000000005F
:10515000000000000000000000000000000000004F
:10516000000000000000000000000000000000003F
:10517000000000000000000000000000000000002F
:10518000000000000000000000000000000000001F
:10519000000000000000000000000000000000000F
:1051A00000000000000000000000000000000000FF
:1051B00000000000000000000000000000000000EF
:1051C00000000000000000000000000000000000DF
:1051D00000000000000000000000000000000000CF
:1051E00000000000000000000000000000000000BF
:1051F00000000000000000000000000000000000AF
:10520000000000000000000000000000000000009E
:10521000000000000000000000000000000000008E
:10522000000000000000000000000000000000007E
:10523000000000000000000000000000000000006E
:10524000000000000000000000000000000000005E
:10525000000000000000000000000000000000004E
:10526000000000000000000000000000000000003E
:10527000000000000000000000000000000000002E
:10528000000000000000000000000000000000001E
:10529000000000000000000000000000000000000E
:1052A00000000000000000000000000000000000FE
:1052B00000000000000000000000000000000000EE
:1052C00000000000000000000000000000000000DE
:1052D00000000000000000000000000000000000CE
:1052E00000000000000000000000000000000000BE
:1052F00000000000000000000000000000000000AE
:10530000000000000000000000000000000000009D
:10531000000000000000000000000000000000008D
:10532000000000000000000000000000000000007D
:10533000000000000000000000000000000000006D
:10534000000000000000000000000000000000005D
:10535000000000000000000000000000000000004D
:10536000000000000000000000000000000000003D
:10537000000000000000000000000000000000002D
:10538000000000000000000000000000000000001D
:10539000000000000000000000000000000000000D
:1053A00000000000000000000000000000000000FD
:1053B00009000000000000000000000000000000E4
:1053C00000000000000000000000000000000000DD
:1053D00000000000000000000000000000000000CD
:1053E00000000000000000000000000000000000BD
:1053F00000000000000000000000000000000000AD
:10540000000000000000000000000000000000009C
:10541000000000000000000000000000000000008C
:10542000000000000000000000000000000000007C
:10543000000000000000000000000000000000006C
:10544000000000000000000000000000000000005C
:10545000000000000000000000000000000000004C
:10546000000000000000000000000000000000003C
:10547000000000000000000000000000000000002C
:10548000000000000000000000000000000000001C
:10549000000000000000000000000000000000000C
:1054A00000000000000000000000000000000000FC
:1054B000000000000000000000000000500000009C
:1054C00000000000000000000000000000000000DC
:1054D00000000000000000000000000000000000CC
:1054E00000000000000000000000000000000000BC
:1054F00000000000000000000000000000000000AC
:10550000000000000000000000000000000000009B
:10551000000000000000000000000000000000008B
:10552000000000000000000000000000000000007B
:10553000000000000000000000000000000000006B
:10554000000000000000000000000000000000005B
:10555000000000000000000000000000000000004B
:10556000000000000000000000000000000000003B
:10557000000000000000000000000000000000002B
:10558000000000000000000000000000000000001B
:10559000000000000000000000000000000000000B
:1055A00000000000000000000000000000000000FB
:1055B00000000000000000000000000000000000EB
:1055C00000000000000000005E000000000000007D
:1055D00000000000000000000000000000000000CB
:1055E00000000000000000000000000000000000BB
:1055F00000000000000000000000000000000000AB
:10560000000000000000000000000000000000009A
:10561000000000000000000000000000000000008A
:10562000000000000000000000000000000000007A
:10563000000000000000000000000000000000006A
:10564000000000000000000000000000000000005A
:10565000000000000000000000000000000000004A
:10566000000000000000000000000000000000003A
:10567000000000000000000000000000000000002A
:10568000000000000000000000000000000000001A
:10569000000000000000000000000000000000000A
:1056A00000000000000000000000000000000000FA
:1056B00000000000000000000000000000000000EA
:1056C00000000000000000000000000000000000DA
:1056D00000000000000000000000000000000000CA
:1056E00000000000000000000000000000000000BA
:1056F00000000000000000000000000000000000AA
:105700000000000000000000000000000000000099
:105710000000000000000000000000000000000089
:105720000000000000000000000000000000000079
:105730000000000000000000000000000000000069
:105740000000000000000000000000000000000059
:105750000000000000000000000000000000000049
:105760000000000000000000000000000000000039
:105770000000000000000000000000000000000029
:105780000000000000000000000000000000000019
:105790000000000000000000000000000000000009
:1057A00000000000000000000000000000000000F9
:1057B00000000000000000000000000000000000E9
:1057C00000000000000000000000000000000000D9
:1057D00000000000000000000000000000000000C9
:1057E00000000000000000000000000000000000B9
:1057F00000000000000000000000000000000000A9
:105800000000000000000000000000000000000098
:105810000000000000000000000000000000000088
:105820000000000000000000000000000000000078
:105830000000000000000000000000000000000068
:105840000000000000000000000000000000000058
:105850000000000000000000000000000000000048
:105860000000000000000000000000000000000038
:105870000000000000000000000000000000000028
:105880000000000000000000000000000000000018
:105890000000000000000000000000000000000008
:1058A00000000000000000000000000000000000F8
:1058B00000000000000000000000000000000000E8
:1058C00000000000000000000000000000000000D8
:1058D00000000000000000000000000000000000C8
:1058E00000000000000000000000000000000000B8
:1058F00000000000000000000000000000000000A8
:105900000000000000000000000000000000000097
:105910000000000000000000000000000000000087
:105920000000000000000000000000000000000077
:105930000000000000000000000000000000000067
:105940000000000000000000000000000000000057
:105950000000000000000000000000000000000047
:105960000000000000000000000000000000000037
:105970000000000000000000000000000000000027
:105980000000000000000000000000000000000017
:105990000000000000000000000000000000000007
:1059A00000000000000000000000000000000000F7
:1059B00000000000000000000000000000000000E7
:1059C000FFFFFFFF000000000000000000000000DB
:1059D00000000000000000000000000000000000C7
:1059E00000000000000000000000000000000000B7
:1059F00000000000000000000000000000000000A7
:105A00000000000000000000000000000000000096
:105A100000000000000000001081080000000000ED
:105A20000000000000000000000000000000000076
:105A30000000000000000000000000000000000066
:105A40000000000000000000000000000000000056
:105A50000000000000000000000000000000000046
:105A60000000000000000000000000000000000036
:105A70000000000000000000000000000000000026
:105A80000000000000000000000000000000000016
:105A90000000000000000000000000000000000006
:105AA00000000000000000000000000000000000F6
:105AB00000000000000000000000000000000000E6
:105AC00000000000000000000000000000000000D6
:105AD0000000000080040C00000000000000000036
:105AE00000000000000000000000000000000000B6
:105AF00000000000000000000000000000000000A6
:105B00000000000000000000000000000000000095
:105B10000000000000000000000000000000000085
:105B200000000000000000000000000010810800DC
:105B300000C00000000000000000000000000000A5
:105B40000000000000000000000000000000000055
:105B50000000000000000000000000000000000045
:105B60000000000000000000000000000000000035
:105B70000000000000000000000000000000000025
:105B80000000000000000000000000000000000015
:105B90000000000000000000000000000000000005
:105BA00000000000000000000000000000000000F5
:105BB00000000000000000000000000000000000E5
:105BC00000000000000000000000000000000000D5
:105BD0000000000000000000000000008004000041
:105BE000000000008024020000000000000000000F
:105BF00000000000000000000000000000000000A5
:105C00000000000000000000000000000000000094
:105C10000000000000000000000000000000000084
:105C20000000000000000000000000000000000074
:105C30000000000000000000000000000000000064
:105C40000000000000000000000000000000000054
:105C50000000000000000000000000000000000044
:105C60000000000000000000000000000000000034
:105C70000000000000000000000000000000000024
:105C80000000000000000000000000000000000014
:105C90000000000000000000000000000000000004
:105CA00000000000000000000000000000000000F4
:105CB00000000000000000000000000000000000E4
:105CC00000000000000000000000000000000000D4
:105CD00000000000000000000000000000000000C4
:105CE00000000000000000000000000000000000B4
:105CF000FFFFFFFF00000000FFFFFFFF00000000AC
:105D00000000000000000000000000000000000093
:105D10000000000000000000000000000000000083
:105D20000000000000000000000000000000000073
:105D30000000000000000000000000000000000063
:105D40000000000000000000000000000000000053
:105D50000000000000000000000000000000000043
:105D60000000000000000000000000000000000033
:105D70000000000000000000000000000000000023
:105D80000000000000000000000000000000000013
:105D90000000000000000000000000000000000003
:105DA00000000000000000000000000000000000F3
:105DB00000000000000000000000000000000000E3
:105DC00000000000000000000000000000000000D3
:105DD00000000000000000000000000000000000C3
:105DE00000000000000000000000000000000000B3
:105DF00000000000000000000000000000000000A3
:105E00000000000000004000000008000000000248
:105E10000000080400000000000000000000000076
:105E20000000000000000000000000000000000072
:105E30000000000000000000000000000000000062
:105E40000000000000000000000000000000000052
:105E50000000000000000000000000000000000042
:105E6000000000000000000000000000000400002E
:105E70000000000000000000000000000000000022
:105E80000000000000000000000000000000000012
:105E90000000000000000000000000000000000002
:105EA00000000000000000000000000000000000F2
:105EB00000000000000000000000000000000000E2
:105EC00000000000000000000000000000000000D2
:105ED00000000000000000000000000000000000C2
:105EE00000000000000000000000000000000000B2
:105EF00000000000000000000000000000000000A2
:105F00000000000000000000000000000000000091
:105F10000000000000000000010004000000140068
:105F20008100040040011422000000000000000075
:105F30000000000000000000000000000000000061
:105F40000000000000000000000000000000000051
:105F50000000000000000000000000000000000041
:105F60000000000000000000000000000000000031
:105F70000000000000000000000000000000000021
:105F80000008000000000000000000000000000009
:105F90000000000000000000000000000000000001
:105FA00000000000000000000000000000000000F1
:105FB00000000000000000000000000000000000E1
:105FC00000000000000000000000000000000000D1
:105FD00000000000000000000000000000000000C1
:105FE00000000000000000000000000000000000B1
:105FF00000000000000000000000000000000000A1
:106000000000000000000000000000000000000090
:106010000000000000000000000000000000000080
:10602000000000000000000000000000000300006D
:106030000000000000030300C40000000000000096
:106040000000000000000000000000000000000050
:106050000000000000000000000000000000000040
:106060000000000000000000000000000000000030
:106070000000000000000000000000000000000020
:106080000000000000000000000000000000000010
:1060900000000000002000000000000000000000E0
:1060A00000000000000000000000000000000000F0
:1060B00000000000000000000000000000000000E0
:1060C00000000000000000000000000000000000D0
:1060D00000000000000000000000000000000000C0
:1060E00000000000000000000000000000000000B0
:1060F00000000000000000000000000000000000A0
:10610000000000000000000000000000000000008F
:10611000000000000000000000000000000000007F
:10612000000000000000000000000000000000006F
:10613000000000000000000000000000000000005F
:106140000001000000000000000840000000000006
:10615000000000000000000000000000000000003F
:10616000000000000000000000000000000000002F
:10617000000000000000000000000000000000001F
:10618000000000000000000000000000000000000F
:1061900000000000000000000000000000000000FF
:1061A00000000000000000000000000000000000EF
:1061B00000000000000000000000000000000000DF
:1061C00000000000000000000000000000000000CF
:1061D00000000000000000000000000000000000BF
:1061E00000000000000000000000000000000000AF
:1061F000000000000000000000000000000000009F
:10620000000000000000000000000000000000008E
:10621000000000000000000000000000000000007E
:10622000000000000000000000000000000000006E
:10623000000000000000000000000000000000005E
:10624000000000000000000000000000000000004E
:1062500000000000000000000000000000000080BE
:106260000E00000000000000000000000000000020
:10627000000000000000000000000000000000001E
:10628000000000000000000000000000000000000E
:1062900000000000000000000000000000000000FE
:1062A00000000000000000000000000000000000EE
:1062B00000000000000000000000000000100000CE
:1062C00000000000000000000000000000000000CE
:1062D00000000000000000000000000000000000BE
:1062E00000000000000000000000000000000000AE
:1062F000000000000000000000000000000000009E
:10630000000000000000000000000000000000008D
:10631000000000000000000000000000000000007D
:10632000000000000000000000000000000000006D
:10633000000000000000000000000000000000005D
:10634000000000000000000000000000000000004D
:10635000000000000000000000000000000000003D
:10636000000000000000000000000000000000002D
:10637000000000001C000000000000000000000001
:10638000000000000000000000000000000000000D
:1063900000000000000000000000000000000000FD
:1063A00000000000000000000000000000000000ED
:1063B00000000000000000000000000000000000DD
:1063C0000000000000000000000000000000C0020B
:1063D00000000000000000000000000000000000BD
:1063E00000000000000000000000000000000000AD
:1063F000000000000000000000000000000000009D
:10640000000000000000000000000000000000008C
:10641000000000000000000000000000000000007C
:10642000000000000000000000000000000000006C
:10643000000000000000000000000000000000005C
:10644000000000000000000000000000000000004C
:10645000000000000000000000000000000000003C
:10646000000000000000000000000000000000002C
:10647000000000000000000000000000000000001C
:1064800000000000000000001100000000000000FB
:1064900000000000000000000000000000000000FC
:1064A00000000000000000000000000000000000EC
:1064B00000000000000000000000000000000000DC
:1064C00000000000000000000000000000000000CC
:1064D000000000000000000000000000000080003C
:1064E00000000000000000000000000000000000AC
:1064F000000000000000000000000000000000009C
:10650000000000000000000000000000000000008B
:10651000000000000000000000000000000000007B
:10652000000000000000000000000000000000006B
:10653000000000000000000000000000000000005B
:10654000000000000000000000000000000000004B
:10655000000000000000000000000000000000003B
:10656000000000000000000000000000000000002B
:10657000000000000000000000000000000000001B
:10658000000000000000000000000000000000000B
:1065900000000008000000000000000C10000000D7
:1065A00000000000000000000000000000000000EB
:1065B00000000000000000000000000000000000DB
:1065C00000000000000000000000000000000000CB
:1065D00000000000000000000000000000000000BB
:1065E00000000000000000000000000000000000AB
:1065F0000000000000000000001800000000000083
:10660000000000000000000000000000000000008A
:10661000000000000000000000000000000000007A
:10662000000000000000000000000000000000006A
:10663000000000000000000000000000000000005A
:10664000000000000000000000000000000000004A
:10665000000000000000000000000000000000003A
:10666000000000000000000000000000000000002A
:10667000000000000000000000000000000000001A
:10668000000000000000000000000000000000000A
:1066900000000000000000000000000000000000FA
:1066A000000000000000008000000000000000006A
:1066B00000000000000000000000000000000000DA
:1066C00000000000000000000000000000000000CA
:1066D00000000000000000000000000000000000BA
:1066E00000000000000000000000000000000000AA
:1066F000000000000000000000000000000000009A
:1067000000000000000070000000000000C0000059
:106710000000000000000000000010000000000069
:106720000000000000000000000000000000000069
:106730000000000000000000000000000000000059
:106740000000000000000000000000000000000049
:106750000000000000000000000000000000000039
:106760000000000000000000000000000000000029
:106770000000000000000000000000000000000019
:106780000000000000000000000000000000000009
:1067900000000000000000000000000000000000F9
:1067A00000000000000000000000000000000000E9
:1067B00000000000000000000000000000000000D9
:1067C00000000000000000000000000000000000C9
:1067D00000000000000000000000000000000000B9
:1067E00000000000000000000000000000000000A9
:1067F0000000000000000000000000000000000099
:106800000000000000000000000000000000000088
:1068100000000000000000000000800000080000F0
:1068200000000000000000000D000000000010004B
:106830000000000000000000000000000000000058
:106840000000000000000000000000000000000048
:106850000000000000000000000000000000000038
:106860000000000000000000000000000000000028
:106870000000000000000000000000000000000018
:106880000000000000000000000000000000000008
:1068900000000000000000000000000000000000F8
:1068A00000000000000000000000000000000000E8
:1068B00000000000000000000000000000000000D8
:1068C00000000000000000000000000000000000C8
:1068D00000000000000000000000000000000000B8
:1068E00000000000000000000000000000000000A8
:1068F0000000000000000000000000000000000098
:106900000000000000000000000000000000000087
:106910000000000000000000000000000000000077
:106920000000000000000000000000000000000067
:10693000000C00000000000000000000000000004B
:106940000000000000000000000000000000000047
:1069500000008000000000000000000000000000B7
:106960000000000000000000000000000000000027
:106970000000000000000000000000000000000017
:106980000000000000000000000000000000000007
:1069900000000000000000000000000000000000F7
:1069A00000000000000000000000000000000000E7
:1069B00000000000000000000000000000000000D7
:1069C00000000000000000000000000000000000C7
:1069D00000000000000000000000000000000000B7
:1069E00000000000000000000000000000000000A7
:1069F0000000000000000000000000000000000097
:106A00000000000000000000000000000000000086
:106A10000000000000000000000000000000000076
:106A20000000000000000000000000000000000066
:106A30000000000000000000000000000000000056
:106A40000000300000000000000000000000000016
:106A50000000000000000000000000000000000036
:106A60000000000000000000000000000000000026
:106A70000000000000000000000000000000000016
:106A80000000000000000000000000000000000006
:106A900000000000000030000000000000000000C6
:106AA00000000000000000000000000000000000E6
:106AB00000000000000000000000000000000000D6
:106AC00000000000000000001000000000000000B6
:106AD00000000000000000000000000000000000B6
:106AE00000000000000000000000000000000000A6
:106AF0000000000000000000000000000000000096
:106B00000000000000000000000000000000000085
:106B10000000000000000000000000000000000075
:106B20000000000000000000000000000000000065
:106B30000000000000000000000000000000000055
:106B40000000000000000000000000000000000045
:106B50000000000000000002000000000000000033
:106B60000000000000000000000000000000000025
:106B70000000000000000000000000000000000015
:106B80000000000000000000000000000000000005
:106B900000000000000000000000000000000000F5
:106BA00000000000000000000000000000000000E5
:106BB00000000000000000000000000000000000D5
:106BC00000000000000000000000000000000000C5
:106BD0000000000000000000000000004000000075
:106BE00000000000000000000000000000000000A5
:106BF0000000000000000000000000000000000095
:106C00000000000000000000000000000000000084
:106C10000000000000000000000000000000000074
:106C20000000000000000000000000000000000064
:106C30000000003000000000000000000000000024
:106C40000000000000000000000000000000000044
:106C50000000000000000000000000000000000034
:106C600000000000000000000000000C0000000018
:106C70000000000000000000000000000000000014
:106C80000000000000000000000000000000000004
:106C900000000000000000000000000000000000F4
:106CA00000000000000000000000000000000000E4
:106CB00000000000000000000000000000001000C4
:106CC00000000000000000000000000000000000C4
:106CD00000000000000000000000000000000000B4
:106CE00000000000000000000000000000000000A4
:106CF0000000000000000000000000000000000094
:106D00000000000000000000000000000000000083
:106D100000000000C00000000000000000000000B3
:106D20000000000000000000000000000000000063
:106D30000000000000000000000000000000000053
:106D40000000000000000020000000000000000023
:106D50000000000000000000000000000000000033
:106D60000000000000000000000000000000000023
:106D70000000000000000000000000000000000013
:106D80000000000000000000000000000000000003
:106D900000000000000000000000000000000000F3
:106DA00000000000000000000000000000000000E3
:106DB00000000000000000000000000000000000D3
:106DC00000000000000000000000000000000000C3
:106DD00000000000000000000000000000000000B3
:106DE00000000000000000000000000000000000A3
:106DF0000000000000000000000000000000000093
:106E00000000000000000000000000000000000082
:106E10000000000000000000000000000000000072
:106E20000000000000000000000000000000000062
:106E30000000000000000000000000000000000052
:106E40000000000000000000000000000000000042
:106E50000000000000000000000000000000000032
:106E60000000000000000000000000000000000022
:106E70000000000000000000000000000000000012
:106E80000000000000000000000000000000000002
:106E900000000000000000000000000000000000F2
:106EA00000000000000000000000000000000000E2
:106EB00000000000000000000000000000000000D2
:106EC00000000000000000000000000000000000C2
:106ED00000000000000000000000000000000000B2
:106EE00000000000000000000000000000000000A2
:106EF0000000000000000000000000000000000092
:106F00000000000000000000000000000000000081
:106F10000000000000000000000000000000000071
:106F20000000000000000000000000000000000061
:106F30000000000000000000000000000000000051
:106F40000000000000000000000000000000000041
:106F50000000000000000000000000000000000031
:106F60000000000000000000000000000000000021
:106F70000000000000000000000000000000000011
:106F80000000000000000000000000000000000001
:106F900000000000000000000000000000000000F1
:106FA00000000000000000000000000000000000E1
:106FB00000000000000000000000000000000000D1
:106FC00000000000000000000000000000000000C1
:106FD00000000000000000000000000000000000B1
:106FE00000000000000000000000000000000000A1
:106FF0000000000000000000000000000000000091
:107000000000000000000000000000000000000080
:107010000000000000000000000000000000000070
:107020000000000000000000000000000000000060
:107030000000000000000000000000000000000050
:107040000000000000000000000000000000000040
:107050000000000000000000000000000000000030
:107060000000000000000000000000000000000020
:107070000000000000000000000000000000000010
:107080000000000000000000000000000000000000
:1070900000000000000000000000000000000000F0
:1070A00000000000000000000000000000000000E0
:1070B00000000000000000000000000000000000D0
:1070C00000000000000000000000000000000000C0
:1070D00000000000000000000000000000000000B0
:1070E00000000000000000000000000000000000A0
:1070F0000000000000000000000000000000000090
:10710000000000000000000000000000000000007F
:10711000000000000000000000000000000000006F
:10712000000000000000000000000000000000005F
:10713000000000000000000000000000000000004F
:10714000000000000000000000000000000000003F
:10715000000000000000000000000000000000002F
:10716000000000000000000000000000000000001F
:10717000000000000000000000000000000000000F
:1071800000000000000000000000000000000000FF
:1071900000000000000000000000000000000000EF
:1071A00000000000000000000000000000000000DF
:1071B00000000000000000000000000000000000CF
:1071C00000000000000000000000000000000000BF
:1071D00000000000000000000000000000000000AF
:1071E000000000000000000000000000000000009F
:1071F000000000000000000000000000000000008F
:10720000000000000000000000000000000000007E
:10721000000000000000000000000000000000006E
:10722000000000000000000000000000000000005E
:10723000000000000000000000000000000000004E
:10724000000000000000000000000000000000003E
:10725000000000000000000000000000000000002E
:10726000000000000000000000000000000000001E
:10727000000000000000000000000000000000000E
:1072800000000000000000000000000000000000FE
:1072900000000000000000000000000000000000EE
:1072A00000000000000000000000000000000000DE
:1072B00000000000000000000000000000000000CE
:1072C00000000000000000000000000000000000BE
:1072D00000000000000000000000000000000000AE
:1072E000000000000000000000000000000000009E
:1072F000000000000000000000000000000000008E
:10730000000000000000000000000000000000007D
:10731000000000000000000000000000000000006D
:10732000000000000000000000000000000000005D
:10733000000000000000000000000000000000004D
:10734000000000000000000000000000000000003D
:10735000000000000000000000000000000000002D
:10736000000000000000000000000000000000001D
:10737000000000000000000000000000000000000D
:1073800000000000000000000000000000000000FD
:1073900000000000000000000000000000000000ED
:1073A00000000000000000000000000000000000DD
:1073B00000000000000000000000000000000000CD
:1073C00000000000000000000000000000000000BD
:1073D00000000000000000000000000000000000AD
:1073E000000000000000000000000000000000009D
:1073F000000000000000000000000000000000008D
:10740000000000000000000000000000000000007C
:10741000000000000000000000000000000000006C
:10742000000000000000000000000000000000005C
:10743000000000000000000000000000000000004C
:10744000000000000000000000000000000000003C
:10745000000000000000000000000000000000002C
:10746000000000000000000000000000000000001C
:10747000000000000000000000000000000000000C
:1074800000000000000000000000000000000000FC
:1074900000000000000000000000000000000000EC
:1074A00000000000000000000000000000000000DC
:1074B00000000000000000000000000000000000CC
:1074C00000000000000000000000000000000000BC
:1074D00000000000000000000000000000000000AC
:1074E000000000000000000000000000000000009C
:1074F000000000000000000000000000000000008C
:10750000000000000000000000000000000000007B
:10751000000000000000000000000000000000006B
:10752000000000000000000000000000000000005B
:10753000000000000000000000000000000000004B
:10754000000000000000000000000000000000003B
:10755000000000000000000000000000000000002B
:10756000000000000000000000000000000000001B
:10757000000000000000000000000000000000000B
:1075800000000000000000000000000000000000FB
:1075900000000000000000000000000000000000EB
:1075A00000000000000000000000000000000000DB
:1075B00000000000000000000000000000000000CB
:1075C00000000000000000000000000000000000BB
:1075D00000000000000000000000000000000000AB
:1075E000000000000000000000000000000000009B
:1075F000000000000000000000000000000000008B
:10760000000000000000000000000000000000007A
:10761000000000000000000000000000000000006A
:10762000000000000000000000000000000000005A
:10763000000000000000000000000000000000004A
:10764000000000000000000000000000000000003A
:10765000000000000000000000000000000000002A
:10766000000000000000000000000000000000001A
:10767000000000000000000000000000000000000A
:1076800000000000000000000000000000000000FA
:1076900000000000000000000000000000000000EA
:1076A00000000000000000000000000000000000DA
:1076B00000000000000000000000000000000000CA
:1076C00000000000000000000000000000000000BA
:1076D00000000000000000000000000000000000AA
:1076E000000000000000000000000000000000009A
:1076F000000000000000000000000000000000008A
:107700000000000000000000000000000000000079
:107710000000000000000000000000000000000069
:107720000000000000000000000000000000000059
:107730000000000000000000000000000000000049
:107740000000000000000000000000000000000039
:107750000000000000000000000000000000000029
:107760000000000000000000000000000000000019
:107770000000000000000000000000000000000009
:1077800000000000000000000000000000000000F9
:1077900000000000000000000000000000000000E9
:1077A00000000000000000000000000000000000D9
:1077B00000000000000000000000000000000000C9
:1077C00000000000000000000000000000000000B9
:1077D00000000000000000000000000000000000A9
:1077E0000000000000000000000000000000000099
:1077F0000000000000000000000000000000000089
:107800000000000000000000000000000000000078
:107810000000000000000000000000000000000068
:107820000000000000000000000000000000000058
:107830000000000000000000000000000000000048
:107840000000000000000000000000000000000038
:107850000000000000000000000000000000000028
:107860000000000000000000000000000000000018
:107870000000000000000000000000000000000008
:1078800000000000000000000000000000000000F8
:1078900000000000000000000000000000000000E8
:1078A00000000000000000000000000000000000D8
:1078B00000000000000000000000000000000000C8
:1078C00000000000000000000000000000000000B8
:1078D00000000000000000000000000000000000A8
:1078E0000000000000000000000000000000000098
:1078F0000000000000000000000000000000000088
:107900000000000000000000000000000000000077
:107910000000000000000000000000000000000067
:107920000000000000000000000000000000000057
:107930000000000000000000000000000000000047
:107940000000000000000000000000000000000037
:107950000000000000000000000000000000000027
:107960000000000000000000000000000000000017
:107970000000000000000000000000000000000007
:1079800000000000000000000000000000000000F7
:1079900000000000000000000000000000000000E7
:1079A00000000000000000000000000000000000D7
:1079B00000000000000000000000000000000000C7
:1079C00000000000000000000000000000000000B7
:1079D00000000000000000000000000000000000A7
:1079E0000000000000000000000000000000000097
:1079F0000000000000000000000000000000000087
:107A00000000000000000000000000000000000076
:107A10000000000000000000000000000000000066
:107A20000000000000000000000000000000000056
:107A30000000000000000000000000000000000046
:107A40000000000000000000000000000000000036
:107A50000000000000000000000000000000000026
:107A60000000000000000000000000000000000016
:107A70000000000000000000000000000000000006
:107A800000000000000000000000000000000000F6
:107A900000000000000000000000000000000000E6
:107AA00000000000000000000000000000000000D6
:107AB00000000000000000000000000000000000C6
:107AC00000000000000000000000000000000000B6
:107AD00000000000000000000000000000000000A6
:107AE0000000000000000000000000000000000096
:107AF0000000000000000000000000000000000086
:107B00000000000000000000000000000000000075
:107B10000000000000000000000000000000000065
:107B20000000000000000000000000000000000055
:107B30000000000000000000000000000000000045
:107B40000000000000000000000000000000000035
:107B50000000000000000000000000000000000025
:107B60000000000000000000000000000000000015
:107B70000000000000000000000000000000000005
:107B800000000000000000000000000000000000F5
:107B900000000000000000000000000000000000E5
:107BA00000000000000000000000000000000000D5
:107BB00000000000000000000000000000000000C5
:107BC00000000000000000000000000000000000B5
:107BD00000000000000000000000000000000000A5
:107BE0000000000000000000000000000000000095
:107BF0000000000000000000000000000020000065
:107C00000000000000000000000000000020000054
:107C10000000000000000000000000000000002044
:107C20000000000000000000000000000000000054
:107C3000002200000000000000000800000000001A
:107C4000000008000000000000000000000000002C
:107C50000000000000000000000000000000000024
:107C60000000000000000000000000000000000014
:107C70000000000000000000000000000000000004
:107C800000000000000000000000000000000000F4
:107C900000000000000000000000000000000000E4
:107CA00000000000000000000000000000000000D4
:107CB00000000000000000000000000000000000C4
:107CC00000000000000000000000000000000000B4
:107CD00000000000000000000000000000000000A4
:107CE0000000000000000000000000000000000094
:107CF0000000000000000000000000000000000084
:107D00000000000000000000000000000000000073
:107D10000000000000000000000000000000000063
:107D20000000000000000000000000000000000053
:107D30000000000000000000000000000000000043
:107D400000000000000000000000000000000C0027
:107D5000000000000008080A000000000000000009
:107D60000000000000000000000000000000000013
:107D70000000000000000000000000000000000003
:107D800000000000000000000000000000000000F3
:107D900000000000000000000000000000000000E3
:107DA00000000000000000000000000000000000D3
:107DB00000000000000000000000000000000000C3
:107DC00000000000000000000000000000000000B3
:107DD00000000000000000000000000000000000A3
:107DE0000000000000000000000000000000000093
:107DF0000000000000000000000000000000000083
:107E00000000000000000000000000000000000072
:107E10000000000000500000000000000000000012
:107E2000000000000030000000000000000600001C
:107E30000000000000300000000000000000000012
:107E40000000000000000010000000000000000022
:107E5000000000000000000000180000000000000A
:107E60000000000000000000000100000000000011
:107E70000000000000000000000000000000000002
:107E800000000000000000000000000000000000F2
:107E900000000000000000000000000000000000E2
:107EA00000000000000000000000000000000000D2
:107EB00000000000000000000000000000000000C2
:107EC00000000000000000000000000000000000B2
:107ED00000000000000000000000000000000000A2
:107EE0000000000000000000000000000000000092
:107EF0000000000000000000000000000000000082
:107F00000000000000000000000000000000000071
:107F10000000000000000000000000000000000061
:107F20000000000000000000000000000000000051
:107F30000000000000000000000000000000000041
:107F40000000000000000000000000000000000031
:107F50000000000000000000000000000000000021
:107F6000000000000000000000000200000000000F
:107F700000000200000000000000000000000000FF
:107F800000000000000000000000000000000000F1
:107F90000000000000800000000000000000000061
:107FA00000000000000000000000000000000000D1
:107FB00000000000000000000000000000000000C1
:107FC00000000000000000000000000000000000B1
:107FD00000000000000000000000000000000000A1
:107FE0000000000000000000000000000000000091
:107FF0000000000000000000000000000000000081
:108000000000000000000000000000000000000070
:108010000000000000000000000000000000000060
:108020000000000000000000000000000000000050
:108030000000000000000000000000000000000040
:108040000000000000000000000000000000000030
:108050000000000000000000000000000000000020
:108060000000000000000000000000000000000010
:108070000000000000000000000000000000000000
:1080800000000000000000000000000000000000F0
:1080900000000000000000000000000000000000E0
:1080A00000000000000000000030000000000000A0
:1080B00000000000000000000000000000000000C0
:1080C00000000000000000000000000000000000B0
:1080D00000000000000000000000000000000000A0
:1080E0000000000000000000000000000000000090
:1080F0000000000000000000000000000000000080
:10810000000000000000000000000000000000006F
:10811000000000000000000000000000000000005F
:10812000000000000000000000000000000000004F
:10813000000000000000000000000000000000003F
:10814000000000000000000000000000000000002F
:10815000000000000000000000000000000000001F
:10816000000000000000000000000000000000000F
:1081700000000000000000000000000000000000FF
:1081800000000000000000000000000000000000EF
:1081900000000000000000000000000000000000DF
:1081A00000000000000000000000000000000000CF
:1081B00000000000000000000000000000000000BF
:1081C00000000000000000000000000000000000AF
:1081D000000000000000000000000000000000009F
:1081E000000000000000000000000000000000008F
:1081F000000000000000000000000000000000007F
:10820000000000000000000000000000000000006E
:10821000000000000000000000000000000000005E
:10822000000000000000000000000000000000004E
:10823000000000000000000000000000000000003E
:10824000000000000000000000000000000000002E
:10825000000000000000000000000000000000001E
:10826000000000000000000000000000000000000E
:1082700000000000000000000000000000000000FE
:1082800000000000000000000000000000000000EE
:1082900000000000000000000000000000000000DE
:1082A00000000000000000000000000000000000CE
:1082B00000000000000000000000000000000000BE
:1082C00000000000000000000000000000000000AE
:1082D000000000000000000000000000000000009E
:1082E000000000000000000000000000000000008E
:1082F000000000000000000000000000000000007E
:10830000000000000000000000000000000000006D
:1083100000800800000000000000000000000000D5
:10832000000000000000000000000000000000004D
:10833000000000000000000000000000000000003D
:10834000000000000000000000000000000000002D
:10835000000000000000000000000000000000001D
:10836000000000000000000000000000000000000D
:1083700000000000000000000000000000000000FD
:1083800000000000000000000000000000000000ED
:1083900000000000000000000000000000000000DD
:1083A00000000000000000000000000000000000CD
:1083B00000000000000000000000000000000000BD
:1083C00000000000000000000000000000000000AD
:1083D000000000000000000000000000000000009D
:1083E000000000000000000000000000000000008D
:1083F000000000000000000000000000000000007D
:10840000000000000000000000000000000000006C
:10841000000000000000000000000000000000005C
:1084200000000000008008000000000000000000C4
:10843000000000000000000000000000000000003C
:10844000000000000000000000000000000000002C
:10845000000000000000000000000000000000001C
:10846000000000000000000000000000000000000C
:1084700000000000000000000000000000000000FC
:1084800000000000000000000000000000000000EC
:1084900000000000000000000000000000000000DC
:1084A00000000000000000000000000000000000CC
:1084B000800002000000000000000000000000003A
:1084C00000000000000000000000000000000000AC
:1084D000000000000000000000000000000000009C
:1084E000000000000000000000000000000000008C
:1084F000000000000000000000000000000000007C
:10850000000000000000000000000000000000006B
:10851000000000000000000000000000000000005B
:10852000000000000000000000000000000000004B
:10853000000000000000000000000000000000003B
:10854000000000000000000000000000000000002B
:10855000000000000000000000000000000000001B
:10856000000000000000000000000000000000000B
:1085700000000000000000000000000000000000FB
:1085800000000000000000000000000000000000EB
:1085900000000000000000000000000000000000DB
:1085A00000000000000000000000000000000000CB
:1085B00000000000000000000000000000000000BB
:1085C00000000000FFFFFFFF0000000000000000AF
:1085D000000000000000000000000000000000009B
:1085E000000000000000000000000000000000008B
:1085F000000000000000000000000000000000007B
:10860000000000000000000000000000000000006A
:10861000000000000000000000000000000000005A
:10862000000000000000000000000000000000004A
:10863000000000000000000000000000000000003A
:10864000000000000000000000000000000000002A
:10865000000000000000000000000000000000001A
:10866000000000000000000000000000000000000A
:1086700000000000000000000000000000000000FA
:1086800000000000000000000000000000000000EA
:1086900000000000000000000000000000000000DA
:1086A00000000000000000000000000000000000CA
:1086B00000000000000000000000000000000000BA
:1086C00000000000000000000000000000000000AA
:1086D0000000000050000004000000000000000046
:1086E000000000000000000000000000000000008A
:1086F000000000000000000000000000000000007A
:108700000000000000000000000000000000000069
:108710000000000000000000000000000000000059
:108720000000000000000000000000000000000049
:108730000000000000000000000000000000000039
:108740000000000000000000000000000000000029
:108750000000000000000000000000000000000019
:108760000000000000040000000000000000000005
:1087700000000000000000000000000000000000F9
:1087800000000000000000000000000000000000E9
:1087900000000000000000000000000000000000D9
:1087A00000000000000000000000000000000000C9
:1087B00000000000000000000000000000000000B9
:1087C00000000000000000000000000000000000A9
:1087D0000000000000000000000000000000000099
:1087E00000000000000000004000800000000000C9
:1087F0000000000000000000000000000000000079
:108800000000000000000000000000000000000068
:108810000000000000000000000000000000000058
:108820000000000000000000000000000000000048
:108830000000000000000000000000000000000038
:108840000000000000000000000000000000000028
:108850000000000000000000000000000000000018
:108860000000000000000000000000000000000008
:108870000000000000000000007000000000000088
:1088800000000000000000000000000000000000E8
:1088900000000000000000000000000000000000D8
:1088A00000000000000000000000000000000000C8
:1088B00000000000000000000000000000000000B8
:1088C00000000000000000000000000000000000A8
:1088D0000000000000000000000000000000000098
:1088E0000000000000000000000000000000000088
:1088F00000000000000000000000000000130080E5
:108900000100C8000000000000000000000000009E
:108910000000000000000000000000000000000057
:108920000000000000000000000000000000000047
:108930000000000000000000000000000000000037
:108940000000000000000000000000000000000027
:108950000000000000000000000000000000000017
:108960000000000000000000000000000000000007
:1089700000000000000000000000000000000000F7
:10898000000000000000000000000000000C0000DB
:1089900000000000000000000000000000000000D7
:1089A00000000000000000000000000000000000C7
:1089B00000000000000000000000000000000000B7
:1089C00000000000000000000000000000000000A7
:1089D0000000000000000000000000000000000097
:1089E0000000000000000000000000000000000087
:1089F0000000000000000000000000000000000077
:108A00000000000000000000000000000000000066
:108A10000000000240000000000000000000000014
:108A20000000000000000000000000000000000046
:108A30000000000000000000000000000000000036
:108A40000000000000000000000000000000000026
:108A50000000000000000000000000000000000016
:108A60000000000000000000000000000000000006
:108A700000000000000000000000000000000000F6
:108A800000000000000000000000000000000000E6
:108A90000000000000000000000000008000000056
:108AA00000000000000000000000000000000000C6
:108AB00000000000000000000000000000000000B6
:108AC00000000000000000000000000000000000A6
:108AD0000000000000000000000000000000000096
:108AE0000000000000000000000000000000000086
:108AF0000000000000000000000000000000000076
:108B00000000000000000000000000000000000065
:108B10000000000000000000000000000000000055
:108B2000000000000000003C000000000000000009
:108B30000000000000000000000000000000000035
:108B40000000000000000000000000000000000025
:108B50000000000000000000000000000000000015
:108B60000000000000000000000000000000000005
:108B700000000000000000000000000000000000F5
:108B800000000000000000000000000000000000E5
:108B900000000000000000000000000000000000D5
:108BA00000000000000000000000000000000000C5
:108BB0000000000000101000000000000000000095
:108BC00000000000000000000000000000000000A5
:108BD0000000000000000000000000000000000095
:108BE0000000000000000000000000000000000085
:108BF0000000000000000000000000000000000075
:108C00000000000000000000000000000000000064
:108C10000000000000000000000000000000000054
:108C20000000000000000000000000000000000044
:108C30000000000000000000000000000000000034
:108C40000000000000000000000000000000000024
:108C50000000000000000000000000000000000014
:108C60000000000000000000000000000000000004
:108C700000000000000000000000000000000000F4
:108C800000000000000000000000000000000000E4
:108C900000000000000000000000000000000000D4
:108CA00000000000000000000000000000000000C4
:108CB00000000000000000000000000000000000B4
:108CC00000000000000000000000000000000000A4
:108CD0000000000000000000000000000000000094
:108CE0000000000000000000000000000000000084
:108CF0000000000000000000000000000000000074
:108D00000000000000000000000000000000000063
:108D10000000000000000000000000000000000053
:108D20000000000000000000000000000000000043
:108D30000000000000000000000000000000000033
:108D40000000000000000000000000000000002003
:108D50000000000000000000000000000000000013
:108D60000000000000000000000000000000000003
:108D700000000000000000000000000000000000F3
:108D800000000000000000000000000000000000E3
:108D900000000000000000000000000000000000D3
:108DA00000000000000000000000000000000000C3
:108DB00000000000000000000000000000000000B3
:108DC00000000000000000000000000000000000A3
:108DD0000000000000000000000000000000000093
:108DE0000000000000000000000000000000000083
:108DF0000000000000000000000000000000000073
:108E00000000000000000000000000000000000062
:108E10000000000000000000000000000000000052
:108E20000000000000000000000000000000000042
:108E30000000000000000000000000000000000032
:108E40000000000000000000000000000000000022
:108E50000000000000000000000000000000000012
:108E600000000010000000000000000000000000F2
:108E700000000000000000000000000000000000F2
:108E800000000000000000000000000000000000E2
:108E900000000000000000000000000000000000D2
:108EA00000000000000000000000000000000000C2
:108EB00000000000000000000000000000000000B2
:108EC00000000000000000000000000000000000A2
:108ED0000000000000000000000000000000000092
:108EE0000000000000000000000010000000000072
:108EF000001800000000000000000000000000005A
:108F00000000000000000000000000000000000061
:108F10000000000000000000000000000000000051
:108F20000000000000000000000000000000000041
:108F30000000000000000000000000000000000031
:108F40000000000000000000000000000000000021
:108F50000000000000000000000000000000000011
:108F60000000000000000000000000000000000001
:108F700000000000000000020000000000000000EF
:108F800000000000000000000000000000000000E1
:108F900000000000000000000000000000000000D1
:108FA00000000000000000000000000000000000C1
:108FB00000000000000000000000000000000000B1
:108FC00000000000000000000000000000000000A1
:108FD0000000000000000000000000000000000091
:108FE0000000000000000000000000000000000081
:108FF0000000000000000000000000000000500021
:109000000000000000C000000000000000000000A0
:109010000000000000000000000000000000000050
:109020000000000000000000000000000000000040
:109030000000000000000000000000000000000030
:109040000000000000000000000000000000000020
:109050000000000000000000000000000000000010
:109060000000000000000000000000000000000000
:1090700000000000000000000000000000000000F0
:1090800000000000000000000000000000000000E0
:1090900000000000000000000000000000000000D0
:1090A00000000000000000000000000000000000C0
:1090B00000000000000000000000000000000008A8
:1090C00000000000000000000000000000000000A0
:1090D0000000000000000000000000000000000090
:1090E0000000000000000000000000000000000080
:1090F0000000000000000000000000000000000070
:10910000000000000000000000000000000000005F
:109110000000000000080000000000000000000047
:10912000000000000000000000000000000000003F
:10913000000000000000000000000000000000002F
:10914000000000000000000000000000000000001F
:10915000000000000000000000000000000000000F
:1091600000000000000000000000000000000000FF
:1091700000000000000000000000000000000000EF
:1091800000000000000000000000000000000000DF
:1091900000000000000000000000000000000000CF
:1091A00000000000000000000000000000000000BF
:1091B00000000000000000000000000000000000AF
:1091C000000000000000000000000000000000009F
:1091D0000000000800000000000000000000000087
:1091E000000000000000000000000000000000007F
:1091F000000000000000000000000000000000006F
:10920000000000000000000000000000000000005E
:10921000000000000000000000000000000000004E
:10922000000000000000000004000000000000003A
:10923000000000000000000000000000000000002E
:10924000000000000000000000000000000000001E
:10925000000000000000000000000000000000000E
:1092600000000000000000000000000000000000FE
:1092700000000000000000000000000000000000EE
:1092800000000000000000000000000000000000DE
:1092900000000000000000000000000000000000CE
:1092A00000000000000000000000000000000000BE
:1092B00000000000000000000000000000000000AE
:1092C000000000000000000000000000000000009E
:1092D000000000000000000000000000000000008E
:1092E000000000000000000000000000000000007E
:1092F000000000000000000000000000000000006E
:10930000000000000000000000000000000000005D
:10931000000000000000000000000000000000004D
:10932000000000000000000000000000000000003D
:10933000000000000000000000000000010000002C
:10934000000000000000000000000000000000001D
:10935000000000000000000000000000000000000D
:1093600000000000000000000000000000000000FD
:1093700000000000000000000000000000000000ED
:1093800000000000000000000000000000000000DD
:1093900000000000000000000000000000000000CD
:1093A00000000000000000000000000000000000BD
:1093B00000000000000000000000000000000400A9
:1093C0000400000000000000000000000002000097
:1093D000000000000000000000000000000000008D
:1093E000000000000000000000000000000000007D
:1093F000000000000000000000000000000000006D
:10940000000000000000000000000000000000005C
:10941000000000000000000000000000000000004C
:10942000000000000000000000000000000000003C
:10943000000000000000000000000000000000002C
:10944000000000000000000000000000000000001C
:109450000C000000000000000200000000000000FE
:1094600000000000000000000000000000000000FC
:1094700000000000000000000000000000000000EC
:1094800000000000000000000000000000000000DC
:1094900000000000000000000000000000000000CC
:1094A000000000000000000000300000000000008C
:1094B00000000000000000000000000000000000AC
:1094C000000000000000000000000000000000009C
:1094D000000000000000000000000000000000008C
:1094E000000000000000000000000000000000007C
:1094F000000000000000000000000000000000006C
:10950000000000000000000000000000000000005B
:10951000000000000000000000000000000000004B
:10952000000000000000000000000000000000003B
:10953000000000000000000000000000000000002B
:10954000000000000000000000000000000000001B
:10955000000000000000000000000000000000000B
:1095600000000000000000000000000000000000FB
:1095700000000000000000000000000000000000EB
:1095800000000000000000000000000000000000DB
:1095900000000000000000000000000000000000CB
:1095A00000000000000000000000000000000000BB
:1095B000000000000000000000000000002000008B
:1095C000000000000000000000000000000000009B
:1095D000000000000000000000000000000000008B
:1095E0000000000000000800080000000000020069
:1095F000000000000000000000000000000000006B
:10960000000000000000000000000000000000005A
:10961000000000000000000000000000000000004A
:10962000000000000000000000000000000000003A
:10963000000000000000000000000000000000002A
:10964000000000000000000000000000000000001A
:10965000000000000000000000000000000000000A
:1096600000000000000000000000000000000000FA
:1096700000000000000000000800000000000000E2
:1096800000000000000000000000000000000000DA
:1096900000000000000000000000000000000000CA
:1096A00000000000000000000000000000000000BA
:1096B00000000000000000000000000000000000AA
:1096C000000000000000000000000000000000009A
:1096D000000000000000000000000000000000008A
:1096E000000000000000000000000000000000007A
:1096F000FFFFFFFF0000000000000000000000006E
:109700000000000000000000000000000000000059
:109710000000000000000000000000000000000049
:109720000000000000000000000000000000000039
:109730000000000000000000000000000000000029
:109740000000000000000000000000000000000019
:109750000000000000000000000000000000000009
:1097600000000000000000000000000000000000F9
:1097700000000000000000000000000000000000E9
:1097800000000000000000000000000000000000D9
:1097900000000000000000000000000000000000C9
:1097A00000000000000000000000000000000000B9
:1097B00000000000000000000000000000000000A9
:1097C0000000000000000000000000000000000099
:1097D0000000000000000000000000000000000089
:1097E0000000000000000000000000000000000079
:1097F0000000000000000000000000000000000069
:109800000000000080260E000000000000000000A4
:109810000000000000000000000000000000000048
:109820000000000000000000000000000000000038
:109830000000000000000000000000000000000028
:109840000000000000000000000000000000000018
:109850000000000000000000000000000000000008
:1098600000000000000000000000000000000000F8
:1098700000000000000000000000000000000000E8
:1098800000000000000000000000000000000000D8
:1098900000000000000000000000000000000000C8
:1098A00000000000000000000000000000000000B8
:1098B00000000000000000000000000000000000A8
:1098C0000000000000000000000000000000000098
:1098D0000000000000000000000000000000000088
:1098E0000000000000000000000000000000000078
:1098F0000000000000000000000000000000000068
:109900000000000000000000000000000000000057
:109910000000000000000000000000000000000047
:1099200000000000000000008024020080240200EB
:109930000000000000000000000000000000000027
:109940000000000000000000000000000000000017
:109950000000000000000000000000000000000007
:1099600000000000000000000000000000000000F7
:1099700000000000000000000000000000000000E7
:1099800000000000000000000000000000000000D7
:1099900000000000000000000000000000000000C7
:1099A00000000000000000000000000000000000B7
:1099B00000000000000000000000000000000000A7
:1099C0000000000000000000000000000000000097
:1099D0000000000000000000000000000000000087
:1099E0000000000000000000000000000000000077
:1099F0000000000000000000000000000000000067
:109A00000000000000000000000000000000000056
:109A10000000000000000000000000000000000046
:109A20000000000000000000000000000000000036
:109A3000000000000000000000000000FFFFFFFF2A
:109A4000FFFFFFFF0000000000000000000000001A
:109A50000000000000000000000000000000000006
:109A600000000000000000000000000000000000F6
:109A700000000000000000000000000000000000E6
:109A800000000000000000000000000000000000D6
:109A900000000000000000000000000000000000C6
:109AA00000000000000000000000000000000000B6
:109AB00000000000000000000000000000000000A6
:109AC0000000000000000000000000000000000096
:109AD0000000000000000000000000000000000086
:109AE0000000000000000000000000000000000076
:109AF0000000000000000000000000000000000066
:109B00000000000000000000000000000000000055
:109B10000000000000000000000000000000000045
:109B20000000000000000000000000000000000035
:109B30000000000000000000000000000000000025
:109B400000200000000000000000000000000000F5
:109B500051000206000000000000000000000000AC
:109B600000000000000000000000000000000000F5
:109B700000000000000000000000000000000000E5
:109B800000000000000000000000000000000000D5
:109B900000000000000000000000000000000000C5
:109BA00000000000000000000000000000000000B5
:109BB00000000000000000000000000000000000A5
:109BC0000000000000000000000000000000000095
:109BD0000000000000000000000000000000000085
:109BE0000000000000000000000000000000000075
:109BF0000000000000000000000000000000000065
:109C00000000000000000000000000000000000054
:109C10000000000000000000000000000000000044
:109C20000000000000000000000000000000000034
:109C30000000000000000000000000000000000024
:109C40000000000000000000000000000000000014
:109C5000000000000028000000420000000000009A
:109C60000000000051008000000000000000000023
:109C700000000000000000000000000000000000E4
:109C800000000000000000000000000000000000D4
:109C900000000000000000000000000000000000C4
:109CA00000000000000000000000000000000000B4
:109CB00000000000000000000000000000000000A4
:109CC0000000000000000000000000000000000094
:109CD0000000000000000000000000000000000084
:109CE0000000000000000000000000000000000074
:109CF0000000000000000000000000000000000064
:109D00000000000000000000000000000000000053
:109D10000000000000000000000000000000000043
:109D20000000000000000000000000000000000033
:109D30000000000000000000000000000000000023
:109D40000000000000000000000000000000000013
:109D50000000000000000000000000000000000003
:109D60000000000000000000000700220000E000EA
:109D70000000000000000000C01381C000CB000004
:109D800000000000000000000000000000000000D3
:109D900000000000000000000000000000000000C3
:109DA00000000000000000000000000000000000B3
:109DB00000000000000000000000000000000000A3
:109DC0000000000000000000000000000000000093
:109DD0000000000000000000000000000000000083
:109DE0000000000000000000000000000000000073
:109DF0000000000000000000000000000000000063
:109E00000000000000000000000000000000000052
:109E10000000000000000000000000000000000042
:109E20000000000000000000000000000000000032
:109E30000000000000000000000000000000000022
:109E40000000000000000000000000000000000012
:109E50000000000000000000000000000000000002
:109E600000000000000000000000000000000000F2
:109E700000000000000000000000000000000000E2
:109E80000000000000000000000000008000C02072
:109E900000010000000000000000000000000000C1
:109EA00000000000000000000000000000000000B2
:109EB00000000000000000000000000000000000A2
:109EC0000000000000000000000000000000000092
:109ED0000000000000000000000000000000000082
:109EE0000000000000000000000000000000000072
:109EF0000000000000000000000000000000000062
:109F00000000000000000000000000000000000051
:109F10000000000000000000000000000000000041
:109F20000000000000000000000000000000000031
:109F30000000000000000000000000000000000021
:109F40000000000000000000000000000000000011
:109F50000000000000000000000000000000000001
:109F600000000000000000000000000000000000F1
:109F700000000000000000000000000000000000E1
:109F800000000000000000000000000000000000D1
:109F900000000000140000000000000000000000AD
:109FA000000000B8140000000000000000000000E5
:109FB00000000000000000000000000000000000A1
:109FC0000000000000000000000000000000000091
:109FD0000000000000000000000000000000000081
:109FE0000000000000000000000000000000000071
:109FF0000000000000000000000000000000000061
:10A000000000000000000000000000000000000050
:10A010000000000000000000000000000000000040
:10A020000000000000000000000000000000000030
:10A030000000101000000000000000000000000000
:10A040000000000000000000000000000000000010
:10A050000000000000000000000000000000000000
:10A0600000000000000000000000000000000000F0
:10A0700000000000000000000000000000000000E0
:10A0800000000000000000000000000000000000D0
:10A0900000000000000000000000000000000000C0
:10A0A00000000000000000000000000000000000B0
:10A0B000000000000000004002000000000000005E
:10A0C0000000000000000000000000000000000090
:10A0D0000000000000000000000000000000000080
:10A0E0000000000000000000000000000000000070
:10A0F0000000000000000000000000000000000060
:10A10000000000000000000000000000000000004F
:10A11000000000000000000000000000000000003F
:10A12000000000000000000000000000000000002F
:10A13000000000000000000000000000000000001F
:10A14000000000000000000000000000000000000F
:10A1500000000000000000000000000000000000FF
:10A1600000000000000000000000000000000000EF
:10A1700000000000000000000000000000000000DF
:10A1800000000000000000000000000000000000CF
:10A1900000000000000000000000000000000000BF
:10A1A00000000000000000000000000000000000AF
:10A1B0000000000000000000000000000C00000093
:10A1C000000000000000000000000000200000006F
:10A1D000000000000000000000000000000000007F
:10A1E000000000000000000000000000000000006F
:10A1F000000000000000000000000000000000005F
:10A20000000000000000000000000000000000004E
:10A21000000000000000000000000000000000003E
:10A22000000000000000000000000000000000002E
:10A23000000000000000000000000000000000001E
:10A24000000000000000000000000000000000000E
:10A2500000000000000000000000000000000000FE
:10A2600000000000000000000000000000000000EE
:10A2700000000000000000000000000000000000DE
:10A2800000000000000000000000000000000000CE
:10A2900000000000000000000000000000000000BE
:10A2A00000000000000000000000000000000000AE
:10A2B000000000000000000000000000000000009E
:10A2C000000000000000000000000000000000008E
:10A2D0000000000000000000000000000000004C32
:10A2E0001C00000000000000000000000000000052
:10A2F000000000000000000000000000000000005E
:10A30000000000000000000000000000000000004D
:10A31000000000000000000000000000000000003D
:10A32000000000000000000000000000000000002D
:10A33000000000000000000000000000000000001D
:10A34000000000000000000000000000000000000D
:10A3500000000000000000000000000000000000FD
:10A3600000000000000000000000000000000040AD
:10A3700000000000000000000000000000000000DD
:10A3800000000000000000000000000000000000CD
:10A3900000000000000000000000000000000000BD
:10A3A00000000000000000000000000000000000AD
:10A3B000000000000000000000000000000000009D
:10A3C000000000000000000000000000000000008D
:10A3D000000000000000000000000000000000007D
:10A3E000000000001000000000000000000000005D
:10A3F0000000003800000000000000000000000025
:10A40000000000000000000000000000000000004C
:10A41000000000000000000000000000000000003C
:10A42000000000000000000000000000000000002C
:10A43000000000000000000000000000000000001C
:10A44000000000000000000000000000000000000C
:10A4500000000000000000000000000000000000FC
:10A4600000000000000000000000000000000000EC
:10A4700000000000000000000000000000000000DC
:10A480000000004800000000000000000000000084
:10A4900000000000000000000000000000000000BC
:10A4A00000000000000000000000000000000000AC
:10A4B000000000000000000000000000000000009C
:10A4C000000000000000000000000000000000008C
:10A4D000000000000000000000000000000000007C
:10A4E0000000000000000008000000000001000063
:10A4F0000000000080100000000000020400400086
:10A500000000001100000800000000000000000032
:10A51000000000000000000000000000000000003B
:10A5200000000000000000000000400000000000EB
:10A53000000000000000000000000000000000001B
:10A54000000000000000000000000000000000000B
:10A5500000000000000000000000000000000000FB
:10A5600000000000000000000000000000000000EB
:10A5700000000000000000000000000000000000DB
:10A5800000000000000000000000000000000002C9
:10A59000000000000000005000000000000000006B
:10A5A00000000000000000000000000000000000AB
:10A5B000000000000000000000000000000000009B
:10A5C000000000000000000000000000000000008B
:10A5D000000000000000000000000000000000007B
:10A5E000000000000000000000000000000000006B
:10A5F00000000000000000000000000C000000004F
:10A60000000000000000000000209000000000009A
:10A610000000000000000020000008000040005082
:10A62000000000000000000000000000000000002A
:10A63000000000000000000000000000000000001A
:10A640000000000000000900000000000000000001
:10A650000D000000000000000000000000000000ED
:10A6600000000000000000000000000000000000EA
:10A6700000000000000000000000000000000000DA
:10A6800000000000000000000000000000000000CA
:10A6900000000000000000000000000000000000BA
:10A6A00000000006000000000000000000000000A4
:10A6B000000000000000000000000000000000009A
:10A6C000000000000000000000000000000000008A
:10A6D000000000000000000000000000000000007A
:10A6E000000000000000000000000000000000006A
:10A6F000000000000000000000000000000000005A
:10A700000000000000000000000000000000000049
:10A7100000000000000C0E0000000050300000009F
:10A720000000000C000010000000000C0000000001
:10A730000000000000000000000000000000000019
:10A740000000000000000000000000000000000009
:10A7500000001000000000000000000000000000E9
:10A7600000000000000000000000000000000000E9
:10A7700000000000000000000000000000000000D9
:10A7800000000000000000000000000000000000C9
:10A7900000000000000000000000000000000000B9
:10A7A00000000000000000000000000000000000A9
:10A7B0000000000000000004000000000000000095
:10A7C0000000000000000000000000000000000089
:10A7D0000000000000000000000000000000000079
:10A7E0000000000000000000000000000000000069
:10A7F0000000000000000000000000000000000059
:10A800000000000000000000000000000000000048
:10A810000000000000000000000000000000000038
:10A820000000000000000000000000000000000028
:10A830000000000000000000000000000400000014
:10A840000000000000000000000000000000000008
:10A8500000000000000000000000000000000000F8
:10A8600000010000000000000000000000000000E7
:10A8700000000000000000000000000000000000D8
:10A8800000000000000000000000000000000000C8
:10A8900000000000000000000000000000000000B8
:10A8A00000000000000000000000000000000000A8
:10A8B0000000000000000000000000000000000098
:10A8C0000000000000000000000000000000000088
:10A8D0000000000000000000000000000000000078
:10A8E0000000000000000000000000000000000068
:10A8F0000000000000000000000000000000000058
:10A900000000000000000000000000000000000047
:10A910000000000000000000000000000000000037
:10A920000000000000000000000000000000000027
:10A930000000000000000000000000000000000017
:10A940000000000000000000000000000000000007
:10A9500000000000000000300000000000000000C7
:10A9600000000000000000000000000000000000E7
:10A9700000000000000800000000000000000000CF
:10A9800000000000000000000000000000000000C7
:10A9900000000000000000000000000000000000B7
:10A9A00000000000000000000000000000000000A7
:10A9B0000000000000000000000000000000000097
:10A9C0000000000000000000000000000000000087
:10A9D0000000000000000000000000000000000077
:10A9E0000000000000000000000000000000000067
:10A9F0000000000000000000000000000000000057
:10AA00000000000000000000000000000000000046
:10AA10000000000000000000000000000000000036
:10AA20000000000000000000000000000000000026
:10AA30000000000000000000000000000000000016
:10AA40000000000000000000000000000000000006
:10AA500000000000000000000000000000000000F6
:10AA60000000000008080000000000200040000076
:10AA700000000000000000000000000000000000D6
:10AA800000000000000000000000000000000000C6
:10AA900000000000000000000000000000000000B6
:10AAA00000000000000000000000000000000000A6
:10AAB0000000000000000000000000000000000096
:10AAC0000000000000000000000000000000000086
:10AAD0000000000000000000000000000000000076
:10AAE0000000000000000000000000000000000066
:10AAF00000000000000000000070000000000000E6
:10AB000000800800000000000000000000000000BD
:10AB10000000000000000000000000000000000035
:10AB200000000000FFFFFFFF000000000000000029
:10AB30000000000000000000000000000000000015
:10AB40000000000000000000000000000000000005
:10AB500000000000000000000000000000000000F5
:10AB600000000000FFFFFFFFFFFF6996966941416B
:10AB70007722DD11FFFFFFFFFFCC33000000000054
:10AB8000FFFFFFFF000000000000000000000000C9
:10AB900000000000000000000000000000000000B5
:10ABA00000000000000000000000000000000000A5
:10ABB0000000000000000000000000000000000095
:10ABC0000000000000000000000000000000000085
:10ABD0000000000000000000000000000000000075
:10ABE0000000000000000000000000000000000065
:10ABF0000000000000000000000000000000000055
:10AC00000000000000000000000000000000000044
:10AC100000000000008000000000000000000000B4
:10AC20000000000000000000000000000000000024
:10AC3000000000000000000080140C000000000074
:10AC40000000000000000000000000000000000004
:10AC500000000000000000000000000000000000F4
:10AC600000000000000000000000000000000000E4
:10AC7000000000000000000080260E0000000C0014
:10AC800000001E0090001E0080040C0090140C00B8
:10AC90000000000080240E00000000000000000002
:10ACA00000000000000000000000000000000000A4
:10ACB0000000000000000000000000000000000094
:10ACC0000000000000000000000000000000000084
:10ACD0000000000000000000000000000000000074
:10ACE0000000000000000000000000000000000064
:10ACF0000000000000000000000000000000000054
:10AD00000000000000000000000000000000000043
:10AD10000000000000000000000000000000000033
:10AD20000000000000000000000000000000000023
:10AD30000000000000000000000000000000000013
:10AD40000000000000000000000000000000000003
:10AD500000000000000000000000000000000000F3
:10AD600000000000000000000000000000000000E3
:10AD700000000000000000000000000000000000D3
:10AD80000000000000000000000000009000120021
:10AD90009000120090000000900012008026020037
:10ADA00000000000000000000000000000000000A3
:10ADB0000000000000000000000000000000000093
:10ADC0000000000000000000000000000000000083
:10ADD0000000000000000000000000000000000073
:10ADE0000000000000000000000000000000000063
:10ADF0000000000000000000000000000000000053
:10AE00000000000000000000000000000000000042
:10AE10000000000000000000000000000000000032
:10AE20000000000000000000000000000000000022
:10AE300000000000000000200000000000000000F2
:10AE40000000000000000000000000000000000002
:10AE500000000000000000000000000000000000F2
:10AE600000000000000000000000000000000000E2
:10AE700000000000000000000000000000000000D2
:10AE800000000000000000000000000000000000C2
:10AE900000000000000000000000000000000000B2
:10AEA0007722AF05FF0F535333550F00F303330FD2
:10AEB000FFFFFFFF00000000000000000000000096
:10AEC0000000000000000000000000000000000082
:10AED0000000000000000000000000000000000072
:10AEE0000000000000000000000000000000000062
:10AEF0000000000000000000000000000000000052
:10AF00000000000000000000000000000000000041
:10AF10000000000000000000000000000000000031
:10AF20000000000000000000000000000000000021
:10AF30000000000000000000000000000000000011
:10AF40000000000000000000000000A00000000061
:10AF500000000000000000000000000000000000F1
:10AF600000000000000000000000000000000000E1
:10AF70000000000000000000005000400000000041
:10AF800000000000000000000000000000000000C1
:10AF900000000000000000000000000000000000B1
:10AFA00000000000000000000000000000000000A1
:10AFB000000000003210010000000000D139200024
:10AFC000000400000020000260400004800800002F
:10AFD0002000000000000000000000000000000051
:10AFE0000000000000000000000000000000000061
:10AFF0000000000000000000000000000000000051
:10B000000000000000000000000000000000000040
:10B010000000000000000000000000000000000030
:10B020000000000000000000000000000000000020
:10B030000000000000000000000000000000000010
:10B040000000000000000000000000000000000000
:10B0500000000000000000000000000000000004EC
:10B0600000000000000000000000000000000000E0
:10B0700000000000000000000000000000000000D0
:10B080000000000000000000002000000000009010
:10B0900000000000000000000000000000000000B0
:10B0A00000000000000000000000000000000000A0
:10B0B0000000000000000000000000000000000090
:10B0C00000000000000000005128120100280000CC
:10B0D000D12844024022000091280200001000E222
:10B0E00080000000000100000000000000000000DF
:10B0F0000000000000000000000000000000000050
:10B10000000000000000000000000000000000003F
:10B11000000000000000000000000000000000002F
:10B12000000000000000000000000000000000001F
:10B13000000000000000000000000000000000000F
:10B1400000000000000000000000000000000000FF
:10B1500000000000000000000000000000000000EF
:10B1600000000000000000000000000000000000DF
:10B1700000000000000000000000000000000000CF
:10B1800000000000000000000000000000000000BF
:10B1900000000000000000000000000000000300AC
:10B1A0000000000700000000000000000000000098
:10B1B000000000000000000000000000000000008F
:10B1C000000000000000000000000000000000007F
:10B1D000000000000000000000000000008000C02F
:10B1E0005705C32213801040C000C000E30303C30F
:10B1F000C003E3050000C0000000000000000000E4
:10B20000000000000000000000000000000000003E
:10B21000000000000000000000000000000000002E
:10B22000000000000000000000000000000000001E
:10B23000000000000000000000000000000000000E
:10B2400000000000000000000000000000000000FE
:10B2500000000000000000000000000000000000EE
:10B2600000000000000000000000000000000000DE
:10B2700000000000000000000000000000000000CE
:10B28000000000000000002000000000000000009E
:10B2900000000000000000000000000000000000AE
:10B2A000000000000000000000000000000000009E
:10B2B000000020000000000000000000000000006E
:10B2C000000000000000000000000000000000007E
:10B2D000000000000000000000000000000000006E
:10B2E000000000000000000000000000000000005E
:10B2F000000200200000A00000020020108080005A
:10B300004001040C1008080002001040000000007A
:10B31000000000000000000000000000000000002D
:10B32000000000000000000000000000000000001D
:10B33000000000000000000000000000000000000D
:10B3400000000000000000000000000000000000FD
:10B3500000000000000000000000000000000000ED
:10B3600000000000000000000000000000000000DD
:10B3700000000000000000000000000000000000CD
:10B3800000000000000000000000000000000000BD
:10B390000000000000000000000000340000000079
:10B3A000000000000000000000000000000000009D
:10B3B000000000000000000000000000000000008D
:10B3C000000000000000000000000000000000007D
:10B3D000000000000000000000000000000000006D
:10B3E000000000000000000000000000000000005D
:10B3F000000000000000000000000000000000004D
:10B40000000000000E000080080EE070060580813C
:10B410000809E114000000020000007000000000B4
:10B42000000000000000000000000000000000001C
:10B43000000000000000000000000000000000000C
:10B4400000000000000000000000000000000000FC
:10B4500000000000000000000000000000000000EC
:10B4600000000000000000000000000000000000DC
:10B4700000000000000000000000000000000000CC
:10B4800000000000000000000000000000000000BC
:10B4900000000000000000000000000000000000AC
:10B4A000000000000000000000000000000010008C
:10B4B000000000000000000000000000000000008C
:10B4C000000000000000000000000000000000007C
:10B4D000000000000000000000000000000000006C
:10B4E000000000000000000000000000000000005C
:10B4F000000000000000000000000000000000004C
:10B50000000000000000000000000000000000003B
:10B5100000000000000000000600C0381E08E80A15
:10B520004625033801204102000000083C00007855
:10B5300000000000140000000000000000000000F7
:10B5400000000000000000000000000000000000FB
:10B5500000000000000000000000000000000000EB
:10B5600000000000000000000000000000000000DB
:10B5700000000000000000000000000000000000CB
:10B5800000000000000000000000000000000000BB
:10B5900000000000000000000000000000000000AB
:10B5A000000000000000000000000000000000009B
:10B5B000000000000000000000000000000000008B
:10B5C00000000000000080000000000000000000FB
:10B5D000000000000000000000000000000000006B
:10B5E000000000000000000000000000000000005B
:10B5F000000000000000000000000000000000004B
:10B60000000000000000000000000000000000003A
:10B61000000000000000000000000000000000002A
:10B6200000000000000000000000000014001900ED
:10B63000070000004A0401200090200200000000E2
:10B6400000000018000000000300000000000000DF
:10B6500000000000000000000000000000000000EA
:10B6600000000000000000000000000000000000DA
:10B6700000000000000000000000000000000000CA
:10B6800000000000000000000000000000000000BA
:10B6900000000000000000000000000000000000AA
:10B6A000000000000000000000000000000000009A
:10B6B000000000000000000000000000000000008A
:10B6C000000000000000000000000000000000007A
:10B6D000000000000000000000000000000000006A
:10B6E000000000000000000000000000000000005A
:10B6F000000000000000000000000000000000004A
:10B700000000000000000000000000000000000039
:10B710000000000000000000000000000000000029
:10B720000000000000000000000000000000000019
:10B730000000000000000000000000000000000009
:10B740001080C38C800800000018080800C7017032
:10B750000000000C20000030000000001400000079
:10B7600000000000000000000000000000000000D9
:10B7700000000000000000000000000000000000C9
:10B7800000000000000000000000000000000000B9
:10B7900000000000000000000000000000000000A9
:10B7A0000000000000000000000000000000000099
:10B7B0000000000000000000000000000000000089
:10B7C0000000000000000000000000000000000079
:10B7D0000000000000000000000000000000000069
:10B7E00000000000000000000000000800006000F1
:10B7F0000000000000000000000000000000000049
:10B800000000000000000000000000000000000038
:10B810000000000000000000000000000000000028
:10B820000000000000000000000000000000000018
:10B830000000000000000000000000000000000008
:10B8400000000000000000000000000000000000F8
:10B8500000000000000000091400D07802A200805F
:10B860000020003C00000000000000E0000000009C
:10B8700000000000000000000000000000000000C8
:10B8800000000000000000000000000000000000B8
:10B8900000000000000000000000000000000000A8
:10B8A0000000000000000000000000000000000098
:10B8B0000000000000000000000000000000000088
:10B8C0000000000000000000000000000000000078
:10B8D0000000000000000000000000000000000068
:10B8E0000000000000000000000000000000000058
:10B8F0000000000000000000000000000000000840
:10B900000000000000000000100000000000000027
:10B910000000000000000000000000000000000027
:10B920000000000000000000000000000000020015
:10B930000000000000000000000000000000000007
:10B9400000000000000000000000000000000000F7
:10B9500000000000000000000000004000000000A7
:10B9600000000002000000000400000020010000B0
:10B97000000218000050000200100000000010102B
:10B9800004000000000000080000000000000000AB
:10B9900000000000000000000000000000000000A7
:10B9A0000000000000000000000000000000000097
:10B9B0000000000000000000000000000000000087
:10B9C0000000000000000000000010000000000067
:10B9D0000000000000000000000000001000000057
:10B9E0000000000000000000000000000000000057
:10B9F0000000000000000000000000000000000047
:10BA00000000000000000000000000000000000036
:10BA10000000002000000000000000000000000006
:10BA20000000000000000000000000000000000016
:10BA30000000000000000000000000000000000006
:10BA400000000000000000000000000000000000F6
:10BA500000000000000000000000000000000000E6
:10BA600000000000000000000000000000000000D6
:10BA700000000000000000000000000000000000C6
:10BA800000000000000D2000002000006000000009
:10BA9000000000200C00000000000000B0000000CA
:10BAA0000000000000000000000000000000000096
:10BAB0000000000000000000000000000000000086
:10BAC000000000000000000000000000000900006D
:10BAD0000000000000000000000000000000300036
:10BAE0000000000000000000000000000000000056
:10BAF0003000000000000000000000000000000016
:10BB00000000000000000000000000000000000035
:10BB100000000000000000000000800000000000A5
:10BB200000000000000010000E00000000000000F7
:10BB30000000008000000000000000000000000085
:10BB400000000000000000000000000000000000F5
:10BB500000000000000008000000000000000000DD
:10BB600000000000000000000000000000000000D5
:10BB700000000000000000000000000000000000C5
:10BB800000000010000000000000000C0000000099
:10BB900000000000000C0000000400000000000095
:10BBA0000000000000000000050000000000000090
:10BBB0000000000000000000000000000000000085
:10BBC0000000000000000000000000000000000075
:10BBD0000000000000000000000000000000000065
:10BBE0000000000000000000000000000000000055
:10BBF0000000000000000000000000000000000045
:10BC00000000000000000000000000000000000034
:10BC10000000000000000000000000000000000024
:10BC20000000000000000000000000000000000014
:10BC300000000000000000000000100000000000F4
:10BC400000000000000000000000000000000000F4
:10BC500000000000000000000000000000000000E4
:10BC600000000000000000000000000000000000D4
:10BC700000000000000000000000000000000000C4
:10BC80000000300000000000000000000000000084
:10BC900000000000000000000000000000000000A4
:10BCA0000000000000000010000000000000000084
:10BCB0000000000030000000000000000000000054
:10BCC0000000000000000000000000000000000074
:10BCD0000000000000000000000000000000000064
:10BCE0000000000000003000000000000000000024
:10BCF0000000000000000000000000000000000044
:10BD00000000000000000000000000000000000033
:10BD10000000000000000000000000000000000023
:10BD20000000000000000000000000000000000013
:10BD30000000000000000000000000000000000003
:10BD400000000000000000000000000000040000EF
:10BD500000000000000000000000000000000000E3
:10BD600000000000000000000000000000000000D3
:10BD700000000000000000000000000000000020A3
:10BD800000000000000000000000000000000000B3
:10BD900000000000000000000000000000000000A3
:10BDA0000000003000000000000000000000000063
:10BDB00000000000000000000000009000000000F3
:10BDC0000000000000000000000000000000000073
:10BDD0000000003000000000000000000000000033
:10BDE0000000000000000000000000000000000053
:10BDF0000000000000000000000040000000000003
:10BE00000000000000000000000000000000000032
:10BE10000000000000000000000000000000000022
:10BE20000000000000000000000000000000000012
:10BE30000000000000000000000000000000000002
:10BE400000000000000000000000000000000000F2
:10BE500000000000000000000000000000000000E2
:10BE600000000000000000000000000000000000D2
:10BE700000000000000000000000000000000000C2
:10BE800000000000000000000000000000000000B2
:10BE90000000002000000000000000000000000082
:10BEA0000000000000000000000010000000000082
:10BEB0000000000000000020000000000000000062
:10BEC0000000000000000000000000000000002052
:10BED0000000000000000000000000001000000052
:10BEE0000000000000C200610080000000000000AF
:10BEF0000000000000000000000000000200000040
:10BF00000000000000000000000000000000000031
:10BF10000000000000000000000000000000000021
:10BF20000000000000000000000000000000000011
:10BF30000000000000000000000000000000000001
:10BF400000000000000000000000000000000000F1
:10BF500000000000000000000000000000000000E1
:10BF600000000000000000000000000000000000D1
:10BF70000000000000000000000000000080080039
:10BF800000000000000000000000000000000000B1
:10BF900000000000000000000000000000000000A1
:10BFA0000000000000000000000000000000000091
:10BFB0000000000000000000000000000000000081
:10BFC0000000000000000000000000000000000071
:10BFD00000000000000000005F11AF220000000020
:10BFE000FFFFFFFFEEFFFFFF55AAFFFF33333B3399
:10BFF000000000000000000000000000FBFFAFAFE9
:10C000000000000000000000000000000000000030
:10C010009999FFFF77880F8700000000000000005B
:10C020000000000000000000000000000000000010
:10C030000000000000000000000000000000000000
:10C0400000000000000000000000000000000000F0
:10C0500000000000000000000000000000000000E0
:10C0600000000000000000000000000000000000D0
:10C0700000000000000000000000000000000000C0
:10C0800000000000000000000000000000000000B0
:10C090000080000000000000000000000000000020
:10C0A0000000000000000000000000000000000090
:10C0B0000000000000000000000000000000000080
:10C0C0000000000000000000000000000000000070
:10C0D0000000000000000000000000000000000060
:10C0E00000000000000000000000000090341E006E
:10C0F0000000000080260E0090140C0090340E000A
:10C1000048311E2000000000000000000000000078
:10C1100000000C0000000000000000000000000013
:10C120000000000090040C0090241E00000000009D
:10C1300000000000000000000000000000000000FF
:10C1400000000000000000000000000000000000EF
:10C1500000000000000000000000000000000000DF
:10C1600000000000000000000000000000000000CF
:10C1700000000000000000000000000000000000BF
:10C1800000000000000000000000000000000000AF
:10C19000000000000000000000000000000000009F
:10C1A000000000000000000000000000000000008F
:10C1B000000000000000000000000000000000007F
:10C1C000000000000000000000000000000000006F
:10C1D000000000000000000000000000000000005F
:10C1E000000000000000000000000000000000004F
:10C1F000000000000000000000000000000000003F
:10C20000000000009002000000000000000000009C
:10C210000000000090341200000000000000000048
:10C220000000000080240200000000000000000068
:10C23000000000000000000080140000000000006A
:10C2400000000000000000000000000000000000EE
:10C2500000000000000000000000000000000000DE
:10C2600000000000000000000000000000000000CE
:10C2700000000000000000000000000000000000BE
:10C2800000000000000000000000000000000000AE
:10C29000000000000000000000000000000000009E
:10C2A000000000000000000000000000000000008E
:10C2B000000000000000000000000000000000007E
:10C2C000000000000000000000000000000000006E
:10C2D000000000000000000000000000000000005E
:10C2E000000000000000000000000000000000004E
:10C2F000000000000000000000000000000000003E
:10C30000000000000000000000000000000000002D
:10C310000000000000000000AAFFFFFF0000000076
:10C3200000000000000000000FA55595000000006F
:10C330000000000000000000FFFFFFFF0000000001
:10C34000000000000000000000000000FFFFFFFFF1
:10C3500000000000000000000000000000000000DD
:10C3600000000000000000000000000000000000CD
:10C3700000000000000000000000000000000000BD
:10C3800000000000000000000000000000000000AD
:10C39000000000000000000000000000000000009D
:10C3A000000000000000000000000000000000008D
:10C3B000000000000000000000000000000000007D
:10C3C000000000000000005000000000000000001D
:10C3D000000000000000000000000000000000005D
:10C3E000000000000000000000000000000000004D
:10C3F000000000000000000000000000000000003D
:10C40000000000000000000000000000000000002C
:10C41000000000000000000000000000000000001C
:10C4200000000000000000000000800400500040F8
:10C43000003000000090000400002000000410857F
:10C440000000000000000000500000040000000098
:10C4500000000000000000000000000000000000DC
:10C460000000020260400800000000000000000020
:10C4700000000000000000000000000000000000BC
:10C4800000000000000000000000000000000000AC
:10C49000000000000000000000000000000000009C
:10C4A000000000000000000000000000000000008C
:10C4B000000000000000000000000000000000007C
:10C4C000000000000000000000000000000000006C
:10C4D0000000000000000000000000080000000054
:10C4E000000000000000000000000000000000004C
:10C4F000000000000000000000000000000000003C
:10C50000000000000000000000000000000000002B
:10C51000000000000000000000000000000000001B
:10C52000000000000000000000000000000000000B
:10C53000000000000000000000000000402000009B
:10C54000000000C08028000080200022C02000825F
:10C55000402200E3000000000000000040008000D6
:10C5600000000000000000000000000000000000CB
:10C570000000000081200000000114000000000005
:10C5800000000000000000000000000000000000AB
:10C59000000000000000000000000000000000009B
:10C5A000000000000000000000000000000000008B
:10C5B000000000000000000000000000000000007B
:10C5C000000000000000000000000000000000006B
:10C5D000000000000000000000000000000000005B
:10C5E000000000000000000000000000000000202B
:10C5F0000000000002000000000000000000000039
:10C60000000000000000000000000000000000002A
:10C61000000000000000000000000000000000001A
:10C62000000000000000000000000000000000000A
:10C6300000000000000000000000000000000000FA
:10C6400000000000000000000000000000000000EA
:10C6500000C7000000134400E0030040C0C3C04016
:10C6600000C802C1000744C800000000000000002C
:10C670001301C800000000000000000000000000DE
:10C68000000000000000000000C0C000C000C000AA
:10C69000000000000000000000000000000000009A
:10C6A000000000000000000000000000000000008A
:10C6B000000000000000000000000000000000007A
:10C6C000000000000000000000000000000000006A
:10C6D000000000000000000000000000000000005A
:10C6E000000000000000000000000000000000004A
:10C6F000000000000000000000000000000000003A
:10C70000000000080000000002000000000000001F
:10C710000000000000000000000000000000000019
:10C720000000000000000000000000000000000009
:10C7300000000000000000000000000000000000F9
:10C7400000000000000000000000000000000000E9
:10C7500000000000000000000000000000000000D9
:10C76000000000000004000000000000000800209D
:10C77000100C102003000405010000000000000060
:10C780000000000000012004000000000000000084
:10C7900000000000000000000000000000048240D3
:10C7A00080001000000000000000000000000000F9
:10C7B0000000000000000000000000000000000079
:10C7C0000000000000000000000000000000000069
:10C7D0000000000000000000000000000000000059
:10C7E0000000000000000000000000000000000049
:10C7F0000000000000000000000000000000000039
:10C800000000000000000000000000000000000028
:10C810000000000000000010000000000000000008
:10C820000000000000000000000000000000000008
:10C8300000000000000000000000000000000000F8
:10C8400000000000000000000000000000000000E8
:10C8500000000000000000000000000000000000D8
:10C8600000000000000000000000000000000000C8
:10C8700000000000000000000000000400000500AF
:10C880000000508008000000001802000200007242
:10C890000000000000000000000078A94000000037
:10C8A0000000000000000000000000000000000088
:10C8B0000000000000000070000000000000000008
:10C8C0000000000000000000000000000000000068
:10C8D0000000000000000000000000000000000058
:10C8E0000000000000000000000000000000000048
:10C8F0000000000000000000000000000000000038
:10C900000000000000000000000000000000000027
:10C910000000000000000000000000000000000017
:10C9200000000000000000000000101000000000E7
:10C9300000000000000000000000000000000000F7
:10C9400000000000000000000000000000000000E7
:10C9500000000000000000000000000000000000D7
:10C9600000000000000000000000000000000000C7
:10C9700000000000000000000000000000000000B7
:10C9800000000000000000000000000000000000A7
:10C9900001000100000000381E00001000800000AF
:10C9A0000800007800000000000000000000E0B86F
:10C9B0000C00001400000000000000000000000057
:10C9C000000000000000000000C00178000000002E
:10C9D0000000000000000000000000000000000057
:10C9E0000000000000000000000000000000000047
:10C9F0000000000000000000000000000000000037
:10CA00000000000000000000000000000000000026
:10CA10000000000000000000000000000000000016
:10CA20000000000000000000000000000000000006
:10CA300000000000000000000000000000000040B6
:10CA40000000800000000000000000000000000066
:10CA500000000000000000000000000000000000D6
:10CA600000000000000000000000000000000000C6
:10CA700000000000000000000000000000000000B6
:10CA800000000000000000000000000000000000A6
:10CA90000000000000000000000000000000000096
:10CAA0000014010002000100000040001100000815
:10CAB00000000013110080080000000000000000CA
:10CAC0000000800000000080000000000000000066
:10CAD00000000000000000000004400300200008E7
:10CAE0000000000000000000000000000000000046
:10CAF0000000000000000000000000000000000036
:10CB00000000000000000000000000000000000025
:10CB10000000000000000000000000000000000015
:10CB20000000000000000000000000000000000005
:10CB300000000000000000000000000000000000F5
:10CB400000000000000000000000000000000000E5
:10CB50001020001000000000000000000000000095
:10CB600000000000000000000000000000000000C5
:10CB700000000000000000000000000000000000B5
:10CB800000000000000000000000000000000000A5
:10CB90000000000000000000000000000000000095
:10CBA0000000000000000000000000000000000085
:10CBB00000000000C00000002080C1000000000C48
:10CBC0000000000400200000128039000000000076
:10CBD000000000000000C06D2000003000000000D8
:10CBE0000000000000000000000000000000000045
:10CBF0000000003000000000000000000000000005
:10CC00000000000000000000000000000000000024
:10CC10000000000000000000000000000000000014
:10CC20000000000000000000000000000000000004
:10CC300000000000000000000000000000000000F4
:10CC400000000000000000000000000000000000E4
:10CC500000000000000000000000000000000000D4
:10CC60000000000000100000000060000000000054
:10CC700000000000000000000000000000000000B4
:10CC800000000000000000000000000000000000A4
:10CC90000000000000000000000000000000000094
:10CCA0000000000000000000000000000000000084
:10CCB0000000000000000000000000000000000074
:10CCC00000000000000000000004000000C0090295
:10CCD000000000783C000000000000001000283830
:10CCE00000000000000000000000500800000000EC
:10CCF0000000000000000000000000000000000034
:10CD000000000000000000B800000000000000006B
:10CD10000000000000000000000000000000000013
:10CD20000000000000000000000000000000000003
:10CD300000000000000000000000000000000000F3
:10CD400000000000000000000000000000000000E3
:10CD500000000000000000000000000000000000D3
:10CD600000000000000000000000000000000000C3
:10CD70000000000000000000000000200000000093
:10CD800000000200000000000000000000000000A1
:10CD90000000000000000000000000000000000093
:10CDA0000000000000000000000000000000000083
:10CDB0000000000000000000000000000000000073
:10CDC0000000000000000000000000000000000063
:10CDD0000000000000000008000000000000000843
:10CDE00000000000000400000040002000000800D7
:10CDF0001040044200001000000010000800000075
:10CE00000800080200000000000000000000000010
:10CE1000000004000000000000000000000000000E
:10CE20000000000000000000000000000000000002
:10CE300000000000000000000000000000000000F2
:10CE400000000000000000000000000000000000E2
:10CE500000000000000000000000000000000000D2
:10CE600000000000000000000000000000000000C2
:10CE700000000000000000000000000000000000B2
:10CE8000000000000000000400000000002400007A
:10CE90000000000000000000000000000000000092
:10CEA0000000000000000000000000000000000082
:10CEB0000000000000000000000000000000000072
:10CEC0000000000000000000000000000000000062
:10CED0000000000000000000000000000000000052
:10CEE000000000000000000000000004000000003E
:10CEF000000000080000000000000000000000002A
:10CF000000060800000000000C0D20000000000ECC
:10CF10007446000000000400000000000000000053
:10CF2000B000000000000000000000000000000051
:10CF300000000000000000000000000000000000F1
:10CF400000000000000000000000000000000000E1
:10CF500000000000000000000000000000000000D1
:10CF600000000000000000000000000000000000C1
:10CF700000000000000000000000000000000000B1
:10CF800000000000000000000000000000000000A1
:10CF90000000000000000000000000000000000E83
:10CFA00000301008000000000000040000000080B5
:10CFB0000000000000000000000000000000000071
:10CFC0000000000000000000000000000000000061
:10CFD0000000000000000000000000000000000051
:10CFE0000000000000000000000000000000000041
:10CFF0000000000000000000000000000000000031
:10D000000000000000000000000000000008000018
:10D0100000300020000000000000041C010000009F
:10D0200000000000000000000000000400000000FC
:10D0300000000000000000000000000000000000F0
:10D0400000000000000000000000000000000000E0
:10D0500000000000000000000000000000000000D0
:10D0600000000000000000000000000000000000C0
:10D0700000000000000000000000000000000000B0
:10D0800000000000000000000000000000000000A0
:10D090000000000000000000000000000000000090
:10D0A0000000000000000000000000500000000828
:10D0B0000000000000000040000000000000000030
:10D0C0000000000000000000000000000000000060
:10D0D0000000000000000000000000000000000050
:10D0E0000000000000000000000000000000000040
:10D0F0000000000000000000000000000000000030
:10D10000000000000000000000000010000000000F
:10D11000000000000000000000000000000000000F
:10D1200000000010000000000020000000000000CF
:10D1300000000000000000000000000000000000EF
:10D1400000000000000000000000000000000000DF
:10D1500000000000000000000000000000000000CF
:10D1600000000000000000000000000000000000BF
:10D1700000000000000000000000000000000000AF
:10D18000000000000000000000000000000000009F
:10D19000000000000000000000000000000000008F
:10D1A000000000000000000000000000000000007F
:10D1B000000000000000000000000000000000006F
:10D1C000000000000000000000000000000000005F
:10D1D000000000000000000000000000000000004F
:10D1E000000000000000000000000000000000003F
:10D1F000000000000000000000000000000000002F
:10D20000000000000000000000000000000000001E
:10D21000000000000000000000000000000000B05E
:10D2200000000000000000000000000000000000FE
:10D23000000000000000009000000000000000005E
:10D2400000000000000000000000000000000000DE
:10D2500000000000000000000000000000000000CE
:10D2600000000000000400000000000000000002B8
:10D2700000000000000000000000000000000000AE
:10D28000000000000000000000000000000000009E
:10D29000000000000000000000000000000000008E
:10D2A000000000000000000000000000000000007E
:10D2B000000000000000000000000000000000006E
:10D2C000000000000000000000000000000000005E
:10D2D000000000000000000000000000000000004E
:10D2E000000000000000000000000000000000003E
:10D2F000000000000000000000000000000000002E
:10D30000000000000000000000000000000000001D
:10D31000000000000000000000000000000000000D
:10D3200000000000000000000000000000000000FD
:10D3300000000020000000000000000000000000CD
:10D3400000000000000000000000002000000000BD
:10D3500000100000000000000000000000000000BD
:10D3600082C3000000000000000000000000000078
:10D370000000000000000000820400000000000027
:10D38000000000000000000000000000000000009D
:10D39000000000000000000000000000000000008D
:10D3A000000000000000000000000000000000007D
:10D3B000000000000000000000000000000000006D
:10D3C000000000000000000000000000000000005D
:10D3D000000000000000000000000000000000004D
:10D3E000000000000000000000000000000000003D
:10D3F00000000000000000000080080000000000A5
:10D40000000000000000000000000000000000001C
:10D41000000000000000000000000000000000000C
:10D4200000000000000000000000000000000000FC
:10D4300000000000000000000000000000000000EC
:10D4400000000000000000000000000000000000DC
:10D4500000000000000000000000000000000000CC
:10D4600000000000000000000000000000000000BC
:10D4700000000000FCFFFFFF0000000000000000B3
:10D48000000000000000000000000000000000009C
:10D49000000000000000000000000000000000008C
:10D4A000000000000000000000000000000000007C
:10D4B000000000000000000000000000000000006C
:10D4C000000000000000000000000000000000005C
:10D4D000000000000000000000000000000000004C
:10D4E000000000000000000000000000000000003C
:10D4F000000000000000000000000000000000002C
:10D50000000000000000000000000000008000009B
:10D51000000000000000000000000000000000000B
:10D5200000000000000000000000000000000000FB
:10D5300000000000000000000000000000000000EB
:10D5400000000000000000000000000000000000DB
:10D5500000000000000000000000000000000000CB
:10D5600000000000000000000000000000000000BB
:10D5700000000000000000000000000000000000AB
:10D580000000000000000000B0408E00000000001D
:10D59000000000000000000000000000000000008B
:10D5A000000000000000000000000000000000007B
:10D5B000000000000000000000000000000000006B
:10D5C000000000000000000000000000000000005B
:10D5D000000000000000000000000000000000004B
:10D5E000000000000000000000000000000000003B
:10D5F000000000000000000000000000000000002B
:10D60000000000000000000000000000000000001A
:10D61000000000000000000000000000000000000A
:10D6200000000000000000000000000000000000FA
:10D6300000000000000000000000000000000000EA
:10D6400000000000000000000000000000000000DA
:10D6500000000000000000000000000000000000CA
:10D6600000000000000000000000000000000000BA
:10D6700000000000000000000000000000000000AA
:10D68000000000000000000000000000000000009A
:10D69000000000000000000000000000000000008A
:10D6A00080000200000000000000000000000000F8
:10D6B000000000000000000000000000000000006A
:10D6C000000000000000000000000000000000005A
:10D6D000000000000000000000000000000000004A
:10D6E000000000000000000000000000000000003A
:10D6F000000000000000000000000000000000002A
:10D700000000000000000000000000000000000019
:10D710000000000000000000000000000000000009
:10D7200000000000000000000000000000000020D9
:10D7300000000000000000000000000000000000E9
:10D7400000000000000000000000000000000000D9
:10D7500000000000000000000000000000000000C9
:10D7600000000000000000000000000000000000B9
:10D7700000000000000000000000000000000000A9
:10D780000000000000000000000000000000000099
:10D790000000000000000000000000000000000089
:10D7A0000000000000000000000000000000000079
:10D7B000FFFFFFFFFFFFFFFF000000000000000071
:10D7C0000000000000000000000000000000000059
:10D7D0000000000000000000000000000000000049
:10D7E0000000000000000000000000000000000039
:10D7F0000000000000000000000000000000000029
:10D800000000000000000000000000000000000018
:10D810000000000000000000000000000000000008
:10D8200000000000000000000000000000000000F8
:10D8300000000000000000000000000000000000E8
:10D84000000000A000000000000000000000000038
:10D8500000000000000000000000000000000000C8
:10D8600000000000000000000000000000000000B8
:10D8700000000000000000000000000000000000A8
:10D880000000000000000000000000000000000098
:10D890000000000000000000000000000000000088
:10D8A0000000000000000000000000000000000078
:10D8B0000000000000000000000000000000000068
:10D8C0000000000040300004404000400000000024
:10D8D0000000000000000000000000000000000048
:10D8E0000000000000000000000000000000000038
:10D8F0000000000000000000000000000000000028
:10D900000000000000000000000000000000000017
:10D910000000000000000000000000000000000007
:10D9200000000000000000000000000000000000F7
:10D9300000000000000000000000000000000000E7
:10D9400000000000000000000000000000000000D7
:10D9500000000000000000040000000000000000C3
:10D9600000000000000000000000000000000000B7
:10D9700000000000000000000000000000000000A7
:10D980000000000000000000000000000000000097
:10D990000000000000000000000000000000000087
:10D9A0000000000000000000000000000000000077
:10D9B0000000000000000000000000000000000067
:10D9C0000000000000000000000000000000000057
:10D9D000000000000000000044288000000000C09B
:10D9E0000000000000000000000000000000000037
:10D9F0000000000000000000000000000000000027
:10DA00000000000000000000000000000000000016
:10DA10000000000000000000000000000000000006
:10DA200000000000000000000000000000000000F6
:10DA300000000000000000000000000000000000E6
:10DA400000000000000000000000000000000000D6
:10DA500000000000000000000000000000000000C6
:10DA600000000000000000000000000000000000B6
:10DA700000000000000000000000000000000000A6
:10DA80000000000000000000000000000000000096
:10DA90000000000000000000000000000000000086
:10DAA0000000000000000000000000000000000076
:10DAB0000000000000000000000000000000000066
:10DAC0000000000000000000000000000000000056
:10DAD0000000000000000000000000000000000046
:10DAE000000000000000000000000000E040008096
:10DAF000C822E080000000000000000000000000DC
:10DB00000000000000000000000000000000000015
:10DB10000000000000000000000000000000000005
:10DB200000000000000000000000000000000000F5
:10DB300000000000000000000000000000000000E5
:10DB400000000000000000000000000000000000D5
:10DB500000000000000000000000000000000000C5
:10DB600000000000000000000000000000000000B5
:10DB70000000000000000000000000000000002085
:10DB80000000000000000000000000000000000095
:10DB90000000000000000000000000000000000085
:10DBA0000000000000000000000000000000000075
:10DBB0000000000000000000000000000000000065
:10DBC0000000000000000000000000000000000055
:10DBD0000000000000000000000000000000000045
:10DBE0000000000000000000000000000000000035
:10DBF0000000000000000000000000000000000025
:10DC000000200002000000020000000000000000F0
:10DC10000000000000000000000000000000000004
:10DC200000000000000000000000000000000000F4
:10DC300000000000000000000000000000000000E4
:10DC400000000000000000000000000000000000D4
:10DC500000000000000000000000000000000000C4
:10DC600000000000000000000000000000000000B4
:10DC700000000000000000000000000000000000A4
:10DC80000000000000000000000000000000000094
:10DC90000000003400000000000000000000000050
:10DCA0000000000000000000000000000000000074
:10DCB0000000000000000000000000000000000064
:10DCC0000000000000000000000000000000000054
:10DCD0000000000000000000000000000000000044
:10DCE0000000000000000000000000000000000034
:10DCF0000000000000000000000000000000000024
:10DD00000000000000000000000000000000000013
:10DD10000000000000000080100000000000000073
:10DD200000000000000000000000000000000000F3
:10DD300000000000000000000000000000000000E3
:10DD400000000000000000000000000000000000D3
:10DD500000000000000000000000000000000000C3
:10DD600000000000000000000000000000000000B3
:10DD700000000000000000000000000000000000A3
:10DD80000000000000000000000000000000000093
:10DD90000000000000000000000000000000000083
:10DDA0000000000000001000000000000000000063
:10DDB0000000000000000000000000000000000063
:10DDC0000000000000000000000000000000000053
:10DDD0000000000000000000000000000000000043
:10DDE0000000000000000000000000000000000033
:10DDF0000000000000000000000000000000000023
:10DE00000000000000000000000000000000000012
:10DE10000000000000000000000000000000000002
:10DE20000000000000000000000000382820010071
:10DE300000000000000000000000000000000000E2
:10DE400000000000000000000000000000000000D2
:10DE500000000000000000000000000000000000C2
:10DE600000000000000000000000000000000000B2
:10DE700000000000000000000000000000000000A2
:10DE80000000000000000000000000000000000092
:10DE90000000000000000000000000000000000082
:10DEA0000000000000000000000000000000000072
:10DEB0000000000000000000000000000000000062
:10DEC0000000000000000000000000000000000052
:10DED0000000000000000000000000000000000042
:10DEE0000000000000000000000000000000000032
:10DEF0000000000000000000000000000000000022
:10DF00000000000000000000000000000000000011
:10DF10000000000000000000000000000000000001
:10DF200000000000000000000000000000000000F1
:10DF300000000000000000000000000000000030B1
:10DF400008000000000000000000000000000000C9
:10DF500000000000000000000000000000000000C1
:10DF600000000000000000000000000000000000B1
:10DF700000000000000000000000000000000000A1
:10DF80000000000000000000000000000000000091
:10DF90000000000000000000000000000000000081
:10DFA0000000000000000000000000000000000071
:10DFB0000000000000000000000000000000000061
:10DFC0000000000000000000000000000000000051
:10DFD0000000000000000000000000000000000041
:10DFE0000000000000000000000000000000000031
:10DFF0000000000000000000000000000000000021
:10E000000000000000000000000000000000000010
:10E010000000000000000000000000000000000000
:10E0200000000000000000000000000000000000F0
:10E0300000000000000000000000000000000000E0
:10E0400000000000000000000000000000000000D0
:10E0500080000034002000000000000000000000EC
:10E0600000000000000000000000000000000000B0
:10E0700000000000000000000000000000000000A0
:10E080000000000000000000000000000000000090
:10E090000000000000000000000000000000000080
:10E0A0000000000000000000000000000000000070
:10E0B0000000000000000000000000000000000060
:10E0C0000000000000000000000000000000000050
:10E0D0000000000000000000000000000000000040
:10E0E000000000080000A000000000000000000088
:10E0F0000000000000000000000000000000000020
:10E10000000000000000000000000000000000000F
:10E1100000000000000000000000000000000000FF
:10E1200000000000000000000000000000000000EF
:10E1300000000000000000000000000000000000DF
:10E1400000000000000000000000000000000000CF
:10E1500000000000000000000000000000000000BF
:10E1600000000000000300002C0000000000000080
:10E17000000000000000000000000000000000009F
:10E18000000000000000000000000000000000008F
:10E19000000000000000000000000000000000007F
:10E1A000000000000000000000000000000000006F
:10E1B000000000000000000000000000000000005F
:10E1C000000000000000000000000000000000004F
:10E1D000000000000000000000000000000000003F
:10E1E000000000000000000000000000000000002F
:10E1F0000000000000000008000000000000000017
:10E20000000000000000000000000000000000000E
:10E2100000000000000000000000000000000000FE
:10E2200000000000000000000000000000000000EE
:10E2300000000000000000000000000000000000DE
:10E24000000000000000000000000000008000004E
:10E25000000000000000000000000000002000207E
:10E26000000000000000000400000000002000008A
:10E27000000000000000000000010200002000007B
:10E2800000100810001200000000000000204000F4
:10E29000000000000010000000000000002000004E
:10E2A000000000000000000002000000000000026A
:10E2B000000000000000000000000000000000005E
:10E2C000000000000000000000000000000000004E
:10E2D000000000000000000000000000000000003E
:10E2E000000000000000000000000000000000002E
:10E2F000000000000000000000000000000000001E
:10E3000000000000000000000000002000000005E8
:10E310000000000D000000000000000000000000F0
:10E3200000000000000000000000000000000000ED
:10E330000000000000000000000000B0000000002D
:10E3400000000000000000000000000000000000CD
:10E3500000000000000000000000000000000000BD
:10E3600000000000000000000000000000000000AD
:10E370000000000A000000000000000E0C00000079
:10E380000000000A50000000000000000000000D26
:10E390000002000000200420001000000000000027
:10E3A0000000000000000000003009000000000034
:10E3B000000000000000000000000010000000004D
:10E3C000000000000000000000000000000000004D
:10E3D000000000000000000000000000000000003D
:10E3E000000000000000000000000000000000002D
:10E3F000000000000000000000000000000000001D
:10E40000000000000000000000000000000000000C
:10E4100000000000000000000000000000000000FC
:10E4200000000000000000000000000000000000EC
:10E4300000000000000000000000000000000000DC
:10E4400000000000000000000000000000000000CC
:10E4500000000000000000000000000000000000BC
:10E4600000000000000000000000000000000000AC
:10E47000000000000020000000000000000000007C
:10E48000000000000030001000000000000000004C
:10E49000010000000030000000000000000000004B
:10E4A000000C04000030000000000000000C000020
:10E4B00000000000003030000000000000000000FC
:10E4C000000000000030000000000000000000809C
:10E4D0000C00000000000008000000000000000028
:10E4E000000000000000000000000000000000002C
:10E4F000000000000000000000000000000000001C
:10E50000000000000000000000000000000000000B
:10E5100000000000000000000000000000000000FB
:10E5200000000000000000000000000000000000EB
:10E5300000000000000000000020000000000000BB
:10E5400000000000000000000000000000000000CB
:10E5500000000000000000000000000000000200B9
:10E5600000000000000000000000000000000000AB
:10E57000000000000000000000000000000010008B
:10E58000000000000000000000000000000000008B
:10E59000000000000000000000000000000000007B
:10E5A000000000000000000000000000000000006B
:10E5B000000000000000000000000000000000005B
:10E5C00000000000200000000000000040000000EB
:10E5D000000000000000000000000000000000003B
:10E5E000000000000000000000000000000000002B
:10E5F000000000000000000000000000000000001B
:10E60000000000000000000000000000000000000A
:10E6100000000000000000000000000000000000FA
:10E6200000000000000000000000000000000000EA
:10E6300000000000000000000000000000000002D8
:10E64000000000000000000000000000008000004A
:10E6500000000000000000000000000000000000BA
:10E6600000000000000000000000000000000000AA
:10E670000000040000000000000000000000000096
:10E68000000000000000000000000000000000008A
:10E69000000000000000000000000000000000007A
:10E6A000000000000000000000000000000000006A
:10E6B000000000000000000000000000000000005A
:10E6C000000000000000000000000000000000004A
:10E6D000000000000000000000000000000000003A
:10E6E000000000000000000000000000000000002A
:10E6F000000000000000000000000000000000001A
:10E700000000000000000000000000000000000009
:10E7100000000000000000000000000000000000F9
:10E7200000000000000000000000000000000000E9
:10E7300000000000000000000000000000000000D9
:10E7400000000000000000000000000000000000C9
:10E750000000000C0000000000000070000000003D
:10E7600000000000000000000000000000000000A9
:10E770000000000000000000000000000000000099
:10E780000000000000000000000000000000000089
:10E790000000000000000000000000000000000079
:10E7A0000000000000001000000000000000000059
:10E7B0000000000000000000000000000000000059
:10E7C0000000000000000000000000000000000049
:10E7D0000000000000001000000000000000000029
:10E7E0000000000000000000000000001000000019
:10E7F0000000000000000000000000000000000019
:10E800000000000000000000000000000000000008
:10E8100000000000000000000000000000000000F8
:10E8200000000000000000000000000000000000E8
:10E8300000000000000000000000000000000000D8
:10E8400000000000000000000000000000000000C8
:10E8500000000000000000000000000000000000B8
:10E8600000000000000000000000000000000000A8
:10E870000000000000000000000000000000000098
:10E880000000000000000000000000000000000088
:10E890000000000000000000000000000000000078
:10E8A0000000000000000000000000000000000068
:10E8B0000000000000000000000000000000000058
:10E8C0000000000000000000000000000000000048
:10E8D000FFFFFFFF0000000000000000000000003C
:10E8E0000000000000000000000000000000000028
:10E8F0000000000000000000000000000000000018
:10E900000000000000000000000000000000000007
:10E9100000000000000000000000000000000000F7
:10E920000002EE0C33C35595FF5F3FFF5FA03393AA
:10E9300000000000000000000000000000000000D7
:10E9400000000000000000000000000000000000C7
:10E9500000000000000000000000000000000000B7
:10E9600000000000000000000000000000000000A7
:10E970000000000000000000000000000000000097
:10E980000000000000000000000000000000000087
:10E990000000000000000000000000000000000077
:10E9A0000000000000000000000000000000000067
:10E9B0000000000000000000000000000000000057
:10E9C0000000000000000000000000000000000047
:10E9D0000000000000000000000000000000000037
:10E9E0000000000080240E00000000000000000075
:10E9F0000000000000000000000000000000000017
:10EA00000000000000000000000000000000000006
:10EA100000000000000000000000000000000000F6
:10EA200000000000000000000000000000000000E6
:10EA30000000000000301E0090341E0000000C009A
:10EA400090341E00000000000000000000000000E4
:10EA500000000000000000000000000000000000B6
:10EA600000000000000000000000000000000000A6
:10EA70000000000000000000000000000000000096
:10EA80000000000000000000000000000000000086
:10EA90000000000000000000000000000000000076
:10EAA0000000000000000000000000000000000066
:10EAB0000000000000000000000000000000000056
:10EAC0000000000000000000000000000000000046
:10EAD0000000000000000000000000000000000036
:10EAE0000000000000000000000000000000000026
:10EAF0000000000000000000000000000000000016
:10EB00000000000000000000000000000000000005
:10EB100000000000000000000000000000000000F5
:10EB20000000000000000000901400000000000041
:10EB300000000000000000000000000000000000D5
:10EB400000000000000000000000000090340200FF
:10EB50004800002090340200000000000000000087
:10EB600000000000000000000000000000000000A5
:10EB70000000000000000000000000000000000095
:10EB80000000000000000000000000000000000085
:10EB90000000000000000000000000000000000075
:10EBA0000000000000000000000000000000000065
:10EBB0000000000000000000000000000000000055
:10EBC0000000000000000000000000000000000045
:10EBD0000000000000000000000000000000000035
:10EBE0000000000000000000000000000000000025
:10EBF0000000000000000000000000000000000015
:10EC00000000000000000000000000000000000004
:10EC100000000000000000000000000000000000F4
:10EC200000000000000000000000000000000000E4
:10EC30000000000000000000000000007733FF7FAC
:10EC400000000000000000000000000000000000C4
:10EC500000000000000000000000000000000000B4
:10EC60009999FFFFBA3ABF3F33CCFFFF0000000085
:10EC70000000000000000000000000000000000094
:10EC80000000000000000000000000000000000084
:10EC90000000000000000000000000000000000074
:10ECA0000000000000000000000000000000000064
:10ECB0000000000000000000000000000000000054
:10ECC0000000000000000000000000000000000044
:10ECD0000000000000000000000000000000000034
:10ECE0000000000000000000000000000000000024
:10ECF0000000000000000000000000000000000014
:10ED00000000000000000000000000000000000003
:10ED100000000000000000000000000000000000F3
:10ED200000000000003000C00000000000000000F3
:10ED300000000000000000000000000000000000D3
:10ED400000000000000000000000000000000000C3
:10ED500000000202000008000000000000000000A7
:10ED600000000000000000000000000000000000A3
:10ED7000F00900046040100300000004200080023D
:10ED80000000000000000000000000000000000083
:10ED90000000000000000000000000000000000073
:10EDA0000000000000000000000000000000000063
:10EDB0000000000000000000000000000000000053
:10EDC0000000000000000000000000000000000043
:10EDD0000000000000000000000000000000000033
:10EDE0000000000000000000000000000000000023
:10EDF0000000000000000000000000000000000013
:10EE00000000000000000000000000000000000002
:10EE100000000000000000000000000000000000F2
:10EE200000000000000000000000000000000000E2
:10EE30000000000000200000008000C00000000072
:10EE400000000000000000000000000000000000C2
:10EE500000000000000000000000000000000000B2
:10EE6000000000000100000000001400000000008D
:10EE70000000000000000000000000000000000092
:10EE800000000000C0208000080100E3C0008000F6
:10EE9000080108230000000000000000000000003E
:10EEA0000000000000000000000000000000000062
:10EEB0000000000000000000000000000000000052
:10EEC0000000000000000000000000000000000042
:10EED0000000000000000000000000000000000032
:10EEE0000000000000000000000000000000000022
:10EEF0000000000000000000000000000000000012
:10EF00000000000000000000000000000000000001
:10EF100000000000000000000000000000000000F1
:10EF200000000000000000000000000000000000E1
:10EF300000000000000000000000000000000000D1
:10EF40000000000000000000000700004400000076
:10EF500000000000000000000000000000000000B1
:10EF600000000000000000000000000000000000A1
:10EF7000000000000000000008C0C0080000080BEE
:10EF80000000000000000000000000000000000081
:10EF9000000000000000000000C0008000224413B8
:10EFA00000C800800000C000000000000000000059
:10EFB0000000000000000000000000000000000051
:10EFC0000000000000000000000000000000000041
:10EFD0000000000000000000000000000000000031
:10EFE0000000000000000000000000000000000021
:10EFF0000000000000000000000010000000000001
:10F000000000000000000000000000000000000000
:10F0100000000000000000000000000000000000F0
:10F0200000000000000000000000000000000000E0
:10F0300000000000000000000000000000000000D0
:10F0400000000000000000000000000000000000C0
:10F0500000000000000000000000000000000000B0
:10F0600000000000000000000000000000000000A0
:10F070000000000000000000000000000000000090
:10F0800000000000000000000000000000028000FE
:10F09000000000010000000000000000000000006F
:10F0A000000000000000000000000000001000024E
:10F0B00001000000000012020080100800000000A3
:10F0C0000000000000000000000000000000000040
:10F0D0000000000000000000000000000000000030
:10F0E0000000000000000000000000000000000020
:10F0F0000000000000000000000000000000000010
:10F1000000000000000000000000000000000000FF
:10F1100000000000000000000000000000000000EF
:10F1200000000000000000000000000000000000DF
:10F1300000000000000000000000000000000000CF
:10F1400000000000000000000000000000000000BF
:10F1500000000000000000000000000000000000AF
:10F16000000000000000000000000000000000009F
:10F17000000000001C000000000000000000000073
:10F18000000000000000000000000000000000007F
:10F19000000000000000000000000000000000006F
:10F1A0000000000000070000000000000000000058
:10F1B000000000000000000000000000000000004F
:10F1C0000008043C1CC001101100003C02040100B6
:10F1D000000000000000000000000000000000002F
:10F1E000000000000000000000000000000000001F
:10F1F000000000000000000000000000000000000F
:10F2000000000000000000000000000000000000FE
:10F2100000000000000000000000000000000000EE
:10F2200000000000000000000000000000000000DE
:10F2300000000000000000000000000000000000CE
:10F2400000000000000000000000000000000000BE
:10F2500000000000000000000000000000000000AE
:10F26000000000000000000000000000000000009E
:10F27000000000000000000000000000000000008E
:10F28000000000000000000000000000000000007E
:10F29000000000000000000000000000000000006E
:10F2A000000000000000000000000000000000005E
:10F2B000000000001000000000000000000000003E
:10F2C000000000000000000000000000000000003E
:10F2D000000000004002000000200194000000082F
:10F2E000000400000000000000000000000000001A
:10F2F000000000000000000000000000000000000E
:10F3000000000000000000000000000000000000FD
:10F3100000000000000000000000000000000000ED
:10F3200000000000000000000000000000000000DD
:10F3300000000000000000000000000000000000CD
:10F3400000000000000000000000000000000000BD
:10F3500000000000000000000000000000000000AD
:10F36000000000000000000000000000000000009D
:10F37000000000000000000000000000000000008D
:10F38000000000000000000000000000000000007D
:10F390000000000000000000000000000800000065
:10F3A000000000000000000000000000000000005D
:10F3B000000000000000000000000000000000004D
:10F3C0000000000000000000100000000404000025
:10F3D000000000000000000000000000000000002D
:10F3E00000000000000000000008820E0C00001069
:10F3F000000CC347C0C30020000000000000000054
:10F4000000000000000000000000000000000000FC
:10F4100000000000000000000000000000000000EC
:10F4200000000000000000000000000000000000DC
:10F4300000000000000000000000000000000000CC
:10F4400000000000000000001000000000000000AC
:10F4500000000000000000000000000000000000AC
:10F46000000000000000000000000000000000009C
:10F47000000000000000000000000000000000008C
:10F48000000000000000000000000000000000007C
:10F49000000000000000000000000000000000006C
:10F4A000000000000000000000000000000000005C
:10F4B000000000000000000000000000000000004C
:10F4C000000000000000000000000000000000003C
:10F4D000000000000000000000000000000000002C
:10F4E00040000000000000000000000000000000DC
:10F4F0000000000000000000000000000008000004
:10F500000000000021080000008101300000000020
:10F5100000000000000000000000000000000000EB
:10F5200000000000000000000000000000000000DB
:10F5300000000000000000000000000000000000CB
:10F5400000000000000000000000000000000000BB
:10F5500000000000000000000000000000000000AB
:10F56000000000000000000000000000000000009B
:10F57000000000000000000000000000000000008B
:10F58000000000000000000000000000000000007B
:10F59000000000000000000000000000000000006B
:10F5A000000000000000000000000000000000005B
:10F5B000000000000000000000000000000000004B
:10F5C000000000043C0000000000000000000000FB
:10F5D000000000000000000000000000000000002B
:10F5E000000000000000000000000000000000001B
:10F5F00011000000000000000000000000000000FA
:10F6000000000000000000000000000000000000FA
:10F610000000000014C005050000000000080AB842
:10F6200000000000000000000000000000000000DA
:10F6300000000000000000000000000000000000CA
:10F6400000000000000000000000000000000000BA
:10F6500000000000000000000000000000000000AA
:10F66000000000000000000000000000000000009A
:10F67000000000000000000000000000000000008A
:10F68000000000000000000000000000000000007A
:10F690000000000000000000000000000400000066
:10F6A000000000000000000000000000000000005A
:10F6B000000000000000000000000000000000004A
:10F6C000000000000000000000000000000000003A
:10F6D0000000000000000000000800000000000022
:10F6E00000000040000000000800000000000000D2
:10F6F000000000000000000000000000000000000A
:10F7000000000010000000000000004000000000A9
:10F710000000100000000010000010000020000099
:10F720000000000010420000000004410000000042
:10F730000040000200000000000000000000000087
:10F7400000000000000000000000000000000000B9
:10F7500000000000000000000000000000000000A9
:10F760000000000000000000000000000000000099
:10F770000000000000000000000000000008000081
:10F780000004000000000000000000000000000075
:10F790000000000000000000000000000000000069
:10F7A0000000000000000000000000000000000059
:10F7B0000000000000000000000000000000000049
:10F7C0000000000000000000000000000000000039
:10F7D0000000000000000000000000000000000029
:10F7E0000000000000000000000000000008000011
:10F7F0000000000000000000000000000000000EFB
:10F8000000000000000000000C0000000000000DDF
:10F810005000000000000020000008000000000070
:10F820000000000000003000000000300000300048
:10F830000000000000000000000000000040000088
:10F84000400000000000006000000000000000A078
:10F8500000000000000000000000000000000000A8
:10F860000000000000000000000000000000000098
:10F870000000000000000000000000000000000088
:10F880000000000000000000000000000000000078
:10F890000008000000000000000000000000000060
:10F8A0000000000000000000000000000000000058
:10F8B0000000000000000000000000000000000048
:10F8C000000000000C00000000000000000000002C
:10F8D0000000000000000000000000000000000028
:10F8E0000000000000000000000000000000000018
:10F8F0000000000000000000000000000000000008
:10F900000000000000000000000000300000008047
:10F9100000000000000000000000000001000000E6
:10F9200000000000000000000000000000000100D6
:10F9300000000000000000000000000000000000C7
:10F94000000000000010000000000000001C00008B
:10F950000000002C0000000000000000000000007B
:10F960000000000000000000000000000000000097
:10F970000000000000000000000000000000000087
:10F980000000000000000000000000000000000077
:10F990000000000000000000000000000000000067
:10F9A0000000000000000000000C0000000000004B
:10F9B0000000000000000000000000000000000047
:10F9C0000000000000000000000000000000000037
:10F9D0000000000000000000000000000000000027
:10F9E0000000000000000000000000000000000017
:10F9F0000000000000000000000000000000000007
:10FA000000000000000000000000000000000000F6
:10FA100000000000000000000000000000000000E6
:10FA200000000000000000000002000000000000D4
:10FA300000000000000000000000000000000000C6
:10FA40000000000000000020000000000000000096
:10FA50000000008000000000000000000000000026
:10FA60000000000000000000000000000000000096
:10FA70000000000000000000000000000000000086
:10FA80000000000000000000000000000000000076
:10FA90000000000000000000000000000000000066
:10FAA0000000000000000000000000000000000056
:10FAB0000000000000000000000000000000000046
:10FAC0000000000000000000000000000000000036
:10FAD0000000000000000000000000000000000026
:10FAE0000000000000000000000000000000000016
:10FAF0000000000000000000000000000000000006
:10FB000000000000000000000000000000000000F5
:10FB100000000000000000000000000000000000E5
:10FB200000000000000000000000000000000000D5
:10FB300000000000000000000000000000000000C5
:10FB400000000000000000000000000000000000B5
:10FB50000000000000000000000000800000000025
:10FB60000000000000000010000000000000000085
:10FB70000000000000000000000000000000000085
:10FB80000000000000000000000000000000000075
:10FB90000000000000000000000000000000000065
:10FBA0000000000000000000000000000000000055
:10FBB0000000000000000000000000000000000045
:10FBC0000000000000000000000000000000000035
:10FBD0000000000000000000000000000000000025
:10FBE0000000000000000000000000000000000015
:10FBF0000000000000000000000000000000000005
:10FC000000000000000000000000000000000000F4
:10FC100000000000000000000000000000000000E4
:10FC200000000000000000000000000000000000D4
:10FC300000000000000000000000000000000000C4
:10FC400000000000000000000000000000000000B4
:10FC500000000000000000000000000000000000A4
:10FC60000000000000000000000000000000000094
:10FC70000000000000000000000000000000000084
:10FC80000000000000000000000000000000000074
:10FC90000000000000000020000000000000000044
:10FCA0000000000000000000000000000000000054
:10FCB0000000000000000000000000000000000044
:10FCC0000000000000000000000000000000000034
:10FCD0000000000000000000000000000000000024
:10FCE0000000000000000000000000000000000014
:10FCF0000000000000000000000000000000000004
:10FD000000000000000000000000000000000000F3
:10FD100000000000000000000000000000000000E3
:10FD200000000000000000000000000000000000D3
:10FD300000000000000000000000000000000000C3
:10FD400000000000000000000000000000000000B3
:10FD500000000000000000000000000000000000A3
:10FD60000000000000000000000000000000000093
:10FD70000000000000000000000000000000000083
:10FD80000000000000000000000000000000000073
:10FD90000000000000000000000000000000000063
:10FDA0000000000000000000000000000000000053
:10FDB0000000000000000000000000000000000043
:10FDC0000000000000000000000000000000000033
:10FDD0000000000000000000000000000000000023
:10FDE0000000000000000000000000000000000013
:10FDF00000000000000000001001000000000000F2
:10FE000000000000000000000000000000000000F2
:10FE100000000000000000000000000000000000E2
:10FE200000000000000000000000000000000000D2
:10FE300000000000000000000000000000000000C2
:10FE400000000000000000000000000000000000B2
:10FE500000000000000000000000000000000000A2
:10FE60000000000000000000000000000000000092
:10FE70000000000000000000000000000000000082
:10FE80000000000000000000000000000000000072
:10FE90000000000000000000000000000000000062
:10FEA0000000000000000000000000000000000052
:10FEB0000000000000000000000000000000000042
:10FEC0000000000000000000000000000000000032
:10FED0000000000000000000000000000000000022
:10FEE0000000000000000000000000000000000012
:10FEF0000000000000000000000000000000000002
:10FF000000000000000000000000000010010000E0
:10FF100000C0000000000000000000000000000021
:10FF200000000000000000000000000000000000D1
:10FF300000000000000000000000000000000000C1
:10FF400000000000000000000000000000000000B1
:10FF500000000000000000000000000000000000A1
:10FF60000000000000000000000000000000000091
:10FF70000000000000000000000000000000000081
:10FF800000000000800002000000000000000000EF
:10FF90000000000000000000000000000000000061
:10FFA0000000000000000000000000000000000051
:10FFB0000000000000000000000000000000000041
:10FFC0000000000000000000000000000000000031
:10FFD0000000000000000000000000000000000021
:10FFE0000000000000000000000000000000000011
:10FFF0000000000000000000000000000000000001
:020000040001F9
:1000000000000000000000000000000000000000F0
:1000100000000000000000000000000000000000E0
:1000200000000000000000000000000000000000D0
:1000300000000000000000000000000000000000C0
:1000400000000000000000000000000000000000B0
:1000500000000000000000000000000000000000A0
:100060000000000000000000000000000000000090
:100070000000000000000000000000000000000080
:100080000000000000000000000000000000000070
:100090000000000000000000FFFFFFFF0000000064
:1000A0000000000000000000000000000000000050
:1000B0000000000000000000000000000000000040
:1000C0000000000000000000000000000000000030
:1000D0000000000000000000000000000000000020
:1000E0000000000000000000000000000000000010
:1000F0000000000000000000000000000000000000
:1001000000000000000000000000000000000000EF
:1001100000000000000000000000000000000000DF
:1001200000000000000000000000000000000000CF
:1001300000000000000000000000000000000000BF
:1001400000000000000000000000000000000000AF
:10015000000000000000000000000000000000009F
:10016000000000000000000000000000000000008F
:10017000000000000000000000000000000000007F
:10018000000000000000000000000000000000006F
:10019000000000000000000000000000000000005F
:1001A0000000000000000000000000000B00020240
:1001B000000000000000000000000000000000003F
:1001C000000000000000000000000000000000002F
:1001D000000000000000000000000000000000001F
:1001E000000000000000000000000000000000000F
:1001F00000000000000000000000000000000000FF
:1002000000000000000000000000000000000000EE
:1002100000000000000000000000000000000000DE
:1002200000000000000000000000000000000000CE
:1002300000000000000000000000000000000000BE
:1002400000000000000000000000000000040000AA
:10025000000000000000000000000000000000009E
:10026000000000000000000000000000000000008E
:10027000000000000000000000000000000000007E
:10028000000000000000000000000000000000006E
:10029000000000000000000000000000000000005E
:1002A000000000000000000000000000000000004E
:1002B000000000000000000000000000000000003E
:1002C000010000000000000000000000000000002D
:1002D000000000000000000000000000000000001E
:1002E000000000000000000000000000000000000E
:1002F00000000000000000000000000000000000FE
:1003000000000000000000000000000000000000ED
:1003100000000000000000000000000000000000DD
:1003200000000000000000000000000000000000CD
:1003300000000000000000000000000000000000BD
:1003400000000000000000000000000000000000AD
:10035000000000000000000000000000000000009D
:100360000008000000000000000000000000000085
:10037000000000000000000000000000000000007D
:10038000000000000000000000000000000000006D
:10039000000000000000000000000000000000005D
:1003A000000000000000000000000000000000004D
:1003B000000000000000000000000000000000003D
:1003C000000000000000000000000000000000002D
:1003D00000000000000000C0C4C0000000000000D9
:1003E000000000000000000000000000000000000D
:1003F00000000000000000000000000000000000FD
:1004000000000000000000000000000000000000EC
:1004100000000000000000000000000000000000DC
:1004200000000000000000000000000000000000CC
:1004300000000000000000000000000000000000BC
:1004400000000000000000000000000000000000AC
:10045000000000000000000000000000000000009C
:10046000000000000000000000000000000000008C
:10047000000000000020000000000000000000005C
:10048000000000000000000000000000000000006C
:10049000000000000000000000000000000000005C
:1004A000000000000000000000000000000000004C
:1004B000000000000000000000000000000000003C
:1004C000000000000000000000000000000000002C
:1004D000000000000000000000000000000000001C
:1004E00000000000000000000000002000020000EA
:1004F00000000000000000000000000000000000FC
:1005000000000000000000000000000000000000EB
:1005100000000000000000000000000000000000DB
:1005200000000000000000000000000000000000CB
:1005300000000000000000000000000000000000BB
:1005400000000000000000000000000000000000AB
:10055000000000000000000000000000000000009B
:10056000000000000000000000000000000000008B
:10057000000000000000000000000000000000007B
:10058000000000000000000000000000000000006B
:10059000000000000000000000000000000000005B
:1005A000000000000000000000000000000000004B
:1005B000000000000000000000000000000000003B
:1005C000000000000000000000000000000000002B
:1005D000000000000000000000000000000000001B
:1005E000000000000000000000000000000000000B
:1005F000000000000000000000000000000000807B
:1006000010000000000000000000000000000000DA
:1006100000000000000000000000000000000000DA
:1006200000000000000000000000000000000000CA
:1006300000000000000000000000000000000000BA
:1006400000000000000000000000000000000000AA
:10065000000000000000000000000000000000009A
:10066000000000000000000000000000000000008A
:10067000000000000000000000000000000000007A
:10068000000000000000000000000000000000006A
:100690000000000000000000000000010000100049
:1006A000000000000000000000000000000000004A
:1006B000000000000000000000000000000000003A
:1006C000000000000000000000000000000000002A
:1006D000000000000000000000000000000000001A
:1006E000000000000000000000000000000000000A
:1006F00000000000000000000000000000000000FA
:1007000000000000000000000000000000000000E9
:1007100000000000000000000000000000000000D9
:1007200000000000000000000000000000000000C9
:1007300000000000000000000000000000000000B9
:1007400000000000000000000000000000000000A9
:100750000000000000000000000000000000000099
:100760000000000000000000000000000000000089
:100770000000000000000000000000000000000079
:100780000000000000000000000000000000000069
:100790000000000000000000000000000000000059
:1007A0000000000000000000000000000000200029
:1007B0000000000000000000000000000000000039
:1007C0000000000000000000000000000000000029
:1007D0000000000000000000000000000000000019
:1007E0000000000000000000000000000000000009
:1007F00000000000000000000000000000000000F9
:1008000000000000000000000000000000000000E8
:1008100000000000000000000000000000000000D8
:1008200000000000000000040800000000000000BC
:1008300000000000000000000000000000000000B8
:1008400000000000000000000000000000000000A8
:100850000000000000000000000000000000000098
:100860000000000000000000000000000000000088
:100870000000000000000000000000000000000078
:100880000000000000000000000000000000000068
:100890000000000000000000000000000000000058
:1008A0000000000000000000000000000000000048
:1008B0000000000000000000000000000000000038
:1008C0000000000000000000000000000000000028
:1008D0000000000000000000000000000000000018
:1008E0000000000000000000000000000000000008
:1008F00000000000000000000000000000000000F8
:1009000000000000000000000000000000000000E7
:1009100000000000000000000000000000000000D7
:1009200000000000000000000000000000000000C7
:1009300000000000000000000000000000000000B7
:1009400000000000000000000000000000000000A7
:100950000000000000000000000000000000000097
:100960000000000000000000000000000000000087
:100970000000000000000000000000000000000077
:100980000000000000000000000000000000000067
:100990000000000000000000000000000000000057
:1009A0000000000000000000000000000000000047
:1009B0000000000000000000000000000000000037
:1009C0000000000000000000000000000000000027
:1009D0000000000000000000000000000000000017
:1009E0000000000000000000000000000000000007
:1009F00000000000000000000000000000000000F7
:100A000000000000000000000000000000000000E6
:100A100000000000000000000000000000000000D6
:100A200000000000000000000000000000000000C6
:100A300000000000000000000000000000000000B6
:100A400000000000000000000000000000000000A6
:100A50000000000000000000000000000000000096
:100A60000000000000000000000000000000000086
:100A70000000000000000000000000000000000076
:100A80000000000000000000000000000000000066
:100A90000000000000000000000000000000000056
:100AA0000000000000000000000000000000000046
:100AB0000000000000000000000000000000000036
:100AC0000000000000000000000000000000000026
:100AD0000000000000000000000000000000000016
:100AE0000000000000000000000000000000000006
:100AF00000000000000000000000000000000000F6
:100B000000000000000000000000000000000000E5
:100B100000000000000000000000000000000000D5
:100B20000000000000000000000000008000000045
:100B300000000200010000000000000000000000B2
:100B400000000000000000000000000000000000A5
:100B50000000000000000000000000000000000095
:100B60000000000000000000000000000000000085
:100B70000000000000000000000000000004400031
:100B80000000000000000000000000000000000065
:100B90000000000000000000000000000000000055
:100BA0000000000000000000000000000000000045
:100BB0000000000000000000000000000000000035
:100BC0000000000000000000000000000000000025
:100BD0000000000000000000000000000000000015
:100BE0000000000000000000000000000000000005
:100BF00000000000000000000000000000000000F5
:100C000000000000000000000000000000000000E4
:100C100000000000000000000000000000000000D4
:100C200000000000000000000000000000000000C4
:100C300000000000000000000000000000000000B4
:100C400000000000000000000000000000000000A4
:100C50000000000000000000000000000000000094
:100C60000000000000000000000000000000000084
:100C70000000000000000000000000000000000074
:100C80000050000000000000000000000000000014
:100C9000000000000000000000000900000000004B
:100CA0000000000000000000000000000000000044
:100CB00000000000000D0000000000000000000027
:100CC0000000000000000000000000000000000024
:100CD0000000000000000000000000000000000014
:100CE0000000000000000000000000000000000004
:100CF00000000000000000000000000000000000F4
:100D000000000000000000000000000000000000E3
:100D100000000000000000000000000000000000D3
:100D200000000000000000000000000000000000C3
:100D300000000000000000000000000000000000B3
:100D400000000000000000000000000000000000A3
:100D5000000000001000000000000800080A000069
:100D60000000000000000000000000000000000083
:100D70000000000000000000000000000000000073
:100D80000000000000000000000000000000000063
:100D90000000000000000000000000000000000053
:100DA000000000000008100000000000000000002B
:100DB0000000000000000000000000000000000033
:100DC0000000000000000000000000000000000023
:100DD0000080000000000000000000000000000093
:100DE0000000000000000000000000000000000003
:100DF00000000000000000000000000000000000F3
:100E000000000000000000000000000000000000E2
:100E100000000000000000000000000000000000D2
:100E200000000000000000000000000000000000C2
:100E300000000000000000000000000000000000B2
:100E400000000000000000000000000000000000A2
:100E50000000000000000000000000000000000092
:100E60000000000000000000000000000000000082
:100E7000000800000000000000000000000000006A
:100E800000000000000000000000003080000000B2
:100E90000000000000000000000000000000000052
:100EA0000000000000000000000000000000000042
:100EB0000000000000000000000000000000000032
:100EC0000000000000000000000000000000000022
:100ED0000000000000000000000000000000000012
:100EE0000000000000000000000000000000000002
:100EF00000000000000000000000000000000000F2
:100F000000000000000000000000000000000000E1
:100F100000000000000000000000000000000000D1
:100F200000000000000000000000000000000000C1
:100F300000000000008000000C0000000000000025
:100F400000000000000000000000000000000000A1
:100F50000000000000000000000000000000000091
:100F60000000000000000000000000000000000081
:100F70000000000000000000000000000000000071
:100F80000000000000010000000000000000000060
:100F900000000000000000000000000000300080A1
:100FA0002000000000000000000000000000000021
:100FB0000000000000000000000000000000000031
:100FC0000000000000000000000000000000000021
:100FD000000200000000000000000000000000000F
:100FE0000000000000000000000000000000000001
:100FF00000000000000000000000000000000000F1
:1010000000000000000000000000000000000000E0
:1010100000000000000000000000000000000000D0
:1010200000000000000000000000000000000000C0
:1010300000000000000000000000000000000000B0
:1010400000000000000000000000000000000000A0
:101050000000000000000000000000000000000090
:101060000000000000000000000000000000000080
:1010700000000000000000000000000081000000EF
:101080000000000000000000000000000000000060
:101090000000000000000000000000000000000050
:1010A0000000000000000000000000000000100030
:1010B0000020000000000000000000000000000010
:1010C0000000000000000000000000000000000020
:1010D0000000000000000000000000000000000010
:1010E00000000000000000000000010000000000FF
:1010F00000000000000000000000000000000000F0
:1011000000000000000000000000000000000000DF
:1011100000000000000000000000000000000000CF
:1011200000000000000000000000000000000000BF
:1011300000000000000000000000000000000000AF
:10114000000000000000000000000000000000009F
:10115000000000000000000000000000000000008F
:101160000800000000000000000000000000000077
:10117000000000000000000000000000000000006F
:10118000FFFFFFFF0000000000000000FFFFFF7FE7
:10119000FFFFFFFF00000000000000000000000053
:1011A000000000000000000000000000FFFFFFFF43
:1011B000000000000000000000000000000000002F
:1011C000000000000000000000000000000000001F
:1011D000000000000000000000000000000000000F
:1011E00000000000000000000000000000000000FF
:1011F00000000000000000000000000000000000EF
:10120000FFFFFFFF000000000000000000000000E2
:1012100000000000000000000000000000000000CE
:1012200000000000000000000000000000000000BE
:1012300000000000000000000000000000000000AE
:10124000000000000000000000000000000000009E
:10125000000000000000000000000000000000008E
:10126000000000000000000000000000000000007E
:10127000000000000000000000000000000000006E
:10128000000000000000000000000000000000005E
:101290000000000080240E0000000000000000009C
:1012A00000000C00B0448C000000000000000000B2
:1012B000000000000000000000000000000000002E
:1012C00080240E000000000000000000000000006C
:1012D000000000000000000000000000000000000E
:1012E00000000000000000000000000000000000FE
:1012F00000000000000000000000000000000000EE
:1013000000000000000000000000000000000000DD
:101310000000000000008C0200000000000000003F
:1013200000000000000000000000000000000000BD
:1013300000000000000000000000000000000000AD
:10134000000000000000000000000000000000009D
:10135000000000000000000000000000000000008D
:10136000000000000000000000000000000000007D
:10137000000000000000000000000000000000006D
:10138000000000000000000000000000000000005D
:10139000000000000000000000000000000000004D
:1013A00000000000000000008004000000000000B9
:1013B00090240200802402000000000000000000D1
:1013C000000000000000000000000000000000001D
:1013D000000000000000000000000000000000000D
:1013E00000000000000000000000000000000000FD
:1013F00000000000000000000000000000000000ED
:1014000000000000000000000000000000000000DC
:101410000000000000A01228DFA472B9DFA472B996
:10142000DFA472B9DFA472B9DFA472B9DFA472B00D
:1014300000000000000000000000000000000000AC
:10144000000000000000000000000000000000009C
:10145000000000000000000000000000000000008C
:10146000000000000000000000000000000000007C
:10147000000000000000000000000000000000006C
:10148000000000000000000000000000000000005C
:10149000000000000000000000000000000000004C
:1014A000000000000000000000000000000000003C
:1014B000000000000000000000000000FFFFFFFF30
:1014C00000000000FF55FFFFFFFFFFFFFFFFFFFFD2
:1014D000000000000000000000000000000000000C
:1014E00000000000000000000000000000000000FC
:1014F00000000000000000000000000000000000EC
:1015000000000000000000000000000000000000DB
:1015100000000000000000000000000000000000CB
:101520000000000000000000FFFF55550F0F33338F
:10153000FF0055550F0F3333FF005555FF00333370
:101540005555F0F000000000000000000000000011
:10155000000000000000000000000000000000008B
:10156000000000000000000000000000000000007B
:10157000000000000000000000000000000000006B
:10158000000000000000000000000000000000005B
:10159000000000000000000000000000000000004B
:1015A000000000000000000000000000000000003B
:1015B000000000000000000000000000000000002B
:1015C000000000000000000000000000000000001B
:1015D00000000C0000300CC000000C010000C8002E
:1015E00000000000003000000000000000000000CB
:1015F0000000000000000000000000008000000269
:1016000000000000000000000000000000000000DA
:1016100000000000000000000000000000000000CA
:1016200000000000000000000000000000000000BA
:1016300000000000000000000000000400000000A6
:1016400000000A040000000000000A04000000007E
:1016500000000A040000000000000000000000007C
:10166000000000000000000000000000000000007A
:10167000000000000000000000000000000000006A
:10168000000000000000000000000000000000005A
:10169000000000000000000000000000000000004A
:1016A000000000000000000000000000000000003A
:1016B000000000000000000000000000000000002A
:1016C000000000000000000000000000000000001A
:1016D000000000000000000000000000000000000A
:1016E0000000000001200100008024904100090456
:1016F0000000840100200000008000800000000045
:101700000000000000000000000000008000000059
:101710002010002200000000000000000000000077
:1017200000000000000000000000000000000000B9
:1017300000000000000000000000000000000000A9
:101740000000000000000000000000004000080051
:1017500000000000410008000000000041000800F7
:101760000000000041000800000000000000000030
:101770000000000000000000000000000000000069
:101780000000000000000000000000000000000059
:101790000000000000000000000000000000000049
:1017A0000000000000000000000000000000000039
:1017B0000000000000000000000000000000000029
:1017C0000000000000000000000000000000000019
:1017D0000000000000000000000000000000000009
:1017E00000000000000000000000000000000000F9
:1017F0000000000000000000004000000000E003C6
:1018000000C800801303C400030000C0C000C00073
:1018100000000000000000000000000000000000C8
:10182000000000030000C0000000000000000000F5
:1018300000000000000000000000000000000000A8
:101840000000000000000000000000000000000098
:101850000000000000000000000000000000000088
:10186000000100000000800000C800C0C00080002F
:1018700000C800C0C000800000C000C08003C800D5
:101880000000000000000000000000000000000058
:101890000000000000000000000000000000000048
:1018A0000000000000000000000000000000000038
:1018B0000000000000000000000000000000000028
:1018C0000000000000000000000000000000000018
:1018D0000000000000000000000000000000000008
:1018E00000000000000000000000000000000000F8
:1018F00000000000000000000000000000000000E8
:1019000000000000000000000000000000200000B7
:101910000000000100000002000100002000004063
:1019200001000100000000000000000000000000B5
:10193000000000000040000800001000000000004F
:101940000000000000000000000000000000000097
:101950000000000000000000000000000000000087
:101960000000000000000000000000000000000077
:1019700000000000000100000000800000000020C6
:101980008000800000000020800080000002002015
:1019900080010000000000000000000000000000C6
:1019A0000000000000000000000000000000000037
:1019B0000000000000000000000000000000000027
:1019C0000000000000000000000000000000000017
:1019D0000000000000000000000000000000000007
:1019E00000000000000000000000000000000000F7
:1019F00000000000000000000000000000000000E7
:101A000000000000000010000000000000000000C6
:101A100000000000000000000000000000000000C6
:101A20000000000000000000060000846C000000C0
:101A300000000000000000000000000000000000A6
:101A40000000000000000000000000000000000096
:101A50000000000000000000000000000000000086
:101A60000000000000000000000000000000000076
:101A70000000000000000000000000000000000066
:101A80000000000000000000000000000000000056
:101A90000000000000000000000000000000000046
:101AA0000000000C0000E00000000000000000004A
:101AB0000000000000000000000000000000000026
:101AC0000000000000000000000000000000000016
:101AD0000000000000000000000000000000000006
:101AE00000000000000000000000000000000000F6
:101AF00000000000000000000000000000000000E6
:101B000000000000000000000000000000000000D5
:101B100000000000000000000000000000000000C5
:101B200000000000000000000000000000000000B5
:101B3000000000000000000000000000100000385D
:101B4000000000000000000000C0010000000000D4
:101B50000000000000000000000000000000000085
:101B6000280000000000000000000000000000004D
:101B70000000000000000000000000000000000065
:101B80000000000000000000000000000000000055
:101B90000000000000000000000000000000000045
:101BA000000000000000000000070000000000002E
:101BB000000700001000000000000000000000000E
:101BC0000000000000000000000000000000000015
:101BD0000000000000000000000000000000000005
:101BE00000000000000000000000000000000000F5
:101BF00000000000000000000000000000000000E5
:101C000000000000000000000000000000000000D4
:101C100000000000000000000000000000000000C4
:101C200000000000000000000000000000000000B4
:101C300000000000000000000000000000000000A4
:101C40000000000000000000000000900000000004
:101C50000000002300000020000800000820000011
:101C60000000000000000000000000000000000074
:101C7000000000080000000000000000000000005C
:101C80000000000000000000000000000000000054
:101C90000000000000000000000000000000000044
:101CA0000000000000000000000000000000000034
:101CB0000000000000000000200001008000000083
:101CC0002000010080000000200000000000200033
:101CD0000000000000000000000000000000000004
:101CE00000000000000000000000000000000000F4
:101CF00000000000000000000000000000000000E4
:101D000000000000000000000000000000000000D3
:101D100000000000000000000000000000000000C3
:101D200000000000000000000000000000000000B3
:101D300000000000000000000000000000000000A3
:101D40000000000000000000000000000000000093
:101D50000000000000000000000000000000000083
:101D6000000000000000000C200700000000000040
:101D700000800100000000000000000000000000E2
:101D800000000000000000801C00000000000000B7
:101D90000000000000000000000000000000000043
:101DA0000000000000000000000000000000000033
:101DB0000000000000000000000000000000000023
:101DC0000000000000000000000000000000000013
:101DD0000000000000000000000000000000000003
:101DE0000000C00000000000000000000000000033
:101DF00000000000000000000000000000000000E3
:101E000000000000000000000000000000000000D2
:101E100000000000000000000000000000000000C2
:101E200000000000000000000000000000000000B2
:101E300000000000000000000000000000000000A2
:101E40000000000000000000000000000000000092
:101E50000000000000000000000000000000000082
:101E60000000000000000000000000000000000072
:101E7000000000002C00000000000000AC00003852
:101E8000000000000000080000000000000000004A
:101E90000000000000000000000000080100000039
:101EA0000000000000000000000000000000000032
:101EB0000000000000000000000000000000000022
:101EC0000000000000000000000000000000000012
:101ED0000000000000000000000000000000D00032
:101EE000000000000000D000000000000000D00052
:101EF00000000000000000020000000000000000E0
:101F000000000000000000000000000000000000D1
:101F100000000000000000000000000000000000C1
:101F200000000000000000000000000000000000B1
:101F300000000000000000000000000000000000A1
:101F40000000000000000000000000000000000091
:101F50000000000000000000000000000000000081
:101F60000000000000000000000000000000000071
:101F70000000000000000000000000000000000061
:101F80000000000000000000000000000040000011
:101F900000400000000000000000000000000002FF
:101FA0001000000000000000081000000000000009
:101FB0000000000100000000000000000000000020
:101FC0000000000000000000000000000000000011
:101FD0000000000000000000000000000000000001
:101FE00000000000000000000000000000000000F1
:101FF00000000000000000000000000000000000E1
:1020000000000000020000000000000000000000CE
:10201000000000000040000000000000000200007E
:1020200000000000000000000000000000000000B0
:1020300000000000000000000000000000000000A0
:102040000000000000000000000000000000000090
:102050000000000000800000000000000000000000
:102060000000000000200000000000000000000050
:102070000000000000000000000000000000000060
:102080000000000000000000000000000000000050
:102090000000000000000000000000000000000040
:1020A0000000000000000000000000000000000030
:1020B00000000000200000000000000004300000CC
:1020C00000000000000000000000000000000030E0
:1020D0000000000000000000000000000000000000
:1020E000000000000000000C0000000000000000E4
:1020F00000000000000000000000000000010000DF
:1021000000000000000000000000000000000000CF
:1021100000000000000000000000000000000000BF
:1021200000000000000000000000000000000000AF
:10213000000000000000000000000000000000009F
:10214000000000000000000000000000000000008F
:10215000000000000000000000000000000000007F
:10216000000000000000000000000000000000006F
:10217000000000000000000000000000000000005F
:1021800000000000000000000000000A0000000045
:10219000000010000000000000000000000000002F
:1021A000000000000000000000000000000000002F
:1021B000000000000000000000000000000000001F
:1021C0000A000000000000080000000000000000FD
:1021D00000000A00000000000000000C00000000E9
:1021E000000000800000000000000000000000006F
:1021F00000000000000000000000000100000000DE
:1022000000000000000000000000000000000000CE
:1022100000000000000000000000000000000000BE
:1022200000000000000000000000000000000000AE
:10223000000000000000000000000000001000008E
:1022400000000000000C0000000000000000000082
:10225000000000000000000000000000000000007E
:10226000000000000000000000000000000000006E
:10227000000000000000000000000000002000003E
:10228000000000000000000000000000003000001E
:10229000000000000000000000000000000000003E
:1022A000000000000000000000000000000000002E
:1022B000000000000000000000000000000000001E
:1022C000000000000000000000000000000000000E
:1022D000000000000000000000000000000000807E
:1022E00000000000000000000000000000000000EE
:1022F00000000000000000200000000000000000BE
:1023000000000000000000000000000000000000CD
:1023100000000000000000000000000000000000BD
:1023200000000000000000000000000000000000AD
:10233000000000000000000000000000000000009D
:10234000000000000000000000000000000000008D
:10235000000000000000000000000000000000007D
:10236000000000000000000000000000000000006D
:10237000000000000000000000000000000000005D
:10238000000000000000000000000000000000004D
:10239000000000000000000000000000000000003D
:1023A000000000000000000000000000000000002D
:1023B000000000000000000010000000000000000D
:1023C000000000000000000000000000000000000D
:1023D00000000000000000000000000000000000FD
:1023E00000000000000000000000000000000000ED
:1023F00000000020000000000000000000000000BD
:10240000000000000000000000000040000000008C
:1024100000000000000000000000000000000000BC
:1024200000000000000000000000000000000000AC
:10243000000000000000000000000000000000009C
:10244000000000000000000000000000000000008C
:10245000000000000000000000000000000000007C
:10246000000000000000000000000000000000006C
:10247000000000000000000000000000000000005C
:10248000000000000000000000000000000000004C
:10249000000000000000000000000000000000003C
:1024A000000000000000000000000000000000002C
:1024B000000000000000000000000000000000001C
:1024C000000000000000000000000000000000000C
:1024D00000000000000000000000000000000000FC
:1024E00000000000000000000000000000000000EC
:1024F00000000000000000000000000000000000DC
:1025000000000000000000000000000000000000CB
:1025100000000000000000000000000000000000BB
:1025200000000000000000000000000000000000AB
:10253000000000000000000000000000000000009B
:10254000000000000000000000000000000000008B
:10255000000000000000000000000000000000007B
:10256000000000000000000000000000000000006B
:10257000000000410000000000000000000000001A
:10258000000000000000000000100000000000003B
:10259000000000000000000000000000000000003B
:1025A000000000000000000000000000000000002B
:1025B000000000000000000000000000000000001B
:1025C000000000000000000000000000000000000B
:1025D00000000000000000000000000000000000FB
:1025E00000000000000000000000000000000000EB
:1025F00000000000000000000000000000000000DB
:1026000000000000000000000000000000000000CA
:1026100000000000FEFF5F5F00000000FFFFFFFF03
:10262000FFFFFFFFFFFFFFFFFFFFFFFFBB11353580
:10263000000000001D0045FD00000000000000003B
:10264000000000000000000000000000000000008A
:10265000000000000000000000000000000000007A
:10266000000000000000000000000000000000006A
:10267000000000000000000000000000000000005A
:10268000000000000000000000000000FFFF7FFFCE
:10269000FFFCFFFBFFFFFFFFFFFFFFFF000000004D
:1026A000000000000000000000000000000000002A
:1026B000000000000000000000000000000000001A
:1026C00000000000FFFFFFFF00000000000000000E
:1026D00000000000000000000000000000000000FA
:1026E00000000000000000000000000000000000EA
:1026F00000000000000000000000000000000000DA
:1027000000000000000000000000000000000000C9
:1027100000000000000000000000000000000000B9
:10272000000000000000000000000C00000000009D
:1027300080240E0080240E0080240E0080240E00D1
:1027400000000C000000000048000C200000000009
:102750000000000000000000000000000000000079
:102760000000000000000000000000000000000069
:102770000000000000000000000000000000000059
:102780000000000000000000000000000000000049
:102790000000000000000000000000000000000039
:1027A00000000C0000000C0080040C0000008C02F3
:1027B0000000000000000000000000000000000019
:1027C0000000000000000000000000000000000009
:1027D000000000000000000000008C02000000006B
:1027E00000000000000000000000000000000000E9
:1027F00000000000000000000000000000000000D9
:1028000000000000000000000000000000000000C8
:1028100000000000000000000000000000000000B8
:1028200000000000000000000000000000000000A8
:1028300000000000000000000000000080240200F2
:102840008024020080240200000000008024020096
:1028500080240200000000000000000000000000D2
:102860000000000000000000000000000000000068
:1028700000000000903412008034120000000000BC
:102880000000000000000000000000000000000048
:102890000000000000000000000000000000000038
:1028A0000000000000000000000000000000000028
:1028B00000B01228DFB472B9DFB472B9DFB472B9F4
:1028C000DFB472B0D8A472A9DFA472B9DFA472B960
:1028D000DFA472B9DFA472B9DFA472B9DFA472B940
:1028E000DFA472B9DFA472B9DFA472B9DFA472B930
:1028F000DFA472B000000000000000000000000033
:1029000000000000000000000000000000000000C7
:1029100000000000000000000000000000000000B7
:1029200000000000000000000000000000000000A7
:102930000000000000000000000000000000000097
:102940000000000000000000000000000000000087
:10295000FFFFFFFFFFFFFFFFFFFFFFFF0000000083
:10296000FFFFFFFFFFFFFFFF000000000F330F33EB
:102970000000000000000000000000000000000057
:10298000000000000000000033990F87DFFFC3C381
:102990000000000000000000000000000000000037
:1029A0000000000000000000000000000000000027
:1029B0000000000000000000000000000000000017
:1029C00000000000FFFF555555550F0F3333FF0032
:1029D0000F0F3333FF00AAAA0F0F3333FF005555F3
:1029E0000F0F3333FF0055550F0F3333FF0055558D
:1029F0000F0F3333FF0055550F0F3333FF0055557D
:102A000055553333FF00F0F00000000000000000D7
:102A100000000000000000000000000000000000B6
:102A200000000000000000000000000000000000A6
:102A30000000000000000000000000000000000096
:102A40000000000000000000000000000000000086
:102A50000000000000000000000000000000000076
:102A60000000000000000C0100009C0102000C00AE
:102A700080300044EB010C018030140100000000A4
:102A80000000000000000000000000000000000046
:102A90000000000000000000000000000000000234
:102AA0000000140100000000000000000000000011
:102AB0000000000000000000000000000000000016
:102AC0000000000000000000000000000000000006
:102AD00000000000000000040000100100000A04D3
:102AE0000000980000100A040050140100000A04BD
:102AF0000000000000000A040000000000000A04BA
:102B00000000000000000A040000000000000A04A9
:102B10000000000000000A040000000000000000A7
:102B200000000000000000000000000000000000A5
:102B30000000000000000000000000000000000095
:102B40000000000000000000000000000000000085
:102B50000000000000000000000000000000000075
:102B60000000000000000000000000000000000065
:102B700000000000000000004100090400001401F2
:102B800091200000209014E2E1220800009014E35C
:102B90000000000000000000000000000000000035
:102BA0000000000000000000000000000000000025
:102BB0004100840201001401000000000000000038
:102BC0000000000000000000000000000000000005
:102BD00000000000000000000000000000000000F5
:102BE000000000000000000040000800000000019C
:102BF00041000800000014014128081000001401E1
:102C00004100080000000000410008000000000032
:102C10004100080000000000410008000000000022
:102C20004100080000000000410008000000000012
:102C30000000000000000000000000000000000094
:102C40000000000000000000000000000000000084
:102C50000000000000000000000000000000000074
:102C60000000000000000000000000000000000064
:102C70000000000000000000000000000000000054
:102C800000000000000000000000000000C800C0BC
:102C9000C4038000C00000C04443E3230000F303EA
:102CA00044A34700000000000002000000000000F4
:102CB0000000000000000000000000000000000014
:102CC0000000000000C1CB83CB23C4000000000043
:102CD00000000000000000000000000000000000F4
:102CE00000000000000000000000000000000000E4
:102CF00000000000000000000000000000000000D4
:102D0000000080010080A0C8C405C00001DBC3C072
:102D1000C0C7800000C803C0C000800000C800C059
:102D2000C000800000C800C0C000800000C800C013
:102D3000C000800000C800C0C000800000C800C003
:102D40008000C00000000000000000000000000043
:102D50000000000000000000000000000000000073
:102D60000000000000000000000000000000000063
:102D70000000000000000000000000000000000053
:102D80000000000000000000000000000000000043
:102D90000000000000000000000000000000000033
:102DA00000000820000180081040001000212000D1
:102DB0000000000100020880000000000080000800
:102DC0000000000000000000000000000000000003
:102DD00000000000000000000003400304000000A9
:102DE00000000000000000000000000000000000E3
:102DF00000000000000000000000000000000000D3
:102E000000000000000000000000000000000000C2
:102E100000000000000080010002000000008000AF
:102E2000400084208004800000004020800080005A
:102E30000000002080008000000000208000800052
:102E40000000002080008000000000208000800042
:102E50000000002080008000000000000000000052
:102E60000000000000000000000000000000000062
:102E70000000000000000000000000000000000052
:102E80000000100000000000000000000000000032
:102E90000000000000000000000000000000000032
:102EA0000000000000000000000000000000000022
:102EB000000000004000000840000000000000008A
:102EC0001C000000000000E81D0000008018020443
:102ED000020049024007000000000100000000005D
:102EE0000000000000000000000000001F000014AF
:102EF0000010E000000000000000000000000000E2
:102F000000000000000000000000000000000000C1
:102F100000000000000000000000000000000000B1
:102F200000000000000000000000000000000000A1
:102F3000000E01700000003C0200000000000000D4
:102F40000000000000000000000000000000000081
:102F50000000000000000000000000000000000071
:102F6000000000000000000C0000E0000000000075
:102F70000000000000000000000000000000000051
:102F80000000000000000000000000000000000041
:102F90000000000000000000000000000000000031
:102FA0000000000000000000000000000000000021
:102FB0000000000000000000000000000000000011
:102FC00000000000000000000004008000C00100BC
:102FD000000000002800000000000028140000008D
:102FE00000050034008001010007000000C004005B
:102FF00000000000000000000000000000000000D1
:103000000E0000001C00E0000000000000000000B6
:1030100000000000000000000000000000000000B0
:1030200000000000000000000000000000000000A0
:103030000000000000000000000000000000000090
:103040000005000000000070000000000007000004
:103050000000000000070000000000000007000062
:103060000000000000070000000000000007000052
:103070000000000000070000000000000000000049
:103080000000000000000000000000000000000040
:103090000000000000000000000000000000000030
:1030A0000000000000000000000000000000000020
:1030B0000000000000000000000000000000000010
:1030C0000000000000000000000000000000000000
:1030D0000000000000000000000000000004000CE0
:1030E000002000000000000C08000000000000802C
:1030F0000C000000004000000480000000010004FB
:10310000008002000000000000000000000000003D
:103110000000000081000B041000280000000000E7
:10312000000000000000000000000000000000009F
:10313000000000000000000000000000000000008F
:10314000000000000000000000000000000000007F
:1031500000000000003006010002032820000100EA
:10316000800000002000010080000000200001001D
:10317000800000002000010080000000200001000D
:1031800080000000200001008000000020000100FD
:10319000000020000000000000000000000000000F
:1031A000000000000000000000000000000000001F
:1031B000000000000000000000000000000000000F
:1031C00000000000000000000000000000000000FF
:1031D00000000000000000000000000000000000EF
:1031E00000000000000000000000000000000000DF
:1031F000C00300400C200000000000000E00000092
:10320000000000100C0000004080000000C0010021
:10321000800F000802000400000000000000000011
:10322000000000000000000000000040A008C000F6
:10323000000000000000000000000000000000008E
:10324000000000000000000000000000000000007E
:10325000000000000000000000000000000000006E
:103260000000000000000000000080013C06003863
:103270000000000C3400000000000000000000000E
:10328000000000000000000000000000000000003E
:10329000000000000000000000000000000000002E
:1032A0000000000000060000000000000000000018
:1032B000000000000000000000000000000000000E
:1032C00000000000000000000000000000000000FE
:1032D00000000000000000000000000000000000EE
:1032E00000000000000000000000000000000000DE
:1032F00000000000000000000000000000000000CE
:1033000000000000000400080100000000000000B0
:103310001D000000000000401D0000000020000013
:103320000C000400000500000C80030000000000F9
:103330000000000000000000000000000000000885
:10334000000000020000000000000000000000007B
:10335000000000000000000000000000000000006D
:10336000000000000000000000000000000000005D
:1033700000000000000000000000D00000009000ED
:1033800000A00390000000000000D000000000003A
:103390000000D000000000000000D000000000008D
:1033A0000000D000000000000000D000000000007D
:1033B0000000D0000000000000200000000000001D
:1033C00000000000000000000000000000000000FD
:1033D00000000000000000000000000000000000ED
:1033E00000000000000000000000000000000000DD
:1033F00000000000000000000000000000000000CD
:1034000000000000000000000000000000000000BC
:10341000002000000000000002000000004000004A
:103420000200000000004000400000000020412099
:103430000200424002000200000000000001000003
:10344000000000000000000010000000000010005C
:10345000000000000040020000000000000000002A
:103460000000000000040000000000000000000058
:103470000000000000040000000000000000000048
:103480000000000000000000000000000000040038
:1034900000000000000000000000000000400400E8
:1034A000000000000000000000000000000000001C
:1034B000000000000000000000000000000000000C
:1034C00000000000000000000200000000000000FA
:1034D00000000000000000000000000000000000EC
:1034E00000000000000000000000000000000000DC
:1034F00000000000000000000000000000000000CC
:1035000000000000000000000000000000000000BB
:1035100000000000000000000000000000000000AB
:10352000000000000000000000000000000000009B
:10353000000000000000000000000000000000008B
:10354000000000000000000000000000000000007B
:10355000000000000000000000000000000000006B
:103560000000000000060000000000000000000055
:10357000000000000000000000000000000000004B
:10358000000000000000000000000000000000003B
:10359000000000000000000000000000000000002B
:1035A0000000000000020000000000000000000019
:1035B0000000000600000000000000000000000005
:1035C00000000000000000000000000000000000FB
:1035D00000000000000000000000000000000000EB
:1035E00000000000000000000000000000000000DB
:1035F00000000000000000000000000000000000CB
:1036000000000000000000000000000000000000BA
:1036100000000000000000000000000000000000AA
:10362000000000000000000000000000000000009A
:10363000000000000000000000200000000000006A
:103640000000000000000000040000000000300046
:1036500020000000002018000000000004400400CA
:103660000000000000080000000000000000000052
:103670000000000000000000000000000000040046
:10368000000000000000000000000000000000003A
:103690000000000000000000000000000008000022
:1036A000000000000000000000000000000000001A
:1036B000000000000000000000000000000000000A
:1036C00000000000000004000000000000000000F6
:1036D00000000000000000000000000000000000EA
:1036E00000000000000000000000000000000000DA
:1036F00000000000000000000000000000000000CA
:1037000000000000000000000000000000000000B9
:1037100000000000000000000000000000000000A9
:103720001000000000000000000000000000000089
:103730000000000000000000000000000000000089
:103740000000000000000000000000000000000079
:103750000000000000000000000000000000000069
:103760000000000000000000000000000000000059
:103770000000000000000000000000000000000049
:103780000000000000000000000000000000000039
:103790000000000000000000000000000000000029
:1037A0000040000000000000000000004000000099
:1037B0000000000000000000000000000000000009
:1037C00000000000000000000000000000000000F9
:1037D00000000000000000000000000000004000A9
:1037E00000000000000000000000000000000000D9
:1037F00000000000000000000000000000000000C9
:1038000000000000000000000000000000000000B8
:103810000C0000000000000000000000000000009C
:103820000000000000000000000000000000000098
:103830000000000010000000000000000000000078
:103840000000000000000000000000000000000078
:103850000000000000000000000000000000000068
:103860000000000000000000200000000000000038
:103870000000000000000000000000000000000048
:103880000000000000000000000000000000000038
:103890000000000000000000000000000000000028
:1038A0000000000000000000000000000000000018
:1038B0000000000000000000000C000000000000FC
:1038C00010000010000000000000000000000000D8
:1038D00000000000000000000000000000000000E8
:1038E00000000000000000000000000000000000D8
:1038F00000041000000000000000000000000000B4
:1039000000000000000000000000000000000000B7
:1039100000000000000000000000000000000000A7
:103920000000000002000000000000000000000095
:103930000000000000000000000000000000000087
:103940000000000000000000000000000000000077
:103950000000000000000000000000000000000067
:103960000000000000000000000000000000000057
:103970000000000000000000000000002000000027
:103980000000000000000000000000000000000037
:103990000000000000000000000000000000000027
:1039A00000002000000000000000000000000000F7
:1039B0000000000000000000000000000000000007
:1039C00000000000000000000000000000040000F3
:1039D00000000000000000200000000000000000C7
:1039E00000000000000000000000000000000000D7
:1039F00000000000000000000000000000000000C7
:103A00000000000082040000000000000000000030
:103A100000000000000000000000000000000000A6
:103A20000000000000000000000000000000000096
:103A30000000000000000000000000000000000086
:103A40000000000000000000000000000000000076
:103A50000000000000000000000000000000000066
:103A60000000000000000000000000000000000056
:103A70000000000000000000000000000000000046
:103A80000000000000000000000000000000000036
:103A90000000000000000000000000000000000026
:103AA0005616BEDE5316E75E000000000000000060
:103AB0001D14FD9C0000000000000000000000003C
:103AC000000000001B1EEFB6000000000000000018
:103AD00000000000000000000000000000000000E6
:103AE00000000000000000000000000000000000D6
:103AF00000000000000000000000000000000000C6
:103B000000000000000000000000000000000000B5
:103B100000000000000000000000000000000000A5
:103B20000000000000000000000000000000000095
:103B30000000000000000000000000000000000085
:103B40000000000000000000000000000000000075
:103B50000000000000000000000000000000000065
:103B60000000000000000000000000000000000055
:103B70000000000000000000000000000000000045
:103B80000000000000000000000000000000000035
:103B90000000000000000000000000000000000025
:103BA0000000000000000000000000000000000015
:103BB0000000000048000C2048000C20000000001D
:103BC0000000000048000C20000000000000000081
:103BD000000000000000000048000C200000000071
:103BE00000000000000000000000000000000000D5
:103BF00000000000000000000000000000000000C5
:103C000000000000000000000000000000000000B4
:103C100000000000000000000000000000000000A4
:103C20000000000000000000000000000000000094
:103C30000000000000000000000000000000000084
:103C40000000000000000000000000000000000074
:103C50000000000000000000000000000000000064
:103C60000000000000000000000000000000000054
:103C70000000000000000000000000000000000044
:103C80000000000000000000000000000000000034
:103C90000000000000000000000000000000000024
:103CA0000000000000000000000000000000000014
:103CB0000000000000000000000000000000000004
:103CC000000000000000000000000000480000208C
:103CD00000000000000000000000000000000000E4
:103CE000000000000000000000000000480000206C
:103CF00000000000000000000000000000000000C4
:103D000000000000000000000000000000000000B3
:103D100000000000000000000000000000000000A3
:103D20000000000000000000000000000000000093
:103D30000000000000000000000000000000000083
:103D40000000000000000000000000000000000073
:103D50000000000000000000000000000000000063
:103D60000000000000000000000000000000000053
:103D70000000000000000000000000000000000043
:103D80000000000000000000000000000000000033
:103D90000000000000000000000000000000000023
:103DA0000000000000000000000000000000000013
:103DB0000000000000000000000000000000000003
:103DC00000000000000000000000000000000000F3
:103DD00000000000000000000000000000000000E3
:103DE000FB03BF3E000000000000000000000000D8
:103DF00000000000000000000000000000000000C3
:103E00001F23B71B0000000000000000000000009E
:103E100000000000000000000000000000000000A2
:103E20000FAA77440000000000000000000000001E
:103E30000000000000000000000000000000000082
:103E40000000000000000000000000000000000072
:103E50000000000000000000000000000000000062
:103E60000000000000000000000000000000000052
:103E70000000000000000000000000000000000042
:103E80000000000000000000000000000000000032
:103E90000000000000000000000000000000000022
:103EA00000000000000000000000000000000050C2
:103EB0000000000000000000000000000000000002
:103EC00000000000000000000000000000000000F2
:103ED00000000000000000000000000000000000E2
:103EE00000000000000000000000000000000000D2
:103EF00000000000000000000000000000000000C2
:103F000000000000000000000000000000000000B1
:103F100000000000000000000000000000000000A1
:103F20000000000000000000000000000000000091
:103F30000000000000000000000000000000000081
:103F40000000000000000000000000000000000071
:103F50000000000000000000000000000000000061
:103F60000000000000000000000000000000000051
:103F70000000000000000000000000000000000041
:103F80000000000000000000000000000000000031
:103F90000000000000000000000000000000000021
:103FA0000000000000000000000000000000000011
:103FB0000000000000000000000000000000000001
:103FC00000000008000000000000000000000000E9
:103FD00000000000000000000000000000000000E1
:103FE00000000000000000000000000000000000D1
:103FF00000000000000000000000000000000000C1
:1040000000000000000000000000000000000000B0
:1040100000000000000000000000000000000000A0
:104020000000000000000000000000000000000090
:104030000000000000000000000000000000000080
:104040000000000000000000000000000000000070
:104050000000000000000000000000000000000060
:104060000000000000000000000000000000000050
:104070000000000000000000000000000000000040
:104080000000000000000000000000000000000030
:104090000000000000000000000000000000000020
:1040A0000000000000000000000000000000000010
:1040B0000000000000000000000000000000000000
:1040C00000000000000000000000000000000000F0
:1040D00000000000000000200000000000000000C0
:1040E00000000000000000000000000000000000D0
:1040F00000000000000000000000000000000000C0
:1041000000000000000000000000000000000000AF
:10411000000000000000000000000000000000009F
:10412000000000000000000000000000000000008F
:10413000000000000000000000000000000001007E
:10414000000000000000000000000000000000006F
:10415000000000000000000000000000000000005F
:10416000000000000000000000000000000000004F
:10417000000000000000000000000000000000003F
:10418000000000000000000000000000000000002F
:10419000000000000000000000000000000000001F
:1041A000000000000000000000000000000000000F
:1041B00000000000000000000000000000000000FF
:1041C00000000000000000000000000000000000EF
:1041D00000000000000000000000000000000000DF
:1041E00000000000000000000000000800000000C7
:1041F00000000000000000000000000000000000BF
:1042000000000000000000000000000000000000AE
:10421000000000000000000000000000000000009E
:10422000000000000000000000000000000000008E
:10423000000000000000000000000000000000007E
:10424000000000000000000000000000000000006E
:10425000040020000000000000000000000000003A
:10426000000000000000000000000000000000004E
:10427000000000000000000000000000000000003E
:10428000000000000000000000000000000000002E
:10429000000000000000000000000000000000001E
:1042A000000000000000000000000000000000000E
:1042B00000000000000000000000000000000000FE
:1042C00000000000000000000000000000000000EE
:1042D00000000000000000000000000000000000DE
:1042E00000000000000000000000000000000000CE
:1042F00000000000000000000000000000000010AE
:1043000000000000000000000000000000000000AD
:10431000000000000000000000000000000000009D
:10432000000000000000000000000000000000008D
:10433000000000000000000000000000000000007D
:1043400000441004100022400000000000000000A3
:10435000000F000000400800000000000000000006
:1043600000C0F3042C001008000000000000000052
:10437000000000000000000000000000000000003D
:1043800000400008000000000000000000000000E5
:10439000000000000000000000000000000000001D
:1043A000000000000000000000000000000000000D
:1043B00000000000000000000000000000000000FD
:1043C00000000000000000000000000000000000ED
:1043D00000000000000000000000000000000000DD
:1043E00000000000000000000000000000000000CD
:1043F00000000000000000000000000000000000BD
:1044000000000000000000000000000000000000AC
:10441000000000100000000000000000000000008C
:10442000000000000000000000000000000000008C
:10443000000000000000000000000000000000007C
:10444000000000000000000000000000000000006C
:104450000000000000936902010000080000000055
:104460000000000040040000304000000000000098
:1044700000000000002082000000C03200000000A8
:10448000000000000000000000000000000000002C
:104490000000000000C005480000500000000000BF
:1044A000000000000000000000000000000000000C
:1044B00000000000000000000000000000000000FC
:1044C00000000000000000000000000000000000EC
:1044D00000000000000000000000000000000000DC
:1044E00000000000000000000000000000000000CC
:1044F00000000000000000000000000000000000BC
:1045000000000000000000000000000000000000AB
:10451000000000000000000000000000000000009B
:10452000000000000000000000000000000000008B
:10453000000000000000000000000000000000007B
:10454000000000000000000000000000000000006B
:10455000000000000000000000000000000000005B
:10456000000000000000000000388E0300E03888E2
:1045700000000000000000000022000000400000D9
:10458000000000000000000000401802240010405D
:10459000000000000000000000000000000000001B
:1045A00000000000000000000000004000000000CB
:1045B00000000000000000000000000000000000FB
:1045C00000000000000000000000000000000000EB
:1045D00000000000000000000000000000000000DB
:1045E00000000000000000000000000000000000CB
:1045F00000000000000000000000000000000000BB
:1046000000000000000000000000000000000000AA
:10461000000000000000000000000000000000009A
:10462000000000000000000000000000000000008A
:10463000000000000000000000000000000000007A
:10464000000000000000000000000000000000006A
:10465000000000000000000000000000000000005A
:10466000000000000000000000000000000000004A
:1046700000000000000000000000000000836180D6
:104680001C00040A0000000000000000000400BC40
:104690000020000000000000000000000000823C3C
:1046A0000000D03C000000000000000000000000FE
:1046B00000000000000000000000000000200000DA
:1046C00000000000000000000000000000000000EA
:1046D00000000000000000000000000000000000DA
:1046E00000000000000000000000000000000000CA
:1046F00000000000000000000000000000000000BA
:1047000000000000000000000000000000000000A9
:104710000000000000000000000000000000000099
:104720000000000000000000000000000000000089
:104730000000000000000000000000000000000079
:104740000000000000000000000000000000004029
:104750000000000000000000000000000000000059
:104760000000000000000000000000000000000049
:104770000000000000000000000000000000000039
:104780000000000000000000000000000000000029
:1047900000C771003D002240000000000000000042
:1047A000000300283C800100000000000000000021
:1047B000008069000000C030000000000000000020
:1047C00000000000000000000000000000000000E9
:1047D00000000000000010020000000000000000C7
:1047E00000000000000000000000000000000000C9
:1047F00000000000000000000000000000000000B9
:1048000000000000000000000000000000000000A8
:104810000000000000000000000000000000000098
:104820000000000000000000000000000000000088
:104830000000000000000000000000000000000078
:104840000000000000000000000000000000000068
:104850000000000000000000000000000000000058
:1048600000000048000000000000000000000001FF
:104870000000000000000000000000000000000038
:104880000000000000000000000000000000000028
:104890000000000000000000000000000000000018
:1048A00000000000000000000000000000804080C8
:1048B0004080008000000000000040000020202018
:1048C00000200020000000000000008080000000A8
:1048D0000000100000000000000000002020000088
:1048E0000000400000000000010000000000000087
:1048F00000040100000000000000000000000000B3
:1049000000000000000000000000000000000000A7
:104910000000000000000000000000000000000097
:104920000000000000000000000000000000000087
:104930000000000000000000000000000000000077
:1049400000000000000080000000000000000000E7
:104950000000000000000000010000000000000056
:104960000000000000000000000000000000000047
:1049700000000000000000500000000000000000E7
:104980000000000000000000000000000000000027
:104990000000000000000000000000000000000017
:1049A00000000000000000000000000000A0050062
:1049B00000000000000000000000000000000000F7
:1049C00000B070B070B000B00000000000005000F7
:1049D00000000000000000000000000000000000D7
:1049E00000000000000010000000000000000000B7
:1049F000000000000000B000000000000000000007
:104A000000000000000000000000000000000000A6
:104A10000000000000000000000000000000000096
:104A20000000000000000000000000000000000086
:104A30000000000000000000000000000000000076
:104A40000000000000000000000000000000000066
:104A50000000000000000000000000000000000056
:104A60000000000000000000000000000000000046
:104A70000000000000000000000000000000000036
:104A80000000000000000000000000000000000026
:104A9000000000000000000C000000000000028088
:104AA0000000000000000000000000000000000006
:104AB0000A000000000A00000000000000000000E2
:104AC0000000000000500000000000000000005046
:104AD00000000000003030303030003000000000B6
:104AE00000001000003030300030003000000000C6
:104AF0000000002020000000000000000000000076
:104B00000000000030100000000010000000000055
:104B10000400000000000000000804000000000085
:104B2000000000000000000E000000000000000077
:104B30000000000000000000000000000000000075
:104B40000000000000000000000000000000000065
:104B50000000000000000000000000000000000055
:104B60000000000000000000000000000000200025
:104B70000000000000000000000000000000000035
:104B80000C00000000000000000000000000000019
:104B90000000000000000000000000000000000015
:104BA00000000000002000000000000000000000E5
:104BB00000000000000000000000000000000000F5
:104BC00000000000000000000000001000000000D5
:104BD0000000000000000011400000000000000084
:104BE00000000000000000000000000000000001C4
:104BF0000000002000000000000000000010000085
:104C000000000000000000000000000000000000A4
:104C10000000000000000000000000000000000094
:104C20000000000000000000000000000000000084
:104C30000000000000000000000000000000000074
:104C40000000000000000000000000000000000064
:104C50000000400000000000000000000000000014
:104C60000000000000000000000000000000000044
:104C70000000000000000000000000000000000034
:104C80000000000000000000000000000000000024
:104C90000000000000000000000000000000000014
:104CA0000000000000000000000000000000000004
:104CB0000000000000000000008020000000000054
:104CC00000000000000000000000000000000000E4
:104CD0000000000000000000000000000000004094
:104CE00000000000000000000000000010000000B4
:104CF0000000000000008020000000000000000014
:104D00000000000C00000080000000000000000017
:104D10000000000000000000000000000000000093
:104D20000000000000000000000000000000000083
:104D300000000000000000800000000000000000F3
:104D40000000000000000000000000000000000063
:104D50000000000000000000000000000000000053
:104D60000000000000003000000000000000000013
:104D70000000000000000000000000000000000033
:104D80000000000000000000000000000000000023
:104D90000000000000000000000000000000000013
:104DA0000000000000000000000000000000000003
:104DB00000000000000000000000000000000000F3
:104DC00000000000000000000000000000002000C3
:104DD00000000000000000000000000000000000D3
:104DE00000000000000000000000000000000000C3
:104DF00000000000000000000000000000000010A3
:104E00000000000000000000002000200000000062
:104E10000000000000000000000000000000000092
:104E20000000000000100000000000000000000072
:104E30000000000000000000000000000000000072
:104E40000000000000000000000020000000000042
:104E50000000000000000000000000000000000052
:104E60000000000000000000000000000000000042
:104E70000000000000000000000000000000000032
:104E80000000000000000000000000000000000022
:104E90000000000000000000000000000000000012
:104EA0000000000000000000000000000000000002
:104EB00000000000000000000000000000000000F2
:104EC00000000000000000000000000000000000E2
:104ED00000000000000000000000000000000000D2
:104EE00000000000000000000000000000000000C2
:104EF00000000000000000000000000000000000B2
:104F000000000000000000000000000000000000A1
:104F10000000000000000000000000000000000091
:104F20000000000000000000000000000000000081
:104F30000000000000000000000000000000000071
:104F40000000000000000000000000000000000061
:104F50000000000000000000000000000000000051
:104F600000000000550011110000000000000000CA
:104F70000000000000000000000000000000000031
:104F80000000000000000000000000000000000021
:104F90000000000000000000000000000000000011
:104FA0000000000000000000000000000000000001
:104FB00000000000000000000000000000000000F1
:104FC00000000000000000000000000000000000E1
:104FD00000000000000000000000000000000000D1
:104FE00000000000000000000000000000000000C1
:104FF00000000000000000000000000000000000B1
:1050000000000000000000000000000000000000A0
:105010000000000000000000000000000000000090
:105020000000000000000000000000000000000080
:105030000000000000000000000000000000000070
:105040000000000000000000000000000000000060
:105050000000000000000000000000000000000050
:105060000000000000000000000000000000000040
:10507000000000000000000000000C000000000024
:105080000000000000000000000000000000000020
:105090000000000000000000000000000000000010
:1050A0000000000000000000000000000000000000
:1050B00000000000000000000000000000000000F0
:1050C00000000000000000000000000000000000E0
:1050D00000000000000000000000000000000000D0
:1050E00000000000000000000000000000000000C0
:1050F00000000000000000000000000000000000B0
:10510000000000000000000000000000000000009F
:10511000000000000000000000000000000000008F
:10512000000000000000000000000000000000007F
:10513000000000000000000000000000000000006F
:10514000000000000000000000000000000000005F
:10515000000000000000000000000000000000004F
:10516000000000000000000000000000000000003F
:10517000000000000000000000000000000000002F
:10518000000000000000000000000000000000001F
:10519000000000000000000000000000000000000F
:1051A00000000000000000000000000000000000FF
:1051B00000000000000000000000000000000000EF
:1051C00000000000000000000000000000000000DF
:1051D00000000000000000000000000000000000CF
:1051E00000000000000000000000000000000000BF
:1051F00000000000000000000000000000000000AF
:10520000000000000000000000000000000000009E
:10521000000000000000000000000000000000008E
:10522000000000000000000000000000000000007E
:10523000000000000000000000000000000000006E
:10524000000000000000000000000000000000005E
:10525000000000000000000000000000000000004E
:10526000000000000000000000000000000000003E
:10527000000000000000000000000000000000002E
:10528000000000000000000000000000000000001E
:10529000000000000000000000000000000000000E
:1052A00000000000000000000000000000000000FE
:1052B00000000000000000000000000000000000EE
:1052C00000000000000000000000000000000000DE
:1052D00000000000000000000000000000000000CE
:1052E00000000000000000000000000000000000BE
:1052F00000000000000000000000000000000000AE
:10530000000000000000000000000000000000009D
:10531000000000000000000000000000000000008D
:10532000000000000000000000000000000000007D
:10533000000000000000000000000000000000006D
:10534000000000000000000000000000000000005D
:10535000000000000000000000000000000000004D
:10536000000000000000000000000000000000003D
:10537000000000000000000000000000000000002D
:10538000000000000000000000000000000000001D
:10539000000000000000000000000000000000000D
:1053A00000000000000000000000000000000000FD
:1053B00000000000000000000000000000000000ED
:1053C00000000000000000000000000000000000DD
:1053D00000000000000000000000000000000000CD
:1053E00000000000000000000000000000000000BD
:1053F00000000000000000000000000000000000AD
:10540000000000000000000000000000000000009C
:10541000000000000000000000000000000000008C
:10542000000000000000000000000000000000007C
:10543000000000000000000000000000000000006C
:10544000000000000000000000000000000000005C
:10545000000000000000000000000000000000004C
:10546000000000000000000000000000000000003C
:10547000000000000000000000000000000000002C
:10548000000000000000000000000000000000001C
:10549000000000000000000000000000000000000C
:1054A00000000000000000000000000000000000FC
:1054B00000000000000000000000000000000000EC
:1054C00000000000000000000000000000000000DC
:1054D00000000000000000000000000000000000CC
:1054E00000000000000000000000000000000000BC
:1054F00000000000000000000000000000000000AC
:10550000000000000000000000000000000000009B
:10551000000000000000000000000000000000008B
:10552000000000000000000000000000000000007B
:10553000000000000000000000000000000000006B
:10554000000000000000000000000000000000005B
:10555000000000000000000000000000000000004B
:10556000000000000000000000000000000000003B
:10557000000000000000000000000000000000002B
:10558000000000000000000000000000000000001B
:10559000000000000000000000000000000000000B
:1055A00000000000000000000000000000000000FB
:1055B00000000000000000000000000000000000EB
:1055C00000000000000000000000000000000000DB
:1055D00000000000000000000000000000000000CB
:1055E00000000200000000000000000000000000B9
:1055F00000000000000000000000000000000000AB
:10560000000000000000000000000000000000009A
:10561000000000000000000000000000000000008A
:10562000000000000000000000000000000000007A
:10563000000000000000000000000000000000006A
:10564000000000000000000000000000000000005A
:10565000000000000000000000000000000000004A
:10566000000000000000000000000000000000003A
:10567000000000000000000000000000000000002A
:10568000000000000000000000000000000000001A
:10569000000000000000000000000000000000000A
:1056A00000000000000000000000000000000000FA
:1056B00000000000000000000000000000000000EA
:1056C00000000000000000000000000000000000DA
:1056D00000000000000000000000000000000000CA
:1056E00000000000000000000000000000000000BA
:1056F00000000000000002000000000000000000A8
:105700000000000000000000000000000000000099
:105710000000000000000000000000000000000089
:105720000000000000000000000000000000000079
:105730000000000000000000000000000000000069
:105740000000000000000000000000000000000059
:105750000000000000000000000000000000000049
:105760000000000000000000000000000000000039
:105770000000000000000000000000000000000029
:105780000000000000000000000000000000000019
:105790000000000000000000000000000000000009
:1057A00000000000000000000000000000000000F9
:1057B00000000000000000000000000000000000E9
:1057C00000000000000000000000000000000000D9
:1057D00000000000000000000000000000000000C9
:1057E00000000000000000000000000000000000B9
:1057F00000000000000000000000000000000000A9
:105800000000000000020000000002000000000094
:105810000000000000000000000000000000000088
:105820000000000000000000000000000000000078
:105830000000000000000000000000000000000068
:105840000000000000000000000000000000000058
:105850000000000000000000000000000000000048
:105860000000000000000000000000000000000038
:105870000000000000000000000000000000000028
:105880000000000000000000000000000000000018
:105890000000000000000000000000000000000008
:1058A00000000000000000000000000000000000F8
:1058B00000000000000000000000000000000000E8
:1058C00000000000000000000000000000000000D8
:1058D00000000000000000000000000000000000C8
:1058E00000000000000000000000000000000000B8
:1058F00000000000000000000000000000000000A8
:105900000000000000000000000000000000000097
:105910000000000000000000401200000000000035
:105920000000000000000000000000000000000077
:105930000000000000000000000000000000000067
:105940000000000000000000000000000000000057
:105950000000000000000000000000000000000047
:105960000000000000000000000000000000000037
:105970000000000000000000000000000000000027
:105980000000000000000000000000000000000017
:105990000000000000000000000000000000000007
:1059A00000000000000000000000000000000000F7
:1059B00000000000000000000000000000000000E7
:1059C00000000000000000000000000000000000D7
:1059D00000000000000000000000000000000000C7
:1059E00000000000000000000000000000000000B7
:1059F00000000000000000000000000000000000A7
:105A00000000000000000000000000000000000096
:105A10000000000000000000000000000000000086
:105A20000000000000000000000000000000000076
:105A30000000010000000000000000000000000065
:105A40000000000000000000000000000000000056
:105A50000000000000000000000000000000000046
:105A60000000000000000000000000000000000036
:105A70000000000000000000000000000000000026
:105A80000000000000000000000000000000000016
:105A90000000000000000000000000000000000006
:105AA00000000000000000000000000000000000F6
:105AB00000000000000000000000000000000000E6
:105AC00000000000000000000000000000000000D6
:105AD00000000000000000000000000000000000C6
:105AE00000000000000000000000000000000000B6
:105AF00000000000000000000000000000000000A6
:105B00000000000000000000000000000000000095
:105B10000000000000000000000000000000000085
:105B20000000000000000000000000000000000075
:105B30000000000000000000000000000000000065
:105B400000000000008001000000000000000000D4
:105B50000000000000000000000000000000000045
:105B60000000000000000000000000000000000035
:105B70000000000000000000000000000000000025
:105B80000000000000000000000000000000000015
:105B90000000000000000000000000000000000005
:105BA00000000000000000000000000000000000F5
:105BB00000000000000000000000000000000000E5
:105BC00000000000000000000000000000000000D5
:105BD00000000000000000000000000000000000C5
:105BE00000000000000000000000000000000000B5
:105BF00000000000000000000000000000000000A5
:105C00000000000000000000000000000000000094
:105C10000000000000000000000000000000000084
:105C20000000000000000000000000000000000074
:105C30000000000000000000000000000000000064
:105C40000000000000000000000000000000000054
:105C50000000000000000000000004000000000040
:105C60000000000000000000000000000000000034
:105C70000000000000000000000000000000000024
:105C80000000000000000000000000000000000014
:105C90000000000000000000000000000000000004
:105CA00000000000000000000000000000000000F4
:105CB00000000000000000000000000000000000E4
:105CC00000000000000000000000000000000000D4
:105CD00000000000000000000000000000000000C4
:105CE00000000000000000000000000000000000B4
:105CF00000000000000000000000000000000000A4
:105D00000000000000000000000000000000000093
:105D10000000000000000000000000000000000083
:105D20000000000000000000000000000000000073
:105D30000000000000000000000000000000000063
:105D40000000000000000000002000200000000013
:105D5000000000000000000000000002000200003F
:105D600000000000000000000000004000000000F3
:105D70000000000000000000000000000002000021
:105D80000000000000000000000000000000000013
:105D90000000000000000000000000000000000003
:105DA00000000000000000000000000000000000F3
:105DB00000000000000000000000000000000000E3
:105DC00000000000000000000000000000000000D3
:105DD00000000000000000000000000000000000C3
:105DE00000000000000000000000000000000000B3
:105DF00000000000000000000000000000000000A3
:105E00000000000000000000000000000000000092
:105E10000000000000000000000000000000000082
:105E20000000000000000000000000000000000072
:105E30000000000000000000000000000000000062
:105E40000000000000000000000000000000000052
:105E50000000000000000000000000000000000042
:105E60000000000000000000000000000000000032
:105E70000000000000000000000000000000000022
:105E80000000000000000000000000000000000012
:105E90000000000000000000000000000000000002
:105EA00000000000000000000000000000000000F2
:105EB00000000000000000000000000000000000E2
:105EC00000000000000000000000000000000000D2
:105ED00000000000000000000000000000000000C2
:105EE00000000000000000000000000000000000B2
:105EF00000000000000000000000000000000000A2
:105F00000000000000000000000000000000000091
:105F10000000000000000000000000000000000081
:105F20000000000000000000000000000000000071
:105F30000000000000000000000000000000000061
:105F40000000000000000000000000000000000051
:105F50000000000000000000000000000000000041
:105F60000000000000000000000000000000000031
:105F700000200020000000000000000000000000E1
:105F80000000000800080000000000000000000001
:105F900000000020000000000000000000000000E1
:105FA00000000000000800000000000000000000E9
:105FB00000000000000000000000000000000000E1
:105FC00000000000000000000000000000000000D1
:105FD00000000000000000000000000000000000C1
:105FE00000000000000000000000000000000000B1
:105FF00000000000000000000000000000000000A1
:106000000000000000000000000000000000000090
:106010000000000000000000000000000000000080
:106020000000000000000000000000000000000070
:106030000000000000000000000000000000000060
:106040000000000000000000000000000000000050
:106050000000000000000000000000000000000040
:106060000000000000000000000000000000000030
:106070000000000000000000000000000000000020
:106080000000000000000000000000000000000010
:106090000000000000000000000000000000000000
:1060A00000000000000000000000000000000000F0
:1060B00000000000000000000000000000000000E0
:1060C00000000000000000000000000000000000D0
:1060D00000000000000000000000000000000000C0
:1060E00000000000000000000000000000000000B0
:1060F00000000000000000000000000000000000A0
:10610000000000000000000000000000000000008F
:10611000000000000000000000000000000000007F
:10612000000000000000000000000000000000006F
:10613000000000000000000000000000000000005F
:10614000000000000000000000000000000000004F
:10615000000000000000000000000000000000003F
:10616000000000000000000000000000000000002F
:10617000000000000000000000000000000000001F
:10618000000000000000000000000000000000000F
:1061900000000000000000000000000000000000FF
:1061A00000000000000000000000000000000000EF
:1061B00000000000000000000000000000000000DF
:1061C00000000000000000000000000000000000CF
:1061D00000000000000000000000000000000000BF
:1061E00000000000000000000000000000000000AF
:1061F000000000000000000000000000000000009F
:10620000000000000000000000000000000000008E
:10621000000000000000000000000000000000007E
:10622000000000000000000000000000000000006E
:10623000000000000000000000000000000000005E
:10624000000000000000000000000000000000004E
:10625000000000000000000000000000000000003E
:10626000000000000000000000000000000000002E
:10627000000000000000000000000000000000001E
:10628000000000000000000000000000000000000E
:1062900000000000000000000000000000000000FE
:1062A00000000000000000000000000000000000EE
:1062B00000000000000000000000000000000000DE
:1062C00000000000000000000000000000000000CE
:1062D00000000000000000000000000000000000BE
:1062E00000000000000000000000000000000000AE
:1062F000000000000000000000000000000000009E
:10630000000000000000000000000000000000008D
:10631000000000000000000000000000000000007D
:10632000000000000000000000000000000000006D
:10633000000000000000000000000000000000005D
:10634000000000000000000000000000000000004D
:10635000000000000000000000000000000000003D
:106360000000000000000000000000001081080094
:106370000000000000000000000000001081080084
:10638000000000000000000000000000000000000D
:1063900000000000000000000000000000000000FD
:1063A00000000000000000000000000000000000ED
:1063B00000000000000000000000000000000000DD
:1063C00000000000000000000000000000000000CD
:1063D0000000000010810800000000000000000024
:1063E0000000000000000000000000001081080014
:1063F0000000000010810800000000000000000004
:10640000000000000000000000000000000000008C
:10641000000000000000000000000000000000007C
:10642000000000000000000000000000000000006C
:10643000000000000000000000000000000000005C
:1064400000000000000000000000000010810800B3
:10645000000000000000000000000000000000003C
:10646000000000000000000000000000000000002C
:10647000000000000000000000000000000000001C
:10648000008100000000000000000000000000008B
:10649000008100000000000000000000000000007B
:1064A00000000000000000000000000000000000EC
:1064B00000000000000000000000000000000000DC
:1064C00000000000000000000000000000000000CC
:1064D00000000000000000000000000000000000BC
:1064E000000000000000000000810000000000002B
:1064F000000000000000000000000000000000009C
:106500000081000000000000008100000000000089
:10651000000000000000000000000000000000007B
:10652000000000000000000000000000000000006B
:10653000000000000000000000000000000000005B
:10654000000000000000000000000000000000004B
:10655000000000000000000000000000000000003B
:1065600000810000000000000000000000000000AA
:10657000000000000000000000000000000000001B
:10658000000000000000000000000000000000000B
:1065900000000000000000000000000000000000FB
:1065A00000000000000000000000000000000000EB
:1065B00000000000000000000000000000000000DB
:1065C00000000000000000000000000000000000CB
:1065D00000000000000000000000000000000000BB
:1065E00000000000000000000000000000000000AB
:1065F000000000000000000000000000000000009B
:10660000000000000000000000000000000000008A
:10661000000000000000000000000000000000007A
:10662000000000000000000000000000000000006A
:10663000000000000000000000000000000000005A
:10664000000000000000000000000000000000004A
:10665000000000000000000000000000000000003A
:10666000000000000000000000000000000000002A
:10667000000000000000000000000000000000001A
:10668000000000000000000000000000000000000A
:1066900000000000000000000000000000000000FA
:1066A00000000000000000000000000000000000EA
:1066B00000000000000000000000000000000000DA
:1066C00000000000000000000000000000000000CA
:1066D00000000000000000000000000000000000BA
:1066E00000000000000000000000000000000000AA
:1066F000000000000000000000000000000000009A
:106700000000000000000000000000000000000089
:106710000000000000000000000000000000000079
:106720000000000000000000000000000000000069
:106730000000000000000000000000000000000059
:106740000000000000000000000000000000000049
:106750000000000000000000000000000000000039
:106760000000000000000000000000000000000029
:106770000000000000000000000000000000000019
:106780000000000000000000000000000000000009
:1067900000000000000000000000000000000000F9
:1067A00000000000000000000000000000000000E9
:1067B00000000000000000000000000000000000D9
:1067C00000000000000000000000000000000000C9
:1067D00000000000000000000000000000000000B9
:1067E00000000000000000000000000000000000A9
:1067F0000000000000000000000000000000000099
:106800000000000000000000000000000000000088
:106810000000000000000000000000000000000078
:106820000000000000000000000000000000000068
:106830000000000000000000000000000000000058
:106840000000000000000000000000000000000048
:106850000000000000000000000000000000000038
:106860000000000000000000000000000000000028
:106870000000000000000000000000000000000018
:106880000000000000000000000000000000000008
:1068900000000000000000000000000000000000F8
:1068A00000000000000000000000000000000000E8
:1068B00000000000000000000000000000000000D8
:1068C00000000000000000000000000000000000C8
:1068D00000000000000000000000000000000000B8
:1068E00000000000000000000000000000000000A8
:1068F0000000000000000000000000000000000098
:106900000000000000000000000000000000000087
:106910000000000000000000000000000000000077
:106920000000000000000000000000000000000067
:106930000000000000000000000000000000000057
:106940000000000000000000000000000000000047
:106950000000000000000000000000000000000037
:106960000000000000000000000000000000000027
:106970000000000000000000000000000000000017
:106980000000000000000000000000000000000007
:1069900000000000000000000000000000000000F7
:1069A00000000000000000000000000000000000E7
:1069B00000000000000000000000000000000000D7
:1069C00000000000000000000000000000000000C7
:1069D00000000000000000000000000000000000B7
:1069E00000000000000000000000000000000000A7
:1069F0000000000000000000000000000000000097
:106A00000000000000000000000000000000000086
:106A10000000000000000000000000000000000076
:106A20000000000000000000000000000000000066
:106A30000000000000000000000000000000000056
:106A40000000000000000000000000000000000046
:106A50000000000000000000000000000000000036
:106A60000000000000000000000000000000000026
:106A70000000000000000000000000000000000016
:106A80000000000000000000000000000000000006
:106A900000000000000000000000000000000000F6
:106AA00000000000000000000000000000000000E6
:106AB00000000000000000000000000000000000D6
:106AC00000000000000000000000000000000000C6
:106AD00000000000000000000000000000000000B6
:106AE00000000000000000000000000000000000A6
:106AF0000000000000000000000000000000000096
:106B00000000000000000000000000000000000085
:106B10000000000000000000000000000000000075
:106B20000000000000000000000000000000000065
:106B30000000000000000000000000000000000055
:106B40000000000000000000000000000000000045
:106B50000000000000000000000000000000000035
:106B60000000000000000000000000000000000025
:106B70000000000000000000000000000000000015
:106B80000000000000000000000000000000000005
:106B900000000000000000000000000000000000F5
:106BA00000000000000000000000000000000000E5
:106BB00000000000000000000000000000000000D5
:106BC00000000000000000000000000000000000C5
:106BD00000000000000000000000000000000000B5
:106BE00000000000000000000000000000000000A5
:106BF0000000000000000000000000000000000095
:106C00000000000000000000000000000000000282
:106C10000000000000000000000000000000000173
:106C20000000000000000000000000000000000064
:106C30000000000000000000000000000000000054
:106C40000000000000000000000000000000000044
:106C50000000000000000000000000000000000034
:106C60000000000000000000000000000000000024
:106C70000000000000000001000000000000000013
:106C80000000000000000000000000000000000004
:106C900000000000000000010000000000000000F3
:106CA00000000000000000000000000000000000E4
:106CB00000000000000000000000000000000000D4
:106CC00000000000000000000000000000000000C4
:106CD00000000000000000000000000000000000B4
:106CE00000000000000000000000000000000001A3
:106CF0000000000000000000000000000000000094
:106D00000000000000000000000000000000000083
:106D10000000000000000000000000000000000073
:106D20000000200000000000000000000000000043
:106D30000000A000000000000000000000000000B3
:106D40000000000000000000000000000000000043
:106D50000000000000000000000000000000000033
:106D60000000000000000000000000000000000023
:106D70000000000000000000000000000000000013
:106D800000000000000000000000200000000000E3
:106D900000000000000000000000000000000000F3
:106DA0000000C00000000000000020000000000003
:106DB00000000000000000000000000000000000D3
:106DC00000000000000000000000000000000000C3
:106DD00000000000000000000000000000000000B3
:106DE00000000000000000000000000000000000A3
:106DF0000000000000000000000000000000000093
:106E00000000A000000000000000000000000000E2
:106E10000000000000000000000000000000000072
:106E20000000000000000000000000000000000062
:106E30000000000000000000000000000000000052
:106E400000008000000000000000000000000000C2
:106E50000000000000000000000000000000000032
:106E60000000000000000000000000000000000022
:106E70000000000000000000000000000000000012
:106E80000000000000000000000000000000000002
:106E900000000000000000000000000000000000F2
:106EA00000000000000000000000000000000000E2
:106EB000000080000000080000000000000000004A
:106EC00000000000000000000000000000000000C2
:106ED00000000000000000000000000000000000B2
:106EE00000000000000000000000000000000000A2
:106EF0000000000000000000000000000000000092
:106F00000000000000000000000000000000000081
:106F10000000000000000000000000000000000071
:106F20000000000000000000000000000000000061
:106F30000000000000000000000000000000000051
:106F40000000000000001000000000000000000031
:106F50000000000000000000000000000000000031
:106F60000000000000000000000000000000000021
:106F70000000000000000000000000000000000011
:106F80000000000000000000000000000000000001
:106F900000000000000000000000000000000000F1
:106FA00000000000000000000000000000000002DF
:106FB00000000000000000000000000000000000D1
:106FC00000000000000000000000000000000000C1
:106FD00000000000000000000000000000000000B1
:106FE00000000000000000000000000000000000A1
:106FF0000000000000000000000000000000000091
:107000000000000000000000000000000000000080
:107010000000000000000000000000000000000070
:10702000000000000000000200000000000000005E
:107030000000000000000000000000000000000050
:107040000000000000000000000000000000000040
:1070500000000000000000000000600000000000D0
:1070600000000000000000000000700000000000B0
:107070000000000000000000000000000000000010
:107080000000000000000000000000000000000000
:1070900000000000000000000000000000000000F0
:1070A00000000000000000000000000000000000E0
:1070B00000000000000000000000000000000000D0
:1070C0000000600000000000000000000000000060
:1070D0000000000000000000000050000000000060
:1070E0000000E000000000000000000000000000C0
:1070F0000000000000000000000000000000000090
:10710000000000000000000000000000000000007F
:10711000000000000000000000000000000000006F
:10712000000000000000000000000000000000005F
:1071300000000000000000000000500000000000FF
:10714000000000000000000000000000000000003F
:10715000000000000000000000000000000000002F
:1071600000000000000000004000000000000400DB
:10717000000000000000000000000000000000000F
:1071800004000000000000000000000000000000FB
:1071900000000000000000000000000000000000EF
:1071A00000000000000000000000000000000000DF
:1071B00000000000000000000000000000000000CF
:1071C00000000000000000000000000000000000BF
:1071D00000000000000000000000000000000000AF
:1071E000008000000000000000000000000000001F
:1071F000000000000000000000000000000000008F
:10720000000000000000000000000000000000007E
:10721000000000000000000000000000000000006E
:1072200000000000000000000000000000000080DE
:10723000000000000000000000000000000000202E
:10724000000000000000000000000000000000003E
:10725000040000000000000000000000000000002A
:10726000000000000000000000000000000000001E
:10727000000000000000000000000000000000000E
:1072800000000000000000000000000000000000FE
:10729000000000000000000000005000000000009E
:1072A00000000000000000000000000000000000DE
:1072B00000000000000100000000000000000000CD
:1072C00000000008000000000000000000000000B6
:1072D0000000000D00000000000000900000000011
:1072E000000000000000000000000000000000009E
:1072F0000800000000000000000D00000000000079
:1073000000800000000000000000000000000000FD
:10731000000000000000000000000000000000006D
:10732000000000000000000000000000000000005D
:10733000000000000000000000000000000000004D
:10734000000000000000000000000000000000003D
:10735000000000000000000000000000000000002D
:10736000000000000000000000000000000000001D
:10737000000000000000000000000000000000000D
:1073800000000000000000000000000000000000FD
:1073900010000000000008000000000000000000D5
:1073A00000000000000000000800000000000000D5
:1073B00000000000000000000000000000000001CC
:1073C00000000000000000000000000000000000BD
:1073D00000000000000000010000000000000000AC
:1073E000000000000000000000000000000000009D
:1073F000000000000000000000000000000000008D
:10740000000000000100000000300000000000004B
:10741000000000000000000000000000000000006C
:10742000000000000000000000000000000000005C
:10743000000000000000000000000000000000004C
:10744000000000000000000000000000000000003C
:10745000000000000000002000000000000000000C
:1074600000000000000000300000000000000000EC
:107470000000000000000000080000000000000004
:1074800000000000000000000000000000000000FC
:1074900000000000000000000000000000000000EC
:1074A00000000000000000000000000000000000DC
:1074B00000000000000000000000000000000000CC
:1074C00000000000000000000000000000000000BC
:1074D00000000000000000000000000000000000AC
:1074E000000000000000000000000000000000009C
:1074F000000000000000000000000000000000008C
:1075000000000080000000000000000000000000FB
:10751000000000000000000000000000000000006B
:10752000000000000000000000000000000000005B
:10753000000000000000000000000030000000001B
:10754000000000000000000000000000000000003B
:10755000000000000000000000000000000000002B
:10756000000000000000000000000000000000001B
:10757000000000000000000000000000000000000B
:1075800000000000000000000000000000000000FB
:1075900000000000000000000000000000000000EB
:1075A00000000000000000000000000000000000DB
:1075B00000000000000000000000000000000000CB
:1075C00000000000000000000000000000000000BB
:1075D00000000000000000000000000000000000AB
:1075E000000000000000000000000000000000009B
:1075F000000000000000000000000000000000008B
:10760000000000000000000000000000000000007A
:10761000000000000000003000000000000000003A
:10762000000000000000000000000000000000005A
:10763000000000000000000000000000000000004A
:1076400000000000000000000000000000000040FA
:10765000000000000000000000000000000000002A
:10766000000000000000000000000000000000001A
:10767000000000000000000000000000000000000A
:1076800000000000000000000000000000000000FA
:1076900000000000000000000000000000000000EA
:1076A00000000000000000000000000000000000DA
:1076B00000000000000000000000000000000000CA
:1076C00000000000000000000000000000000000BA
:1076D00000000000000000000000000000000000AA
:1076E000000000000000000000000000000000009A
:1076F000000000000000000000000000000000008A
:107700000000000000000000000000000000000079
:107710000000000000000000000000000000000069
:107720000000000000000000000000000000000059
:107730000000000000000000000000000000000049
:107740000000000000000000000000000000000039
:107750000000000000000000000000000000000029
:107760000000000000000000000000000000000019
:107770000000000000000000000000000000000009
:1077800000000000000000000000000000000000F9
:1077900000000000000000000000000000000000E9
:1077A00000000000000000000000000000000000D9
:1077B00000000000000000000000000000000000C9
:1077C00000000000000000000000000000000000B9
:1077D00000000000000000000000000000000000A9
:1077E00000008001100810400102000000000000AD
:1077F0000000008082011000100001000000000065
:107800000000000000000000000000000000000078
:107810000000000000000000000000000000000068
:107820000000000000000000000000000000000058
:107830000000000000000000000000000000000048
:107840000000000000000000000080800310000025
:1078500080010000000000000000000000000000A7
:107860000000800110081040010280800310000019
:107870008001000000000000000000000000000087
:1078800000000000000000000000000000000000F8
:1078900000000000000000000000000000000000E8
:1078A00000000000000000000000000000000000D8
:1078B00000000000000000000000000000000000C8
:1078C00000008001100810400102000000000000CC
:1078D00000000000000000000000000000000000A8
:1078E0000000000000000000000000000000000098
:1078F0000000000000000000000000000000000088
:107900000000000000000000000000000040000037
:107910000000000000000000000000000000000067
:107920000000000000000000000000000000000057
:107930000000000000000000000000000000000047
:107940000000000000000000000000000000000037
:107950000000000000000000000000000000000027
:107960000000000400000000000000000000000013
:107970000000000000000000000000000000000007
:1079800000000004000000000000000000000000F3
:1079900000000000000000000000000000000000E7
:1079A00000000000000000000000000000000000D7
:1079B00000000000000000000000000000000000C7
:1079C00000000000000000000000000000000000B7
:1079D00000000000000000000000000000000000A7
:1079E0000000000000000000000000000000000097
:1079F0000000000000000000000000000000000087
:107A00000000000000000000000000000000000076
:107A10000000000000000000000000000000000066
:107A20000000000000000000000000000000000056
:107A30000000000000000000000000000000000046
:107A40000000000000000000000000000000000036
:107A50000000000000000000000000000000000026
:107A60000000000000000000000000000000000016
:107A70000000000000000000000000000000000006
:107A800000000000000000000000000000000000F6
:107A900000000000000000000000000000000000E6
:107AA00000000000000000000000000000000000D6
:107AB00000000000000000000000000000000000C6
:107AC00000000000000000000000000000000000B6
:107AD00000000000000000000000000000000000A6
:107AE0000000000000000000000000000000000096
:107AF0000000000000000000000000000000000086
:107B00000000000000000000000000000000000075
:107B10000000000000000000000000000000000065
:107B20000000000000000000000000000000000055
:107B30000000000000000000000000000000000045
:107B40000000000000000000000000000000000035
:107B50000000000000000000000000000000000025
:107B60000000000000000000000000000000000015
:107B70000000000000000000000000000000000005
:107B800000000000000000000000000000000000F5
:107B900000000000000000000000000000000000E5
:107BA00000000000000000000000000000000000D5
:107BB00000000000000000000000000000000000C5
:107BC00000000000000000000000000000000000B5
:107BD00000000000000000000000000000000000A5
:107BE0000000000000000000000000000000000095
:107BF0000000000000000000000000000000000085
:107C00000000000000000000000000000000000074
:107C10000000000000000000000000000000000064
:107C20000000000000000000000000000000000054
:107C30000000000000000000000000000000000044
:107C40000000000000000000000000000000000034
:107C50000000000000000000000000000000000024
:107C60000000000000000000000000000000000014
:107C70000000000000000000000000000000000004
:107C800000000000000000000000000000000000F4
:107C900000000000000000000000000000000000E4
:107CA00000000000000000000000000000000000D4
:107CB00000000000000000000000000000000000C4
:107CC00000000000000000000000000000000000B4
:107CD00000000000000000000000000000000000A4
:107CE0000000000000000000000000000000000094
:107CF0000000000000000000000000000000000084
:107D00000000000000000000000000000000000073
:107D10000000000000000000000000000000000063
:107D20000000000000000000000000000000000053
:107D30000000000000000000000000000000000043
:107D40000000000000000000000000000000000033
:107D50000000000000000000000000000000000023
:107D60000000000000000000000000000000000013
:107D70000000000000000000000000000000000003
:107D800000000000000000000000000000000000F3
:107D900000000000000000000000000000000000E3
:107DA00000000000000000000000000000000000D3
:107DB00000000000000000000000000000000000C3
:107DC00000000000000000000000000000000000B3
:107DD00000000000000000000000000000000000A3
:107DE0000000000000000000000000000000000093
:107DF0000000000000000000000000000000000083
:107E00000000000000000000000000000000000072
:107E10000000000000000000000000000000000062
:107E20000000000000000000000000000000000052
:107E30000000000000000000000000000000000042
:107E40000000000000000000000000000000000032
:107E50000000000000000000000000000000000022
:107E60000000000000000000000000000000000012
:107E70000000000000000000000000000000000002
:107E800000000000000000000000000000000000F2
:107E900000000000000000000000000000000000E2
:107EA00000000000000000000000000000000000D2
:107EB00000000000000000000000000000000000C2
:107EC00000000000000000000000000000000000B2
:107ED00000000000000000000000000000000000A2
:107EE0000000000000000000000000000000000092
:107EF0000000000000000000000000000000000082
:107F00000000000000000000000000000000000071
:107F10000000000000000000000000000000000061
:107F20000000000000000000000000000000000051
:107F30000000000000000000000000000000000041
:107F40000000000000000000000000000000000031
:107F50000000000000000000000000000000000021
:107F60000000000000000000000000000000000011
:107F70000000000000000000000000000000000001
:107F800000000000000000000000000000000000F1
:107F900000000000000000000000000000000000E1
:107FA00000000000000000000000000000000000D1
:107FB00000000000000000000000000000000000C1
:107FC00000000000000000000000000000000000B1
:107FD00000000000000000000000000000000000A1
:107FE0000000000000000000000000000000000091
:107FF0000000000000000000000000000000000081
:108000000000000000000000000000000000000070
:108010000000000000000000000000000000000060
:108020000000000000000000000000000000000050
:108030000000000000000000000000000000000040
:108040000000000000000000000000000000000030
:108050000000000000000000000000000000000020
:108060000000000000000000000000000000000010
:108070000000000000000000000000000000000000
:1080800000000000000000000000000000000000F0
:1080900000000000000000000000000000000000E0
:1080A00000000000000000000000000000000000D0
:1080B00000000000000000000000000000000000C0
:1080C00000000000000000000000000000000000B0
:1080D00000000000000000000000000000000000A0
:1080E0000000000000000000000000000000000090
:1080F0000000000000000000000000000000000080
:10810000000000000000000000000000000000006F
:10811000000000000000000000000000000000005F
:10812000000000000000000000000000000000004F
:10813000000000000000000000000000000000003F
:10814000000000000000000000000000000000002F
:10815000000000000000000000000000000000001F
:10816000000000000000000000000000000000000F
:1081700000000000000000000000000000000000FF
:1081800000000000000000000000000000000000EF
:1081900000000000000000000000000000000000DF
:1081A00000000000000000000000000000000000CF
:1081B00000000000000000000000000000000000BF
:1081C00000000000000000000000000000000000AF
:1081D000000000000000000000000000000000009F
:1081E000000000000000000000000000000000008F
:1081F000000000000000000000000000000000007F
:10820000000000000000000000000000000000006E
:10821000000000000000000000000000000000005E
:10822000000000000000000000000000000000004E
:10823000000000000000000000000000000000003E
:10824000000000000000000000000000000000002E
:10825000000000000000000000000000000000001E
:10826000000000000000000000000000000000000E
:1082700000000000000000000000000000000000FE
:1082800000000000000000000000000000000000EE
:1082900000000000000000000000000000000000DE
:1082A00000000000000000000000000000000000CE
:1082B00000000000000000000000000000000000BE
:1082C00000000000000000000000000000000000AE
:1082D000000000000000000000000000000000009E
:1082E000000000000000000000000000000000008E
:1082F000000000000000000000000000000000007E
:10830000000000000000000000000000000000006D
:10831000000000000000000000000000000000005D
:10832000000000000000000000000000000000004D
:10833000000000000000000000000000000000003D
:10834000000000000000000000000000000000002D
:10835000000000000000000000000000000000001D
:10836000000000000000000000000000000000000D
:1083700000000000000000000000000000000000FD
:1083800000000000000000000000000000000000ED
:1083900000000000000000000000000000000000DD
:1083A00000000000000000000000000000000000CD
:1083B00000000000000000000000000000000000BD
:1083C00000000000000000000000000000000000AD
:1083D000000000000000000000000000000000009D
:1083E000000000000000000000000000000000008D
:1083F000000000000000000000000000000000007D
:10840000000000000000000000000000000000006C
:10841000000000000000000000000000000000005C
:10842000000000000000000000000000000000004C
:10843000000000000000000000000000000000003C
:10844000000000000000000000000000000000002C
:10845000000000000000000000000000000000001C
:10846000000000000000000000000000000000000C
:1084700000000000000000000000000000000000FC
:1084800000000000000000000000000000000000EC
:1084900000000000000000000000000000000000DC
:1084A00000000000000000000000000000000000CC
:1084B00000000000000000000000000000000000BC
:1084C00000000000000000000000000000000000AC
:1084D000000000000000000000000000000000009C
:1084E000000000000000000000000000000000008C
:1084F000000000000000000000000000000000007C
:10850000000000000000000000000000000000006B
:10851000000000000000000000000000000000005B
:10852000000000000000000000000000000000004B
:10853000000000000000000000000000000000003B
:10854000000000000000000000000000000000002B
:10855000000000000000000000000000000000001B
:10856000000000000000000000000000000000000B
:1085700000000000000000000000000000000000FB
:1085800000000000000000000000000000000000EB
:1085900000000000000000000000000000000000DB
:1085A00000000000000000000000000000000000CB
:1085B00000000000000000000000000000000000BB
:1085C00000000000000000000000000000000000AB
:1085D000000000000000000000000000000000009B
:1085E000000000000000000000000000000000008B
:1085F000000000000000000000000000000000007B
:10860000000000000000000000000000000000006A
:10861000000000000000000000000000000000005A
:10862000000000000000000000000000000000004A
:10863000000000000000000000000000000000003A
:10864000000000000000000000000000000000002A
:10865000000000000000000000000000000000001A
:10866000000000000000000000000000000000000A
:1086700000000000000000000000000000000000FA
:1086800000000000000000000000000000000000EA
:1086900000000000000000000000000000000000DA
:1086A00000000000000000000000000000000000CA
:1086B00000000000000000000000000000000000BA
:1086C00000000000000000000000000000000000AA
:1086D000000000000000000000000000000000009A
:1086E000000000000000000000000000000000008A
:1086F000000000000000000000000000000000007A
:108700000000000000000000000000000000000069
:108710000000000000000000000000000000000059
:108720000000000000000000000000000000000049
:108730000000000000000000000000000000000039
:108740000000000000000000000000000000000029
:108750000000000000000000000000000000000019
:108760000000000000000000000000000000000009
:1087700000000000000000000000000000000000F9
:1087800000000000000000000000000000000000E9
:1087900000000000000000000000000000000000D9
:1087A00000000000000000000000000000000000C9
:1087B00000000000000000000000000000000000B9
:1087C00000000000000000000000000000000000A9
:1087D0000000000000000000000000000000000099
:1087E0000000000000000000000000000000000089
:1087F0000000000000000000000000000000000079
:108800000000000000000000000000000000000068
:108810000000000000000000000000000000000058
:108820000000000000000000000000000000000048
:108830000000000000000000000000000000000038
:108840000000000000000000000000000000000028
:108850000000000000000000000000000000000018
:108860000000000000000000000000000000000008
:1088700000000000000000000000000000000000F8
:1088800000000000000000000000000000000000E8
:1088900000000000000000000000000000000000D8
:1088A00000000000000000000000000000000000C8
:1088B00000000000000000000000000000000000B8
:1088C00000000000000000000000000000000000A8
:1088D0000000000000000000000000000000000098
:1088E0000000000000000000000000000000000088
:1088F0000000000000000000000000000000000078
:108900000000000000000000000000000004000063
:108910000000000000000000000000000000000057
:108920000000000000000000000000000000000047
:108930000000000000000000000000000000000037
:108940000000000000000000000000000000000027
:108950000000000000000000000000000000000017
:108960000000000000000000000000000000000007
:1089700000000000000000000000000000000000F7
:1089800000000000000000000000000000000000E7
:1089900000000000000000000000000000000000D7
:1089A00000000000000000000000000000000000C7
:1089B00000000000000000000000000000000000B7
:1089C00000000000000000000000000000000000A7
:1089D0000000000000000000000000000000000097
:1089E0000000000000000000000000000000000087
:1089F0000000000000000000000000000000000077
:108A00000000000000000000000000000000000066
:108A10000000000000000000000000000000000056
:108A20000000000000000000000000000000000046
:108A30000000000000000000000000000000000036
:108A40000000000000000000000000000000000026
:108A50000000000000000000000000000000000016
:108A60000000000000000000000000000000000006
:108A700000000000000000000000000000000000F6
:108A800000000000000000000000000000000000E6
:108A900000000000000000000000000000000000D6
:108AA00000000000000000000000000000000000C6
:108AB00000000000000000000000000000000000B6
:108AC00000000000000000000000000000000000A6
:108AD0000000000000000000000000000000000096
:108AE0000000000000000000000000000000000086
:108AF0000000000000000000000000000000000076
:108B00000000000000000000000000000000000065
:108B10000000000000000000000000000000000055
:108B20000000000000000000000000000000000045
:108B300000000000000C0000000000000000000029
:108B40000000000000000000000000000000000025
:108B50000000000000000000000000000000000015
:108B60000000000000000000000000000000000005
:108B700000000000000000000000000000000000F5
:108B800000000000000000000000000000000000E5
:108B900000000000000000000000000000000000D5
:108BA00000000000000000000000000000000000C5
:108BB00000000000000000000000000000000000B5
:108BC00000000000000000000000000000000000A5
:108BD0000000000000000000000000000000000095
:108BE0000000000000000000000000000000000085
:108BF0000000000000000000000000000000000075
:108C00000000000000000000000000000000000064
:108C10000000000000000000000000000000000054
:108C20000000000000000000000000000000000044
:108C30000000000000000000000000000000000034
:108C40000000000000000000000000000000000024
:108C50000000000000000000000000000000000014
:108C60000000000000000000000000000000000004
:108C700000000000000000000000000000000000F4
:108C800000000000000000000000000000000000E4
:108C900000000000000000000000000000000000D4
:108CA00000000000000000000000000000000000C4
:108CB00000000000000000000000000000000000B4
:108CC00000000000000000000000000000000000A4
:108CD0000000000000000000000000000000000094
:108CE0000000000000000000000000000000000084
:108CF0000000000000000000000000000000000074
:108D00000000000000000000000000000000000063
:108D10000000000000000000000000000000000053
:108D20000000000000000000000000000000000043
:108D30000000000000000000000000000000000033
:108D40000000000000000000000000000000000023
:108D50000000000000000000000000000000000013
:108D60000000000000000000000000000000000003
:108D700000000000000000000000000000000000F3
:108D800000000000000000000000000000000000E3
:108D900000000000000000000000000000000000D3
:108DA00000000000000000000000000000000000C3
:108DB00000000000000000000000000000000000B3
:108DC00000000000000000000000000000000000A3
:108DD0000000000000000000000000000000000093
:108DE0000000000000000000000000000000000083
:108DF0000000000000000000000000000000000073
:108E00000000000000000000000000000000000062
:108E10000000000000000000000000000000000052
:108E20000000000000000000000000000000000042
:108E30000000000000000000000000000000000032
:108E40000000000000000000000000000000000022
:108E50000000000000000000000000000000000012
:108E60000000000000000000000000000000000002
:108E700000000000000000000000000010010000E1
:108E800000000000000000000000000000000000E2
:108E900000000000000000000000000000000000D2
:108EA00000000000000000000000000000000000C2
:108EB00000000000000000000000000000000000B2
:108EC00000000000000000000000000000000000A2
:108ED0000000000000000000000000000000000092
:108EE0000000000000000000000000000000000082
:108EF0000000000000000000000000000000000072
:108F00000000000000000000000000000000000061
:108F10000000000000000000000000000000000051
:108F20000000000000000000000000000000000041
:108F30000000000000000000000000000000000031
:108F40000000000000000000000000000000000021
:108F50000000000000000000000000000000000011
:108F60000000000000000000000000000000000001
:108F700000000000000000000000000000000000F1
:108F800000000000100100000000000000000000D0
:108F900000010000000000000000000000000000D0
:108FA00000000000000000000000000000000000C1
:108FB00000000000000000000000000000000000B1
:108FC00000000000000000000000000000000000A1
:108FD0000000000000000000000000000000000091
:108FE0000000000000000000000000000000000081
:108FF0000000000000000000000000000000000071
:109000000000000000000000000000000000000060
:109010000000000000000000000000000000000050
:109020000000000000000000000000000000000040
:109030000000000000000000000000000000000030
:109040000000000000000000000000000000000020
:109050000000000000000000000000000000000010
:109060000000000000000000000000000000000000
:1090700000000000000000000000000000000000F0
:1090800000000000000000000000000000000000E0
:1090900000000000000000001001000000000008B7
:1090A00000000000000000000000000000000000C0
:1090B00000000000000000000000000000000000B0
:1090C00000000000000000000000000000000000A0
:1090D0000000000000000000000000000000000090
:1090E0000000000000000000000000000000000080
:1090F0000000000000000000000000000000000070
:10910000000000000000000000000000000000005F
:10911000000000000000000000000000000000004F
:10912000000000000000000000000000000000003F
:10913000000000000000000000000000000000002F
:10914000000000000000000000000000000000001F
:10915000000000000000000000000000000000000F
:1091600000000000000000000000000000000000FF
:1091700000000000000000000000000000000000EF
:1091800000000000000000000000000000000000DF
:1091900000000000000000000000000000000000CF
:1091A00000000000000000000000000000000000BF
:1091B000000000400000000000000000000000006F
:1091C000000000000000000000000000000000009F
:1091D000000000000000000000000000000000008F
:1091E000000000000000000000000000000000007F
:1091F000000000000000000000000000000000006F
:10920000000000000000000000000000000000005E
:10921000000000000000000000000000000000004E
:10922000000000000000000000000000000000003E
:10923000000000000000000000000000000000002E
:10924000000000000000000000000000000000001E
:10925000000000000000000000000000000000000E
:1092600000000000000000000000000000000000FE
:1092700000000000000000000000000000000000EE
:1092800000000000000000000000000000000000DE
:1092900000000000000000000000000000000000CE
:1092A00000000000000000000000000000000000BE
:1092B00000000000000000000000000000000000AE
:1092C000000000000000000000000000000000009E
:1092D000000000000000000000000000000000008E
:1092E000000000000000000000000000000000007E
:1092F000000000000000000000000000000000006E
:10930000000000000000000000000000000000005D
:10931000000000000000000000000000000000004D
:10932000000000000000000000000000000000003D
:10933000000000000000000000000000000000002D
:10934000000000000000000000000000000000001D
:10935000000000000000000000000000000000000D
:1093600000000000000000000000000000000000FD
:1093700000000000000000000000000000000000ED
:1093800000000000000000000000000000000000DD
:1093900000000000000000000000000000000000CD
:1093A00000000000000000000000000000000000BD
:1093B00000000000000000000000000000000000AD
:1093C000000000000000000000000000000000009D
:1093D000000000000000000000600000000000002D
:1093E000000000000000000000000000000000007D
:1093F000000000000000000000000000000000006D
:10940000000000000000000000000000000000005C
:10941000000000000000000000000000000000004C
:10942000000000000000000000000000000000003C
:10943000000000000000000000000000000000002C
:10944000000000000000000000000000000000001C
:10945000000000000000000000000000000000000C
:1094600000000000000000000000000000000000FC
:1094700000000000000000000000000000000000EC
:1094800000000000000000000000000000000000DC
:1094900000000000000000000000000000000000CC
:1094A00000000000000000000000000000000000BC
:1094B00000000000000000000000000000000000AC
:1094C000000000000000000000000000000000009C
:1094D000000000000000000000000000000000008C
:1094E000000000000000000000000000000000106C
:1094F000000000000000000000000000000000006C
:10950000000000000000000000000000000000005B
:10951000000000000000000000000000000000004B
:10952000000000000000000000000000000000003B
:10953000000000000000000000000000000000002B
:10954000000000000000000000000000000000001B
:10955000000000000000000000000000000000000B
:1095600000000000000000000000000000000000FB
:1095700000000000000000000000000000000000EB
:1095800000000000000000000000000000000000DB
:1095900000000000000000000000000000000000CB
:1095A00000000000000000000000000000000000BB
:1095B00000000000000000000000000000000000AB
:1095C000000000000000000000000000000000009B
:1095D000000000000000000000000000000000008B
:1095E000000000000000000000000000000000007B
:1095F000000000000000000000000000200000004B
:10960000000000200000000000000000000000003A
:10961000000000000000000000000000000000004A
:10962000000000000000000000000000000000003A
:10963000000000000000000000000000000000002A
:10964000000000000000000000000000000000001A
:10965000000000000000000000000000000000000A
:1096600000000000000000000000000000000000FA
:1096700000000000000000000000000000000000EA
:1096800000000000000000000000000000000000DA
:1096900000000000000000000000000000000000CA
:1096A00000000000000000000000000000000000BA
:1096B00000000000000000000000000000000000AA
:1096C000000000000000000000000000000000009A
:1096D000000000000000000000000000000000008A
:1096E000000000000000000000000000000000007A
:1096F000000000000000000000000000000000006A
:109700000000000000000000000000000000000059
:109710000000000000280010000000000000000011
:109720000000000000000000000000000000000039
:109730000000000000000000000000000000000029
:109740000000000000000000000000000000000019
:109750000000000000000000000000000000000009
:1097600000000000000000000000000000000000F9
:1097700000000000000000000000000000000000E9
:1097800000000000000000000000000000000000D9
:1097900000000000000000000000000000000000C9
:1097A00000000000000000000000000000000000B9
:1097B00000000000000000000000000000000000A9
:1097C0000000000000000000000000000000000099
:1097D0000000000000000000000000000000000089
:1097E0000000000000000000000000000000000079
:1097F0000000000000000000000000000000000069
:109800000000000000000000000000000000000058
:109810000000000000000000000000000000000048
:109820000000000000000001000000100000000027
:109830000000A00200000000000000000000000086
:109840000000000000000000000000000000000018
:109850000000000000000000000000000000000008
:1098600000000000000000000000000000000000F8
:1098700000000000000000000000000000000000E8
:1098800000000000000000000000000000000000D8
:1098900000000000000000000000000000000000C8
:1098A00000000000000000000000000000000000B8
:1098B00000000000000000000000000000000000A8
:1098C0000000000000000000000000000000000098
:1098D0000000000000000000000000000000000088
:1098E0000000000000000000000000000000000078
:1098F0000000000000000000000000000000000068
:109900000000000000000000000000000000000057
:109910000000000000000000000000000000000047
:109920000000000000000000000000000000000037
:1099300000000000000000000000200000080000FF
:109940000000000000000000000000000000000017
:109950000000000000000000000000000000000007
:1099600000000000000000000000000000000000F7
:1099700000000000000000000000000000000000E7
:1099800000000000000000000000000000000000D7
:1099900000000000000000000000000000000000C7
:1099A00000000000000000000000000000000000B7
:1099B00000000000000000000000000000000000A7
:1099C0000000000000000000000000000000000097
:1099D0000000000000000000000000000000000087
:1099E0000000000000000000000000000000000077
:1099F0000000000000000000000000000000000067
:109A00000000000000000000000000000000000056
:109A10000000000000000000000000000000000046
:109A20000000000000000000000000000000000036
:109A30000000000000000000000000000000000026
:109A40000000000000000000000000000000000016
:109A500000200000000000000000000000000000E6
:109A600000000000000000000000000000000000F6
:109A700000000000000000000000000000000000E6
:109A800000000000000000000000000000000000D6
:109A900000000000000000000000000000000000C6
:109AA00000000000000000000000000000000000B6
:109AB00000000000000000000000000000000000A6
:109AC0000000000000000000000000000000000096
:109AD0000000000000000000000000000000000086
:109AE0000000000000000000000000000000000076
:109AF0000000000000000000000000000000000066
:109B00000000000000000000000000000000000055
:109B10000000000000000000000000000000000045
:109B20000000000000000000000000000000000035
:109B30000000000000000000000000000000000025
:109B40000000000000000000000000000000000015
:109B50000000000000000000000000000000000005
:109B600000000000001000400000000000000000A5
:109B700000000000000000000000000000000000E5
:109B800000000000000000000000000000000000D5
:109B900000000000000000000000000000000000C5
:109BA00000000000000000000000000000000000B5
:109BB00000000000000000000000000000000000A5
:109BC0000000000000000000000000000000000095
:109BD0000000000000000000000000000000000085
:109BE0000000000000000000000000000000000075
:109BF0000000000000000000000000000000000065
:109C00000000000000000000000000000000000054
:109C10000000000000000000000000000000000044
:109C20000000000000000000000000000000000034
:109C30000000000000000000000000000000000024
:109C40000000000000000000000000000000000014
:109C50000000000000000000000000000000000004
:109C600000000000000000000000000000000000F4
:109C7000000000000000000000000048000000009C
:109C800000000000000000000000000000000000D4
:109C900000000000000000000000000000000000C4
:109CA00000000000000000000000000000000000B4
:109CB00000000000000000000000000000000000A4
:109CC0000000000000000000000000000000000094
:109CD0000000000000000000000000000000000084
:109CE0000000000000000000000000000000000074
:109CF0000000000000000000000000000000000064
:109D00000000000000000000000000000000000053
:109D10000000000000000000000000000000000043
:109D20000000000000000000000000000000000033
:109D30000000000000000000000000000000000023
:109D40000000000000000000000000000000000013
:109D50000000000000000000000000000000000003
:109D600000000000000000000000000000000000F3
:109D700000000000000000000000000000000000E3
:109D80000000000000000000000800000024005057
:109D900000000000000000000000000000000000C3
:109DA00000000000000000000000000000000000B3
:109DB00000000000000000000000000000000000A3
:109DC0000000000000000000000000000000000093
:109DD0000000000000000000000000000000000083
:109DE0000000000000000000000000000000000073
:109DF0000000000000000000000000000000000063
:109E00000000000000000000000000000000000052
:109E10000000000000000000000000000000000042
:109E20000000000000000000000000000000000032
:109E30000000000000000000000000000000000022
:109E40000000000000000000000000000000000012
:109E50000000000000000000000000000000000002
:109E600000000000000000000000000000000000F2
:109E700000000000000000000000000000000000E2
:109E800000000000000000000000000000000000D2
:109E900000000000000000000000000000000000C2
:109EA0000030000000000000000000000000000082
:109EB00000000000000000000000000000000000A2
:109EC0000000000000000000000000000000000092
:109ED0000000000000000000000000000000000082
:109EE0000000000000000000000000000000000072
:109EF0000000000000000000000000000000000062
:109F00000000000000000000000000000000000051
:109F10000000000000000000000000000000000041
:109F20000000000000000000000000000000000031
:109F30000000000000000000000000000000000021
:109F40000000000000000000000000000000000011
:109F50000000000000000000000000000000000001
:109F600000000000000000000000000000000000F1
:109F700000000000000000000000000000000000E1
:109F800000000000000000000000000000000000D1
:109F900000000000000000000000000000000000C1
:109FA00000000000000000000000000000000000B1
:109FB00000000000000000000000000000000000A1
:109FC0000000000000000000000000000000000091
:109FD0000000000000000000000000000000000081
:109FE0000000000000000000000000000000000071
:109FF0000000000000000000000000000000000061
:10A000000000000000000000000000000000000050
:10A010000000000000000000000000000000000040
:10A020000000000000000000000000000000000030
:10A030000000000000000000000000000000000020
:10A040000000000000000000000000000000000010
:10A050000000000000000000000000000000000000
:10A0600000000000000000000000000000000000F0
:10A0700000000000000000000000000000000000E0
:10A0800000000000000000000000000000000000D0
:10A0900000000000000000000000000000000000C0
:10A0A00000000000000000000000000000000000B0
:10A0B00000000000000000000000000000000000A0
:10A0C0000000000000000000000000000000000090
:10A0D0000000000000000000000000000000000080
:10A0E0000000000000000000000000000000000070
:10A0F0000000000000000000000000000000000060
:10A10000000000000000000000000000000000004F
:10A11000000000000000000000000000000000003F
:10A12000000000000000000000000000000000002F
:10A13000000000000000000000000000000000001F
:10A14000000000000000000000000000000000000F
:10A1500000000000000000000000000000000000FF
:10A1600000000000000000000000000000000000EF
:10A1700000000000000000000000000000000000DF
:10A1800000000000000000000000000000000000CF
:10A1900000000000000000000000000000000000BF
:10A1A00000000000000000000000000000000000AF
:10A1B000000000000000000000000000000000009F
:10A1C000000000000000000000000000000000008F
:10A1D000000000000000000000000000000000007F
:10A1E000000000000000000000000000000000006F
:10A1F000000000000000000000000000000000005F
:10A20000000000000000000000000000000000004E
:10A21000000000000000000000000000000000003E
:10A22000000000000000000000000000000000002E
:10A23000000000000000000000000000000000001E
:10A24000000000000000000000000000000000000E
:10A2500000000000000000000000000000000000FE
:10A2600000000000000000000000000000000000EE
:10A2700000000000000000000000000000000000DE
:10A2800000000000000000000000000000000000CE
:10A2900000000000000000000000000000000000BE
:10A2A00000000000000000000000000000000000AE
:10A2B000000000000000000000000000000000009E
:10A2C000000000000000000000000000000000008E
:10A2D000000000000000000000000000000000007E
:10A2E000000000000000000000000000000000006E
:10A2F000000000000000000000000000000000005E
:10A30000000000000000000000000000000000004D
:10A31000000000000000000000000000000000003D
:10A32000000000000000000000000000000000002D
:10A33000000000000000000000000000000000001D
:10A34000000000000000000000000000000000000D
:10A3500000000000000000000000000000000000FD
:10A3600000000000000000000000000000000000ED
:10A3700000000000000000000000000000000000DD
:10A3800000000000000000000000000000000000CD
:10A3900000000000000000000000000000000000BD
:10A3A00000000000000000000000000000000000AD
:10A3B000000000000000000000000000000000009D
:10A3C000000000000000000000000000000000008D
:10A3D000000000000000000000000000000000007D
:10A3E000000000000000000000000000000000006D
:10A3F000000000000000000000000000000000005D
:10A4000010810800000000000000000000000000B3
:10A41000000000000000000000000000000000003C
:10A42000000000000000000000000000000000002C
:10A43000000000000000000000000000000000001C
:10A44000000000000000000000000000000000000C
:10A4500000000000000000000000000000000000FC
:10A4600000000000000000000000000000000000EC
:10A4700000000000000000000000000000000000DC
:10A4800000000000000000000000000000000000CC
:10A4900000000000000000000000000000000000BC
:10A4A00000000000000000000000000000000000AC
:10A4B000000000000000000000000000000000009C
:10A4C000000000000000000000000000000000008C
:10A4D000000000000000000000000000000000007C
:10A4E000000000000000000000000000000000006C
:10A4F000000000000000000000000000000000005C
:10A50000000000000000000000000000000000004B
:10A51000000000001081080000C0000000000000E2
:10A52000000000000000000000000000000000002B
:10A53000000000000000000000000000000000001B
:10A54000000000000000000000000000000000000B
:10A5500000000000000000000000000000000000FB
:10A5600000000000000000000000000000000000EB
:10A5700000000000000000000000000000000000DB
:10A5800000000000000000000000000000000000CB
:10A5900000000000000000000000000000000000BB
:10A5A00000000000000000000000000000000000AB
:10A5B000000000000000000000000000000000009B
:10A5C000000000000000000000000000000000008B
:10A5D000000000000000000000000000000000007B
:10A5E000000000000000000000000000000000006B
:10A5F000000000000000000000000000000000005B
:10A60000000000000000000000000000000000004A
:10A61000000000000000000000000000000000003A
:10A62000000000000000000000000000000000002A
:10A63000000000000000000000000000000000001A
:10A64000000000000000000000000000000000000A
:10A6500000000000000000000000000000000000FA
:10A6600000000000000000000000000000000000EA
:10A6700000000000000000000000000000000000DA
:10A6800000000000000000000000000000000000CA
:10A6900000000000000000000000000000000000BA
:10A6A00000000000000000000000000000000000AA
:10A6B000000000000000000000000000000000009A
:10A6C000000000000000000000000000000000008A
:10A6D000000000000000000000000000000000007A
:10A6E000000000000000000000000000000000006A
:10A6F000000000000000000000000000000000005A
:10A700000000000000000000000000000000000049
:10A710000000000000000000000000000000000039
:10A720000000000000000000000000000000000029
:10A730000000000000000000000000000000000019
:10A740000000000000000000000000000000000009
:10A7500000000000000000000000000000000000F9
:10A7600000000000000000000000000000000000E9
:10A7700000000000000000000000000000000000D9
:10A7800000000000000000000000000000000000C9
:10A7900000000000000000000000000000000000B9
:10A7A00000000000000000000000000000000000A9
:10A7B0000000000000000000000000000000000099
:10A7C0000000000000000000000000000000000089
:10A7D0000000000000000000000000000000000079
:10A7E0000000000000000000000000000000000069
:10A7F0000000000000000000000000000000000059
:10A800000000000000000000000000000000000048
:10A810000000000000000000000000000000000038
:10A820000000000000000000000000000000000028
:10A830000000000000000000000000000000000018
:10A840000000000000000000000000000000000008
:10A8500000000000000400000000000000000000F4
:10A8600000000000000000000000000000000000E8
:10A8700000000000000000000000000000000000D8
:10A8800000000000000000000000000000000000C8
:10A8900000000000000000000000000000000000B8
:10A8A00000000000000000000000000000000000A8
:10A8B0000000000000000000000000000000000098
:10A8C0000000000000000000000000000000000088
:10A8D0000000000000000000000000000000000078
:10A8E0000000000000000000000000000000000068
:10A8F0000000000000000000000000000000000058
:10A900000000000000000000000000000000000047
:10A910000000000000000000000000000000000037
:10A920000000000000000000000000000000000027
:10A930000000000000000000000000000000000017
:10A940000000000000000000000000000000000007
:10A9500000000000000000000000000000000000F7
:10A9600000000000000000000008000000000000DF
:10A9700000000000000000000000000000000000D7
:10A9800000000000000000000000000000000000C7
:10A9900000000000000000000000000000000000B7
:10A9A00000000000000000000000000000000000A7
:10A9B0000000000000000000000000000000000097
:10A9C0000000000000000000000000000000000087
:10A9D0000000000000000000000000000000000077
:10A9E0000000000000000000000000000000000067
:10A9F0000000000000000000000000000000000057
:10AA00000000000000000000000000000000000046
:10AA10000000000000000000000000000000000036
:10AA20000000000000000000000000000000000026
:10AA30000000000000000000000000000000000016
:10AA40000000000000000000000000000000000006
:10AA500000000000000000000000000000000000F6
:10AA600000000000000000000000000000000000E6
:10AA700000000000000000000000000000200000B6
:10AA800000000000000000000000000000000000C6
:10AA900000000000000000000000000000000000B6
:10AAA00000000000000000000000000000000000A6
:10AAB0000000000000000000000000000000000096
:10AAC0000000000000000000000000000000000086
:10AAD0000000000000000000000000000000000076
:10AAE0000000000000000000000000000000000066
:10AAF0000000000000000000000000000000000056
:10AB00000000000000000000000000000000000045
:10AB10000000000000000000000000000000000035
:10AB20000000000000000000000000000000000025
:10AB30000000000000000000000000000000000015
:10AB40000000000000000000000000000000000005
:10AB500000000000000000000000000000000000F5
:10AB600000000000000000000000000000000000E5
:10AB700000000000000000000000000000000000D5
:10AB800000000000000000000000000000000000C5
:10AB900000000000000000000000000000000000B5
:10ABA00000000000000000000000000000000000A5
:10ABB0000000000000000000000000000000000095
:10ABC0000000000000000000000000000000000085
:10ABD0000000000000000000000000000000000075
:10ABE0000000000000000000000000000000000065
:10ABF0000000000000000000000000000000000055
:10AC00000000000000000000000000000000000044
:10AC10000000000000000000000000000000000034
:10AC20000000000000000000000000000000000024
:10AC30000000000000000000000000000000000014
:10AC40000000000000000000000000000000000004
:10AC500000000000000000000000000000000000F4
:10AC600000000000000000000000000000000000E4
:10AC700000000000000000000000000000000000D4
:10AC800000000000000000000000000000000000C4
:10AC900000000000000000000000000000000000B4
:10ACA0000000000000100000000000000000000094
:10ACB0000000000000000000000000000000000094
:10ACC0000000000000000000000000000000000084
:10ACD0000000000000000000000000000000000074
:10ACE0000000000000000000000000000000000064
:10ACF0000000000000000000000000000000000054
:10AD00000000000000000000000000000000000043
:10AD10000000000000000000000000000000000033
:10AD20000000000000000000000000000000000023
:10AD30000000000000000000000000000000000013
:10AD40000000000000000000000000000000000003
:10AD500000000000000000000000000000000000F3
:10AD600000000000000000000000000000000000E3
:10AD700000000000000000000000000000000000D3
:10AD800000000000000000000000000000000000C3
:10AD900000000000000000000000000000000000B3
:10ADA00000000000000000000000000000000000A3
:10ADB0000000000000002002000000000000000071
:10ADC0000000000000000000000000000000000083
:10ADD0000000000000000000000000000000000073
:10ADE0000000000000000000000000000000000063
:10ADF0000000000000000000000000000000000053
:10AE00000000000000000000000000000000000042
:10AE10000000000000000000000000000000000032
:10AE20000000000000000000000000000000000022
:10AE30000000000000000000000000000000000012
:10AE40000000000000000000000000000000000002
:10AE500000000000000000000000000000000000F2
:10AE600000000000000000000000000000000000E2
:10AE700000000000000000000000000000000000D2
:10AE800000000000000000000000000000000000C2
:10AE900000000000000000000000000000000000B2
:10AEA00000000000000000000000000000000000A2
:10AEB0000000000000000000000000000000000092
:10AEC0000000000000000000000000000000000082
:10AED0000000000000000000000000000000000072
:10AEE0000000000000000000000000000000000062
:10AEF0000000000000000000000000000000000052
:10AF00000000000000000000000000000000000041
:10AF10000000000000000000000000000000000031
:10AF20000000000000000000000000000000000021
:10AF30000000000000000000000000000000000011
:10AF40000000000000000000000000000000000001
:10AF500000000000000000000000000000000000F1
:10AF600000000000000000000000000000000000E1
:10AF700000000000000000000000000000000000D1
:10AF800000000000000000000000000000000000C1
:10AF900000000000000000000000000000000000B1
:10AFA00000000000000000000000000000000000A1
:10AFB0000000000000000000000000000000000091
:10AFC0000000000000000000000000000000000081
:10AFD000000000000000000000000002000000006F
:10AFE0000018000000000000000000000000000049
:10AFF0000000000000000000000000000000000051
:10B000000000000000000000000000000000000040
:10B010000000000000000000000000000000000030
:10B020000000000000000000000000000000000020
:10B030000000000000000000000000000000000010
:10B040000000000000000000000000000000000000
:10B0500000000000000000000000000000000000F0
:10B0600000000000000000000000000000000000E0
:10B0700000000000000000000000000000000000D0
:10B0800000000000000000000000000000000000C0
:10B0900000000000000000000000000000000000B0
:10B0A00000000000000000000000000000000000A0
:10B0B0000000000000000000000000000000000090
:10B0C0000000000000000000000000000000000080
:10B0D0000000000000000000000000000000000070
:10B0E00000000000000000000000000000007000F0
:10B0F0000000000000C00000000000000800000088
:10B10000000000000000000000000000000000003F
:10B11000000000000000000000000000000000002F
:10B12000000000000000000000000000000000001F
:10B13000000000000000000000000000000000000F
:10B1400000000000000000000000000000000000FF
:10B1500000000000000000000000000000000000EF
:10B1600000000000000000000000000000000000DF
:10B1700000000000000000000000000000000000CF
:10B1800000000000000000000000000000000000BF
:10B1900000000000000000000000000000000000AF
:10B1A000000000000000000000000000000000009F
:10B1B000000000000000000000000000000000008F
:10B1C000000000000000000000000000000000007F
:10B1D000000000000000000000000000000000006F
:10B1E000000000000000000000000000000000005F
:10B1F000000000000000000000000000000000004F
:10B20000000000000000000000000000000000003E
:10B210000C00000000000000000000000000000022
:10B22000000000000000000000000000000000001E
:10B23000000000000000000000000000000000000E
:10B2400000000000000000000000000000000000FE
:10B2500000000000000000000000000000000000EE
:10B2600000000000000000000000000000000000DE
:10B2700000000000000000000000000000000000CE
:10B2800000000000000000000000000000000000BE
:10B2900000000000000000000000000000000000AE
:10B2A000000000000000000000000000000000009E
:10B2B000000000000000000000000000000000008E
:10B2C000000000000000000000000000000000007E
:10B2D000000000000000000000000000000000006E
:10B2E000000000000000000000000000000000005E
:10B2F000000000000000000000000000000000004E
:10B30000000000000000000000000000000000003D
:10B31000000000000000000000000000000000002D
:10B32000000000000000000000000000000000001D
:10B33000000000000000000000000000000000000D
:10B3400000000000000000000000000000000000FD
:10B3500000000000000000000000000000000000ED
:10B3600000000000000000000000000000000000DD
:10B3700000000000000000000000000000000000CD
:10B3800000000000000000000000000000000000BD
:10B3900000000000000000000000000000000000AD
:10B3A000000000000000000000000000000000009D
:10B3B000000000000000000000000000000000008D
:10B3C000000000000000000000000000000000007D
:10B3D000000000000000000000000000000000006D
:10B3E000000000000000000000000000000000005D
:10B3F000000000000000000000000000000000004D
:10B40000000000000000000000000000000000003C
:10B41000000000000000000000000000000000002C
:10B4200000000000000000000000005000000000CC
:10B43000000000000000000000000000000000000C
:10B4400000000000000000000000000000000000FC
:10B4500000000000000000000000000000000000EC
:10B4600000000000000000000000000000000000DC
:10B4700000000000000000000000000000000000CC
:10B4800000000000000000000000000000000000BC
:10B4900000000000000000000000000000000000AC
:10B4A000000000000000000000000000000000009C
:10B4B000000000000000000000000000000000008C
:10B4C000000000000000000000000000000000007C
:10B4D000000000000000000000000000000000006C
:10B4E000000000000000000000000000000000005C
:10B4F000000000000000000000000000000000004C
:10B50000000000000000000000000000000000003B
:10B51000000000000000000000000000000000002B
:10B52000000000000000000000000000000000001B
:10B53000000000000000000000000000000000000B
:10B5400000000000000000000000000000000000FB
:10B5500000000000000000000000000000000000EB
:10B5600000000000000000000000000000000000DB
:10B5700000000000000000000000000000000000CB
:10B5800000000000000000000000000000000000BB
:10B5900000000000000000000000000000000000AB
:10B5A000000000000000000000000000000000009B
:10B5B000000000000000000000000000000000008B
:10B5C000000000000000000000000000000000007B
:10B5D000000000000000000000000000000000006B
:10B5E000000000000000000000000000000000005B
:10B5F000000000000000000000000000000000004B
:10B60000000000000000000000000000000000003A
:10B61000000000000000000000000000000000002A
:10B62000000000000000000000000000000000001A
:10B63000000000000000000000000000000000000A
:10B6400000000000000000000000000000000000FA
:10B6500000000000000000000000000000000000EA
:10B6600000000000000000000000000000000000DA
:10B6700000000000000000000000000000000000CA
:10B6800000000000000000000000000000000000BA
:10B6900000000000000000000000000000000000AA
:10B6A000000000000000000000000000000000009A
:10B6B000000000000000000000000000000000008A
:10B6C000000000000000000000000000000000007A
:10B6D000000000000000000000000000000000006A
:10B6E000000000000000000000000000000000005A
:10B6F000000000000000000000000000000000004A
:10B700000000000000000000000000000000000039
:10B710000000000000000000000000000000000029
:10B720000000000000000000000000000000000019
:10B730000000000000000000000000000000000009
:10B7400000000000000000000000000000000000F9
:10B7500000000000000000000000000000000000E9
:10B7600000000000000000000000000000000000D9
:10B7700000000000000000000000000000000000C9
:10B7800000000000000000000000000000000000B9
:10B7900000000000000000000000000000000000A9
:10B7A0000000000000000000000000000000000099
:10B7B0000000000000000000000000000000000089
:10B7C0000000000000000000000000000000000079
:10B7D0000000000000000000000000000000000069
:10B7E0000000000000000000000000000000000059
:10B7F0000000000000000000000000000000000049
:10B800000000000000000000000000000000000038
:10B810000000000000000000000000000000000028
:10B820000000000000000000000000000000000018
:10B830000000000000000000000000000000000008
:10B8400000000000000000000000000000000000F8
:10B8500000000000000000000000000000000000E8
:10B8600000000000000000000000000000000000D8
:10B8700000000000000000000000000000000000C8
:10B8800000000000000000000000000000000000B8
:10B8900000000000000000000000000000000000A8
:10B8A0000000000000000000000000000000000098
:10B8B0000000000000000000000000000000000088
:10B8C0000000000000000000000000000000000078
:10B8D0000000000000000000000000000000000068
:10B8E0000000000000000000000000000000000058
:10B8F0000000000000000000000000000000000048
:10B900000000000000000000000000000000000037
:10B910000000000000000000000000000000000027
:10B920000000000000000000000000000000000017
:10B930000000000000000000000000000000000007
:10B9400000000000000000000000000000000000F7
:10B9500000000000000000000000000000000000E7
:10B9600000000000000000000000000000000000D7
:10B9700000000000000000000000000000000000C7
:10B9800000000000000000000000000000000000B7
:10B9900000000000000000000000000000000000A7
:10B9A0000000000000000000000000000000000097
:10B9B0000000000000000000000000000000000087
:10B9C0000000000000000000000000000000000077
:10B9D0000000000000000000000000000000000067
:10B9E0000000000000000000000000000000000057
:10B9F0000000000000000000000000000000000047
:10BA00000000000000000000000000000000000036
:10BA10000000000000000000000000000000000026
:10BA20000000000000000000000000000000000016
:10BA30000000000000000000000000000000000006
:10BA400000000000000000000000000000000000F6
:10BA500000000000000000000000000000000000E6
:10BA600000000000000000000000000000000000D6
:10BA700000000000000000000000000000000000C6
:10BA800000000000000000000000000000000000B6
:10BA900000000000000000000000000000000000A6
:10BAA0000000000000000000000000000000000096
:10BAB0000000000000000000000000000000000086
:10BAC0000000000000000000000000000000000076
:10BAD0000000000000000000000000000000000066
:10BAE0000000000000000000000000000000000056
:10BAF0000000000000000000000000000000000046
:10BB00000000000000000000000000000000000035
:10BB10000000000000000000000000000000000025
:10BB20000000000000000000000000000000000015
:10BB30000000000000000000000000000000000005
:10BB400000000000000000000000000000000000F5
:10BB500000000000000000000000000000000000E5
:10BB600000000000000000000000000000000000D5
:10BB700000000000000000000000000000000000C5
:10BB800000000000000000000000000000000000B5
:10BB900000000000000000000000000000000000A5
:10BBA0000000000000000000000000000000000095
:10BBB0000000000000000000000000000000000085
:10BBC0000000000000000000000000000000000075
:10BBD0000000000000000000000000000000000065
:10BBE0000000000000000000000000000000000055
:10BBF0000000000000000000000000000000000045
:10BC00000000000000000000000000000000000034
:10BC10000000000000000000000000000000000024
:10BC20000000000000000000000000000000000014
:10BC30000000000000000000000000000000000004
:10BC400000000000000000000000000000000000F4
:10BC500000000000000000000000000000000000E4
:10BC600000000000000000000000000000000000D4
:10BC700000000000000000000000000000000000C4
:10BC800000000000000000000000000000000000B4
:10BC900000000000000000000000000000000000A4
:10BCA0000000000000000000000000000000000094
:10BCB0000000000000000000000000000000000084
:10BCC0000000000000000000000000000000000074
:10BCD0000000000000000000000000000000000064
:10BCE0000000000000000000000000000000000054
:10BCF0000000000000000000000000000000000044
:10BD00000000000000000000000000000000000033
:10BD10000000000000000000000000000000000023
:10BD20000000000000000000000000000000000013
:10BD30000000000000000000000000000000000003
:10BD400000000000000000000000000000000000F3
:10BD500000000000000000000000000000000000E3
:10BD600000000000000000000000000000000000D3
:10BD700000000000000000000000000000000000C3
:10BD800000000000000000000000000000000000B3
:10BD900000000000000000000000000000000000A3
:10BDA0000000000000000000000000000000000093
:10BDB0000000000000000000000000000000000083
:10BDC0000000000000000000000000000000000073
:10BDD0000000000000000000000000000000000063
:10BDE0000000000000000000000000000000000053
:10BDF0000000000000000000000000000000000043
:10BE00000000000000000000000000000000000032
:10BE10000000000000000000000000000000000022
:10BE20000000000000000000000000000000000012
:10BE30000000000000000000000000000000000002
:10BE400000000000000000000000000000000000F2
:10BE500000000000000000000000000000000000E2
:10BE600000000000000000000000000000000000D2
:10BE700000000000000000000000000000000000C2
:10BE800000000000000000000000000000000000B2
:10BE900000000000000000000000000000000000A2
:10BEA0000000000000000000000000000000000092
:10BEB0000000000000000000000000000000000082
:10BEC0000000000000000000000000000000000072
:10BED0000000000000000000000000000000000062
:10BEE0000000000000000000000000000000000052
:10BEF0000000000000000000000000000000000042
:10BF00000000000000000000000000000000000031
:10BF10000000000000000000000000000000000021
:10BF20000000000000000000000000000000000011
:10BF30000000000000000000000000000000000001
:10BF400000000000000000000000000000000000F1
:10BF500000000000000000000000000000000000E1
:10BF600000000000000000000000000000000000D1
:10BF700000000000000000000000000000000000C1
:10BF800000000000000000000000000000000000B1
:10BF900000000000000000000000000000000000A1
:10BFA0000000000000000000000000000000000091
:10BFB0000000000000000000000000000000000081
:10BFC0000000000000000000000000000000000071
:10BFD0000000000000000000000000000000000061
:10BFE0000000000000000000000000000000000051
:10BFF0000000000000000000000000000000000041
:10C000000000000000000000000000000000000030
:10C010000000000000000000000000000000000020
:10C020000000000000000000000000000000000010
:10C030000000000000000000000000000000000000
:10C0400000000000000000000000000000000000F0
:10C0500000000000000000000000000000000000E0
:10C0600000000000000000000000000000000000D0
:10C0700000000000000000000000000000000000C0
:10C0800000000000000000000000000000000000B0
:10C0900000000000000000000000000000000000A0
:10C0A0000000000000000000000000000000000090
:10C0B0000000000000000000000000000000000080
:10C0C0000000000000000000000000000000000070
:10C0D0000000000000000000000000000000000060
:10C0E0000000000000000000000000000000000050
:10C0F0000000000000000000000000000000000040
:10C10000000000000000000000000000000000002F
:10C11000000000000000000000000000000000001F
:10C12000000000000000000000000000000000000F
:10C1300000000000000000000000000000000000FF
:10C1400000000000000000000000000000000000EF
:10C1500000000000000000000000000000000000DF
:10C1600000000000000000000000000000000000CF
:10C1700000000000000000000000000000000000BF
:10C1800000000000000000000000000000000000AF
:10C19000000000000000000000000000000000009F
:10C1A000000000000000000000000000000000008F
:10C1B000000000000000000000000000000000007F
:10C1C000000000000000000000000000000000006F
:10C1D000000000000000000000000000000000005F
:10C1E000000000000000000000000000000000004F
:10C1F000000000000000000000000000000000003F
:10C20000000000000000000000000000000000002E
:10C21000000000000000000000000000000000001E
:10C22000000000000000000000000000000000000E
:10C2300000000000000000000000000000000000FE
:10C2400000000000000000000000000000000000EE
:10C2500000000000000000000000000000000000DE
:10C2600000000000000000000000000000000000CE
:10C2700000000000000000000000000000000000BE
:10C2800000000000000000000000000000000000AE
:10C29000000000000000000000000000000000009E
:10C2A000000000000000000000000000000000008E
:10C2B000000000000000000000000000000000007E
:10C2C000000000000000000000000000000000006E
:10C2D000000000000000000000000000000000005E
:10C2E000000000000000000000000000000000004E
:10C2F000000000000000000000000000000000003E
:10C30000000000000000000000000000000000002D
:10C31000000000000000000000000000000000001D
:10C32000000000000000000000000000000000000D
:10C3300000000000000000000000000000000000FD
:10C3400000000000000000000000000000000000ED
:10C3500000000000000000000000000000000000DD
:10C3600000000000000000000000000000000000CD
:10C3700000000000000000000000000000000000BD
:10C3800000000000000000000000000000000000AD
:10C39000000000000000000000000000000000009D
:10C3A000000000000000000000000000000000008D
:10C3B000000000000000000000000000000000007D
:10C3C000000000000000000000000000000000006D
:10C3D000000000000000000000000000000000005D
:10C3E000000000000000000000000000000000004D
:10C3F000000000000000000000000000000000003D
:10C40000000000000000000000000000000000002C
:10C41000000000000000000000000000000000001C
:10C42000000000000000000000000000000000000C
:10C4300000000000000000000000000000000000FC
:10C4400000000000000000000000000000000000EC
:10C4500000000000000000000000000000000000DC
:10C4600000000000000000000000000000000000CC
:10C4700000000000000000000000000000000000BC
:10C4800000000000000000000000000000000000AC
:10C49000000000000000000000000000000000009C
:10C4A000000000000000000000000000000000008C
:10C4B000000000000000000000000000000000007C
:10C4C000000000000000000000000000000000006C
:10C4D000000000000000000000000000000000005C
:10C4E000000000000000000000000000000000004C
:10C4F000000000000000000000000000000000003C
:10C50000000000000000000000000000000000002B
:10C51000000000000000000000000000000000001B
:10C52000000000000000000000000000000000000B
:10C5300000000000000000000000000000000000FB
:10C5400000000000000000000000000000000000EB
:10C5500000000000000000000000000000000000DB
:10C5600000000000000000000000000000000000CB
:10C5700000000000000000000000000000000000BB
:10C5800000000000000000000000000000000000AB
:10C59000000000000000000000000000000000009B
:10C5A000000000000000000000000000000000008B
:10C5B000000000000000000000000000000000007B
:10C5C000000000000000000000000000000000006B
:10C5D000000000000000000000000000000000005B
:10C5E000000000000000000000000000000000004B
:10C5F000000000000000000000000000000000003B
:10C60000000000000000000000000000000000002A
:10C61000000000000000000000000000000000001A
:10C62000000000000000000000000000000000000A
:10C6300000000000000000000000000000000000FA
:10C6400000000000000000000000000000000000EA
:10C6500000000000000000000000000000000000DA
:10C6600000000000000000000000000000000000CA
:10C6700000000000000000000000000000000000BA
:10C6800000000000000000000000000000000000AA
:10C69000000000000000000000000000000000009A
:10C6A000000000000000000000000000000000008A
:10C6B000000000000000000000000000000000007A
:10C6C000000000000000000000000000000000006A
:10C6D000000000000000000000000000000000005A
:10C6E000000000000000000000000000000000004A
:10C6F000000000000000000000000000000000003A
:10C700000000000000000000000000000000000029
:10C710000000000000000000000000000000000019
:10C720000000000000000000000000000000000009
:10C7300000000000000000000000000000000000F9
:10C7400000000000000000000000000000000000E9
:10C7500000000000000000000000000000000000D9
:10C7600000000000000000000000000000000000C9
:10C7700000000000000000000000000000000000B9
:10C7800000000000000000000000000000000000A9
:10C790000000000000000000000000000000000099
:10C7A0000000000000000000000000000000000089
:10C7B0000000000000000000000000000000000079
:10C7C0000000000000000000000000000000000069
:10C7D0000000000000000000000000000000000059
:10C7E0000000000000000000000000000000000049
:10C7F0000000000000000000000000000000000039
:10C800000000000000000000000000000000000028
:10C810000000000000000000000000000000000018
:10C820000000000000000000000000000000000008
:10C8300000000000000000000000000000000000F8
:10C8400000000000000000000000000000000000E8
:10C8500000000000000000000000000000000000D8
:10C8600000000000000000000000000000000000C8
:10C8700000000000000000000000000000000000B8
:10C8800000000000000000000000000000000000A8
:10C890000000000000000000000000000000000098
:10C8A0000000000000000000000000000000000088
:10C8B0000000000000000000000000000000000078
:10C8C0000000000000000000000000000000000068
:10C8D0000000000000000000000000000000000058
:10C8E0000000000000000000000000000000000048
:10C8F0000000000000000000000000000000000038
:10C900000000000000000000000000000000000027
:10C910000000000000000000000000000000000017
:10C920000000000000000000000000000000000007
:10C9300000000000000000000000000000000000F7
:10C9400000000000000000000000000000000000E7
:10C9500000000000000000000000000000000000D7
:10C9600000000000000000000000000000000000C7
:10C9700000000000000000000000000000000000B7
:10C9800000000000000000000000000000000000A7
:10C990000000000000000000000000000000000097
:10C9A0000000000000000000000000000000000087
:10C9B0000000000000000000000000000000000077
:10C9C0000000000000000000000000000000000067
:10C9D0000000000000000000000000000000000057
:10C9E0000000000000000000000000000000000047
:10C9F0000000000000000000000000000000000037
:10CA00000000000000000000000000000000000026
:10CA10000000000000000000000000000000000016
:10CA20000000000000000000000000000000000006
:10CA300000000000000000000000000000000000F6
:10CA400000000000000000000000000000000000E6
:10CA500000000000000000000000000000000000D6
:10CA600000000000000000000000000000000000C6
:10CA700000000000000000000000000000000000B6
:10CA800000000000000000000000000000000000A6
:10CA90000000000000000000000000000000000096
:10CAA0000000000000000000000000000000000086
:10CAB0000000000000000000000000000000000076
:10CAC0000000000000000000000000000000000066
:10CAD0000000000000000000000000000000000056
:10CAE0000000000000000000000000000000000046
:10CAF0000000000000000000000000000000000036
:10CB00000000000000000000000000000000000025
:10CB10000000000000000000000000000000000015
:10CB20000000000000000000000000000000000005
:10CB300000000000000000000000000000000000F5
:10CB400000000000000000000000000000000000E5
:10CB500000000000000000000000000000000000D5
:10CB600000000000000000000000000000000000C5
:10CB700000000000000000000000000000000000B5
:10CB800000000000000000000000000000000000A5
:10CB90000000000000000000000000000000000095
:10CBA0000000000000000000000000000000000085
:10CBB0000000000000000000000000000000000075
:10CBC0000000000000000000000000000000000065
:10CBD0000000000000000000000000000000000055
:10CBE0000000000000000000000000000000000045
:10CBF0000000000000000000000000000000000035
:10CC00000000000000000000000000000000000024
:10CC10000000000000000000000000000000000014
:10CC20000000000000000000000000000000000004
:10CC300000000000000000000000000000000000F4
:10CC400000000000000000000000000000000000E4
:10CC500000000000000000000000000000000000D4
:10CC600000000000000000000000000000000000C4
:10CC700000000000000000000000000000000000B4
:10CC800000000000000000000000000000000000A4
:10CC90000000000000000000000000000000000094
:10CCA0000000000000000000000000000000000084
:10CCB0000000000000000000000000000000000074
:10CCC0000000000000000000000000000000000064
:10CCD0000000000000000000000000000000000054
:10CCE0000000000000000000000000000000000044
:10CCF0000000000000000000000000000000000034
:10CD00000000000000000000000000000000000023
:10CD10000000000000000000000000000000000013
:10CD20000000000000000000000000000000000003
:10CD300000000000000000000000000000000000F3
:10CD400000000000000000000000000000000000E3
:10CD500000000000000000000000000000000000D3
:10CD600000000000000000000000000000000000C3
:10CD700000000000000000000000000000000000B3
:10CD800000000000000000000000000000000000A3
:10CD90000000000000000000000000000000000093
:10CDA0000000000000000000000000000000000083
:10CDB0000000000000000000000000000000000073
:10CDC0000000000000000000000000000000000063
:10CDD0000000000000000000000000000000000053
:10CDE0000000000000000000000000000000000043
:10CDF0000000000000000000000000000000000033
:10CE00000000000000000000000000000000000022
:10CE10000000000000000000000000000000000012
:10CE20000000000000000000000000000000000002
:10CE300000000000000000000000000000000000F2
:10CE400000000000000000000000000000000000E2
:10CE500000000000000000000000000000000000D2
:10CE600000000000000000000000000000000000C2
:10CE700000000000000000000000000000000000B2
:10CE800000000000000000000000000000000000A2
:10CE90000000000000000000000000000000000092
:10CEA0000000000000000000000000000000000082
:10CEB0000000000000000000000000000000000072
:10CEC0000000000000000000000000000000000062
:10CED0000000000000000000000000000000000052
:10CEE0000000000000000000000000000000000042
:10CEF0000000000000000000000000000000000032
:10CF00000000000000000000000000000000000021
:10CF10000000000000000000000000000000000011
:10CF20000000000000000000000000000000000001
:10CF300000000000000000000000000000000000F1
:10CF400000000000000000000000000000000000E1
:10CF500000000000000000000000000000000000D1
:10CF600000000000000000000000000000000000C1
:10CF700000000000000000000000000000000000B1
:10CF800000000000000000000000000000000000A1
:10CF90000000000000000000000000000000000091
:10CFA0000000000000000000000000000000000081
:10CFB0000000000000000000000000000000000071
:10CFC0000000000000000000000000000000000061
:10CFD0000000000000000000000000000000000051
:10CFE0000000000000000000000000000000000041
:10CFF0000000000000000000000000000000000031
:10D000000000000000000000000000000000000020
:10D010000000000000000000000000000000000010
:10D020000000000000000000000000000000000000
:10D0300000000000000000000000000000000000F0
:10D0400000000000000000000000000000000000E0
:10D0500000000000000000000000000000000000D0
:10D0600000000000000000000000000000000000C0
:10D0700000000000000000000000000000000000B0
:10D0800000000000000000000000000000000000A0
:10D090000000000000000000000000000000000090
:10D0A0000000000000000000000000000000000080
:10D0B0000000000000000000000000000000000070
:10D0C0000000000000000000000000000000000060
:10D0D0000000000000000000000000000000000050
:10D0E0000000000000000000000000000000000040
:10D0F0000000000000000000000000000000000030
:10D10000000000000000000000000000000000001F
:10D11000000000000000000000000000000000000F
:10D1200000000000000000000000000000000000FF
:10D1300000000000000000000000000000000000EF
:10D1400000000000000000000000000000040000DB
:10D1500000000000000000000000000000000000CF
:10D1600000000000000000000000000000000000BF
:10D1700000000000000000000000000000000000AF
:10D18000000000000000000000000000000000009F
:10D19000000000000000000000000000000000008F
:10D1A000000000000000000000000000000000007F
:10D1B000000000000000000000000000000000006F
:10D1C000000000000000000000000000000000005F
:10D1D000000000000000000000000000000000004F
:10D1E000000000000000000000000000000000003F
:10D1F000000000000000000000000000000000002F
:10D20000000000000000000000000000000000001E
:10D21000000000000000000000000000000000000E
:10D2200000000000000000000000000000000000FE
:10D2300000000000000000000000000000000000EE
:10D2400000000000000000000000000000000000DE
:10D2500000000000000000000000000000000000CE
:10D26000007000000000000000000000000000004E
:10D2700000000000000000000000000000000000AE
:10D28000000000000000000000000000000000009E
:10D29000000000000000000000000000000000008E
:10D2A000000000000000000000000000000000007E
:10D2B000000000000000000000000000000000006E
:10D2C000000000000000000000000000000000005E
:10D2D000000000000000000000000000000000004E
:10D2E000000000000000000000000000000000003E
:10D2F000000000000000000000000000000000002E
:10D30000000000000000000000000000000000001D
:10D31000000000000000000000000000000000000D
:10D3200000000000000000000000000000000000FD
:10D3300000000000000000000000000000000000ED
:10D3400000000000000000000000000000000000DD
:10D3500000000000000000000000000000000000CD
:10D3600000000000000000000000000000000000BD
:10D3700000000000000C00000000000000000000A1
:10D38000000000000000000000000000000000009D
:10D39000000000000000000000000000000000008D
:10D3A000000000000000000000000000000000007D
:10D3B000000000000000000000000000000000006D
:10D3C000000000000000000000000000000000005D
:10D3D000000000000000000000000000000000004D
:10D3E000000000000000000000000000000000003D
:10D3F000000000000000000000000000000000002D
:10D40000000000000000000000000000000000001C
:10D41000000000000000000000000000000000000C
:10D4200000000000000000000000000000000000FC
:10D4300000000000000000000000000000000000EC
:10D4400000000000000000000000000000000000DC
:10D4500000000000000000000000000000000000CC
:10D4600000000000000000000000000000000000BC
:10D4700000000000000000000000000000000000AC
:10D48000000000000000000000000000000000009C
:10D49000000000000000000000000000000000008C
:10D4A000000000000000000000000000000000007C
:10D4B000000000000000000000000000000000006C
:10D4C000000000000000000000000000000000005C
:10D4D000000000000000000000000000000000004C
:10D4E000000000000000000000000000000000003C
:10D4F000000000000000000000000000000000002C
:10D50000000000000000000000000000000000001B
:10D51000000000000000000000000000000000000B
:10D5200000000000000000000000000000000000FB
:10D5300000000000000000000000000000000000EB
:10D5400000000000000000000000000000000000DB
:10D5500000000000000000000000000000000000CB
:10D5600000000000000000000000000000000000BB
:10D5700000000000000000000000000000000000AB
:10D58000000000000000000000000000000000009B
:10D59000000000000000000000000000000000008B
:10D5A000000000000000000000000000000000007B
:10D5B000000000000000000000000000000000006B
:10D5C000000000000000000000000000000000005B
:10D5D000000000000000000000000000000000004B
:10D5E000000000000000000000000000000000003B
:10D5F000000000000000000000000000000000002B
:10D60000000000000000000000000000000000001A
:10D61000000000000000000000000000000000000A
:10D6200000000000000000000000000000000000FA
:10D6300000000000000000000000000000000000EA
:10D6400000000000000000000000000000000000DA
:10D6500000000000000000000000000000000000CA
:10D6600000000000000000000000000000000000BA
:10D6700000000000000000000000000000000000AA
:10D68000000000000000000000000000000000009A
:10D69000000000000000000000000000000000008A
:10D6A000000000000000000000000000000000007A
:10D6B000000000000000000000000000000000006A
:10D6C000000000000000000000000000000000005A
:10D6D000000000000000000000000000000000004A
:10D6E000000000000000000000000000000000003A
:10D6F000000000000000000000000000000000002A
:10D700000000000000000000000000000000000019
:10D710000000000000000000000000000000000009
:10D7200000000000000000000000000000000000F9
:10D7300000000000000000000000000000000000E9
:10D7400000000000000000000000000000000000D9
:10D7500000000000000000000000000000000000C9
:10D7600000000000000000000000000000000000B9
:10D7700000000000000000000000000000000000A9
:10D780000000000000000000000000000000000099
:10D790000000000000000000000000000000000089
:10D7A0000000000000000000000000000000000079
:10D7B0000000000000000000000000000000000069
:10D7C0000000000000000000000000000000000059
:10D7D0000000000000000000000000000000000049
:10D7E0000000000000000000000000000000000039
:10D7F0000000000000000000000000000000000029
:10D800000000000000000000000000000000000018
:10D810000000000000000000000000000000000008
:10D8200000000000000000000000000000000000F8
:10D8300000000000000000000000000000000000E8
:10D8400000000000000000000000000000000000D8
:10D8500000000000000000000000000000000000C8
:10D8600000000000000000000000000000000000B8
:10D8700000000000000000000000000000000000A8
:10D880000000000000000000000000000000000098
:10D890000000000000000000000000000000000088
:10D8A0000000000000000000000000000000000078
:10D8B0000000000000000000000000000000000068
:10D8C0000000000000000000000000000000000058
:10D8D0000000000000000000000000000000000048
:10D8E0000000000000000000000000000000000038
:10D8F0000000000000000000000000000000000028
:10D900000000000000000000000000000000000017
:10D910000000000000000000000000000000000007
:10D9200000000000000000000000000000000000F7
:10D9300000000000000000000000000000000000E7
:10D9400000000000000000000000000000000000D7
:10D9500000000000000000000000000000000000C7
:10D9600000000000000000000000000000000000B7
:10D9700000000000000000000000000000000000A7
:10D980000000000000000000000000000000000097
:10D990000000000000000000000000000000000087
:10D9A0000000000000000000000000000000000077
:10D9B0000000000000000000000000000000000067
:10D9C0000000000000000000000000000000000057
:10D9D0000000000000000000000000000000000047
:10D9E0000000000000000000000000000000000037
:10D9F0000000000000000000000000000000000027
:10DA00000000000000000000000000000000000016
:10DA10000000000000000000000000000000000006
:10DA200000000000000000000000000000000000F6
:10DA300000000000000000000000000000000000E6
:10DA400000000000000000000000000000000000D6
:10DA500000000000000000000000000000000000C6
:10DA600000000000000000000000000000000000B6
:10DA700000000000000000000000000000000000A6
:10DA80000000000000000000000000000000000096
:10DA90000000000000000000000000000000000086
:10DAA0000000000000000000000000000000000076
:10DAB0000000000000000000000000000000000066
:10DAC0000000000000000000000000000000000056
:10DAD0000000000000000000000000000000000046
:10DAE0000000000000000000000000000000000036
:10DAF0000000000000000000000000000000000026
:10DB00000000000000000000000000000000000015
:10DB10000000000000000000000000000000000005
:10DB200000000000000000000000000000000000F5
:10DB300000000000000000000000000000000000E5
:10DB400000000000000000000000000000000000D5
:10DB500000000000000000000000000000000000C5
:10DB600000000000000000000000000000000000B5
:10DB700000000000000000000000000000000000A5
:10DB80000000000000000000000000000000000095
:10DB90000000000000000000000000000000000085
:10DBA0000000000000000000000000000000000075
:10DBB0000000000000000000000000000000000065
:10DBC0000000000000000000000000000000000055
:10DBD0000000000000000000000000000000000045
:10DBE0000000000000000000000000000000000035
:10DBF0000000000000000000000000000000000025
:10DC00000000000000000000000000000000000014
:10DC10000000000000000000000000000000000004
:10DC200000000000000000000000000000000000F4
:10DC300000000000000000000000000000000000E4
:10DC400000000000000000000000000000000000D4
:10DC500000000000000000000000000000000000C4
:10DC600000000000000000000000000000000000B4
:10DC700000000000000000000000000000000000A4
:10DC80000000000000000000000000000000000094
:10DC90000000000000000000000000000000000084
:10DCA0000000000000000000000000000000000074
:10DCB0000000000000000000000000000000000064
:10DCC0000000000000000000000000000000000054
:10DCD0000000000000000000000000000000000044
:10DCE0000000000000000000000000000000000034
:10DCF0000000000000000000000000000000000024
:10DD00000000000000000000000000000000000013
:10DD10000000000000000000000000000000000003
:10DD200000000000000000000000000000000000F3
:10DD300000000000000000000000000000000000E3
:10DD400000000000000000000000000000000000D3
:10DD500000000000000000000000000000000000C3
:10DD600000000000000000000000000000000000B3
:10DD700000000000000000000000000000000000A3
:10DD80000000000000000000000000000000000093
:10DD90000000000000000000000000000000000083
:10DDA0000000000000000000000000000000000073
:10DDB0000000000000000000000000000000000063
:10DDC0000000000000000000000000000000000053
:10DDD0000000000000000000000000000000000043
:10DDE0000000000000000000000000000000000033
:10DDF0000000000000000000000000000000000023
:10DE00000000000000000000000000000000000012
:10DE10000000000000000000000000000000000002
:10DE200000000000000000000000000000000000F2
:10DE300000000000000000000000000000000000E2
:10DE400000000000000000000000000000000000D2
:10DE500000000000000000000000000000000000C2
:10DE600000000000000000000000000000000000B2
:10DE700000000000000000000000000000000000A2
:10DE80000000000000000000000000000000000092
:10DE90000000000000000000000000000000000082
:10DEA0000000000000000000000000000000000072
:10DEB0000000000000000000000000000000000062
:10DEC0000000000000000000000000000000000052
:10DED0000000000000000000000000000000000042
:10DEE0000000000000000000000000000000000032
:10DEF0000000000000000000000000000000000022
:10DF00000000000000000000000000000000000011
:10DF10000000000000000000000000000000000001
:10DF200000000000000000000000000000000000F1
:10DF300000000000000000000000000000000000E1
:10DF400000000000000000000000000000000000D1
:10DF500000000000000000000000000000000000C1
:10DF600000000000000000000000000000000000B1
:10DF700000000000000000000000000000000000A1
:10DF80000000000000000000000000000000000091
:10DF90000000000000000000000000000000000081
:10DFA0000000000000000000000000000000000071
:10DFB0000000000000000000000000000000000061
:10DFC0000000000000000000000000000000000051
:10DFD0000000000000000000000000000000000041
:10DFE0000000000000000000000000000000000031
:10DFF0000000000000000000000000000000000021
:10E000000000000000000000000000000000000010
:10E010000000000000000000000000000000000000
:10E0200000000000000000000000000000000000F0
:10E0300000000000000000000000000000000000E0
:10E0400000000000000000000000000000000000D0
:10E0500000000000000000000000000000000004BC
:10E0600000000000000000000000000000000000B0
:10E0700000000000000000000000000000000000A0
:10E080000000000000000000000000000000000090
:10E090000000000000000000000000000000000080
:10E0A0000000000000000000000000000000000070
:10E0B0000000000000000000000000000000000060
:10E0C0000000000000000000000000000000000050
:10E0D0000000000000000000000000000000000040
:10E0E0000000000000000000000000000000000030
:10E0F0000000000000000000000000000000000020
:10E10000000000000000000000000000000000000F
:10E1100000000000000000000000000000000000FF
:10E1200000000000000000000000000000000000EF
:10E1300000000000000000000000000000000000DF
:10E1400000000000000000000000000000000000CF
:10E1500000000000000000000000000000000000BF
:10E1600000000000000000000000000000000000AF
:10E17000000000000000000000000000000000009F
:10E18000000000000000000000000000000000008F
:10E19000000000000000000000000000000000007F
:10E1A000000000000000000000000000000000006F
:10E1B000000000000000000000000000000000005F
:10E1C000000000000000000000000000000000004F
:10E1D000000000000000000000000000000000003F
:10E1E000000000000000000000000000000000002F
:10E1F000000000000000000000000000000000001F
:10E20000000000000000000000000000000000000E
:10E2100000000000000000000000000000000000FE
:10E2200000000000000000000000000000000000EE
:10E2300000000000000000000000000000000000DE
:10E2400000000000000000000000000000000000CE
:10E2500000000000000000000000000000000000BE
:10E2600000000000000000000000000000000000AE
:10E27000000000000000000000000000000000009E
:10E28000000000000000000000000000000000008E
:10E29000000000000000000000000000000000007E
:10E2A000000000000000000000000000000000006E
:10E2B000000000000000000000000000000000005E
:10E2C000000000000000000000000000000000004E
:10E2D000000000000000000000000000000000003E
:10E2E000000000000000000000000000000000002E
:10E2F000000000000000000000000000000000001E
:10E30000000000000000000000000000000000000D
:10E3100000000000000000000000000000000000FD
:10E3200000000000000000000000000000000000ED
:10E3300000000000000000000000000000000000DD
:10E3400000000000000000000000000000000000CD
:10E3500000000000000000000000000000000000BD
:10E3600000000000000000000000000000000000AD
:10E37000000000000000000000000000000000009D
:10E38000000000000000000000000000000000008D
:10E39000000000000000000000000000000000007D
:10E3A000000000000000000000000000000000006D
:10E3B000000000000000000000000000000000005D
:10E3C000000000000000000000000000000000004D
:10E3D000000000000000000000000000000000003D
:10E3E000000000000000000000000000000000002D
:10E3F000000000000000000000000000000000001D
:10E40000000000000000000000000000000000000C
:10E4100000000000000000000000000000000000FC
:10E4200000000000000000000000000000000000EC
:10E4300000000000000000000000000000000000DC
:10E4400000000000000000000000000000000000CC
:10E4500000000000000000000000000000000000BC
:10E4600000000000000000000000000000000000AC
:10E47000000000000000000000000000000000009C
:10E48000000000000000000000000000000000008C
:10E49000000000000000000000000000000000007C
:10E4A000000000000000000000000000000000006C
:10E4B000000000000000000000000000000000005C
:10E4C000000000000000000000000000000000004C
:10E4D000000000000000000000000000000000003C
:10E4E000000000000000000000000000000000002C
:10E4F000000000000000000000000000000000001C
:10E50000000000000000000000000000000000000B
:10E5100000000000000000000000000000000000FB
:10E5200000000000000000000000000000000000EB
:10E5300000000000000000000000000000000000DB
:10E5400000000000000000000000000000000000CB
:10E5500000000000000000000000000000000000BB
:10E5600000000000000000000000000000000000AB
:10E57000000000000000000000000000000000009B
:10E58000000000000000000000000000000000008B
:10E59000000000000000000000000000000000007B
:10E5A000000000000000000000000000000000006B
:10E5B000000000000000000000000000000000005B
:10E5C000000000000000000000000000000000004B
:10E5D000000000000000000000000000000000003B
:10E5E000000000000000000000000000000000002B
:10E5F000000000000000000000000000000000001B
:10E60000000000000000000000000000000000000A
:10E6100000000000000000000000000000000000FA
:10E6200000000000000000000000000000000000EA
:10E6300000000000000000000000000000000000DA
:10E6400000000000000000000000000000000000CA
:10E6500000000000000000000000000000000000BA
:10E6600000000000000000000000000000000000AA
:10E67000000000000000000000000000000000009A
:10E68000000000000000000000000000000000008A
:10E69000000000000000000000000000000000007A
:10E6A000000000000000000000000000000000006A
:10E6B000000000000000000000000000000000005A
:10E6C000000000000000000000000000000000004A
:10E6D000000000000000000000000000000000003A
:10E6E000000000000000000000000000000000002A
:10E6F000000000000000000000000000000000001A
:10E700000000000000000000000000000000000009
:10E7100000000000000000000000000000000000F9
:10E7200000000000000000000000000000000000E9
:10E7300000000000000000000000000000000000D9
:10E7400000000000000000000000000000000000C9
:10E7500000000000000000000000000000000000B9
:10E7600000000000000000000000000000000000A9
:10E770000000000000000000000000000000000099
:10E780000000000000000000000000000000000089
:10E790000000000000000000000000000000000079
:10E7A0000000000000000000000000000000000069
:10E7B0000000000000000000000000000000000059
:10E7C0000000000000000000000000000000000049
:10E7D0000000000000000000000000000000000039
:10E7E0000000000000000000000000000000000029
:10E7F0000000000000000000000000000000000019
:10E800000000000000000000000000000000000008
:10E8100000000000000000000000000000000000F8
:10E8200000000000000000000000000000000000E8
:10E8300000000000000000000000000000000000D8
:10E8400000000000000000000000000000000000C8
:10E8500000000000000000000000000000000000B8
:10E8600000000000000000000000000000000000A8
:10E870000000000000000000000000000000000098
:10E880000000000000000000000000000000000088
:10E890000000000000000000000000000000000078
:10E8A0000000000000000000000000000000000068
:10E8B0000000000000000000000000000000000058
:10E8C0000000000000000000000000000000000048
:10E8D0000000000000000000000000000000000038
:10E8E0000000000000000000000000000000000028
:10E8F0000000000000000000000000000000000018
:10E900000000000000000000000000000000000007
:10E9100000000000000000000000000000000000F7
:10E9200000000000000000000000000000000000E7
:10E9300000000000000000000000000000000000D7
:10E9400000000000000000000000000000000000C7
:10E9500000000000000000000000000000000000B7
:10E9600000000000000000000000000000000000A7
:10E970000000000000000000000000000000000097
:10E980000000000000000000000000000000000087
:10E990000000000000000000000000000000000077
:10E9A0000000000000000000000000000000000067
:10E9B0000000000000000000000000000000000057
:10E9C0000000000000000000000000000000000047
:10E9D0000000000000000000000000000000000037
:10E9E0000000000000000000000000000000000027
:10E9F0000000000000000000000000000000000017
:10EA00000000000000000000000000000000000006
:10EA100000000000000000000000000000000000F6
:10EA200000000000000000000000000000000000E6
:10EA300000000000000000000000000000000000D6
:10EA400000000000000000000000000000000000C6
:10EA500000000000000000000000000000000000B6
:10EA600000000000000000000000000000000000A6
:10EA70000000000000000000000000000000000096
:10EA80000000000000000000000000000000000086
:10EA90000000000000000000000000000000000076
:10EAA0000000000000000000000000000000000066
:10EAB0000000000000000000000000000000000056
:10EAC0000000000000000000000000000000000046
:10EAD0000000000000000000000000000000000036
:10EAE0000000000000000000000000000000000026
:10EAF0000000000000000000000000000000000016
:10EB00000000000000000000000000000000000005
:10EB100000000000000000000000000000000000F5
:10EB200000000000000000000000000000000000E5
:10EB300000000000000000000000000000000000D5
:10EB400000000000000000000000000000000000C5
:10EB500000000000000000000000000000000000B5
:10EB600000000000000000000000000000000000A5
:10EB70000000000000000000000000000000000095
:10EB80000000000000000000000000000000000085
:10EB90000000000000000000000000000000000075
:10EBA0000000000000000000000000000000000065
:10EBB0000000000000000000000000000000000055
:10EBC0000000000000000000000000000000000045
:10EBD0000000000000000000000000000000000035
:10EBE0000000000000000000000000000000000025
:10EBF0000000000000000000000000000000000015
:10EC00000000000000000000000000000000000004
:10EC100000000000000000000000000000000000F4
:10EC200000000000000000000000000000000000E4
:10EC300000000000000000000000000000000000D4
:10EC400000000000000000000000000000000000C4
:10EC500000000000000000000000000000000000B4
:10EC600000000000000000000000000000000000A4
:10EC70000000000000000000000000000000000094
:10EC80000000000000000000000000000000000084
:10EC90000000000000000000000000000000000074
:10ECA0000000000000000000000000000000000064
:10ECB0000000000000000000000000000000000054
:10ECC0000000000000000000000000000000000044
:10ECD0000000000000000000000000000000000034
:10ECE0000000000000000000000000000000000024
:10ECF0000000000000000000000000000000000014
:10ED00000000000000000000000000000000000003
:10ED100000000000000000000000000000000000F3
:10ED200000000000000000000000000000000000E3
:10ED300000000000000000000000000000000000D3
:10ED400000000000000000000000000000000000C3
:10ED500000000000000000000000000000000000B3
:10ED600000000000000000000000000000000000A3
:10ED70000000000000000000000000000000000093
:10ED80000000000000000000000000000000000083
:10ED90000000000000000000000000000000000073
:10EDA0000000000000000000000000000000000063
:10EDB0000000000000000000000000000000000053
:10EDC0000000000000000000000000000000000043
:10EDD0000000000000000000000000000000000033
:10EDE0000000000000000000000000000000000023
:10EDF0000000000000000000000000000000000013
:10EE00000000000000000000000000000000000002
:10EE100000000000000000000000000000000000F2
:10EE200000000000000000000000000000000000E2
:10EE300000000000000000000000000000000000D2
:10EE400000000000000000000000000000000000C2
:10EE500000000000000000000000000000000000B2
:10EE600000000000000000000000000000000000A2
:10EE70000000000000000000000000000000000092
:10EE80000000000000000000000000000000000082
:10EE90000000000000000000000000000000000072
:10EEA0000000000000000000000000000000000062
:10EEB0000000000000000000000000000000000052
:10EEC0000000000000000000000000000000000042
:10EED0000000000000000000000000000000000032
:10EEE0000000000000000000000000000000000022
:10EEF0000000000000000000000000000000000012
:10EF00000000000000000000000000000000000001
:10EF100000000000000000000000000000000000F1
:10EF200000000000000000000000000000000000E1
:10EF300000000000000000000000000000000000D1
:10EF400000000000000000000000000000000000C1
:10EF500000000000000000000000000000000000B1
:10EF600000000000000000000000000000000000A1
:10EF70000000000000000000000000000000000091
:10EF80000000000000000000000000000000000081
:10EF90000000000000000000000000000000000071
:10EFA0000000000000000000000000000000000061
:10EFB0000000000000000000000000000000000051
:10EFC0000000000000000000000000000000000041
:10EFD0000000000000000000000000000000000031
:10EFE0000000000000000000000000000000000021
:10EFF0000000000000000000000000000000000011
:10F000000000000000000000000000000000000000
:10F0100000000000000000000000000000000000F0
:10F0200000000000000000000000000000000000E0
:10F0300000000000000000000000000000000000D0
:10F0400000000000000000000000000000000000C0
:10F0500000000000000000000000000000000000B0
:10F0600000000000000000000000000000000000A0
:10F070000000000000000000000000000000000090
:10F080000000000000000000000000000000000080
:10F090000000000000000000000000000000000070
:10F0A0000000000000000000000000000000000060
:10F0B0000000000000000000000000000000000050
:10F0C0000000000000000000000000000000000040
:10F0D0000000000000000000000000000000000030
:10F0E0000000000000000000000000000000000020
:10F0F0000000000000000000000000000000000010
:10F1000000000000000000000000000000000000FF
:10F1100000000000000000000000000000000000EF
:10F1200000000000000000000000000000000000DF
:10F1300000000000000000000000000000000000CF
:10F1400000000000000000000000000000000000BF
:10F1500000000000000000000000000000000000AF
:10F16000000000000000000000000000000000009F
:10F17000000000000000000000000000000000008F
:10F18000000000000000000000000000000000007F
:10F19000000000000000000000000000000000006F
:10F1A000000000000000000000000000000000005F
:10F1B000000000000000000000000000000000004F
:10F1C000000000000000000000000000000000003F
:10F1D000000000000000000000000000000000002F
:10F1E000000000000000000000000000000000001F
:10F1F000000000000000000000000000000000000F
:10F2000000000000000000000000000000000000FE
:10F2100000000000000000000000000000000000EE
:10F2200000000000000000000000000000000000DE
:10F2300000000000000000000000000000000000CE
:10F2400000000000000000000000000000000000BE
:10F2500000000000000000000000000000000000AE
:10F26000000000000000000000000000000000009E
:10F27000000000000000000000000000000000008E
:10F28000000000000000000000000000000000007E
:10F29000000000000000000000000000000000006E
:10F2A000000000000000000000000000000000005E
:10F2B000000000000000000000000000000000004E
:10F2C000000000000000000000000000000000003E
:10F2D000000000000000000000000000000000002E
:10F2E000000000000000000000000000000000001E
:10F2F000000000000000000000000000000000000E
:10F3000000000000000000000000000000000000FD
:10F3100000000000000000000000000000000000ED
:10F3200000000000000000000000000000000000DD
:10F3300000000000000000000000000000000000CD
:10F3400000000000000000000000000000000000BD
:10F3500000000000000000000000000000000000AD
:10F36000000000000000000000000000000000009D
:10F37000000000000000000000000000000000008D
:10F38000000000000000000000000000000000007D
:10F39000000000000000000000000000000000006D
:10F3A000000000000000000000000000000000005D
:10F3B000000000000000000000000000000000004D
:10F3C000000000000000000000000000000000003D
:10F3D000000000000000000000000000000000002D
:10F3E000000000000000000000000000000000001D
:10F3F000000000000000000000000000000000000D
:10F4000000000000000000000000000000000000FC
:10F4100000000000000000000000000000000000EC
:10F4200000000000000000000000000000000000DC
:10F4300000000000000000000000000000000000CC
:10F4400000000000000000000000000000000000BC
:10F4500000000000000000000000000000000000AC
:10F46000000000000000000000000000000000009C
:10F47000000000000000000000000000000000008C
:10F48000000000000000000000000000000000007C
:10F49000000000000000000000000000000000006C
:10F4A000000000000000000000000000000000005C
:10F4B000000000000000000000000000000000004C
:10F4C000000000000000000000000000000000003C
:10F4D000000000000000000000000000000000002C
:10F4E000000000000000000000000000000000001C
:10F4F000000000000000000000000000000000000C
:10F5000000000000000000000000000000000000FB
:10F5100000000000000000000000000000000000EB
:10F5200000000000000000000000000000000000DB
:10F5300000000000000000000000000000000000CB
:10F5400000000000000000000000000000000000BB
:10F5500000000000000000000000000000000000AB
:10F56000000000000000000000000000000000009B
:10F57000000000000000000000000000000000008B
:10F58000000000000000000000000000000000007B
:10F59000000000000000000000000000000000006B
:10F5A000000000000000000000000000000000005B
:10F5B000000000000000000000000000000000004B
:10F5C000000000000000000000000000000000003B
:10F5D000000000000000000000000000000000002B
:10F5E000000000000000000000000000000000001B
:10F5F000000000000000000000000000000000000B
:10F6000000000000000000000000000000000000FA
:10F6100000000000000000000000000000000000EA
:10F6200000000000000000000000000000000000DA
:10F6300000000000000000000000000000000000CA
:10F6400000000000000000000000000000000000BA
:10F6500000000000000000000000000000000000AA
:10F66000000000000000000000000000000000009A
:10F67000000000000000000000000000000000008A
:10F68000000000000000000000000000000000007A
:10F69000000000000000000000000000000000006A
:10F6A000000000000000000000000000000000005A
:10F6B000000000000000000000000000000000004A
:10F6C000000000000000000000000000000000003A
:10F6D000000000000000000000000000000000002A
:10F6E000000000000000000000000000000000001A
:10F6F000000000000000000000000000000000000A
:10F7000000000000000000000000000000000000F9
:10F7100000000000000000000000000000000000E9
:10F7200000000000000000000000000000000000D9
:10F7300000000000000000000000000000000000C9
:10F7400000000000000000000000000000000000B9
:10F7500000000000000000000000000000000000A9
:10F760000000000000000000000000000000000099
:10F770000000000000000000000000000000000089
:10F780000000000000000000000000000000000079
:10F790000000000000000000000000000000000069
:10F7A0000000000000000000000000000000000059
:10F7B0000000000000000000000000000000000049
:10F7C0000000000000000000000000000000000039
:10F7D0000000000000000000000000000000000029
:10F7E0000000000000000000000000000000000019
:10F7F0000000000000000000000000000000000009
:10F8000000000000000000000000000000000000F8
:10F8100000000000000000000000000000000000E8
:10F8200000000000000000000000000000000000D8
:10F8300000000000000000000000000000000000C8
:10F8400000000000000000000000000000000000B8
:10F8500000000000000000000000000000000000A8
:10F860000000000000000000000000000000000098
:10F870000000000000000000000000000000000088
:10F880000000000000000000000000000000000078
:10F890000000000000000000000000000000000068
:10F8A0000000000000000000000000000000000058
:10F8B0000000000000000000000000000000000048
:10F8C0000000000000000000000000000000000038
:10F8D0000000000000000000000000000000000028
:10F8E0000000000000000000000000000000000018
:10F8F0000000000000000000000000000000000008
:10F9000000000000000000000000000000000000F7
:10F9100000000000000000000000000000000000E7
:10F9200000000000000000000000000000000000D7
:10F9300000000000000000000000000000000000C7
:10F9400000000000000000000000000000000000B7
:10F9500000000000000000000000000000000000A7
:10F960000000000000000000000000000000000097
:10F970000000000000000000000000000000000087
:10F980000000000000000000000000000000000077
:10F990000000000000000000000000000000000067
:10F9A0000000000000000000000000000000000057
:10F9B0000000000000000000000000000000000047
:10F9C0000000000000000000000000000000000037
:10F9D0000000000000000000000000000000000027
:10F9E0000000000000000000000000000000000017
:10F9F0000000000000000000000000000000000007
:10FA000000000000000000000000000000000000F6
:10FA100000000000000000000000000000000000E6
:10FA200000000000000000000000000000000000D6
:10FA300000000000000000000000000000000000C6
:10FA400000000000000400000000000000000000B2
:10FA500000000000000000000000000000000000A6
:10FA60000000000000000000000000000000000096
:10FA70000000000000000000000000000000000086
:10FA80000000000000000000000000000000000076
:10FA90000000000000000000000000000000000066
:10FAA0000000000000000000000000000000000056
:10FAB0000000000000000000000000000000000046
:10FAC0000000000000000000000000000000000036
:10FAD0000000000000000000000000000000000026
:10FAE0000000000000000000000000000000000016
:10FAF0000000000000000000000000000000000006
:10FB000000000000000000000000000000000000F5
:10FB100000000000000000000000000000000000E5
:10FB200000000000000000000000000000000000D5
:10FB300000000000000000000000000000000000C5
:10FB400000000000000000000000000000000000B5
:10FB50000000000000000000007000000000000035
:10FB60000000000000000000000000000000000095
:10FB70000000000000000000000000000000000085
:10FB80000000000000000000000000000000000075
:10FB90000000000000000000000000000000000065
:10FBA0000000000000000000000000000000000055
:10FBB0000000000000000000000000000000000045
:10FBC0000000000000000000000000000000000035
:10FBD0000000000000000000000000000000000025
:10FBE0000000000000000000000000000000000015
:10FBF0000000000000000000000000000000000005
:10FC000000000000000000000000000000000000F4
:10FC100000000000000000000000000000000000E4
:10FC200000000000000000000000000000000000D4
:10FC300000000000000000000000000000000000C4
:10FC400000000000000000000000000000000000B4
:10FC500000000000000000000000000000000000A4
:10FC6000000000000000000000000000000C000088
:10FC70000000000000000000000000000000000084
:10FC80000000000000000000000000000000000074
:10FC90000000000000000000000000000000000064
:10FCA0000000000000000000000000000000000054
:10FCB0000000000000000000000000000000000044
:10FCC0000000000000000000000000000000000034
:10FCD0000000000000000000000000000000000024
:10FCE0000000000000000000000000000000000014
:10FCF0000000000000000000000000000000000004
:10FD000000000000000000000000000000000000F3
:10FD100000000000000000000000000000000000E3
:10FD200000000000000000000000000000000000D3
:10FD300000000000000000000000000000000000C3
:10FD400000000000000000000000000000000000B3
:10FD500000000000000000000000000000000000A3
:10FD60000000000000000000000000000000000093
:10FD70000000000000000000000000000000000083
:10FD80000000000000000000000000000000000073
:10FD90000000000000000000000000000000000063
:10FDA0000000000000000000000000000000000053
:10FDB0000000000000000000000000000000000043
:10FDC0000000000000000000000000000000000033
:10FDD0000000000000000000000000000000000023
:10FDE0000000000000000000000000000000000013
:10FDF0000000000000000000000000000000000003
:10FE000000000000000000000000000000000000F2
:10FE100000000000000000000000000000000000E2
:10FE200000000000000000000000000000000000D2
:10FE300000000000000000000000000000000000C2
:10FE400000000000000000000000000000000000B2
:10FE500000000000000000000000000000000000A2
:10FE60000000000000000000000000000000000092
:10FE70000000000000000000000000000000000082
:10FE80000000000000000000000000000000000072
:10FE90000000000000100000000000000000000052
:10FEA0000000000000000000000000000000000052
:10FEB0000000000000000000000000000000000042
:10FEC0000000000000000000000000000000000032
:10FED0000000000000000000000000000000000022
:10FEE0000000000000000000000000000000000012
:10FEF0000000000000000000000000000000000002
:10FF000000000000000000000000000000000000F1
:10FF100000000000000000000000000000000000E1
:10FF200000000000000000000000000000000000D1
:10FF300000000000000000000000000000000000C1
:10FF400000000000000000000000000000000000B1
:10FF500000000000000000000000000000000000A1
:10FF60000000000000000000000000000000000091
:10FF70000000000000000000000000000000000081
:10FF80000000000000000000000000000000000071
:10FF90000000000000000000000000000000000061
:10FFA0000000000000000000000000000000000051
:10FFB0000000000000000000000000000000000041
:10FFC0000000000000000000000000000000000031
:10FFD0000000000000000000000000000000000021
:10FFE0000000000000000000000000000000000011
:10FFF0000000000000000000000000000000000001
:020000040002F8
:1000000000000000000000000000000000000000F0
:1000100000000000000000000000000000000000E0
:1000200000000000000000000000000000000000D0
:1000300000000000000000000000000000000000C0
:1000400000000000000000000000000000000000B0
:1000500000000000000000000000000000000000A0
:100060000000000000000000000000000000000090
:100070000000000000000000000000000000000080
:100080000000000000000000000000000000000070
:100090000000000000000000000000000000000060
:1000A0000000000000000000000000000000000050
:1000B0000000000000000000000000000018000028
:1000C0000000000000000000000000000000000030
:1000D0000000000000000000000000000000000020
:1000E0000000000000000000000000000000000010
:1000F0000000000000000000000000000000000000
:1001000000000000000000000000000000000000EF
:1001100000000000000000000000000000000000DF
:1001200000000000000000000000000000000000CF
:1001300000000000000000000000000000000000BF
:1001400000000000000000000000000000000000AF
:10015000000000000000000000000000000000009F
:10016000000000000000000000000000000000008F
:10017000000000000000000000000000000000007F
:10018000000000000000000000000000000000006F
:10019000000000000000000000000000000000005F
:1001A000000000000000000000000000000000004F
:1001B000000000000000000000000000000000003F
:1001C000000000000000000000000000000000002F
:1001D00000200000000000000000000000000000FF
:1001E000000000000000000000000000000000000F
:1001F00000000000000000000000000000000000FF
:1002000000000000000000000000000000000000EE
:1002100000000000000000000000000000000000DE
:1002200000000000000000000000000000000000CE
:1002300000000000000000000000000000000000BE
:1002400000000000000000000000000000000000AE
:10025000000000000000000000000000000000009E
:10026000000000000000000000000000000000008E
:10027000000000000000000000000000000000007E
:10028000000000000000000000000000000000006E
:10029000000000000000000000000000000000005E
:1002A000000000000000000000000000000000004E
:1002B000000000000000000000000000000000003E
:1002C000000000000000000000000000000000002E
:1002D000000000000000000000000000000000001E
:1002E000000000000000000000000000000000000E
:1002F00000000000000000000000000000000000FE
:1003000000000000000000000000000000000000ED
:1003100000000000000000000000000000000000DD
:1003200000000000000000000000000000000000CD
:1003300000000000000000000000000000000000BD
:1003400000000000000000000000000000000000AD
:10035000000000000000000000000000000000009D
:10036000000000000000000000000000000000008D
:10037000000000000000000000000000000000007D
:10038000000000000000000000000000000000006D
:10039000000000000000000000000000000000005D
:1003A000000000000000000000000000000000004D
:1003B000000000000000000000000000000000003D
:1003C000000000000000000000000000000000002D
:1003D000000000000000000000000000000000001D
:1003E000000000000000000000000000000000000D
:1003F0000000000000000000000C000000000000F1
:1004000000000000000000000000000000000000EC
:1004100000000000000000000000000000000000DC
:1004200000000000000000000000000000000000CC
:1004300000000000000000000000000000000000BC
:1004400000000000000000000000000000000000AC
:10045000000000000000000000000000000000009C
:10046000000000000000000000000000000000008C
:10047000000000000000000000000000000000007C
:10048000000000000000000000000000000000006C
:10049000000000000000000000000000000000005C
:1004A000000000000000000000000000000000004C
:1004B000000000000000000000000000000000003C
:1004C000000000000000000000000000000000002C
:1004D000000000000000000000000000000000001C
:1004E000000000000000000000000000000000000C
:1004F00000000000000000000000000000000000FC
:1005000000000000000000000000000000000000EB
:1005100000000000000000000000000000000000DB
:1005200000000000000000000000000000000000CB
:1005300000000000000000000000000000000000BB
:1005400000000000000000000000000000000000AB
:10055000000000000000000000000000000000009B
:10056000000000000000000000000000000000008B
:10057000000000000000000000000000000000007B
:10058000000000000000000000000000000000006B
:10059000000000000000000000000000000000005B
:1005A000000000000000000000000000000000004B
:1005B000000000000000000000000000000000003B
:1005C000000000000000000000000000000000002B
:1005D000000000000000000000000000000000001B
:1005E000000000000000000000000000000000000B
:1005F00000000000000000000000000000000000FB
:1006000000000000000000000000000000000000EA
:1006100000000000000000000000000000000000DA
:1006200000000000000000000000000000000000CA
:1006300000000000000000000000000000000000BA
:1006400000000000000000000000000000000000AA
:10065000000000000000000000000000000000009A
:10066000000000000000000000000000000000008A
:10067000000000000000000000000000000000007A
:10068000000000000000000000000000000000006A
:10069000000000000000000000000000000000005A
:1006A000000000000000000000000000000000004A
:1006B000000000000000000000000000000000003A
:1006C000000000000000000000000000000000002A
:1006D000000000000000000000000000000000001A
:1006E000000000000000000000000000000000000A
:1006F00000000000000000000000000000000000FA
:1007000000000000000000000000000000000000E9
:1007100000000000000000000000000000000000D9
:1007200000000000000000000000000000000000C9
:1007300000000000000000000000000000000000B9
:1007400000000000000000000000000000000000A9
:100750000000000000000000000000000000000099
:100760000000000000000000000000000000000089
:100770000000000000000000000000000000000079
:100780000000000000000000000000000000000069
:100790000000000000000000000000000000000059
:1007A0000000000000000000000000000000000049
:1007B0000000000000000000000000000000000039
:1007C0000000000000000000000000000000000029
:1007D0000000000000000000000000000000000019
:1007E0000000000000000000000000000000000009
:1007F00000000000000000000000000000000000F9
:1008000000000000000000000000000000000000E8
:1008100000000000000000000000000000000000D8
:1008200000000000000000000000000000000000C8
:1008300000000000000000000000000000000000B8
:1008400000000000010000000000000000000000A7
:100850000000000000000000000000000000000098
:100860000000000000000000000000000000000088
:100870000000000000000000000000000000000078
:100880000000000000000000000000000000000068
:100890000000000000000000000000000000000058
:1008A0000000000000000000000000000000000048
:1008B0000000000000000000000000000000000038
:1008C0000000000000000000000000000000000028
:1008D0000000000000000000000000000000000018
:1008E0000000000000000000000000000000000008
:1008F00000000000000000000000000000000000F8
:1009000000000000000000000000000000000000E7
:1009100000000000000000000000000000000000D7
:1009200000000000000000000000000000000000C7
:1009300000000000000000000000000000000000B7
:1009400000000000000000000000000000000000A7
:100950000000000000000004000000000000000093
:100960000000000000000000000000000000000087
:100970000000000000000000000000000000000077
:100980000000000000000000000000000000000067
:100990000000000000000000000000000000000057
:1009A0000000000000000000000000000000000047
:1009B0000000000000000000000000000000000037
:1009C0000000000000000000000000000000000027
:1009D0000000000000000000000000000000000017
:1009E0000000000000000000000000000000000007
:1009F00000000000000000000000000000000000F7
:100A000000000000000000000000000000000000E6
:100A100000000000000000000000000000000000D6
:100A200000000000000000000000000000000000C6
:100A300000000000000000000000000000000000B6
:100A400000000000000000000000000000000000A6
:100A50000000000000000000000000000000000096
:100A60000000000000000000000000000000000086
:100A70000000000000000000000000000000000076
:100A80000000000000000000000000000000000066
:100A90000000000000000000000000000000000056
:100AA0000000000000000000000000000000000046
:100AB0000000000000000000000000000000000036
:100AC0000000000000000000000000000000000026
:100AD0000000000000000000000000000000000016
:100AE0000000000000000000000000000000000006
:100AF00000000000000000000000000000000000F6
:100B000000000000000000000000000000000000E5
:100B100000000000000000000000000000000000D5
:100B200000000000000000000000000000000000C5
:100B300000000000000000000000000000000000B5
:100B400000000000000000000000000000000000A5
:100B50000000000000000000000000000000000095
:100B60000000000000000000000000000000000085
:100B70000000000000000000000000000000000075
:100B80000000000000000000000000000000000065
:100B90000000000000000000000000000000000055
:100BA0000000000000000000000000000000000045
:100BB0000000000000000000000000000000000035
:100BC0000000000000000000000000000000000025
:100BD0000000000000000000000000000000000015
:100BE0000000000000000000000000000000000005
:100BF00000000000000000000000000000000000F5
:100C000000000000000000000000000000000000E4
:100C100000000000000000000000000000000000D4
:100C200000000000000000000000000000000000C4
:100C300000000000000000000000000000000000B4
:100C400000000000000000000000000000000000A4
:100C50000000000000000000000000000000000094
:100C60000000000000000000000000000000000084
:100C70000000000000000000000000000000000074
:100C80000000000000000000000000000000000064
:100C90000000000000000000000000000000000054
:100CA0000000000000000000000000000000000044
:100CB0000000000000000000000000000000000034
:100CC0000000000000000000000000000000000024
:100CD0000000000000000000000000000000000014
:100CE0000000000000000000000000000000000004
:100CF00000000000000000000000000000000000F4
:100D000000000000000000000000000000000000E3
:100D100000000000000000000000000000000000D3
:100D200000000000000000000000000000000000C3
:100D300000000000000000000000000000000000B3
:100D400000000000000000000000000000000000A3
:100D50000000000000000000000000000000000093
:100D60000000000000000000000000000000000083
:100D70000000000000000000000000000000000073
:100D80000000000000000000000000000000000063
:100D90000000000000000000000000000000000053
:100DA0000000000000000000000000000000000043
:100DB0000000000000000000000000000000000033
:100DC0000000000000000000000000000000000023
:100DD0000000000000000000000000000000000013
:100DE0000000000000000000000000000000000003
:100DF00000000000000000000000000000000000F3
:100E000000000000000000000000000000000000E2
:100E100000000000000000000000000000000000D2
:100E200000000000000000000000000000000000C2
:100E300000000000000000000000000000000000B2
:100E400000000000000000000000000000000000A2
:100E50000000000000000000000000000000000092
:100E60000000000000000000000000000000000082
:100E70000000000000000000000000000000000072
:100E80000000000000000000000000000000000062
:100E90000000000000000000000000000000000052
:100EA0000000000000000000000000000000000042
:100EB0000000000000000000000000000000000032
:100EC0000000000000000000000000000000000022
:100ED0000000000000000000000000000000000012
:100EE0000000000000000000000000000000000002
:100EF00000000000000000000000000000000000F2
:100F000000000000000000000000000000000000E1
:100F100000000000000000000000000000000000D1
:100F200000000000000000000000000000000000C1
:100F300000000000000000000000000000000000B1
:100F400000000000000000000000000000000000A1
:100F50000000000000000000000000000000000091
:100F60000000000000000000000000000000000081
:100F70000000000000000000000000000000000071
:100F80000000000000000000000000000000000061
:100F90000000000000000000000000000000000051
:100FA0000000000000000000000000000000000041
:100FB0000000000000000000000000000000000031
:100FC0000000000000000000000000000000000021
:100FD0000000000000000000000000000000000011
:100FE0000000000000000000000000000000000001
:100FF00000000000000000000000000000000000F1
:1010000000000000000000000000000000000000E0
:1010100000000000000000000000000000000000D0
:1010200000000000000000000000000000000000C0
:1010300000000000000000000000000000000000B0
:1010400000000000000000000000000000000000A0
:101050000000000000000000000000000000000090
:101060000000000000000000000000000000000080
:101070000000000000000000000000000000000070
:101080000000000000000000000000000000000060
:101090000000000000000000000000000000000050
:1010A0000000000000000000000000000000000040
:1010B0000000000000000000000000000000000030
:1010C0000000000000000000000000000000000020
:1010D0000000000000000000000000000000000010
:1010E0000000000000000000000000000000000000
:1010F00000000000000000000000000000000000F0
:1011000000000000000000000000000000000000DF
:1011100000000000000000000000000000000000CF
:1011200000000000000000000000000000000000BF
:1011300000000000000000000000000000000000AF
:10114000000000000000000000000000000000009F
:10115000000000000000000000000000000000008F
:10116000000000000000000000000000000000007F
:10117000000000000000000000000000000000006F
:10118000000000000000000000000000000000005F
:10119000000000000000000000000000000000004F
:1011A000000000000000000000000000000000003F
:1011B000000000000000000000000000000000002F
:1011C000000000000000000000000000000000001F
:1011D000000000000000000000000000000000000F
:1011E00000000000000000000000000000000000FF
:1011F00000000000000000000000000000000000EF
:1012000000000000000000000000000000000000DE
:1012100000000000000000000000000000000000CE
:1012200000000000000000000000000000000000BE
:1012300000000000000000000000000000000000AE
:10124000000000000000000000000000000000009E
:10125000000000000000000000000000000000008E
:10126000000000000000000000000000000000007E
:10127000000000000000000000000000000000006E
:10128000000000000000000000000000000000005E
:10129000000000000000000000000000000000004E
:1012A000000000000000000000000000000000003E
:1012B000000000000000000000000000000000002E
:1012C000000000000000000000000000000000001E
:1012D000000000000000000000000000000000000E
:1012E00000000000000000000000000000000000FE
:1012F00000000000000000000000000000000000EE
:1013000000000000000000000000000000000000DD
:1013100000000000000000000000000000000000CD
:1013200000000000000000000000000000000000BD
:1013300000000000000000000000000000000000AD
:10134000000000000000000000000000000000009D
:10135000000000000000000000000000000000008D
:10136000000000000000000000000000000000007D
:10137000000000000000000000000000000000006D
:10138000000000000000000000000000000000005D
:10139000000000000000000000000000000000004D
:1013A000000000000000000000000000000000003D
:1013B000000000000000000000000000000000002D
:1013C000000000000000000000000000000000001D
:1013D000000000000000000000000000000000000D
:1013E00000000000000000000000000000000000FD
:1013F00000000000000000000000000000000000ED
:1014000000000000000000000000000000000000DC
:1014100000000000000000000000000000000000CC
:1014200000000000000000000000000000000000BC
:1014300000000000000000000000000000000000AC
:10144000000000000000000000000000000000009C
:10145000000000000000000000000000000000008C
:10146000000000000000000000000000000000007C
:10147000000000000000000000000000000000006C
:10148000000000000000000000000000000000005C
:10149000000000000000000000000000000000004C
:1014A000000000000000000000000000000000003C
:1014B000000000000000000000000000000000002C
:1014C000000000000000000000000000000000001C
:1014D000000000000000000000000000000000000C
:1014E00000000000000000000000000000000000FC
:1014F00000000000000000000000000000000000EC
:1015000000000000000000000000000000000000DB
:1015100000000000000000000000000000000000CB
:1015200000000000000000000000000000000000BB
:1015300000000000000000000000000000000000AB
:10154000000000000000000000000000000000009B
:10155000000000000000000000000000000000008B
:10156000000000000000000000000000000000007B
:10157000000000000000000000000000000000006B
:10158000000000000000000000000000000000005B
:10159000000000000000000000000000000000004B
:1015A000000000000000000000000000000000003B
:1015B000000000000000000000000000000000002B
:1015C000000000000000000000000000000000001B
:1015D000000000000000000000000000000000000B
:1015E00000000000000000000000000000000000FB
:1015F00000000000000000000000000000000000EB
:1016000000000000000000000000000000000000DA
:1016100000000000000000000000000000000000CA
:1016200000000000000000000000000000000000BA
:1016300000000000000000000000000000000000AA
:10164000000000000000000000000000000000009A
:10165000000000000000000000000000000000008A
:10166000000000000000000000000000000000007A
:10167000000000000000000000000000000000006A
:10168000000000000000000000000000000000005A
:10169000000000000000000000000000000000004A
:1016A000000000000000000000000000000000003A
:1016B000000000000000000000000000000000002A
:1016C000000000000000000000000000000000001A
:1016D000000000000000000000000000000000000A
:1016E00000000000000000000000000000000000FA
:1016F00000000000000000000000000000000000EA
:1017000000000000000000000000000000000000D9
:1017100000000000000000000000000000000000C9
:1017200000000000000000000000000000000000B9
:1017300000000000000000000000000000000000A9
:101740000000000000000000000000000000000099
:101750000000000000000000000000000000000089
:101760000000000000000000000000000000000079
:101770000000000000000000000000000000000069
:101780000000000000000000000000000000000059
:101790000000000000000000000000000000000049
:1017A0000000000000000000000000000000000039
:1017B0000000000000000000000000000000000029
:1017C0000000000000000000000000000000000019
:1017D0000000000000000000000000000000000009
:1017E00000000000000000000000000000000000F9
:1017F00000000000000000000000000000000000E9
:1018000000000000000000000000000000000000D8
:1018100000000000000000000000000000000000C8
:1018200000000000000000000000000000000000B8
:1018300000000000000000000000000000000000A8
:101840000000000000000000000000000000000098
:101850000000000000000000000000000000000088
:101860000000000000000000000000000000000078
:101870000000000000000000000000000000000068
:101880000000000000000000000000000000000058
:101890000000000000000000000000000000000048
:1018A0000000000000000000000000000000000038
:1018B0000000000000000000000000000000000028
:1018C0000000000000000000000000000000000018
:1018D0000000000000000000000000000000000008
:1018E00000000000000000000000000000000000F8
:1018F00000000000000000000000000000000000E8
:1019000000000000000000000000000000000000D7
:1019100000000000000000000000000000000000C7
:1019200000000000000000000000000000000000B7
:1019300000000000000000000000000000000000A7
:101940000000000000000000000000000000000097
:101950000000000000000000000000000000000087
:101960000000000000000000000000000000000077
:101970000000000000000000000000000000000067
:101980000000000000000000000000000000000057
:101990000000000000000000000000000000000047
:1019A0000000000000000000000000000000000037
:1019B0000000000000000000000000000000000027
:1019C0000000000000000000000000000000000017
:1019D0000000000000000000000000000000000007
:1019E00000000000000000000000000000000000F7
:1019F00000000000000000000000000000000000E7
:101A000000000000000000000000000000000000D6
:101A100000000000000000000000000000000000C6
:101A200000000000000000000000000000000000B6
:101A300000000000000000000000000000000000A6
:101A40000000000000000000000000000000000096
:101A50000000000000000000000000000000000086
:101A60000000000000000000000000000000000076
:101A70000000000000000000000000000000000066
:101A80000000000000000000000000000000000056
:101A90000000000000000000000000000000000046
:101AA0000000000000000000000000000000000036
:101AB0000000000000000000000000000000000026
:101AC0000000000000000000000000000000000016
:101AD0000000000000000000000000000000000006
:101AE00000000000000000000000000000000000F6
:101AF00000000000000000000000000000000000E6
:101B000000000000000000000000000000000000D5
:101B100000000000000000000000000000000000C5
:101B200000000000000000000000000000000000B5
:101B300000000000000000000000000000000000A5
:101B40000000000000000000000000000000000095
:101B50000000000000000000000000000000000085
:101B60000000000000000000000000000000000075
:101B70000000000000000000000000000000000065
:101B80000000000000000000000000000000000055
:101B90000000000000000000000000000000000045
:101BA0000000000000000000000000000000000035
:101BB0000000000000000000000000000000000025
:101BC0000000000000000000000000000000000015
:101BD0000000000000000000000000000000000005
:101BE00000000000000000000000000000000000F5
:101BF00000000000000000000000000000000000E5
:101C000000000000000000000000000000000000D4
:101C100000000000000000000000000000000000C4
:101C200000000000000000000000000000000000B4
:101C300000000000000000000000000000000000A4
:101C40000000000000000000000000000000000094
:101C50000000000000000000000000000000000084
:101C60000000000000000000000000000000000074
:101C70000000000000000000000000000000000064
:101C80000000000000000000000000000000000054
:101C90000000000000000000000000000000000044
:101CA0000000000000000000000000000000000034
:101CB0000000000000000000000000000000000024
:101CC0000000000000000000000000000000000014
:101CD0000000000000000000000000000000000004
:101CE00000000000000000000000000000000000F4
:101CF00000000000000000000000000000000000E4
:101D000000000000000000000000000000000000D3
:101D100000000000000000000000000000000000C3
:101D200000000000000000000000000000000000B3
:101D300000000000000000000000000000000000A3
:101D40000000000000000000000000000000000093
:101D50000000000000000000000000000000000083
:101D60000000000000000000000000000000000073
:101D70000000000000000000000000000000000063
:101D80000000000000000000000000000000000053
:101D90000000000000000000000000000000000043
:101DA0000000000000000000000000000000000033
:101DB0000000000000000000000000000000000023
:101DC0000000000000000000000000000000000013
:101DD0000000000000000000000000000000000003
:101DE00000000000000000000000000000000000F3
:101DF00000000000000000000000000000000000E3
:101E000000000000000000000000000000000000D2
:101E100000000000000000000000000000000000C2
:101E200000000000000000000000000000000000B2
:101E300000000000000000000000000000000000A2
:101E40000000000000000000000000000000000092
:101E50000000000000000000000000000000000082
:101E60000000000000000000000000000000000072
:101E70000000000000000000000000000000000062
:101E80000000000000000000000000000000000052
:101E90000000000000000000000000000000000042
:101EA0000000000000000000000000000000000032
:101EB0000000000000000000000000000000000022
:101EC0000000000000000000000000000000000012
:101ED0000000000000000000000000000000000002
:101EE0000000000000000000108108000000000059
:101EF00000000000000000000000000000000000E2
:101F000000000000000000000000000000000000D1
:101F100000000000000000000000000000000000C1
:101F200000000000000000000000000000000000B1
:101F300000000000000000000000000000000000A1
:101F40000000000000000000000000000000000091
:101F50000000000000000000000000000000000081
:101F60000000000000000000000000000000000071
:101F70000000000000000000000000000000000061
:101F80000000000000000000000000000000000051
:101F90000000000000000000000000000000000041
:101FA0000000000000000000000000000000000031
:101FB0000000000000000000000000000000000021
:101FC0000000000000000000000000000000000011
:101FD0000000000000000000000000000000000001
:101FE00000000000000000000000000000000000F1
:101FF0000000000000000000000000001081000050
:1020000000000000000000000000000000000000D0
:1020100000000000000000000000000000000000C0
:1020200000000000000000000000000000000000B0
:1020300000000000000000000000000000000000A0
:102040000000000000000000000000000000000090
:102050000000000000000000000000000000000080
:102060000000000000000000000000000000000070
:102070000000000000000000000000000000000060
:102080000000000000000000000000000000000050
:102090000000000000000000000000000000000040
:1020A0000000000000000000000000000000000030
:1020B0000000000000000000000000000000000020
:1020C0000000000000000000000000000000000010
:1020D0000000000000000000000000000000000000
:1020E00000000000000000000000000000000000F0
:1020F00000000000000000000000000000000000E0
:1021000000000000000000000000000000000000CF
:1021100000000000000000000000000000000000BF
:1021200000000000000000000000000000000000AF
:10213000000000000000000000000000000000009F
:10214000000000000000000000000000000000008F
:10215000000000000000000000000000000000007F
:10216000000000000000000000000000000000006F
:10217000000000000000000000000000000000005F
:10218000000000000000000000000000000000004F
:10219000000000000000000000000000000000003F
:1021A000000000000000000000000000000000002F
:1021B000000000000000000000000000000000001F
:1021C000000000000000000000000000000000000F
:1021D00000000000000000000000000000000000FF
:1021E00000000000000000000000000000000000EF
:1021F00000000000000000000000000000000000DF
:1022000000000000000000000000000000000000CE
:1022100000000000000000000000000000000000BE
:1022200000000000000000000000000000000000AE
:10223000000000000000000000000000000000009E
:10224000000000000000000000000000000000008E
:10225000000000000000000000000000000000007E
:10226000000000000000000000000000000000006E
:10227000000000000000000000000000000000005E
:10228000000000000000000000000000000000004E
:10229000000000000000000000000000000000003E
:1022A000000000000000000000000000000000002E
:1022B000000000000000000000000000000000001E
:1022C000000000000000000000000000000000000E
:1022D00000000000000000000000000000000000FE
:1022E00000000000000000000000000000000000EE
:1022F00000000000000000000000000000000000DE
:1023000000000000000000000000000000000000CD
:1023100000000000000000000000000000000000BD
:1023200000000000000000000000000000000000AD
:10233000000000000000000000000000006000003D
:10234000000000000000000000000000000000008D
:10235000000000000000000000000000000000007D
:10236000000000000000000000000000000000006D
:10237000000000000000000000000000000000005D
:10238000000000000000000000000000000000004D
:10239000000000000000000000000000000000003D
:1023A000000000000000000000000000000000002D
:1023B000000000000000000000000000000000001D
:1023C000000000000000000000000000000000000D
:1023D00000000000000000000000000000000000FD
:1023E00000000000000000000000000000000000ED
:1023F00000000000000000000000000000000000DD
:1024000000000000000000000000000000000000CC
:1024100000000000000000000000000000000000BC
:1024200000000000000000000000000000000000AC
:10243000000000000000000000000000000000009C
:10244000000000000000000000000000000000008C
:10245000000000000000000000000000000000007C
:10246000000000000000000000000000000000006C
:10247000000000000000000000000000000000005C
:10248000000000000000000000000000000000004C
:10249000000000000000000000000000000000003C
:1024A000000000000000000000000000000000002C
:1024B000000000000000000000000000000000001C
:1024C000000000000000000000000000000000000C
:1024D00000000000000000000000000000000000FC
:1024E00000000000000000000000000000000000EC
:1024F00000000000000000000000000000000000DC
:1025000000000000000000000000000000000000CB
:1025100000000000000000000000000000000000BB
:1025200000000000000000000000000000000000AB
:10253000000000000000000000000000000000009B
:10254000000000000000000000000000000000008B
:10255000000000000000000000000000000000007B
:10256000000000000000000000000000000000006B
:10257000000000000000000000000000000000005B
:10258000000000000000000000000000000000004B
:10259000000000000000000000000000000000003B
:1025A000000000000000000000000000000000002B
:1025B000000000000000000000000000000000001B
:1025C000000000000000000000000000000000000B
:1025D00000000000000000000000000000000000FB
:1025E00000000000000000000000000000000000EB
:1025F00000000000000000000000000000000000DB
:1026000000000000000000000000000000000000CA
:1026100000000000000000000000000000000000BA
:1026200000000000000000000000000000000000AA
:10263000000000000000000000000000000000009A
:10264000000000000000000000000000000000008A
:10265000000000000000000000000000000000007A
:10266000000000000000000000000000000000006A
:102670000000000000000000002800000000000032
:10268000000000000000000000000000000000004A
:10269000000000000000000000000000000000003A
:1026A000000000000000000000000000000000002A
:1026B000000000000000000000000000000000001A
:1026C000000000000000000000000000000000000A
:1026D00000000000000000000000000000000000FA
:1026E00000000000000000000000000000000000EA
:1026F00000000000000000000000000000000000DA
:1027000000000000000000000000000000000000C9
:1027100000000000000000000000000000000000B9
:1027200000000000000000000000000000000000A9
:102730000000000000000000000000000000000099
:102740000000000000000000000000000000000089
:102750000000000000000000000000000000000079
:102760000000000000000000000000000000000069
:102770000000000000000000000000000000000059
:102780000000000000000000000000000000000049
:102790000000000000000000000000000000000039
:1027A0000000000000000000000000000000000029
:1027B0000000000000000000000000000000000019
:1027C0000000000000000000000000000000000009
:1027D00000000000000000000000000000000000F9
:1027E00000000000000000000000000000000000E9
:1027F00000000000000000000000000000000000D9
:1028000000000000000000000000000000000000C8
:1028100000000000000000000000000000000000B8
:1028200000000000000000000000000000000000A8
:102830000000000000000000000000000000000098
:102840000000000000000000000000000000000088
:102850000000000000000000000000000000000078
:102860000000000000000000000000000000000068
:102870000000000000000000000000000000000058
:102880000000000000000000000000000000000048
:102890000000000000000000000000000000200216
:1028A0000008000000000000000000000000000020
:1028B0000000000000000000000000000000000018
:1028C0000000000000000000000000000000000008
:1028D00000000000000000000000000000000000F8
:1028E00000000000000000000000000000000000E8
:1028F00000000000000000000000000000000000D8
:1029000000000000000000000000000000000000C7
:1029100000000000000000000000000000000000B7
:1029200000000000000000000000000000000000A7
:102930000000000000000000000000000000000097
:102940000000000000000000000000000000000087
:102950000000000000000000000000000000000077
:102960000000000000000000000000000000000067
:102970000000000000000000000000000000000057
:102980000000000000000000000000000000000047
:102990000000000000000000000000000000000037
:1029A00000000000000000000000000000008000A7
:1029B000000000000008000000000000000000000F
:1029C0000000000000000000000000000000000007
:1029D00000000000000000000000000000000000F7
:1029E00000000000000000000000000000000000E7
:1029F00000000000000000000000000000000000D7
:102A000000000000000000000000000000000000C6
:102A100000000000000000000000000000000000B6
:102A200000000000000000000000000000000000A6
:102A30000000000000000000000000000000000096
:102A40000000000000000000000000000000000086
:102A50000000000000000000000000000000000076
:102A60000000000000000000000000000000000066
:102A70000000000000000000000000000000000056
:102A80000000000000000000000000000000000046
:102A90000000000000000000000000000000000036
:102AA0000000000000000000000000000000000026
:102AB0000000000000000000000000000000000016
:102AC00000000000000000000010000000000000F6
:102AD00000000000000000000000000000000000F6
:102AE00000000000000000000000000000000000E6
:102AF00000000000000000000000000000000000D6
:102B000000000000000000000000000000000000C5
:102B100000000000000000000000000000000000B5
:102B200000000000000000000000000000000000A5
:102B30000000000000000000000000000000000095
:102B40000000000000000000000000000000000085
:102B50000000000000000000000000000000000075
:102B60000000000000000000000000000000000065
:102B70000000000000000000000000000000000055
:102B80000000000000000000000000000000000045
:102B90000000000000000000000000000000000035
:102BA0000000000000000000000000000000000025
:102BB0000000000000000000000000000000000015
:102BC0000000000000000000000000000000000005
:102BD00000000000000010000000000000000000E5
:102BE00000000000000000000000000000000000E5
:102BF00000000000000000000000000000000000D5
:102C000000000000000000000000000000000000C4
:102C100000000000000000000000000000000000B4
:102C200000000000000000000000000000000000A4
:102C30000000000000000000000000000000000094
:102C40000000000000000000000000000000000084
:102C50000000000000000000000000000000000074
:102C60000000000000000000000000000000000064
:102C70000000000000000000000000000000000054
:102C80000000000000000000000000000000000044
:102C90000000000000000000000000000000000034
:102CA0000000000000000000000000000000000024
:102CB0000000000000000000000000000000000014
:102CC0000000000000000000000000000000000004
:102CD00000000000000000000000000000000000F4
:102CE0000000008000000000000000000000000064
:102CF0000040000000000000000000000000000094
:102D000000000000000000000000000000000000C3
:102D100000000000000000000000000000000000B3
:102D200000000000000000000000000000000000A3
:102D30000000000000000000000000000000000093
:102D40000000000000000000000000000000000083
:102D50000000000000000000000000000000000073
:102D60000000000000000000000000000000000063
:102D70000000000000000000000000000000000053
:102D80000000000000000000000000000000000043
:102D90000000000000000000000000000000000033
:102DA0000000000000000000000000000000000023
:102DB0000000000000000000000000000000000013
:102DC0000000000000000000000000000000000003
:102DD00000000000000000000000000000000000F3
:102DE00000000000000000000000000000000000E3
:102DF00000000000000000000E00000000000000C5
:102E000000000000000000000000000000000000C2
:102E100000000000000000000000000000000000B2
:102E200000000000000000000000000000000000A2
:102E30000000000000000000000000000000000092
:102E40000000000000000000000000000000000082
:102E50000000000000000000000000000000000072
:102E60000000000000000000000000000000000062
:102E70000000000000000000000000000000000052
:102E80000000000000000000000000000000000042
:102E90000000000000000000000000000000000032
:102EA0000000000000000000000000000000000022
:102EB0000000000000000000000000000000000012
:102EC0000000000000000000000000000000000002
:102ED00000000000000000000000000000000000F2
:102EE00000000000000000000000000000000000E2
:102EF00000000000000000000000000000000000D2
:102F00000000000000000000000000300000000091
:102F1000000000000000000000440000000000006D
:102F200000000000000000000000000000000000A1
:102F30000000000000000000000000000000000091
:102F40000000000000000000000000000000000081
:102F50000000000000000000000000000000000071
:102F60000000000000000000000000000000000061
:102F70000000000000000000000000000000000051
:102F80000000000000000000000000000000000041
:102F90000000000000000000000000000000000031
:102FA0000000000800000000000000000000000019
:102FB0000000000000000000000000000000000011
:102FC0000000000000000000000000000000000001
:102FD00000000000000000000000000000000000F1
:102FE00000000000000000000004000000000000DD
:102FF00000000000000000000000000000000000D1
:103000000000000000000000800000000000000040
:103010000000000000000000000030000000000080
:1030200000000000000000000000000000000000A0
:103030000000000000000000000000000000000090
:103040000000000000000000000000000000000080
:103050000000000000000000000000000000000070
:103060000000000000000000000000000000000060
:103070000000000000000000000000000000000050
:103080000000000000000000000000000000000040
:103090000000000000000000000000000000000030
:1030A0000000000000000000000000000000000020
:1030B000000000000000000200000000000000000E
:1030C0000000000000000000000000000000000000
:1030D00000000000000000000000000000000000F0
:1030E00000000000000000000000000000000000E0
:1030F00000000000000000000000000000020000CE
:1031000000000000000000000000000000000000BF
:10311000000000000000000000000000200000008F
:10312000000000000000000000000000000040005F
:10313000000000000000000000000000000000008F
:10314000000000000000000000000000000000007F
:10315000000000000000000000000000000000006F
:10316000000000000000000000000000000000005F
:10317000000000000000000000000000000000004F
:10318000000000000000000000000000000000003F
:10319000000000000000000000000000000000002F
:1031A000000000000000000000000000000000001F
:1031B000000000000000000000000000000000000F
:1031C00000000000000000000000000000420200BB
:1031D00000000000000000000000000000000000EF
:1031E00000000000000000000000000000000000DF
:1031F00000000000000000000000000000000000CF
:1032000000000000000000000000000000000000BE
:1032100000000000000000000000000000000000AE
:10322000000000000000000000000000000000009E
:10323000000000000000000000000000000000008E
:10324000000000000000000000000000000000007E
:10325000000000000000000000000000000000006E
:10326000000000000000000000000000000000005E
:10327000000000000000000000000000000000004E
:10328000000000000000000000000000000000003E
:10329000000000000000000000000000000000002E
:1032A000000000000000000000000000000000001E
:1032B000000000000000000000000000000000000E
:1032C00000000000000000000000000000000000FE
:1032D00000000000000000000000000000000000EE
:1032E00000000000000000000000000000000000DE
:1032F00000000000000000000000000000000000CE
:1033000000000000000000000000000000000000BD
:1033100000000000000000000000000000000000AD
:10332000000000000000000000000000000000009D
:10333000000000000000000000000000000000008D
:10334000000000000000000000000000000000007D
:10335000000000000000000000000000000000006D
:10336000000000000000000000000000000000005D
:10337000000000000000000000000000000000004D
:10338000000000000000000000000000000000003D
:10339000000000000000000000000000000000002D
:1033A000000000000000000000000000000000001D
:1033B000000000000000000000000000000000000D
:1033C00000000000000000000000000000000000FD
:1033D00000000000000000000000000000000000ED
:1033E00000000000000000000000000000000000DD
:1033F00000000000000000000000000000000000CD
:1034000000000000000000000000000000000000BC
:1034100000000000000000000000000000000000AC
:10342000000000000000000000000000000000009C
:10343000000000000000000000000000000000008C
:10344000000000000000000000000000000000007C
:10345000000000000000000000000000000000006C
:10346000000000000000000000000000000000005C
:10347000000000000000000000000000000000004C
:10348000000000000000000000000000000000003C
:10349000000000000000000000000000000000002C
:1034A000000000000000000000000000000000001C
:1034B000000000000000000000000000000000000C
:1034C00000000000000000000000000000000000FC
:1034D00000000000000000000000000000000000EC
:1034E00000000000000000000000000000000000DC
:1034F00000000000000000000000000000000000CC
:1035000000000000000000000000000000000000BB
:1035100000000000000000000000000000000000AB
:10352000000000000000000000000000000000009B
:10353000000000000000000000000000000000008B
:10354000000000000000000000000000000000007B
:10355000000000000000000000000000000000006B
:10356000000000000000000000000000000000005B
:10357000000000000000000000000000000000004B
:10358000000000000000000000000000000000003B
:10359000000000000000000000000000000000002B
:1035A000000000000000000000000000000000001B
:1035B000000000000000000000000000000000000B
:1035C00000000000000000000000000000000000FB
:1035D00000000000000000000000000000000000EB
:1035E00000000000000000000000000000000000DB
:1035F00000000000000000000000000000000000CB
:1036000000000000000000000000000000000000BA
:1036100000000000000000000000000000000000AA
:10362000000000000000000000000000000000009A
:10363000000000000000000000000000000000008A
:10364000000000000000000000000000000000007A
:10365000000000000000000000000000000000006A
:10366000000000000000000000000000000000005A
:10367000000000000000000000000000000000004A
:10368000000000000000000000000000000000003A
:10369000000000000000000000000000000000002A
:1036A000000000000000000000000000000000001A
:1036B000000000000000000000000000000000000A
:1036C00000000000000000000000000000000000FA
:1036D00000000000000000000000000000000000EA
:1036E00000000000000000000000000000000000DA
:1036F00000000000000000000000000000000000CA
:1037000000000000000000000000000000000000B9
:1037100000000000000000000000000000000000A9
:103720000000000000000000000000000000000099
:103730000000000000000000000000000000000089
:103740000000000000000000000000000000000079
:103750000000000000000000000000000000000069
:103760000000000000000000000000000000000059
:103770000000000000000000000000000000000049
:103780000000000000000000000000000000000039
:103790000000000000000000000000000000000029
:1037A0000000000000000000000000000000000019
:1037B0000000000000000000000000000000000009
:1037C00000000000000000000000000000000000F9
:1037D00000000000000000000000000000000000E9
:1037E00000000000000000000000000000000000D9
:1037F00000000000000000000000000000000000C9
:1038000000000000000000000000000000000000B8
:1038100000000000000000000000000000000000A8
:103820000000000000000000000000000000000098
:103830000000000000000000000000000000000088
:103840000000000000000000000000000000000078
:103850000000000000000000000000000000000068
:103860000000000000000000000000000000000058
:103870000000000000000000000000000000000048
:103880000000000000000000000000000000000038
:103890000000000000000000000000000000000028
:1038A0000000000000000000000000000000000018
:1038B0000000000000000000000000000000000008
:1038C00000000000000000000000000000000000F8
:1038D00000000000000000000000000000000000E8
:1038E00000000000000000000000000000000000D8
:1038F00000000000000000000000000000000000C8
:1039000000000000000000000000000000000000B7
:1039100000000000000000000000000000000000A7
:103920000000000000000000000000000000000097
:103930000000000000000000000000000000000087
:103940000000000000000000000000000000000077
:103950000000000000000000000000000000000067
:103960000000000000000000000000000000000057
:103970000000000000000000000000000000000047
:103980000000000000000000000000000000000037
:103990000000000000000000000000000000000027
:1039A0000000000000000000000000000000000017
:1039B0000000000000000000000000000000000007
:1039C00000000000000000000000000000000000F7
:1039D00000000000000000000000000000000000E7
:1039E00000000000000000000000000000000000D7
:1039F00000000000000000000000000000000000C7
:103A000000000000000000000000000000000000B6
:103A100000000000000000000000000000000000A6
:103A20000000000000000000000000000000000096
:103A30000000000000000000000000000000000086
:103A40000000000000000000000000000000000076
:103A50000000000000000000000000000000000066
:103A60000000000000000000000000000000000056
:103A70000000000000000000000000000000000046
:103A80000000000000000000000000000000000036
:103A90000000000000000000000000000000000026
:103AA0000000000000000000000000000000000016
:103AB0000000000000000000000000000000000006
:103AC00000000000000000000000000000000000F6
:103AD00000000000000000000000000000000000E6
:103AE00000000000000000000000000000000000D6
:103AF00000000000000000000000000000000000C6
:103B000000000000000000000000000000000000B5
:103B100000000000000000000000000000000000A5
:103B20000000000000000000000000000000000095
:103B30000000000000000000000000000000000085
:103B40000000000000000000000000000000000075
:103B50000000000000000000000000000000000065
:103B60000000000000000000000000000000000055
:103B70000000000000000000000000000000000045
:103B80000000000000000000000000000000000035
:103B90000000000000000000000000000000000025
:103BA0000000000000000000000000000000000015
:103BB0000000000000000000000000000000000005
:103BC00000000000000000000000000000000000F5
:103BD00000000000000000000000000000000000E5
:103BE00000000000000000000000000000000000D5
:103BF00000000000000000000000000000000000C5
:103C000000000000000000000000000000000000B4
:103C100000000000000000000000000000000000A4
:103C20000000000000000000000000000000000094
:103C30000000000000000000000000000000000084
:103C40000000000000000000000000000000000074
:103C50000000000000000000000000000000000064
:103C60000000000000000000000000000000000054
:103C70000000000000000000000000000000000044
:103C80000000000000000000000000000000000034
:103C90000000000000000000000000000000000024
:103CA0000000000000000000000000000000000014
:103CB0000000000000000000000000000000000004
:103CC00000000000000000000000000000000000F4
:103CD00000000000000000000000000000000000E4
:103CE00000000000000000000000000000000000D4
:103CF00000000000000000000000000000000000C4
:103D000000000000000000000000000000000000B3
:103D100000000000000000000000000000000000A3
:103D20000000000000000000000000000000000093
:103D30000000000000000000000000000000000083
:103D40000000000000000000000000000000000073
:103D50000000000000000000000000000000000063
:103D60000000000000000000000000000000000053
:103D70000000000000000000000000000000000043
:103D80000000000000000000000000000000000033
:103D90000000000000000000000000000000000023
:103DA0000000000000000000000000000000000013
:103DB0000000000000000000000000000000000003
:103DC00000000000000000000000000000000000F3
:103DD00000000000000000000000000000000000E3
:103DE00000000000000000000000000000000000D3
:103DF00000000000000000000000000000000000C3
:103E000000000000000000000000000000000000B2
:103E100000000000000000000000000000000000A2
:103E20000000000000000000000000000000000092
:103E30000000000000000000000000000000000082
:103E40000000000000000000000000000000000072
:103E50000000000000000000000000000000000062
:103E60000000000000000000000000000000000052
:103E70000000000000000000000000000000000042
:103E80000000000000000000000000000000000032
:103E90000000000000000000000000000000000022
:103EA0000000000000000000000000000000000012
:103EB0000000000000000000000000000000000002
:103EC00000000000000000000000000000000000F2
:103ED00000000000000000000000000000000000E2
:103EE00000000000000000000000000000000000D2
:103EF00000000000000000000000000000000000C2
:103F000000000000000000000000000000000000B1
:103F100000000000000000000000000000000000A1
:103F20000000000000000000000000000000000091
:103F30000000000000000000000000000000000081
:103F40000000000000000000000000000000000071
:103F50000000000000000000000000000000000061
:103F60000000000000000000000000000000000051
:103F70000000000000000000000000000000000041
:103F80000000000000000000000000000000000031
:103F90000000000000000000000000000000000021
:103FA0000000000000000000000000000000000011
:103FB0000000000000000000000000000000000001
:103FC00000000000000000000000000000000000F1
:103FD00000000000000000000000000000000000E1
:103FE00000000000000000000000000000000000D1
:103FF00000000000000000000000000000000000C1
:1040000000000000000000000000000000000000B0
:1040100000000000000000000000000000000000A0
:104020000000000000000000000000000000000090
:104030000000000000000000000000000000000080
:104040000000000000000000000000000000000070
:104050000000000000000000000000000000000060
:104060000000000000000000000000000000000050
:104070000000000000000000000000000000000040
:1040800000000000000000000080000000000000B0
:104090000000000000000000000000000000200000
:1040A000000000000000020002000000000000000C
:1040B0000000000000000000000000000000000000
:1040C00004100000000000000000000000000000DC
:1040D00000000000000000000000000000000000E0
:1040E00000000000000000000000000000000000D0
:1040F00000000000000000000000000000000000C0
:10410000000040000000000000000000000000006F
:10411000000000000000000000000000000000009F
:10412000000000000000000000000000000000008F
:10413000000000000000000000000000000000007F
:10414000000000000000000000000000000000006F
:10415000000000000000000000000000000000005F
:10416000000000000000000000000000000000004F
:10417000000000000000000000000000000000003F
:10418000000000000000000000000000000000002F
:10419000000000000000000000000000000000001F
:1041A0000000000000000000000000000000000A05
:1041B00000000000000000000000090000000000F6
:1041C00000000008080000000000000000000000DF
:1041D00000000000000000000000000000000000DF
:1041E00000000000000000000000000000000000CF
:1041F00000000000000000000000000000000000BF
:1042000000000000000000000000000000000000AE
:104210000000000000000000000000000000090095
:10422000000000000000000000000000000000008E
:10423000000000000000000000000000000000007E
:10424000000000000000000000000000000000006E
:10425000000000000000000000000000000000005E
:10426000000000000000000000000000000000004E
:10427000000000000000000000000000000000003E
:10428000000000000000000000000000000000002E
:10429000000000000000000000000000000000001E
:1042A000000000000000000000000000000000000E
:1042B00000300000000000000000000000000000CE
:1042C00000000000000020000000000000000800C6
:1042D00008000000000000010100000000000000D4
:1042E00000000000000000000000000000000000CE
:1042F00000000000000000000000000000000000BE
:1043000000000000000000000000000000000000AD
:10431000000000000000000000000000000000009D
:10432000000000000000000000003000000000005D
:10433000000000000000000000000000000000007D
:10434000000000000000000000000000000000006D
:10435000000000000000000000000000000000005D
:10436000000000000000000000000000000000004D
:10437000000000000000000000000000000000003D
:10438000000000000000000000000000000000002D
:10439000000000000000000000000000000000001D
:1043A000000000000000000000000000000000000D
:1043B00000000000000000000000000000000000FD
:1043C00000000000000000000000000000000000ED
:1043D00000000000000000000000000000000000DD
:1043E00000000000000000000000000000000000CD
:1043F00000000000000000000000000000000000BD
:10440000000000400000000000000000000000006C
:10441000000000000000000000000000000000009C
:10442000000000000000000000000000000000008C
:104430000000000000000000000000080000000074
:10444000000000000000000000000000000000006C
:10445000000000000000000000000000000000005C
:10446000000000000000000000000000000000004C
:10447000000000000000000000000000000000003C
:10448000000000000000000000000000000000002C
:10449000000000000000000000000000000000001C
:1044A000000000000000000000000000000000000C
:1044B00000000000000000000000000000000000FC
:1044C00000000000000000000000000000000000EC
:1044D00000000000000000000000000000000000DC
:1044E00000000000000000000000000000000000CC
:1044F00000000000000000000000000000000000BC
:1045000000000000000000000000000000000000AB
:10451000000000000000000000000000000000009B
:10452000000000000000000000000000000000008B
:10453000000000000000000000000000000000007B
:10454000000000000000000000000000000000006B
:10455000000000000000000000000000000000005B
:10456000000000000000000000000000000000004B
:10457000000000000000000000000000000000003B
:10458000000000000000000000000000000000002B
:10459000000000000000000000000000000000001B
:1045A000000000000000000000000000000000000B
:1045B00000000000000000000000000000000000FB
:1045C00000000000000000000000000000000000EB
:1045D00000000000000000000000000000000000DB
:1045E00000000000000000000000000000000000CB
:1045F00000000000000000000000000000000000BB
:1046000000000000000000000000000000000000AA
:10461000000000000000000000000000000000009A
:104620000000100010000000000000080000000062
:10463000000000000000000000000000000000007A
:10464000000000000000000000000000000000006A
:10465000000000000000000000000000000000005A
:104660000000000800000000000000000000000042
:10467000000000000000000000000000000000003A
:10468000000000000000000000000000000000002A
:10469000000000000000000000000000000000001A
:1046A000000000000000000000000000000000000A
:1046B00000000000000000000000000000000000FA
:1046C00000000000000000000000000000000000EA
:1046D00000000000000000000000000000000000DA
:1046E00000000000000000000000000000000000CA
:1046F00000000000000000000000000000000000BA
:1047000000000000000000000000000000000000A9
:104710000000000000000000000000000000000099
:104720000000000000000000000000000000000089
:104730000000000000000000000000000000000079
:104740000000000000000000000000000000000069
:104750000000000000000000000000000000000059
:104760000000000000000000000000000000000049
:104770000000000000000000000000000000000039
:104780000000000000000000000000000000000029
:104790000000000000000000000000000000000019
:1047A0000000000000000000000000000000000009
:1047B00000000000000000000000000000000000F9
:1047C00000000000000000000000000000000000E9
:1047D00000000000000000000000000000000000D9
:1047E0000000000000000C4000BCC4C60C85005056
:1047F0000C8500C004000400040004000C8500A027
:104800000C8700810C8300810C400070480B0C85E4
:1048100000B00400040004000400040004000400CC
:104820000400040004000400040004000400040068
:02483000040082
:00000001FF
/projects/S3Demo/webtalk_pn.xml
3,11 → 3,11
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pn" timeStamp="Fri May 2 20:24:17 2014">
<application name="pn" timeStamp="Tue May 6 22:08:36 2014">
<section name="Project Information" visible="false">
<property name="ProjectID" value="2B42343586BD0B8298B1F51523BA3AAF" type="project"/>
<property name="ProjectIteration" value="1" type="project"/>
<property name="ProjectFile" value="/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.xise" type="project"/>
<property name="ProjectIteration" value="6" type="project"/>
<property name="ProjectFile" value="/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.xise" type="project"/>
<property name="ProjectCreationTimestamp" value="2014-05-02T14:32:10" type="project"/>
</section>
<section name="Project Statistics" visible="true">
17,6 → 17,7
<property name="PROP_LastAppliedStrategy" value="Xilinx Default (unlocked)" type="design"/>
<property name="PROP_ManualCompileOrderImp" value="false" type="design"/>
<property name="PROP_PropSpecInProjFile" value="Store all values" type="design"/>
<property name="PROP_SelectedInstanceHierarchicalPath" value="/S3demo" type="process"/>
<property name="PROP_Simulator" value="ISim (VHDL/Verilog)" type="design"/>
<property name="PROP_SynthTopFile" value="changed" type="process"/>
<property name="PROP_SynthUseFsmExplorerData" value="true" type="process"/>
25,9 → 26,10
<property name="PROP_UserConstraintEditorPreference" value="Text Editor" type="process"/>
<property name="PROP_intProjectCreationTimestamp" value="2014-05-02T14:32:10" type="design"/>
<property name="PROP_intWbtProjectID" value="2B42343586BD0B8298B1F51523BA3AAF" type="design"/>
<property name="PROP_intWbtProjectIteration" value="1" type="process"/>
<property name="PROP_intWbtProjectIteration" value="6" type="process"/>
<property name="PROP_intWorkingDirLocWRTProjDir" value="Same" type="design"/>
<property name="PROP_intWorkingDirUsed" value="No" type="design"/>
<property name="PROP_selectedSimRootSourceNode_behav" value="work.S3demo" type="process"/>
<property name="PROP_xilxBitgStart_Clk" value="JTAG Clock" type="process"/>
<property name="PROP_xstHierarchySeparator" value="_" type="process"/>
<property name="PROP_AutoTop" value="true" type="design"/>
39,7 → 41,7
<property name="PROP_DevSpeed" value="-5" type="design"/>
<property name="PROP_PreferredLanguage" value="Verilog" type="design"/>
<property name="FILE_UCF" value="1" type="source"/>
<property name="FILE_VHDL" value="3" type="source"/>
<property name="FILE_VHDL" value="5" type="source"/>
</section>
</application>
</document>
/projects/S3Demo/usage_statistics_webtalk.html
0,0 → 1,58
<HTML><HEAD><TITLE>Device Usage Statistics Report</TITLE></HEAD>
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<H3>Device Usage Page (usage_statistics_webtalk.html)</H3>This HTML page displays the device usage statistics that will be sent to Xilinx.<BR>&nbsp;<BR><HR>&nbsp;<BR>
<TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN='4'><B>Software Version and Target Device</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD>
<TD><xtag-property name="ProductVersion">ISE:12.3</xtag-property><xtag-property name="ProductConfiguration"> (iMPACT)</xtag-property><xtag-property name="BuildVersion"> - M.70d</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Family:</B></TD>
<TD><xtag-property name="TargetFamily">Multiple</xtag-property></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>OS Platform:</B></TD>
<TD><xtag-property name="OSPlatform">LIN</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
<TD><xtag-property name="TargetDevice">Multiple</xtag-property></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project ID (random number)</B></TD>
<TD><xtag-property name="RandomID">b896e776ba214d59bb421262ecc6d5ed</xtag-property>.<xtag-property name="ProjectID"></xtag-property>.<xtag-property name="ProjectIteration"></xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Package:</B></TD>
<TD><xtag-property name="TargetPackage"></xtag-property></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Registration ID</B></TD>
<TD><xtag-property name="RegistrationID">iMPACT</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Target Speed:</B></TD>
<TD><xtag-property name="TargetSpeed"></xtag-property></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Date Generated</B></TD>
<TD><xtag-property name="Date Generated">2014-05-06T22:23:04</xtag-property></TD>
<TD BGCOLOR='#FFFF99'><B>Tool Flow</B></TD>
<TD><xtag-property name="ToolFlow">IMPACT</xtag-property></TD>
</TR>
</TABLE>
 
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="iMPACT Project Info ">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=2><B>iMPACT Project Info </B></TD></TR>
<TR>
<TD><xtag-property-name>Use Project File</xtag-property-name>=<xtag-property-value>Yes</xtag-property-value></TD>
<TD><xtag-property-name>Project Entry </xtag-property-name>=<xtag-property-value>ise</xtag-property-value></TD>
 
</TR><TR><TD><xtag-property-name>OS Name</xtag-property-name>=<xtag-property-value>LINUX</xtag-property-value></TD>
</TR></xtag-section></TABLE>
 
&nbsp;<BR><TABLE BORDER CELLSPACING=0 WIDTH='100%'>
<xtag-section name="iMPACT Serial PROM File Generation Mode">
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=4><B>iMPACT Serial PROM File Generation Mode</B></TD></TR>
<TR ALIGN=CENTER><TD COLSPAN=4><B>Chain Description</B></TD></TR>
<TR>
<TD><xtag-property-name>Number of device</xtag-property-name>=<xtag-property-value>1</xtag-property-value></TD>
<TD><xtag-property-name>Device 1</xtag-property-name>=<xtag-property-value>spartan3a</xtag-property-value></TD>
<TD><xtag-property-name>Part1</xtag-property-name>=<xtag-property-value>xc3s200a</xtag-property-value></TD>
<TR>
</TR></xtag-section></TABLE>
</BODY></HTML>
/projects/S3Demo/S3demo.ptwx
329,4 → 329,4
<!ELEMENT twName (#PCDATA)>
<!ELEMENT twValue (#PCDATA)>
]>
<twReport><twBody><twSumRpt><twConstSummaryTable><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net mclk_BUFGP</twConstName><twConstData type="SETUP" best="3.812" units="ns" score="0"/><twConstData type="HOLD" slack="1.162" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net clkdiv&lt;23&gt;</twConstName><twConstData type="SETUP" best="3.007" units="ns" score="0"/><twConstData type="HOLD" slack="1.069" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net vga1_clkdiv</twConstName><twConstData type="SETUP" best="4.264" units="ns" score="0"/><twConstData type="HOLD" slack="1.355" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net kb1_clkDiv&lt;3&gt;</twConstName><twConstData type="SETUP" best="1.202" units="ns" score="0"/><twConstData type="HOLD" slack="0.858" units="ns" errors="0" score="0"/><twConstData type="MINPERIOD" best="1.328" units="ns" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net kb1_KCI</twConstName><twConstData type="SETUP" best="5.959" units="ns" score="0"/><twConstData type="HOLD" slack="0.638" units="ns" errors="0" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="3">0</twUnmetConstCnt><twInfo anchorID="4">INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</twInfo></twSumRpt></twBody></twReport>
<twReport><twBody><twSumRpt><twConstSummaryTable><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net kb1_KCI</twConstName><twConstData type="SETUP" best="7.382" units="ns" score="0"/><twConstData type="HOLD" slack="0.815" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net mclk_BUFGP</twConstName><twConstData type="SETUP" best="3.894" units="ns" score="0"/><twConstData type="HOLD" slack="1.092" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net RS232Proj_UART_rClk</twConstName><twConstData type="SETUP" best="4.195" units="ns" score="0"/><twConstData type="HOLD" slack="0.789" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net vga1_clkdiv</twConstName><twConstData type="SETUP" best="4.213" units="ns" score="0"/><twConstData type="HOLD" slack="0.924" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net RS232Proj_UART_rClkDiv&lt;3&gt;</twConstName><twConstData type="SETUP" best="2.862" units="ns" score="0"/><twConstData type="HOLD" slack="0.995" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net clkdiv&lt;23&gt;</twConstName><twConstData type="SETUP" best="2.271" units="ns" score="0"/><twConstData type="HOLD" slack="1.167" units="ns" errors="0" score="0"/></twConstSummary><twConstSummary><twConstName UCFConstName="" ScopeName="">Autotimespec constraint for clock net kb1_clkDiv&lt;3&gt;</twConstName><twConstData type="SETUP" best="1.173" units="ns" score="0"/><twConstData type="HOLD" slack="0.814" units="ns" errors="0" score="0"/><twConstData type="MINPERIOD" best="1.328" units="ns" score="0"/></twConstSummary></twConstSummaryTable><twUnmetConstCnt anchorID="5">0</twUnmetConstCnt><twInfo anchorID="6">INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</twInfo></twSumRpt></twBody></twReport>
/projects/S3Demo/DataCntrl.vhd
0,0 → 1,266
-------------------------------------------------------------------------
-- main.vhd
-------------------------------------------------------------------------
-- Author: Dan Pederson
-- Copyright 2004 Digilent, Inc.
-------------------------------------------------------------------------
-- Description: This file tests the included UART component by
-- sending data in serial form through the UART to
-- change it to parallel form, and then sending the
-- resultant data back through the UART to determine if
-- the signal is corrupted or not. When the serial
-- information is converted into parallel information,
-- the data byte is displayed on the 8 LEDs on the
-- system board.
--
-- NOTE: Not all mapped signals are used in this test.
-- The signals were mapped to ease the modification of
-- test program.
-------------------------------------------------------------------------
-- Revision History:
-- 07/30/04 (DanP) Created
-- 05/26/05 (DanP) Modified for Pegasus board/Updated commenting style
-- 06/07/05 (DanP) LED scancode display added
-------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-------------------------------------------------------------------------
--
--Title: Main entity
--
--Inputs: 3 : RXD
-- CLK
-- RST
--
--Outputs: 1 : TXD
-- LEDS
--
--Description: This describes the main entity that tests the included
-- UART component. The LEDS signals are used to
-- display the data byte on the LEDs, so it is set equal to
-- the dbOutSig. Technically, the dbOutSig is the scan code
-- backwards, which explains why the LEDs are mapped
-- backwards to the dbOutSig.
--
-------------------------------------------------------------------------
entity DataCntrl is
Port ( TXD : out std_logic := '1';
RXD : in std_logic := '1';
CLK : in std_logic;
LEDS : out std_logic_vector(7 downto 0) := "11111111";
RST : in std_logic := '0');
end DataCntrl;
 
architecture Behavioral of DataCntrl is
 
-------------------------------------------------------------------------
-- Local Component, Type, and Signal declarations.
-------------------------------------------------------------------------
 
-------------------------------------------------------------------------
--
--Title: Component Declarations
--
--Description: This component is the UART that is to be tested.
-- The UART code can be found in the included
-- RS232RefComp.vhd file.
--
-------------------------------------------------------------------------
component RS232RefComp
Port ( TXD : out std_logic := '1';
RXD : in std_logic;
CLK : in std_logic;
DBIN : in std_logic_vector (7 downto 0);
DBOUT : out std_logic_vector (7 downto 0);
RDA : inout std_logic;
TBE : inout std_logic := '1';
RD : in std_logic;
WR : in std_logic;
PE : out std_logic;
FE : out std_logic;
OE : out std_logic;
RST : in std_logic := '0');
end component;
-------------------------------------------------------------------------
--
--Title: Type Declarations
--
--Description: There is one state machine used in this program, called
-- the mainState state machine. This state machine controls
-- the flow of data around the UART; allowing for data to be
-- changed from serial to parallel, and then back to serial.
--
-------------------------------------------------------------------------
type mainState is (
stReceive,
stSend);
-------------------------------------------------------------------------
--
--Title: Local Signal Declarations
--
--Description: The signals used by this entity are described below:
--
-- -dbInSig : This signal is the parallel data input
-- for the UART
-- -dbOutSig : This signal is the parallel data output
-- for the UART
-- -rdaSig : This signal will get the RDA signal from
-- the UART
-- -tbeSig : This signal will get the TBE signal from
-- the UART
-- -rdSig : This signal is the RD signal for the UART
-- -wrSig : This signal is the WR signal for the UART
-- -peSig : This signal will get the PE signal from
-- the UART
-- -feSig : This signal will get the FE signal from
-- the UART
-- -oeSig : This signal will get the OE signal from
-- the UART
--
-- The following signals are used by the main state machine
-- for state control:
--
-- -stCur, stNext
--
-------------------------------------------------------------------------
signal dbInSig : std_logic_vector(7 downto 0);
signal dbOutSig : std_logic_vector(7 downto 0);
signal rdaSig : std_logic;
signal tbeSig : std_logic;
signal rdSig : std_logic;
signal wrSig : std_logic;
signal peSig : std_logic;
signal feSig : std_logic;
signal oeSig : std_logic;
signal stCur : mainState := stReceive;
signal stNext : mainState;
 
------------------------------------------------------------------------
-- Module Implementation
------------------------------------------------------------------------
 
begin
 
------------------------------------------------------------------------
--
--Title: LED definitions
--
--Description: This series of definitions allows the scan code to be
-- displayed on the LEDs on the FPGA system board. Because the
-- dbOutSig is the scan code backwards, the LEDs must be
-- defined backwards from the dbOutSig.
--
------------------------------------------------------------------------
LEDS(7) <= dbOutSig(0);
LEDS(6) <= dbOutSig(1);
LEDS(5) <= dbOutSig(2);
LEDS(4) <= dbOutSig(3);
LEDS(3) <= dbOutSig(4);
LEDS(2) <= dbOutSig(5);
LEDS(1) <= dbOutSig(6);
LEDS(0) <= dbOutSig(7);
-------------------------------------------------------------------------
--
--Title: RS232RefComp map
--
--Description: This maps the signals and ports in main to the
-- RS232RefComp. The TXD, RXD, CLK, and RST of main are
-- directly tied to the TXD, RXD, CLK, and RST of the
-- RS232RefComp. The remaining RS232RefComp ports are
-- mapped to internal signals in main.
--
-------------------------------------------------------------------------
UART: RS232RefComp port map ( TXD => TXD,
RXD => RXD,
CLK => CLK,
DBIN => dbInSig,
DBOUT => dbOutSig,
RDA => rdaSig,
TBE => tbeSig,
RD => rdSig,
WR => wrSig,
PE => peSig,
FE => feSig,
OE => oeSig,
RST => RST);
-------------------------------------------------------------------------
--
--Title: Main State Machine controller
--
--Description: This process takes care of the Main state machine
-- movement. It causes the next state to be evaluated on
-- each rising edge of CLK. If the RST signal is strobed,
-- the state is changed to the default starting state, which
-- is stReceive.
--
-------------------------------------------------------------------------
process (CLK, RST)
begin
if (CLK = '1' and CLK'Event) then
if RST = '1' then
stCur <= stReceive;
else
stCur <= stNext;
end if;
end if;
end process;
-------------------------------------------------------------------------
--
--Title: Main State Machine
--
--Description: This process defines the next state logic for the Main
-- state machine. The main state machine controls the data
-- flow for this testing program in order to send and
-- receive data.
--
-------------------------------------------------------------------------
process (stCur, rdaSig, dboutsig)
begin
case stCur is
-------------------------------------------------------------------------
--
--Title: stReceive state
--
--Description: This state waits for the UART to receive data. While in
-- this state, the rdSig and wrSig are held low to keep the
-- UART from transmitting any data. Once the rdaSig is set
-- high, data has been received, and is safe to transmit. At
-- this time, the stSend state is loaded, and the dbOutSig
-- is copied to the dbInSig in order to transmit the newly
-- acquired parallel information.
--
-------------------------------------------------------------------------
when stReceive =>
rdSig <= '0';
wrSig <= '0';
 
if rdaSig = '1' then
dbInSig <= dbOutSig;
stNext <= stSend;
else
stNext <= stReceive;
end if;
-------------------------------------------------------------------------
--
--Title: stSend state
--
--Description: This state tells the UART to send the parallel
-- information found in dbInSig. It does this by strobing
-- both the rdSig and wrSig signals high. Once these
-- signals have been strobed high, the stReceive state is
-- loaded.
--
-------------------------------------------------------------------------
when stSend =>
rdSig <= '1';
wrSig <= '1';
 
stNext <= stReceive;
end case;
end process;
end Behavioral;
/projects/S3Demo/impact_impact.xwbt
0,0 → 1,8
INTSTYLE=impact
INFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/impact.xsl
OUTFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/impact.xsl
FAMILY=Multiple
PART=Multiple
WORKINGDIR=/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo
LICENSE=iMPACT
USER_INFO=iMPACT
/projects/S3Demo/xlnx_auto_0_xdb/cst.xbcd Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/projects/S3Demo/S3demo.pad
1,7 → 1,7
Release 12.3 - par M.70d (lin64)
Release 12.3 - par M.70d (lin)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
Fri May 2 20:24:13 2014
Tue May 6 22:08:33 2014
 
 
# NOTE: This file is designed to be imported into a spreadsheet program
47,17 → 47,17
P26|||VCCO_2|||2|||||2.50||||
P27|led<7>|IOB|IO_L02N_2/CSO_B|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P28|ssg<4>|IOB|IO_L03P_2/RDWR_B|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P29||DIFFSTB|IO_L03N_2/VS2|UNUSED||2|||||||||
P30||DIFFMTB|IO_L04P_2/VS1|UNUSED||2|||||||||
P31||DIFFSTB|IO_L04N_2/VS0|UNUSED||2|||||||||
P32||DIFFMTB|IO_L05P_2|UNUSED||2|||||||||
P33||DIFFSTB|IO_L05N_2|UNUSED||2|||||||||
P34||DIFFMTB|IO_L06P_2/D7|UNUSED||2|||||||||
P35||DIFFSTB|IO_L06N_2/D6|UNUSED||2|||||||||
P36||DIFFMTB|IO_L07P_2/D5|UNUSED||2|||||||||
P37||DIFFSTB|IO_L07N_2/D4|UNUSED||2|||||||||
P29|led_ext<7>|IOB|IO_L03N_2/VS2|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P30|btn_ext<4>|IBUF|IO_L04P_2/VS1|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE|
P31|led_ext<6>|IOB|IO_L04N_2/VS0|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P32|led_ext<5>|IOB|IO_L05P_2|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P33|led_ext<4>|IOB|IO_L05N_2|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P34|led_ext<3>|IOB|IO_L06P_2/D7|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P35|led_ext<2>|IOB|IO_L06N_2/D6|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P36|led_ext<0>|IOB|IO_L07P_2/D5|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P37|btn_ext<2>|IBUF|IO_L07N_2/D4|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE|
P38|||VCCINT||||||||1.2||||
P39||IBUF|IP_2/VREF_2|UNUSED||2|||||||||
P39|btn_ext<0>|IBUF|IP_2/VREF_2|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE|
P40||DIFFMTB|IO_L08P_2/GCLK14|UNUSED||2|||||||||
P41||DIFFSTB|IO_L08N_2/GCLK15|UNUSED||2|||||||||
P42|||GND||||||||||||
64,17 → 64,17
P43|mclk|IBUF|IO_L09P_2/GCLK0|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE|
P44||DIFFSTB|IO_L09N_2/GCLK1|UNUSED||2|||||||||
P45|||VCCO_2|||2|||||2.50||||
P46||DIFFSTB|IO_2/MOSI/CSI_B|UNUSED||2|||||||||
P46|led_ext<1>|IOB|IO_2/MOSI/CSI_B|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE|
P47|||GND||||||||||||
P48||DIFFMTB|IO_L10P_2/INIT_B|UNUSED||2|||||||||
P49||DIFFSTB|IO_L10N_2/D3|UNUSED||2|||||||||
P50||DIFFMTB|IO_L11P_2/D2|UNUSED||2|||||||||
P49|btn_ext<3>|IBUF|IO_L10N_2/D3|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE|
P50|btn_ext<1>|IBUF|IO_L11P_2/D2|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE|
P51||DIFFMTB|IO_L12P_2/D0/DIN/MISO|UNUSED||2|||||||||
P52||DIFFSTB|IO_L11N_2/D1|UNUSED||2|||||||||
P52|rxd|IBUF|IO_L11N_2/D1|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE|
P53||DIFFSTB|IO_L12N_2/CCLK|UNUSED||2|||||||||
P54|||DONE||||||||||||
P55|||VCCAUX||||||||2.5||||
P56||DIFFMLR|IO_L01P_1|UNUSED||1|||||||||
P56|txd|IOB|IO_L01P_1|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE|
P57|an<1>|IOB|IO_L01N_1|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE|
P58|||GND||||||||||||
P59|an<0>|IOB|IO_L02P_1/RHCLK0|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE|
/projects/S3Demo/S3demo.ucf
51,19 → 51,20
# PHRBoard NET "clk_div_1" LOC = "P44";
# PHRBoard NET "clk_div_2" LOC = "P41";
# PHRBoard NET "clk_div_3" LOC = "P40";
# PHRBoard NET "rxd" LOC = "P52";
# PHRBoard NET "txd" LOC = "P56";
# PHRBoard NET "btn_ext<0>" LOC = "P39" ;# PHRBoard | CON-IO (macho) | Pin 1 |
# PHRBoard NET "btn_ext<1>" LOC = "P50" ;# PHRBoard | CON-IO (macho) | Pin 2 |
# PHRBoard NET "btn_ext<2>" LOC = "P37" ;# PHRBoard | CON-IO (macho) | Pin 3 |
# PHRBoard NET "btn_ext<3>" LOC = "P49" ;# PHRBoard | CON-IO (macho) | Pin 4 |
# PHRBoard NET "led_ext<1>" LOC = "P36" ;# PHRBoard | CON-IO (macho) | Pin 5 |
# PHRBoard NET "led_ext<0>" LOC = "P46" ;# PHRBoard | CON-IO (macho) | Pin 6 |
# PHRBoard NET "led_ext<3>" LOC = "P35" ;# PHRBoard | CON-IO (macho) | Pin 7 |
# PHRBoard NET "led_ext<2>" LOC = "P34" ;# PHRBoard | CON-IO (macho) | Pin 8 |
# PHRBoard NET "led_ext<5>" LOC = "P33" ;# PHRBoard | CON-IO (macho) | Pin 9 |
# PHRBoard NET "led_ext<4>" LOC = "P32" ;# PHRBoard | CON-IO (macho) | Pin 10 |
# PHRBoard NET "led_ext<7>" LOC = "P31" ;# PHRBoard | CON-IO (macho) | Pin 11 |
# PHRBoard NET "led_ext<6>" LOC = "P30" ;# PHRBoard | CON-IO (macho) | Pin 12 |
# PHRBoard NET "btn_ext<4>" LOC = "P29" ;# PHRBoard | CON-IO (macho) | Pin 13 |
NET "rxd" LOC = "P52";
NET "txd" LOC = "P56";
NET "btn_ext<0>" LOC = "P39" ;# PHRBoard | CON-IO (macho) | Pin 1 |
NET "btn_ext<1>" LOC = "P50" ;# PHRBoard | CON-IO (macho) | Pin 2 |
NET "btn_ext<2>" LOC = "P37" ;# PHRBoard | CON-IO (macho) | Pin 3 |
NET "btn_ext<3>" LOC = "P49" ;# PHRBoard | CON-IO (macho) | Pin 4 |
 
NET "led_ext<0>" LOC = "P36" ;# PHRBoard | CON-IO (macho) | Pin 5 |
NET "led_ext<1>" LOC = "P46" ;# PHRBoard | CON-IO (macho) | Pin 6 |
NET "led_ext<2>" LOC = "P35" ;# PHRBoard | CON-IO (macho) | Pin 7 |
NET "led_ext<3>" LOC = "P34" ;# PHRBoard | CON-IO (macho) | Pin 8 |
NET "led_ext<4>" LOC = "P33" ;# PHRBoard | CON-IO (macho) | Pin 9 |
NET "led_ext<5>" LOC = "P32" ;# PHRBoard | CON-IO (macho) | Pin 10 |
NET "led_ext<6>" LOC = "P31" ;# PHRBoard | CON-IO (macho) | Pin 11 |
NET "led_ext<7>" LOC = "P29" ;# PHRBoard | CON-IO (macho) | Pin 12 |
NET "btn_ext<4>" LOC = "P30" ;# PHRBoard | CON-IO (macho) | Pin 13 |
 
/projects/S3Demo/RS232RefComp.vhd
0,0 → 1,406
------------------------------------------------------------------------
-- RS232RefCom.vhd
------------------------------------------------------------------------
-- Author: Dan Pederson
-- Copyright 2004 Digilent, Inc.
------------------------------------------------------------------------
-- Description: This file defines a UART which tranfers data from
-- serial form to parallel form and vice versa.
------------------------------------------------------------------------
-- Revision History:
-- 07/15/04 (Created) DanP
-- 02/25/08 (Created) ClaudiaG: made use of the baudDivide constant
-- in the Clock Dividing Processes
------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
 
entity Rs232RefComp is
Port (
TXD : out std_logic := '1';
RXD : in std_logic;
CLK : in std_logic; --Master Clock = 50MHz
DBIN : in std_logic_vector (7 downto 0); --Data Bus in
DBOUT : out std_logic_vector (7 downto 0); --Data Bus out
RDA : inout std_logic; --Read Data Available
TBE : inout std_logic := '1'; --Transfer Bus Empty
RD : in std_logic; --Read Strobe
WR : in std_logic; --Write Strobe
PE : out std_logic; --Parity Error Flag
FE : out std_logic; --Frame Error Flag
OE : out std_logic; --Overwrite Error Flag
RST : in std_logic := '0'); --Master Reset
end Rs232RefComp;
 
architecture Behavioral of Rs232RefComp is
------------------------------------------------------------------------
-- Component Declarations
------------------------------------------------------------------------
 
------------------------------------------------------------------------
-- Local Type Declarations
------------------------------------------------------------------------
--Receive state machine
type rstate is (
strIdle, --Idle state
strEightDelay, --Delays for 8 clock cycles
strGetData, --Shifts in the 8 data bits, and checks parity
strCheckStop --Sets framing error flag if Stop bit is wrong
);
 
type tstate is (
sttIdle, --Idle state
sttTransfer, --Move data into shift register
sttShift --Shift out data
);
 
type TBEstate is (
stbeIdle,
stbeSetTBE,
stbeWaitLoad,
stbeWaitWrite
);
 
------------------------------------------------------------------------
-- Signal Declarations
------------------------------------------------------------------------
constant baudDivide : std_logic_vector(7 downto 0) := "10100011"; --Baud Rate dividor, set now for a rate of 9600.
--Found by dividing 50MHz by 9600 and 16.
signal rdReg : std_logic_vector(7 downto 0) := "00000000"; --Receive holding register
signal rdSReg : std_logic_vector(9 downto 0) := "1111111111"; --Receive shift register
signal tfReg : std_logic_vector(7 downto 0); --Transfer holding register
signal tfSReg : std_logic_vector(10 downto 0) := "11111111111"; --Transfer shift register
signal clkDiv : std_logic_vector(8 downto 0) := "000000000"; --used for rClk
signal rClkDiv : std_logic_vector(3 downto 0) := "0000"; --used for tClk
signal ctr : std_logic_vector(3 downto 0) := "0000"; --used for delay times
signal tfCtr : std_logic_vector(3 downto 0) := "0000"; --used to delay in transfer
signal rClk : std_logic := '0'; --Receiving Clock
signal tClk : std_logic; --Transfering Clock
signal dataCtr : std_logic_vector(3 downto 0) := "0000"; --Counts the number of read data bits
signal parError: std_logic; --Parity error bit
signal frameError: std_logic; --Frame error bit
signal CE : std_logic; --Clock enable for the latch
signal ctRst : std_logic := '0';
signal load : std_logic := '0';
signal shift : std_logic := '0';
signal par : std_logic;
signal tClkRST : std_logic := '0';
signal rShift : std_logic := '0';
signal dataRST : std_logic := '0';
signal dataIncr: std_logic := '0';
 
signal strCur : rstate := strIdle; --Current state in the Receive state machine
signal strNext : rstate; --Next state in the Receive state machine
signal sttCur : tstate := sttIdle; --Current state in the Transfer state machine
signal sttNext : tstate; --Next state in the Transfer staet machine
signal stbeCur : TBEstate := stbeIdle;
signal stbeNext: TBEstate;
------------------------------------------------------------------------
-- Module Implementation
------------------------------------------------------------------------
 
begin
frameError <= not rdSReg(9);
parError <= not ( rdSReg(8) xor (((rdSReg(0) xor rdSReg(1)) xor (rdSReg(2) xor rdSReg(3))) xor ((rdSReg(4) xor rdSReg(5)) xor (rdSReg(6) xor rdSReg(7)))) );
DBOUT <= rdReg;
tfReg <= DBIN;
par <= not ( ((tfReg(0) xor tfReg(1)) xor (tfReg(2) xor tfReg(3))) xor ((tfReg(4) xor tfReg(5)) xor (tfReg(6) xor tfReg(7))) );
 
--Clock Dividing Functions--
 
process (CLK, clkDiv) --set up clock divide for rClk
begin
if (Clk = '1' and Clk'event) then
if (clkDiv = baudDivide) then
clkDiv <= "000000000";
else
clkDiv <= clkDiv +1;
end if;
end if;
end process;
 
process (clkDiv, rClk, CLK) --Define rClk
begin
if CLK = '1' and CLK'Event then
if clkDiv = baudDivide then
rClk <= not rClk;
else
rClk <= rClk;
end if;
end if;
end process;
 
process (rClk) --set up clock divide for tClk
begin
if (rClk = '1' and rClk'event) then
rClkDiv <= rClkDiv +1;
end if;
end process;
 
tClk <= rClkDiv(3); --define tClk
 
process (rClk, ctRst) --set up a counter based on rClk
begin
if rClk = '1' and rClk'Event then
if ctRst = '1' then
ctr <= "0000";
else
ctr <= ctr +1;
end if;
end if;
end process;
 
process (tClk, tClkRST) --set up a counter based on tClk
begin
if (tClk = '1' and tClk'event) then
if tClkRST = '1' then
tfCtr <= "0000";
else
tfCtr <= tfCtr +1;
end if;
end if;
end process;
 
--This process controls the error flags--
process (rClk, RST, RD, CE)
begin
if RD = '1' or RST = '1' then
FE <= '0';
OE <= '0';
RDA <= '0';
PE <= '0';
elsif rClk = '1' and rClk'event then
if CE = '1' then
FE <= frameError;
OE <= RDA;
RDA <= '1';
PE <= parError;
rdReg(7 downto 0) <= rdSReg (7 downto 0);
end if;
end if;
end process;
 
--This process controls the receiving shift register--
process (rClk, rShift)
begin
if rClk = '1' and rClk'Event then
if rShift = '1' then
rdSReg <= (RXD & rdSReg(9 downto 1));
end if;
end if;
end process;
 
--This process controls the dataCtr to keep track of shifted values--
process (rClk, dataRST)
begin
if (rClk = '1' and rClk'event) then
if dataRST = '1' then
dataCtr <= "0000";
elsif dataIncr = '1' then
dataCtr <= dataCtr +1;
end if;
end if;
end process;
 
--Receiving State Machine--
process (rClk, RST)
begin
if rClk = '1' and rClk'Event then
if RST = '1' then
strCur <= strIdle;
else
strCur <= strNext;
end if;
end if;
end process;
--This process generates the sequence of steps needed receive the data
process (strCur, ctr, RXD, dataCtr, rdSReg, rdReg, RDA)
begin
case strCur is
 
when strIdle =>
dataIncr <= '0';
rShift <= '0';
dataRst <= '0';
CE <= '0';
if RXD = '0' then
ctRst <= '1';
strNext <= strEightDelay;
else
ctRst <= '0';
strNext <= strIdle;
end if;
when strEightDelay =>
dataIncr <= '0';
rShift <= '0';
CE <= '0';
 
if ctr(2 downto 0) = "111" then
ctRst <= '1';
dataRST <= '1';
strNext <= strGetData;
else
ctRst <= '0';
dataRST <= '0';
strNext <= strEightDelay;
end if;
when strGetData =>
CE <= '0';
dataRst <= '0';
if ctr(3 downto 0) = "1111" then
ctRst <= '1';
dataIncr <= '1';
rShift <= '1';
else
ctRst <= '0';
dataIncr <= '0';
rShift <= '0';
end if;
 
if dataCtr = "1010" then
strNext <= strCheckStop;
else
strNext <= strGetData;
end if;
when strCheckStop =>
dataIncr <= '0';
rShift <= '0';
dataRst <= '0';
ctRst <= '0';
 
CE <= '1';
strNext <= strIdle;
end case;
end process;
 
--TBE State Machine--
process (CLK, RST)
begin
if CLK = '1' and CLK'Event then
if RST = '1' then
stbeCur <= stbeIdle;
else
stbeCur <= stbeNext;
end if;
end if;
end process;
 
--This process gererates the sequence of events needed to control the TBE flag--
process (stbeCur, CLK, WR, DBIN, load)
begin
 
case stbeCur is
 
when stbeIdle =>
TBE <= '1';
if WR = '1' then
stbeNext <= stbeSetTBE;
else
stbeNext <= stbeIdle;
end if;
when stbeSetTBE =>
TBE <= '0';
if load = '1' then
stbeNext <= stbeWaitLoad;
else
stbeNext <= stbeSetTBE;
end if;
when stbeWaitLoad =>
if load = '0' then
stbeNext <= stbeWaitWrite;
else
stbeNext <= stbeWaitLoad;
end if;
 
when stbeWaitWrite =>
if WR = '0' then
stbeNext <= stbeIdle;
else
stbeNext <= stbeWaitWrite;
end if;
end case;
end process;
 
--This process loads and shifts out the transfer shift register--
process (load, shift, tClk, tfSReg)
begin
TXD <= tfsReg(0);
if tClk = '1' and tClk'Event then
if load = '1' then
tfSReg (10 downto 0) <= ('1' & par & tfReg(7 downto 0) &'0');
end if;
if shift = '1' then
tfSReg (10 downto 0) <= ('1' & tfSReg(10 downto 1));
end if;
end if;
end process;
 
-- Transfer State Machine--
process (tClk, RST)
begin
if (tClk = '1' and tClk'Event) then
if RST = '1' then
sttCur <= sttIdle;
else
sttCur <= sttNext;
end if;
end if;
end process;
-- This process generates the sequence of steps needed transfer the data--
process (sttCur, tfCtr, tfReg, TBE, tclk)
begin
 
case sttCur is
when sttIdle =>
tClkRST <= '0';
shift <= '0';
load <= '0';
if TBE = '1' then
sttNext <= sttIdle;
else
sttNext <= sttTransfer;
end if;
 
when sttTransfer =>
shift <= '0';
load <= '1';
tClkRST <= '1';
sttNext <= sttShift;
 
when sttShift =>
shift <= '1';
load <= '0';
tClkRST <= '0';
if tfCtr = "1100" then
sttNext <= sttIdle;
else
sttNext <= sttShift;
end if;
end case;
end process;
end Behavioral;
/projects/S3Demo/S3demo.bit Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/projects/S3Demo/S3demo_summary.html
2,7 → 2,7
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
<TD ALIGN=CENTER COLSPAN='4'><B>S3demo Project Status (05/02/2014 - 20:24:23)</B></TD></TR>
<TD ALIGN=CENTER COLSPAN='4'><B>S3demo Project Status (05/06/2014 - 22:08:43)</B></TD></TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
<TD>S3demo.xise</TD>
25,7 → 25,7
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 12.3</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/*.xmsgs?&DataKey=Warning'>2 Warnings (2 new)</A></TD>
<TD ALIGN=LEFT><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/*.xmsgs?&DataKey=Warning'>39 Warnings (0 new)</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
32,7 → 32,7
<TD>Balanced</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
<TD>
<A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.unroutes'>All Signals Completely Routed</A></TD>
<A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.unroutes'>All Signals Completely Routed</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
39,16 → 39,16
<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
<TD>
<A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
<A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
<TD>
<A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_envsettings.html'>
<A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_envsettings.html'>
System Settings</A>
</TD>
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
<TD>0 &nbsp;<A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.twx?&DataKey=XmlTimingReport'>(Timing Report)</A></TD>
<TD>0 &nbsp;<A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.twx?&DataKey=XmlTimingReport'>(Timing Report)</A></TD>
</TR>
</TABLE>
 
59,74 → 59,86
<TR ALIGN=CENTER BGCOLOR='#FFFF99'>
<TD ALIGN=LEFT><B>Logic Utilization</B></TD><TD><B>Used</B></TD><TD><B>Available</B></TD><TD><B>Utilization</B></TD><TD COLSPAN='2'><B>Note(s)</B></TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slice Flip Flops</TD>
<TD ALIGN=RIGHT>97</TD>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Total Number Slice Registers</TD>
<TD ALIGN=RIGHT>166</TD>
<TD ALIGN=RIGHT>3,584</TD>
<TD ALIGN=RIGHT>2%</TD>
<TD ALIGN=RIGHT>4%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Flip Flops</TD>
<TD ALIGN=RIGHT>157</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Latches</TD>
<TD ALIGN=RIGHT>9</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of 4 input LUTs</TD>
<TD ALIGN=RIGHT>53</TD>
<TD ALIGN=RIGHT>100</TD>
<TD ALIGN=RIGHT>3,584</TD>
<TD ALIGN=RIGHT>1%</TD>
<TD ALIGN=RIGHT>2%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of occupied Slices</TD>
<TD ALIGN=RIGHT>74</TD>
<TD ALIGN=RIGHT>121</TD>
<TD ALIGN=RIGHT>1,792</TD>
<TD ALIGN=RIGHT>4%</TD>
<TD ALIGN=RIGHT>6%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of Slices containing only related logic</TD>
<TD ALIGN=RIGHT>74</TD>
<TD ALIGN=RIGHT>74</TD>
<TD ALIGN=RIGHT>121</TD>
<TD ALIGN=RIGHT>121</TD>
<TD ALIGN=RIGHT>100%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of Slices containing unrelated logic</TD>
<TD ALIGN=RIGHT>0</TD>
<TD ALIGN=RIGHT>74</TD>
<TD ALIGN=RIGHT>121</TD>
<TD ALIGN=RIGHT>0%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Total Number of 4 input LUTs</TD>
<TD ALIGN=RIGHT>106</TD>
<TD ALIGN=RIGHT>162</TD>
<TD ALIGN=RIGHT>3,584</TD>
<TD ALIGN=RIGHT>2%</TD>
<TD ALIGN=RIGHT>4%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as logic</TD>
<TD ALIGN=RIGHT>52</TD>
<TD ALIGN=RIGHT>98</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as a route-thru</TD>
<TD ALIGN=RIGHT>53</TD>
<TD ALIGN=RIGHT>62</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Shift registers</TD>
<TD ALIGN=RIGHT>1</TD>
<TD ALIGN=RIGHT>2</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded <A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_map.xrpt?&DataKey=IOBProperties'>IOBs</A></TD>
<TD ALIGN=RIGHT>40</TD>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded <A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_map.xrpt?&DataKey=IOBProperties'>IOBs</A></TD>
<TD ALIGN=RIGHT>55</TD>
<TD ALIGN=RIGHT>68</TD>
<TD ALIGN=RIGHT>58%</TD>
<TD ALIGN=RIGHT>80%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFGMUXs</TD>
<TD ALIGN=RIGHT>3</TD>
<TD ALIGN=RIGHT>4</TD>
<TD ALIGN=RIGHT>24</TD>
<TD ALIGN=RIGHT>12%</TD>
<TD ALIGN=RIGHT>16%</TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TR>
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Average Fanout of Non-Clock Nets</TD>
<TD ALIGN=RIGHT>2.32</TD>
<TD ALIGN=RIGHT>2.52</TD>
<TD>&nbsp;</TD>
<TD>&nbsp;</TD>
<TD COLSPAN='2'>&nbsp;</TD>
141,18 → 153,18
<TD BGCOLOR='#FFFF99'><B>Final Timing Score:</B></TD>
<TD>0 (Setup: 0, Hold: 0)</TD>
<TD BGCOLOR='#FFFF99'><B>Pinout Data:</B></TD>
<TD COLSPAN='2'><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_par.xrpt?&DataKey=PinoutData'>Pinout Report</A></TD>
<TD COLSPAN='2'><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_par.xrpt?&DataKey=PinoutData'>Pinout Report</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Routing Results:</B></TD><TD>
<A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.unroutes'>All Signals Completely Routed</A></TD>
<A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.unroutes'>All Signals Completely Routed</A></TD>
<TD BGCOLOR='#FFFF99'><B>Clock Data:</B></TD>
<TD COLSPAN='2'><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_par.xrpt?&DataKey=ClocksData'>Clock Report</A></TD>
<TD COLSPAN='2'><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_par.xrpt?&DataKey=ClocksData'>Clock Report</A></TD>
</TR>
<TR ALIGN=LEFT>
<TD BGCOLOR='#FFFF99'><B>Timing Constraints:</B></TD>
<TD>
<A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
<A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
<TD BGCOLOR='#FFFF99'><B>&nbsp;</B></TD>
<TD COLSPAN='2'>&nbsp;</TD>
</TABLE>
163,20 → 175,21
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>vie may 2 20:19:40 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/xst.xmsgs?&DataKey=Info'>2 Infos (2 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.bld'>Translation Report</A></TD><TD>Current</TD><TD>vie may 2 20:23:45 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>vie may 2 20:23:54 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/map.xmsgs?&DataKey=Info'>2 Infos (2 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.par'>Place and Route Report</A></TD><TD>Current</TD><TD>vie may 2 20:24:14 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/par.xmsgs?&DataKey=Warning'>2 Warnings (2 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/par.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>mar may 6 21:54:15 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/xst.xmsgs?&DataKey=Warning'>11 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/xst.xmsgs?&DataKey=Info'>3 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.bld'>Translation Report</A></TD><TD>Current</TD><TD>mar may 6 22:08:14 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>mar may 6 22:08:19 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/map.xmsgs?&DataKey=Warning'>7 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/map.xmsgs?&DataKey=Info'>4 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.par'>Place and Route Report</A></TD><TD>Current</TD><TD>mar may 6 22:08:33 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/par.xmsgs?&DataKey=Warning'>14 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/par.xmsgs?&DataKey=Info'>4 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.twr'>Post-PAR Static Timing Report</A></TD><TD>Current</TD><TD>vie may 2 20:24:17 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/trce.xmsgs?&DataKey=Info'>5 Infos (5 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.bgn'>Bitgen Report</A></TD><TD>Current</TD><TD>vie may 2 20:24:22 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.twr'>Post-PAR Static Timing Report</A></TD><TD>Current</TD><TD>mar may 6 22:08:35 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/trce.xmsgs?&DataKey=Info'>5 Infos (0 new)</A></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.bgn'>Bitgen Report</A></TD><TD>Current</TD><TD>mar may 6 22:08:39 2014</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/_xmsgs/bitgen.xmsgs?&DataKey=Warning'>7 Warnings (0 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
</TABLE>
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/webtalk.log'>WebTalk Log File</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>vie may 2 20:24:23 2014</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Current</TD><TD COLSPAN='2'>mar may 6 22:08:40 2014</TD></TR>
<TR ALIGN=LEFT><TD><A HREF_DISABLED='/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/webtalk.log'>WebTalk Log File</A></TD><TD>Current</TD><TD COLSPAN='2'>mar may 6 22:08:43 2014</TD></TR>
</TABLE>
 
 
<br><center><b>Date Generated:</b> 05/02/2014 - 21:04:41</center>
<br><center><b>Date Generated:</b> 05/06/2014 - 22:08:43</center>
</BODY></HTML>
/projects/S3Demo/S3demo.par
1,7 → 1,7
Release 12.3 par M.70d (lin64)
Release 12.3 par M.70d (lin)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
luis-laptop:: Fri May 02 20:23:56 2014
cudar75:: Tue May 06 22:08:21 2014
 
par -w -intstyle ise -ol high -t 1 S3demo_map.ncd S3demo.ncd S3demo.pcf
 
9,15 → 9,7
Constraints file: S3demo.pcf.
Loading device for application Rf_Device from file '3s200a.nph' in environment /opt/Xilinx/12.3/ISE_DS/ISE/.
"S3demo" is an NCD, version 3.2, device xc3s200a, package vq100, speed -5
vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv
INFO:Security:67 - XILINXD_LICENSE_FILE is set to
'/media/datos3/xilinx_linux/Xilinx/12.3/ISE_DS/ISE/coregen/core_licenses' in /home/luis/.flexlmrc.
INFO:Security:54 - 'xc3s200a' is a WebPack part.
WARNING:Security:42 - Your software subscription period has lapsed. Your current version of Xilinx tools will continue
to function, but you no longer qualify for Xilinx software updates or new releases.
 
----------------------------------------------------------------------
 
Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius)
Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts)
 
32,26 → 24,26
 
Design Summary Report:
 
Number of External IOBs 40 out of 68 58%
Number of External IOBs 55 out of 68 80%
 
Number of External Input IOBs 15
Number of External Input IOBs 21
 
Number of External Input IBUFs 15
Number of LOCed External Input IBUFs 15 out of 15 100%
Number of External Input IBUFs 21
Number of LOCed External Input IBUFs 21 out of 21 100%
 
 
Number of External Output IOBs 25
Number of External Output IOBs 34
 
Number of External Output IOBs 25
Number of LOCed External Output IOBs 25 out of 25 100%
Number of External Output IOBs 34
Number of LOCed External Output IOBs 34 out of 34 100%
 
 
Number of External Bidir IOBs 0
 
 
Number of BUFGMUXs 3 out of 24 12%
Number of Slices 74 out of 1792 4%
Number of SLICEMs 1 out of 896 1%
Number of BUFGMUXs 4 out of 24 16%
Number of Slices 121 out of 1792 6%
Number of SLICEMs 2 out of 896 1%
 
 
 
60,49 → 52,56
Placer cost table entry (-t): 1
Router effort level (-rl): High
 
Starting initial Timing Analysis. REAL time: 3 secs
Finished initial Timing Analysis. REAL time: 3 secs
Starting initial Timing Analysis. REAL time: 1 secs
Finished initial Timing Analysis. REAL time: 1 secs
 
WARNING:Par:288 - The signal swt<1>_IBUF has no load. PAR will not attempt to route this signal.
WARNING:Par:288 - The signal swt<2>_IBUF has no load. PAR will not attempt to route this signal.
WARNING:Par:288 - The signal swt<3>_IBUF has no load. PAR will not attempt to route this signal.
WARNING:Par:288 - The signal swt<4>_IBUF has no load. PAR will not attempt to route this signal.
WARNING:Par:288 - The signal swt<5>_IBUF has no load. PAR will not attempt to route this signal.
WARNING:Par:288 - The signal swt<6>_IBUF has no load. PAR will not attempt to route this signal.
WARNING:Par:288 - The signal swt<7>_IBUF has no load. PAR will not attempt to route this signal.
 
Starting Placer
Total REAL time at the beginning of Placer: 3 secs
Total CPU time at the beginning of Placer: 2 secs
Total REAL time at the beginning of Placer: 1 secs
Total CPU time at the beginning of Placer: 1 secs
 
Phase 1.1 Initial Placement Analysis
Phase 1.1 Initial Placement Analysis (Checksum:12d1ee23) REAL time: 4 secs
Phase 1.1 Initial Placement Analysis (Checksum:2597e45d) REAL time: 2 secs
 
Phase 2.7 Design Feasibility Check
Phase 2.7 Design Feasibility Check (Checksum:12d1ee23) REAL time: 4 secs
Phase 2.7 Design Feasibility Check (Checksum:2597e45d) REAL time: 2 secs
 
Phase 3.31 Local Placement Optimization
Phase 3.31 Local Placement Optimization (Checksum:12d1ee23) REAL time: 4 secs
Phase 3.31 Local Placement Optimization (Checksum:2597e45d) REAL time: 2 secs
 
Phase 4.2 Initial Clock and IO Placement
 
Phase 4.2 Initial Clock and IO Placement (Checksum:391f83ec) REAL time: 12 secs
Phase 4.2 Initial Clock and IO Placement (Checksum:331b4274) REAL time: 8 secs
 
Phase 5.30 Global Clock Region Assignment
Phase 5.30 Global Clock Region Assignment (Checksum:391f83ec) REAL time: 12 secs
Phase 5.30 Global Clock Region Assignment (Checksum:331b4274) REAL time: 8 secs
 
Phase 6.36 Local Placement Optimization
Phase 6.36 Local Placement Optimization (Checksum:391f83ec) REAL time: 12 secs
Phase 6.36 Local Placement Optimization (Checksum:331b4274) REAL time: 8 secs
 
Phase 7.8 Global Placement
...
.........
..
Phase 7.8 Global Placement (Checksum:681cdebd) REAL time: 12 secs
Phase 7.8 Global Placement (Checksum:73ad35a7) REAL time: 8 secs
 
Phase 8.5 Local Placement Optimization
Phase 8.5 Local Placement Optimization (Checksum:681cdebd) REAL time: 12 secs
Phase 8.5 Local Placement Optimization (Checksum:73ad35a7) REAL time: 8 secs
 
Phase 9.18 Placement Optimization
Phase 9.18 Placement Optimization (Checksum:9e12af05) REAL time: 12 secs
Phase 9.18 Placement Optimization (Checksum:7251a0e) REAL time: 8 secs
 
Phase 10.5 Local Placement Optimization
Phase 10.5 Local Placement Optimization (Checksum:9e12af05) REAL time: 12 secs
Phase 10.5 Local Placement Optimization (Checksum:7251a0e) REAL time: 8 secs
 
Total REAL time to Placer completion: 12 secs
Total CPU time to Placer completion: 11 secs
Total REAL time to Placer completion: 8 secs
Total CPU time to Placer completion: 8 secs
Writing design to file S3demo.ncd
 
 
110,38 → 109,46
Starting Router
 
 
Phase 1 : 426 unrouted; REAL time: 15 secs
Phase 1 : 714 unrouted; REAL time: 10 secs
 
Phase 2 : 360 unrouted; REAL time: 15 secs
Phase 2 : 598 unrouted; REAL time: 10 secs
 
Phase 3 : 54 unrouted; REAL time: 15 secs
Phase 3 : 61 unrouted; REAL time: 10 secs
 
Phase 4 : 57 unrouted; (Par is working to improve performance) REAL time: 15 secs
Phase 4 : 89 unrouted; (Par is working to improve performance) REAL time: 10 secs
 
Phase 5 : 0 unrouted; (Par is working to improve performance) REAL time: 15 secs
Phase 5 : 0 unrouted; (Par is working to improve performance) REAL time: 10 secs
 
Updating file: S3demo.ncd with current fully routed design.
 
Phase 6 : 0 unrouted; (Par is working to improve performance) REAL time: 16 secs
Phase 6 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs
 
Phase 7 : 0 unrouted; (Par is working to improve performance) REAL time: 17 secs
Phase 7 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs
 
Phase 8 : 0 unrouted; (Par is working to improve performance) REAL time: 17 secs
Updating file: S3demo.ncd with current fully routed design.
 
Phase 9 : 0 unrouted; (Par is working to improve performance) REAL time: 17 secs
Phase 8 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs
 
Phase 10 : 0 unrouted; (Par is working to improve performance) REAL time: 17 secs
Phase 9 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs
 
Phase 11 : 0 unrouted; (Par is working to improve performance) REAL time: 17 secs
Phase 10 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs
 
Phase 12 : 0 unrouted; (Par is working to improve performance) REAL time: 17 secs
Phase 11 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs
 
Phase 12 : 0 unrouted; (Par is working to improve performance) REAL time: 12 secs
WARNING:Route:455 - CLK Net:RS232Proj_UART_rClkDiv<3> may have excessive skew because
0 CLK pins and 1 NON_CLK pins failed to route using a CLK template.
WARNING:Route:455 - CLK Net:RS232Proj_UART_stbeCur_FSM_FFd1 may have excessive skew because
1 CLK pins and 3 NON_CLK pins failed to route using a CLK template.
WARNING:Route:455 - CLK Net:RS232Proj_stCur<0> may have excessive skew because
0 CLK pins and 5 NON_CLK pins failed to route using a CLK template.
WARNING:Route:455 - CLK Net:clkdiv<23> may have excessive skew because
2 CLK pins and 1 NON_CLK pins failed to route using a CLK template.
0 CLK pins and 1 NON_CLK pins failed to route using a CLK template.
WARNING:Route:455 - CLK Net:kb1_clkDiv<3> may have excessive skew because
0 CLK pins and 1 NON_CLK pins failed to route using a CLK template.
 
Total REAL time to Router completion: 17 secs
Total CPU time to Router completion: 15 secs
Total REAL time to Router completion: 12 secs
Total CPU time to Router completion: 11 secs
 
Partition Implementation Status
-------------------------------
159,16 → 166,26
+---------------------+--------------+------+------+------------+-------------+
| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)|
+---------------------+--------------+------+------+------------+-------------+
| mclk_BUFGP | BUFGMUX_X2Y1| No | 20 | 0.096 | 0.963 |
| kb1_KCI | BUFGMUX_X2Y11| No | 16 | 0.033 | 0.908 |
+---------------------+--------------+------+------+------------+-------------+
| vga1_clkdiv | BUFGMUX_X1Y0| No | 11 | 0.043 | 0.935 |
| mclk_BUFGP | BUFGMUX_X2Y1| No | 28 | 0.056 | 0.929 |
+---------------------+--------------+------+------+------------+-------------+
| kb1_KCI | BUFGMUX_X1Y10| No | 16 | 0.057 | 0.925 |
| RS232Proj_UART_rClk | BUFGMUX_X1Y0| No | 18 | 0.025 | 0.908 |
+---------------------+--------------+------+------+------------+-------------+
| clkdiv<23> | Local| | 3 | 0.225 | 1.104 |
| vga1_clkdiv | BUFGMUX_X1Y10| No | 11 | 0.025 | 0.915 |
+---------------------+--------------+------+------+------------+-------------+
| kb1_clkDiv<3> | Local| | 4 | 0.000 | 1.739 |
|RS232Proj_UART_rClkD | | | | | |
| iv<3> | Local| | 11 | 0.043 | 1.394 |
+---------------------+--------------+------+------+------------+-------------+
| RS232Proj_stCur<0> | Local| | 9 | 0.093 | 1.844 |
+---------------------+--------------+------+------+------------+-------------+
|RS232Proj_UART_stbeC | | | | | |
| ur_FSM_FFd1 | Local| | 4 | 0.000 | 1.032 |
+---------------------+--------------+------+------+------------+-------------+
| clkdiv<23> | Local| | 3 | 0.000 | 1.393 |
+---------------------+--------------+------+------+------------+-------------+
| kb1_clkDiv<3> | Local| | 4 | 0.004 | 1.800 |
+---------------------+--------------+------+------+------------+-------------+
 
* Net Skew is the difference between the minimum and maximum routing
only delays for the net. Note this is different from Clock Skew which
184,22 → 201,28
Constraint | Check | Worst Case | Best Case | Timing | Timing
| | Slack | Achievable | Errors | Score
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net mcl | SETUP | N/A| 3.812ns| N/A| 0
k_BUFGP | HOLD | 1.162ns| | 0| 0
Autotimespec constraint for clock net kb1 | SETUP | N/A| 7.382ns| N/A| 0
_KCI | HOLD | 0.815ns| | 0| 0
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net clk | SETUP | N/A| 3.007ns| N/A| 0
div<23> | HOLD | 1.069ns| | 0| 0
Autotimespec constraint for clock net mcl | SETUP | N/A| 3.894ns| N/A| 0
k_BUFGP | HOLD | 1.092ns| | 0| 0
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net vga | SETUP | N/A| 4.264ns| N/A| 0
1_clkdiv | HOLD | 1.355ns| | 0| 0
Autotimespec constraint for clock net RS2 | SETUP | N/A| 4.195ns| N/A| 0
32Proj_UART_rClk | HOLD | 0.789ns| | 0| 0
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net kb1 | SETUP | N/A| 1.202ns| N/A| 0
_clkDiv<3> | HOLD | 0.858ns| | 0| 0
Autotimespec constraint for clock net vga | SETUP | N/A| 4.213ns| N/A| 0
1_clkdiv | HOLD | 0.924ns| | 0| 0
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net RS2 | SETUP | N/A| 2.862ns| N/A| 0
32Proj_UART_rClkDiv<3> | HOLD | 0.995ns| | 0| 0
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net clk | SETUP | N/A| 2.271ns| N/A| 0
div<23> | HOLD | 1.167ns| | 0| 0
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net kb1 | SETUP | N/A| 1.173ns| N/A| 0
_clkDiv<3> | HOLD | 0.814ns| | 0| 0
| MINPERIOD | N/A| 1.328ns| N/A| 0
----------------------------------------------------------------------------------------------------------
Autotimespec constraint for clock net kb1 | SETUP | N/A| 5.959ns| N/A| 0
_KCI | HOLD | 0.638ns| | 0| 0
----------------------------------------------------------------------------------------------------------
 
 
All constraints were met.
214,16 → 237,18
 
All signals are completely routed.
 
Total REAL time to PAR completion: 17 secs
Total CPU time to PAR completion: 15 secs
WARNING:Par:283 - There are 7 loadless signals in this design. This design will cause Bitgen to issue DRC warnings.
 
Peak Memory Usage: 378 MB
Total REAL time to PAR completion: 12 secs
Total CPU time to PAR completion: 12 secs
 
Peak Memory Usage: 148 MB
 
Placement: Completed - No errors found.
Routing: Completed - No errors found.
 
Number of error messages: 0
Number of warning messages: 2
Number of warning messages: 14
Number of info messages: 1
 
Writing design to file S3demo.ncd
/projects/S3Demo/xst/work/hdpdeps.ref
1,25 → 1,44
V3 11
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd 2004/07/07.10:59:58 M.70d
EN work/keyboardVhdl 1399072776 \
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd \
V3 22
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/DataCntrl.vhd 2014/05/05.13:58:13 M.70d
EN work/DataCntrl 1399424056 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/DataCntrl.vhd \
PB ieee/std_logic_1164 1284609568 PB ieee/std_logic_arith 1284609569 \
PB ieee/STD_LOGIC_UNSIGNED 1284609570
AR work/keyboardVhdl/Behavioral 1399072777 \
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd \
EN work/keyboardVhdl 1399072776
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd 2004/07/12.10:30:16 M.70d
EN work/S3demo 1399072778 \
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd \
AR work/DataCntrl/Behavioral 1399424057 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/DataCntrl.vhd \
EN work/DataCntrl 1399424056 CP RS232RefComp
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd 2014/05/05.13:59:13 M.70d
EN work/keyboardVhdl 1399424054 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd \
PB ieee/std_logic_1164 1284609568 PB ieee/std_logic_arith 1284609569 \
PB ieee/STD_LOGIC_UNSIGNED 1284609570
AR work/S3demo/Behavioral 1399072779 \
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd \
EN work/S3demo 1399072778 CP vgaController CP keyboardVhdl
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd 2004/07/06.10:03:12 M.70d
EN work/vgaController 1399072774 \
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd \
AR work/keyboardVhdl/Behavioral 1399424055 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd \
EN work/keyboardVhdl 1399424054
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/RS232RefComp.vhd 2014/05/05.13:58:13 M.70d
EN work/Rs232RefComp 1399424050 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/RS232RefComp.vhd \
PB ieee/std_logic_1164 1284609568 PB ieee/std_logic_arith 1284609569 \
PB ieee/STD_LOGIC_UNSIGNED 1284609570
AR work/vgaController/Behavioral 1399072775 \
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd \
EN work/vgaController 1399072774
AR work/Rs232RefComp/Behavioral 1399424051 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/RS232RefComp.vhd \
EN work/Rs232RefComp 1399424050
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd 2014/05/06.21:53:59 M.70d
EN work/S3demo 1399424058 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd \
PB ieee/std_logic_1164 1284609568 PB ieee/std_logic_arith 1284609569 \
PB ieee/STD_LOGIC_UNSIGNED 1284609570
AR work/S3demo/Behavioral 1399424059 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd \
EN work/S3demo 1399424058 CP vgaController CP keyboardVhdl CP DataCntrl
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd 2014/05/05.13:59:13 M.70d
EN work/vgaController 1399424052 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd \
PB ieee/std_logic_1164 1284609568 PB ieee/std_logic_arith 1284609569 \
PB ieee/STD_LOGIC_UNSIGNED 1284609570
AR work/vgaController/Behavioral 1399424053 \
FL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd \
EN work/vgaController 1399424052
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd 2004/07/07.10:59:58 M.70d
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd 2004/07/12.10:30:16 M.70d
FL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd 2004/07/06.10:03:12 M.70d
/projects/S3Demo/xst/work/hdllib.ref
1,6 → 1,10
EN vgacontroller NULL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd sub00/vhpl00 1399072774
AR keyboardvhdl behavioral /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd sub00/vhpl03 1399072777
AR s3demo behavioral /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd sub00/vhpl05 1399072779
EN s3demo NULL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd sub00/vhpl04 1399072778
EN keyboardvhdl NULL /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd sub00/vhpl02 1399072776
AR vgacontroller behavioral /home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd sub00/vhpl01 1399072775
AR vgacontroller behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd sub00/vhpl01 1399424053
AR keyboardvhdl behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd sub00/vhpl03 1399424055
EN datacntrl NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/DataCntrl.vhd sub00/vhpl08 1399424056
EN s3demo NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd sub00/vhpl04 1399424058
AR s3demo behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd sub00/vhpl05 1399424059
AR rs232refcomp behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/RS232RefComp.vhd sub00/vhpl07 1399424051
EN vgacontroller NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/vga_main.vhd sub00/vhpl00 1399424052
AR datacntrl behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/DataCntrl.vhd sub00/vhpl09 1399424057
EN rs232refcomp NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/RS232RefComp.vhd sub00/vhpl06 1399424050
EN keyboardvhdl NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/kb2vhdl.vhd sub00/vhpl02 1399424054
/projects/S3Demo/xst/work/sub00/vhpl00.vho Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/projects/S3Demo/xst/work/sub00/vhpl01.vho Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/projects/S3Demo/xst/work/sub00/vhpl02.vho Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/projects/S3Demo/xst/work/sub00/vhpl03.vho Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/projects/S3Demo/xst/work/sub00/vhpl04.vho Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/projects/S3Demo/xst/work/sub00/vhpl05.vho Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/projects/S3Demo/S3demo_xst.xrpt
1,11 → 1,11
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
<document OS="lin64" product="ISE" version="12.3">
<document OS="lin" product="ISE" version="12.3">
 
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
 
<application stringID="Xst" timeStamp="Fri May 2 20:19:33 2014">
<application stringID="Xst" timeStamp="Tue May 6 21:54:10 2014">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
12,7 → 12,7
<column stringID="value"/>
<row stringID="row" value="0">
<item stringID="variable" value="PATH"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin64:/opt/gnu-arm/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/ucb"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:/usr/local/bin:/usr/bin:/bin:/usr/local/games:/usr/games"/>
</row>
<row stringID="row" value="1">
<item stringID="variable" value="XILINX"/>
20,20 → 20,20
</row>
<row stringID="row" value="2">
<item stringID="variable" value="LD_LIBRARY_PATH"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin64"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin"/>
</row>
</table>
<item stringID="User_EnvOs" value="OS Information">
<item stringID="User_EnvOsname" value="Debian"/>
<item stringID="User_EnvOsrelease" value="Debian GNU/Linux 6.0.9 (squeeze)"/>
<item stringID="User_EnvOsrelease" value="Debian GNU/Linux 7.4 (wheezy)"/>
</item>
<item stringID="User_EnvHost" value="luis-laptop"/>
<item stringID="User_EnvHost" value="cudar75"/>
<table stringID="User_EnvCpu">
<column stringID="arch"/>
<column stringID="speed"/>
<row stringID="row" value="0">
<item stringID="arch" value="Intel(R) Core(TM)2 Duo CPU T6500 @ 2.10GHz"/>
<item stringID="speed" value="2100.000 MHz"/>
<item stringID="arch" value="AMD Athlon(tm) II X2 255 Processor"/>
<item stringID="speed" value="3100.000 MHz"/>
</row>
</table>
</section>
97,36 → 97,47
<item dataType="int" stringID="XST_ROMS" value="2">
<item dataType="int" stringID="XST_16X7BIT_ROM" value="2"/>
</item>
<item dataType="int" stringID="XST_COUNTERS" value="5">
<item dataType="int" stringID="XST_COUNTERS" value="10">
<item dataType="int" stringID="XST_10BIT_UP_COUNTER" value="2"/>
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="1"/>
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="5"/>
<item dataType="int" stringID="XST_9BIT_UP_COUNTER" value="1"/>
</item>
<item dataType="int" stringID="XST_REGISTERS" value="9">
<item dataType="int" stringID="XST_1BIT_REGISTER" value="6"/>
<item dataType="int" stringID="XST_10BIT_REGISTER" value="1"/>
<item dataType="int" stringID="XST_11BIT_REGISTER" value="1"/>
<item dataType="int" stringID="XST_8BIT_REGISTER" value="1"/>
<item dataType="int" stringID="XST_REGISTERS" value="18">
<item dataType="int" stringID="XST_1BIT_REGISTER" value="12"/>
<item dataType="int" stringID="XST_10BIT_REGISTER" value="2"/>
<item dataType="int" stringID="XST_11BIT_REGISTER" value="2"/>
<item dataType="int" stringID="XST_8BIT_REGISTER" value="2"/>
</item>
<item dataType="int" stringID="XST_COMPARATORS" value="4"></item>
<item dataType="int" stringID="XST_XORS" value="2">
<item dataType="int" stringID="XST_1BIT_XOR9" value="1"/>
</item>
</section>
<section stringID="XST_ADVANCED_HDL_SYNTHESIS_REPORT">
<item dataType="int" stringID="XST_FSMS" value="3"/>
<item dataType="int" stringID="XST_ROMS" value="2">
<item dataType="int" stringID="XST_16X7BIT_ROM" value="2"/>
</item>
<item dataType="int" stringID="XST_COUNTERS" value="5">
<item dataType="int" stringID="XST_COUNTERS" value="10">
<item dataType="int" stringID="XST_10BIT_UP_COUNTER" value="2"/>
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="1"/>
<item dataType="int" stringID="XST_4BIT_UP_COUNTER" value="5"/>
<item dataType="int" stringID="XST_9BIT_UP_COUNTER" value="1"/>
</item>
<item dataType="int" stringID="XST_REGISTERS" value="35">
<item dataType="int" stringID="XST_FLIPFLOPS" value="35"/>
<item dataType="int" stringID="XST_REGISTERS" value="70">
<item dataType="int" stringID="XST_FLIPFLOPS" value="70"/>
</item>
<item dataType="int" stringID="XST_COMPARATORS" value="4"></item>
<item dataType="int" stringID="XST_XORS" value="2">
<item dataType="int" stringID="XST_1BIT_XOR9" value="1"/>
</item>
</section>
<section stringID="XST_FINAL_REGISTER_REPORT">
<item dataType="int" stringID="XST_REGISTERS" value="92">
<item dataType="int" stringID="XST_FLIPFLOPS" value="92"/>
<item dataType="int" stringID="XST_REGISTERS" value="151">
<item dataType="int" stringID="XST_FLIPFLOPS" value="151"/>
</item>
<item dataType="int" stringID="XST_SHIFT_REGISTERS" value="1"></item>
<item dataType="int" stringID="XST_SHIFT_REGISTERS" value="2">
<item dataType="int" stringID="XST_3BIT_SHIFT_REGISTER" value="1"/>
</item>
</section>
<section stringID="XST_PARTITION_REPORT">
<section stringID="XST_PARTITION_IMPLEMENTATION_STATUS">
142,54 → 153,59
<item stringID="XST_KEEP_HIERARCHY" value="No"/>
</section>
<section stringID="XST_DESIGN_STATISTICS">
<item stringID="XST_IOS" value="40"/>
<item stringID="XST_IOS" value="55"/>
</section>
<section stringID="XST_CELL_USAGE">
<item dataType="int" stringID="XST_BELS" value="225">
<item dataType="int" stringID="XST_BELS" value="307">
<item dataType="int" stringID="XST_GND" value="1"/>
<item dataType="int" stringID="XST_INV" value="5"/>
<item dataType="int" stringID="XST_LUT1" value="53"/>
<item dataType="int" stringID="XST_LUT2" value="5"/>
<item dataType="int" stringID="XST_INV" value="15"/>
<item dataType="int" stringID="XST_LUT1" value="61"/>
<item dataType="int" stringID="XST_LUT2" value="15"/>
<item dataType="int" stringID="XST_LUT2L" value="1"/>
<item dataType="int" stringID="XST_LUT3" value="9"/>
<item dataType="int" stringID="XST_LUT4" value="29"/>
<item dataType="int" stringID="XST_LUT3" value="26"/>
<item dataType="int" stringID="XST_LUT3D" value="1"/>
<item dataType="int" stringID="XST_LUT4" value="46"/>
<item dataType="int" stringID="XST_LUT4D" value="1"/>
<item dataType="int" stringID="XST_LUT4L" value="2"/>
<item dataType="int" stringID="XST_MUXCY" value="53"/>
<item dataType="int" stringID="XST_MUXF5" value="8"/>
<item dataType="int" stringID="XST_MUXCY" value="61"/>
<item dataType="int" stringID="XST_MUXF5" value="10"/>
<item dataType="int" stringID="XST_VCC" value="1"/>
<item dataType="int" stringID="XST_XORCY" value="57"/>
<item dataType="int" stringID="XST_XORCY" value="66"/>
</item>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="97">
<item dataType="int" stringID="XST_FD" value="37"/>
<item dataType="int" stringID="XST_FLIPFLOPSLATCHES" value="166">
<item dataType="int" stringID="XST_FD" value="40"/>
<item dataType="int" stringID="XST_FD1" value="1"/>
<item dataType="int" stringID="XST_FDC" value="4"/>
<item dataType="int" stringID="XST_FDC1" value="21"/>
<item dataType="int" stringID="XST_FDCE" value="8"/>
<item dataType="int" stringID="XST_FDR" value="16"/>
<item dataType="int" stringID="XST_FDRE" value="10"/>
<item dataType="int" stringID="XST_FDCE" value="9"/>
<item dataType="int" stringID="XST_FDE" value="27"/>
<item dataType="int" stringID="XST_FDR" value="40"/>
<item dataType="int" stringID="XST_FDRE" value="14"/>
<item dataType="int" stringID="XST_FDRS" value="1"/>
</item>
<item dataType="int" stringID="XST_SHIFT_REGISTERS" value="1"></item>
<item dataType="int" stringID="XST_CLOCK_BUFFERS" value="3">
<item dataType="int" label="-bufg" stringID="XST_BUFG" value="2"/>
<item dataType="int" stringID="XST_SHIFT_REGISTERS" value="2">
<item dataType="int" stringID="XST_SRL16E" value="1"/>
</item>
<item dataType="int" stringID="XST_CLOCK_BUFFERS" value="4">
<item dataType="int" label="-bufg" stringID="XST_BUFG" value="3"/>
<item dataType="int" stringID="XST_BUFGP" value="1"/>
</item>
<item dataType="int" stringID="XST_IO_BUFFERS" value="39">
<item dataType="int" stringID="XST_IBUF" value="14"/>
<item dataType="int" stringID="XST_OBUF" value="25"/>
<item dataType="int" stringID="XST_IO_BUFFERS" value="47">
<item dataType="int" stringID="XST_IBUF" value="13"/>
<item dataType="int" stringID="XST_OBUF" value="34"/>
</item>
</section>
</section>
<section stringID="XST_DEVICE_UTILIZATION_SUMMARY">
<item stringID="XST_SELECTED_DEVICE" value="3s200avq100-5"/>
<item AVAILABLE="1792" dataType="int" label="Number of Slices" stringID="XST_NUMBER_OF_SLICES" value="65"/>
<item AVAILABLE="3584" dataType="int" label="Number of Slice Flip Flops" stringID="XST_NUMBER_OF_SLICE_FLIP_FLOPS" value="97"/>
<item AVAILABLE="3584" dataType="int" label="Number of 4 input LUTs" stringID="XST_NUMBER_OF_4_INPUT_LUTS" value="106"/>
<item dataType="int" label="Number used as Logic" stringID="XST_NUMBER_USED_AS_LOGIC" value="105"/>
<item dataType="int" label="Number used as Shift registers" stringID="XST_NUMBER_USED_AS_SHIFT_REGISTERS" value="1"/>
<item dataType="int" label="Number of IOs" stringID="XST_NUMBER_OF_IOS" value="40"/>
<item AVAILABLE="68" dataType="int" label="Number of bonded IOBs" stringID="XST_NUMBER_OF_BONDED_IOBS" value="40"/>
<item AVAILABLE="24" dataType="int" label="Number of GCLKs" stringID="XST_NUMBER_OF_GCLKS" value="3"/>
<item AVAILABLE="1792" dataType="int" label="Number of Slices" stringID="XST_NUMBER_OF_SLICES" value="109"/>
<item AVAILABLE="3584" dataType="int" label="Number of Slice Flip Flops" stringID="XST_NUMBER_OF_SLICE_FLIP_FLOPS" value="166"/>
<item AVAILABLE="3584" dataType="int" label="Number of 4 input LUTs" stringID="XST_NUMBER_OF_4_INPUT_LUTS" value="170"/>
<item dataType="int" label="Number used as Logic" stringID="XST_NUMBER_USED_AS_LOGIC" value="168"/>
<item dataType="int" label="Number used as Shift registers" stringID="XST_NUMBER_USED_AS_SHIFT_REGISTERS" value="2"/>
<item dataType="int" label="Number of IOs" stringID="XST_NUMBER_OF_IOS" value="55"/>
<item AVAILABLE="68" dataType="int" label="Number of bonded IOBs" stringID="XST_NUMBER_OF_BONDED_IOBS" value="48"/>
<item AVAILABLE="24" dataType="int" label="Number of GCLKs" stringID="XST_NUMBER_OF_GCLKS" value="4"/>
</section>
<section stringID="XST_PARTITION_RESOURCE_SUMMARY">
<section stringID="XST_NO_PARTITIONS_WERE_FOUND_IN_THIS_DESIGN"/>
196,8 → 212,8
</section>
<section stringID="XST_ERRORS_STATISTICS">
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_ERRORS" value="0"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="0"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="2"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_WARNINGS" value="11"/>
<item dataType="int" filtered="0" stringID="XST_NUMBER_OF_INFOS" value="3"/>
</section>
</application>
 
/projects/S3Demo/S3demo_map.mrp
1,4 → 1,4
Release 12.3 Map M.70d (lin64)
Release 12.3 Map M.70d (lin)
Xilinx Mapping Report File for Design 'S3demo'
 
Design Information
9,36 → 9,38
Target Package : vq100
Target Speed : -5
Mapper Version : spartan3a -- $Revision: 1.52 $
Mapped Date : Fri May 2 20:23:48 2014
Mapped Date : Tue May 6 22:08:16 2014
 
Design Summary
--------------
Number of errors: 0
Number of warnings: 0
Number of warnings: 7
Logic Utilization:
Number of Slice Flip Flops: 97 out of 3,584 2%
Number of 4 input LUTs: 53 out of 3,584 1%
Total Number Slice Registers: 166 out of 3,584 4%
Number used as Flip Flops: 157
Number used as Latches: 9
Number of 4 input LUTs: 100 out of 3,584 2%
Logic Distribution:
Number of occupied Slices: 74 out of 1,792 4%
Number of Slices containing only related logic: 74 out of 74 100%
Number of Slices containing unrelated logic: 0 out of 74 0%
Number of occupied Slices: 121 out of 1,792 6%
Number of Slices containing only related logic: 121 out of 121 100%
Number of Slices containing unrelated logic: 0 out of 121 0%
*See NOTES below for an explanation of the effects of unrelated logic.
Total Number of 4 input LUTs: 106 out of 3,584 2%
Number used as logic: 52
Number used as a route-thru: 53
Number used as Shift registers: 1
Total Number of 4 input LUTs: 162 out of 3,584 4%
Number used as logic: 98
Number used as a route-thru: 62
Number used as Shift registers: 2
 
The Slice Logic Distribution report is not meaningful if the design is
over-mapped for a non-slice resource or if Placement fails.
 
Number of bonded IOBs: 40 out of 68 58%
Number of BUFGMUXs: 3 out of 24 12%
Number of bonded IOBs: 55 out of 68 80%
Number of BUFGMUXs: 4 out of 24 16%
 
Average Fanout of Non-Clock Nets: 2.32
Average Fanout of Non-Clock Nets: 2.52
 
Peak Memory Usage: 398 MB
Total REAL time to MAP completion: 5 secs
Total CPU time to MAP completion: 3 secs
Peak Memory Usage: 161 MB
Total REAL time to MAP completion: 2 secs
Total CPU time to MAP completion: 2 secs
 
NOTES:
 
79,16 → 81,32
 
Section 2 - Warnings
--------------------
WARNING:Security:42 - Your software subscription period has lapsed. Your current
version of Xilinx tools will continue to function, but you no longer qualify for
Xilinx software updates or new releases.
WARNING:PhysDesignRules:367 - The signal <swt<1>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<2>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<3>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<4>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<5>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<6>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<7>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
 
Section 3 - Informational
-------------------------
INFO:Security:67 - XILINXD_LICENSE_FILE is set to
'/media/datos3/xilinx_linux/Xilinx/12.3/ISE_DS/ISE/coregen/core_licenses' in
/home/luis/.flexlmrc.
INFO:Security:54 - 'xc3s200a' is a WebPack part.
INFO:LIT:243 - Logical network swt<7>_IBUF has no load.
INFO:LIT:395 - The above info message is repeated 6 more times for the following
(max. 5 shown):
swt<6>_IBUF,
swt<5>_IBUF,
swt<4>_IBUF,
swt<3>_IBUF,
swt<2>_IBUF
To see the details of these info messages, please use the -detail switch.
INFO:MapLib:562 - No environment variables are currently set.
INFO:LIT:244 - All of the single ended outputs in this design are using slew
rate limited output drivers. The delay on speed critical single ended outputs
125,6 → 143,11
| btn<1> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| btn<2> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| btn<3> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| btn_ext<0> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| btn_ext<1> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| btn_ext<2> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| btn_ext<3> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| btn_ext<4> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| grn | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| hs | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| kc | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
137,8 → 160,17
| led<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led<6> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led<7> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led_ext<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led_ext<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led_ext<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led_ext<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led_ext<4> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led_ext<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led_ext<6> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| led_ext<7> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| mclk | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| red | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| rxd | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| ssg<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| ssg<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| ssg<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
155,6 → 187,7
| swt<5> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| swt<6> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| swt<7> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | |
| txd | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
| vs | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE |
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
 
/projects/S3Demo/S3demo_envsettings.html
15,17 → 15,17
</tr>
<tr>
<td>LD_LIBRARY_PATH</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin64</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin64</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin64</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin64</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin</td>
</tr>
<tr>
<td>PATH</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin64:<br>/opt/gnu-arm/bin:<br>/usr/local/sbin:<br>/usr/local/bin:<br>/usr/sbin:<br>/usr/bin:<br>/sbin:<br>/bin:<br>/usr/ucb</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin64:<br>/opt/gnu-arm/bin:<br>/usr/local/sbin:<br>/usr/local/bin:<br>/usr/sbin:<br>/usr/bin:<br>/sbin:<br>/bin:<br>/usr/ucb</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin64:<br>/opt/gnu-arm/bin:<br>/usr/local/sbin:<br>/usr/local/bin:<br>/usr/sbin:<br>/usr/bin:<br>/sbin:<br>/bin:<br>/usr/ucb</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin64:<br>/opt/gnu-arm/bin:<br>/usr/local/sbin:<br>/usr/local/bin:<br>/usr/sbin:<br>/usr/bin:<br>/sbin:<br>/bin:<br>/usr/ucb</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:<br>/usr/local/bin:<br>/usr/bin:<br>/bin:<br>/usr/local/games:<br>/usr/games</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:<br>/usr/local/bin:<br>/usr/bin:<br>/bin:<br>/usr/local/games:<br>/usr/games</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:<br>/usr/local/bin:<br>/usr/bin:<br>/bin:<br>/usr/local/games:<br>/usr/games</td>
<td>/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:<br>/usr/local/bin:<br>/usr/bin:<br>/bin:<br>/usr/local/games:<br>/usr/games</td>
</tr>
<tr>
<td>XILINX</td>
451,17 → 451,17
</tr>
<tr>
<td>CPU Architecture/Speed</td>
<td>Intel(R) Core(TM)2 Duo CPU T6500 @ 2.10GHz/2100.000 MHz</td>
<td>Intel(R) Core(TM)2 Duo CPU T6500 @ 2.10GHz/1200.000 MHz</td>
<td>Intel(R) Core(TM)2 Duo CPU T6500 @ 2.10GHz/1200.000 MHz</td>
<td>Intel(R) Core(TM)2 Duo CPU T6500 @ 2.10GHz/1200.000 MHz</td>
<td>AMD Athlon(tm) II X2 255 Processor/3100.000 MHz</td>
<td>AMD Athlon(tm) II X2 255 Processor/3100.000 MHz</td>
<td>AMD Athlon(tm) II X2 255 Processor/800.000 MHz</td>
<td>AMD Athlon(tm) II X2 255 Processor/3100.000 MHz</td>
</tr>
<tr>
<td>Host</td>
<td>luis-laptop</td>
<td>luis-laptop</td>
<td>luis-laptop</td>
<td>luis-laptop</td>
<td>cudar75</td>
<td>cudar75</td>
<td>cudar75</td>
<td>cudar75</td>
</tr>
<tr>
<td>OS Name</td>
472,10 → 472,10
</tr>
<tr>
<td>OS Release</td>
<td>Debian GNU/Linux 6.0.9 (squeeze)</td>
<td>Debian GNU/Linux 6.0.9 (squeeze)</td>
<td>Debian GNU/Linux 6.0.9 (squeeze)</td>
<td>Debian GNU/Linux 6.0.9 (squeeze)</td>
<td>Debian GNU/Linux 7.4 (wheezy)</td>
<td>Debian GNU/Linux 7.4 (wheezy)</td>
<td>Debian GNU/Linux 7.4 (wheezy)</td>
<td>Debian GNU/Linux 7.4 (wheezy)</td>
</tr>
</TABLE>
</BODY> </HTML>
/projects/S3Demo/S3demo.xise
30,6 → 30,14
<file xil_pn:name="S3demo.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="DataCntrl.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="RS232RefComp.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
</files>
 
<properties>
/projects/S3Demo/S3demo.drc
1,8 → 1,23
Release 12.3 Drc M.70d (lin64)
Release 12.3 Drc M.70d (lin)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
Fri May 2 20:24:20 2014
Tue May 6 22:08:37 2014
 
drc -z S3demo.ncd S3demo.pcf
 
DRC detected 0 errors and 0 warnings.
WARNING:PhysDesignRules:367 - The signal <swt<1>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<2>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<3>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<4>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<5>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<6>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<7>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
DRC detected 0 errors and 7 warnings. Please see the previously displayed
individual error or warning messages for more details.
/projects/S3Demo/S3DemoPHR.prm
0,0 → 1,17
PROMGEN: Xilinx Prom Generator M.70d
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
promgen -w -p mcs -c FF -o /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo//S3DemoPHR -x xcf02s -u 00000000 /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_cclktemp.bit
 
PROM /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3DemoPHR.prm map: Tue May 6 22:08:56 2014
 
Calculating PROM checksum with fill value ff
 
Format Mcs86 (32-bit)
Size 256K
PROM start 0000:0000
PROM end 0003:ffff
PROM checksum 01ba5f8f
 
Addr1 Addr2 Date File(s)
0000:0000 0002:4831 May 6 22:08:56 2014 /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_cclktemp.bit
/projects/S3Demo/iseconfig/S3demo.projectmgr
7,15 → 7,17
<ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes>
<ClosedNodesVersion>2</ClosedNodesVersion>
<ClosedNode>/DataCntrl - Behavioral |home|lguanuco|opencores|phr|trunk|codigo|demos|projects|S3Demo|DataCntrl.vhd</ClosedNode>
<ClosedNode>/S3demo - Behavioral |home|lguanuco|opencores|phr|trunk|codigo|demos|projects|S3Demo|S3demo.vhd/RS232Proj - DataCntrl - Behavioral</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>S3demo - Behavioral (/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd)</SelectedItem>
<SelectedItem>S3demo - Behavioral (/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000190000000020000000000000000000000000000000064ffffffff000000810000000000000002000001900000000100000000000000000000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000199000000020000000000000000000000000000000064ffffffff000000810000000000000002000001990000000100000000000000000000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>S3demo - Behavioral (/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd)</CurrentItem>
<CurrentItem>S3demo - Behavioral (/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo.vhd)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
<ClosedNodes>
27,13 → 29,13
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
<SelectedItem>Configure Target Device</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000165000000010000000100000000000000000000000064ffffffff000000810000000000000001000001650000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f2000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f20000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
<CurrentItem>Configure Target Device</CurrentItem>
</ItemView>
<ItemView guiview="File" >
<ClosedNodes>
42,7 → 44,7
<SelectedItems/>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000000000000001000000000000000000000000000000000000028a000000040101000100000000000000000000000064ffffffff000000810000000000000004000000750000000100000000000000d00000000100000000000000840000000100000000000000c10000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000000000000001000000000000000000000000000000000000039f000000040101000100000000000000000000000064ffffffff000000810000000000000004000000750000000100000000000000d00000000100000000000000840000000100000000000001d60000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>kb2vhdl.vhd</CurrentItem>
</ItemView>
68,7 → 70,7
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000165000000010000000100000000000000000000000064ffffffff000000810000000000000001000001650000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f2000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f20000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
82,7 → 84,7
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000165000000010000000100000000000000000000000064ffffffff000000810000000000000001000001650000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f2000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f20000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
108,13 → 110,13
<ClosedNode>Design Utilities</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
<SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000165000000010000000100000000000000000000000064ffffffff000000810000000000000001000001650000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
<CurrentItem/>
</ItemView>
<ItemView engineview="BehavioralSim" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
<ClosedNodes>
122,12 → 124,13
<ClosedNode>ISim Simulator</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
<SelectedItem/>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000165000000010000000100000000000000000000000064ffffffff000000810000000000000001000001650000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
<CurrentItem/>
</ItemView>
<CopyAdditionalFiles/>
</Project>
/projects/S3Demo/iseconfig/S3demo.xreport
1,11 → 1,11
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2014-05-02T20:38:05</DateModified>
<DateModified>2014-05-06T16:52:31</DateModified>
<ModuleName>S3demo</ModuleName>
<SummaryTimeStamp>2014-05-02T20:24:23</SummaryTimeStamp>
<SavedFilePath>/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/iseconfig/S3demo.xreport</SavedFilePath>
<ImplementationReportsDirectory>/home/luis/opencores/phr/trunk/codigo/demos/projects/S3Demo/</ImplementationReportsDirectory>
<SummaryTimeStamp>2014-05-05T16:04:41</SummaryTimeStamp>
<SavedFilePath>/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/iseconfig/S3demo.xreport</SavedFilePath>
<ImplementationReportsDirectory>/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/</ImplementationReportsDirectory>
<DateInitialized>2014-05-02T14:32:11</DateInitialized>
<EnableMessageFiltering>false</EnableMessageFiltering>
</header>
/projects/S3Demo/S3demo.gise
47,6 → 47,7
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="S3demo.ut" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:fileType="FILE_XPI" xil_pn:name="S3demo.xpi"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="S3demo.xst"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="S3demo_envsettings.html"/>
<file xil_pn:fileType="FILE_NCD" xil_pn:name="S3demo_guide.ncd" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="S3demo_map.map" xil_pn:subbranch="Map"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="S3demo_map.mrp" xil_pn:subbranch="Map"/>
70,6 → 71,7
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/par.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/trce.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/xst.xmsgs"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="usage_statistics_webtalk.html"/>
<file xil_pn:fileType="FILE_LOG" xil_pn:name="webtalk.log"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="webtalk_pn.xml"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xlnx_auto_0_xdb"/>
79,6 → 81,7
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1399072765" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1399072764">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1399072765" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-3716619188453653280" xil_pn:start_ts="1399072765">
<status xil_pn:value="SuccessfullyRun"/>
104,8 → 107,9
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1399072780" xil_pn:in_ck="7639225377649756074" xil_pn:name="TRANEXT_xstsynthesize_spartan3e" xil_pn:prop_ck="-1348612597204470803" xil_pn:start_ts="1399072765">
<transform xil_pn:end_ts="1399424056" xil_pn:in_ck="-7977162588153681233" xil_pn:name="TRANEXT_xstsynthesize_spartan3e" xil_pn:prop_ck="-1348612597204470803" xil_pn:start_ts="1399424043">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
121,20 → 125,13
<outfile xil_pn:name="webtalk_pn.xml"/>
<outfile xil_pn:name="xst"/>
</transform>
<transform xil_pn:end_ts="1399073019" xil_pn:in_ck="3928276726633911" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="6535597927912269667" xil_pn:start_ts="1399073019">
<transform xil_pn:end_ts="1399424890" xil_pn:in_ck="3928276726633911" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="6535597927912269667" xil_pn:start_ts="1399424890">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="InputChanged"/>
</transform>
<transform xil_pn:end_ts="1399073025" xil_pn:in_ck="1780033139418042216" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="122339018724619033" xil_pn:start_ts="1399073019">
<transform xil_pn:end_ts="1399424894" xil_pn:in_ck="1780033139418042216" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="122339018724619033" xil_pn:start_ts="1399424890">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="S3demo.bld"/>
<outfile xil_pn:name="S3demo.ngd"/>
<outfile xil_pn:name="S3demo_ngdbuild.xrpt"/>
141,13 → 138,11
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1399073034" xil_pn:in_ck="1781564718403306665" xil_pn:name="TRANEXT_map_spartan3" xil_pn:prop_ck="-5662573859661895013" xil_pn:start_ts="1399073025">
<transform xil_pn:end_ts="1399424899" xil_pn:in_ck="1781564718403306665" xil_pn:name="TRANEXT_map_spartan3" xil_pn:prop_ck="-5662573859661895013" xil_pn:start_ts="1399424894">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="S3demo.pcf"/>
<outfile xil_pn:name="S3demo_map.map"/>
159,13 → 154,10
<outfile xil_pn:name="S3demo_usage.xml"/>
<outfile xil_pn:name="_xmsgs/map.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1399073057" xil_pn:in_ck="2501629391362947714" xil_pn:name="TRANEXT_par_spartan3" xil_pn:prop_ck="7122883464624052383" xil_pn:start_ts="1399073034">
<transform xil_pn:end_ts="1399424915" xil_pn:in_ck="2501629391362947714" xil_pn:name="TRANEXT_par_spartan3" xil_pn:prop_ck="7122883464624052383" xil_pn:start_ts="1399424899">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="InputChanged"/>
<outfile xil_pn:name="S3demo.ncd"/>
<outfile xil_pn:name="S3demo.pad"/>
<outfile xil_pn:name="S3demo.par"/>
177,33 → 169,32
<outfile xil_pn:name="S3demo_par.xrpt"/>
<outfile xil_pn:name="_xmsgs/par.xmsgs"/>
</transform>
<transform xil_pn:end_ts="1399073063" xil_pn:in_ck="3928276726626286" xil_pn:name="TRANEXT_bitFile_spartan3a" xil_pn:prop_ck="-6517458229412273915" xil_pn:start_ts="1399073057">
<transform xil_pn:end_ts="1399424923" xil_pn:in_ck="3928276726626286" xil_pn:name="TRANEXT_bitFile_spartan3a" xil_pn:prop_ck="-6517458229412273915" xil_pn:start_ts="1399424915">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="S3demo.bgn"/>
<outfile xil_pn:name="S3demo.bit"/>
<outfile xil_pn:name="S3demo.drc"/>
<outfile xil_pn:name="S3demo.ut"/>
<outfile xil_pn:name="_xmsgs/bitgen.xmsgs"/>
<outfile xil_pn:name="usage_statistics_webtalk.html"/>
<outfile xil_pn:name="webtalk.log"/>
<outfile xil_pn:name="webtalk_pn.xml"/>
</transform>
<transform xil_pn:end_ts="1399073835" xil_pn:in_ck="3928276726613432" xil_pn:name="TRAN_configureTargetDevice" xil_pn:prop_ck="3725548802311211136" xil_pn:start_ts="1399073833">
<transform xil_pn:end_ts="1399424924" xil_pn:in_ck="3928276726613432" xil_pn:name="TRAN_configureTargetDevice" xil_pn:prop_ck="3725548802311211136" xil_pn:start_ts="1399424923">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<outfile xil_pn:name="S3demo.mcs"/>
<outfile xil_pn:name="S3demo.prm"/>
<outfile xil_pn:name="_impact.cmd"/>
<outfile xil_pn:name="_impact.log"/>
</transform>
<transform xil_pn:end_ts="1399073057" xil_pn:in_ck="1579396292348399397" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416187" xil_pn:start_ts="1399073054">
<transform xil_pn:end_ts="1399424915" xil_pn:in_ck="1579396292348399397" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416187" xil_pn:start_ts="1399424913">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="InputChanged"/>
<outfile xil_pn:name="S3demo.twr"/>
<outfile xil_pn:name="S3demo.twx"/>
<outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
/projects/S3Demo/impact.xsl
0,0 → 1,55
<xsl:stylesheet
xmlns:xsl="http://www.w3.org/1999/XSL/Transform"
version="1.0">
<xsl:output method="html"/>
<xsl:template match="/">
<b>
<xsl:text>Current iMPACT Usage Statistics.</xsl:text>
<br></br>
<xsl:text>Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.</xsl:text>
</b>
<br></br>
<br></br>
<xsl:text>This page displays the current iMPACT device usage statistics that will be sent to Xilinx using WebTalk.</xsl:text>
 
<table width = "100%" border="1" CELLSPACING="0" cols="50% 50%">
<xsl:for-each select="document/application/section">
<tr>
<th COLSPAN="2" BGCOLOR="#99CCFF"><xsl:value-of select="@name"/></th>
</tr>
<xsl:for-each select="property">
<tr>
<td><xsl:value-of select="@name"/></td>
 
<td><xsl:value-of select="@value"/></td>
</tr>
 
</xsl:for-each>
 
<xsl:for-each select="item">
<tr>
<td COLSPAN="2" BGCOLOR="#FFFF99"><b><xsl:value-of select="@name"/></b></td>
</tr>
<xsl:value-of select="@value"/>
<xsl:for-each select="property">
<tr>
<td><xsl:value-of select="@name"/></td>
<td><xsl:value-of select="@value"/>&#x20;</td>
</tr>
</xsl:for-each>
</xsl:for-each>
</xsl:for-each>
</table>
</xsl:template>
 
</xsl:stylesheet>
<!--
<xsl:if test="position() != last()"> <h1><xsl:text> </xsl:text></h1></xsl:if>
-->
/projects/S3Demo/S3demo.prj
1,3 → 1,5
vhdl work "RS232RefComp.vhd"
vhdl work "vga_main.vhd"
vhdl work "kb2vhdl.vhd"
vhdl work "DataCntrl.vhd"
vhdl work "S3demo.vhd"
/projects/S3Demo/S3DemoPHR.sig
0,0 → 1,7
// PROMGEN: Xilinx Prom Generator M.70d
// Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
DATE 05/06/14-22:08
SOURCE /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3DemoPHR.mcs
DEVICE XCF02S
SIGNATURE 0x01BA5F8F
/projects/S3Demo/create_mem_PHR.ipf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
projects/S3Demo/create_mem_PHR.ipf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: projects/S3Demo/S3demo_par.xrpt =================================================================== --- projects/S3Demo/S3demo_par.xrpt (revision 263) +++ projects/S3Demo/S3demo_par.xrpt (revision 278) @@ -1,11 +1,11 @@ - + - +
@@ -12,7 +12,7 @@ - + @@ -20,20 +20,20 @@ - +
- + - + - - + +
@@ -50,12 +50,12 @@
- - + + - - + +
@@ -70,47 +70,80 @@ + + + + + + + + + - - - + + + - - + + - - - + + + - - + + - - - + + + - + + + + + + + + + + + + + + + + + + + + + + + + + - - + + - + - - + + @@ -410,66 +443,134 @@ - + + - + + + + + + + + - + + - + + + + + + - + + - + + + + + + + + - + + - + + + + + + + + - + + - + + + + + + + + - + + - + + + + + + + + - + + - + + + + + + + + - + + - + + + + + + + + - + + - + + + + + + @@ -478,10 +579,16 @@ + - + + + + + + @@ -529,10 +636,18 @@ - + + - + + + + + + + + @@ -547,17 +662,29 @@ - + + - + + + + + + - + + - + + + + + + @@ -568,10 +695,16 @@ - + + - + + + + + + @@ -591,10 +724,18 @@ - + + - + + + + + + + + @@ -1054,7 +1195,7 @@
- +
/projects/S3Demo/S3demo.ncd
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6
###5264:XlxV32DM 3ffb 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###4512:XlxV32DM 3fff 1188eNqtmm1z3LYRgP+MPsjWh+CdINl0dImkWBk5aiU5ifPBN3wBW09bO4lSTjo63W8v3gESh4R3Omcmx1vsLhbA4jksxPEfDVz/s/sL+CuE9ei/rH9uun+tYeNFMG6Hth31sejX34I6itWRc9fHolgdx+o49Y6n6iRWJ6l3MlWnsTpNvdOpOovVWeqdTdWLWL1IvRdTdR6r89Q7n6qXsXrp1HfIoh5L5aJ0X9cgPMLwiMIjDo8kPNLwyMJjER55eCwh8s/df35ei1+A/AfxLqGXPk6kwEh/Ff26+dRrGUpFEJJEuL7/AdgJG+NEHtNEHuNEHtNEHqeJPMaJPKaJPE4TeYwTeUwTeZwm8hgn8pgm8jhN5DFO5DFN5HGayGOcyGOayOM0kcc4kcc0kcdpIo9xIo9pIo/TRB7jRB7TpB2nSTuGpB1D0o4haceQtGNI2jEk7RiSdgxJO4aklY/l4B9deoFE4vItEql8g2yn+IvbMAGzBrdzi3m7atYuy1zLF7cI5NucY7tbxscdO3AqhHSHdD1QF/qj+NS0/xZBzXxff/r8mzYvMnKQbeFQ5Frm8zJTQExG8QsEQA7vUeo8rm+/enfF6987/IgAaAitNxeXN6v369XFt+vVw8PdV7c/VlfXP15eVNdSc20av1/dvLusLm7eg+r66iIRbjZokH7urr+/dC4gqq5v3Zeb779+e3uPaHV/c/mD/N/tD5sNKerN1dWP6+vvrh90x5V6ApWS3d8Zyf2dVK1uVg9fv1nf3q2vrmRrdf/+u69N80o9Sk/sxZ6sIx3S+x2O3h8U0os8GUeoqTffWMGb66uH9d3lN9XNuwdl8fbybXW3eru5+BL8rlJwIzNuk076ZiPJsbl/d/+3y+8uKnz/sHq4lI67eiMtT0+3K/j69HSFXq/wq7MVefXq7FRJtiskH7CWvHq1QcVM/Vw2vN5KG6sv1dUXqSnmmlulGjSl59fK89a5nkayNaGch1BMJK+tugTXXF2FcXZq3L62bp2p6s/bTmOzBrotGvQ2im3a2aQHY2FGs3XSMzuR2rZLbc8SUxuAC//c9ixjZan5eWQeJpzPFbezIIMqnqlOVuVsa7VmgZ8lg46yJZ3URH0a0jyXdILgzFoPseY2O/9u3s5mCy499Ds8nC9fBRjHukLGSIW7gfG0mwSEMjSkmuzSzZPM26Sz4juKB2pbyllLZNX5Jtlx1O/Zabx9I+emYetbvIMz5cB/O9fuGvN1u6tn+cM+bdxOZmWbdgVx1LS1ObkxIYONiXAFN8aBVNoo/c3z+fnPEJS0Pj//HbaouqpYBZ/u274StBKgghhT2LMK87Ycnj7BAVawlh+oYvUJFEMFRV8/37dtqt/A+r4fKkxFNZXTWukn8p5n5F1G3te9ClkK8yHLIPu2gtLHSVlUmMngBa4oZgSVgNQnAmnhiSDSVCqTroKtPJY8QEyUX/VfR//Au3TASf13SAoZxLP8pOpTOpJyWp+0ulkGMZh+YC8qQhv10MuHQnkAekpJ78Iq6v9BUlZYcPXA9cONgBV7OkEFrKRX6RTXJ7hC8txxQirE5fBkSPKIdML1x/MbKH8jf4JyrOipFVXZVlKERX0DsVQ0jmQUhbQt60+ljOC5NZOJeFXQqm+efoESsfJ/vJaWff1TySv6xIuKNxUvK84qzqvnjxirZXkDBaz/C4mMBdT1ZyR15sKPmMBUk1C+y7xIhZgOO3xStsMc4FQIh04K5brIbBhaNd8yxDpRKhMlmCoViRJKlWiihOdKmDVOCct6YHdMmPFECaZKRaKEUiWWKO2IiSZKJFUiiRJNlXCixFIllCgViRLxa4dJbu0waRKldJ5ImSil80R4opTOEykSpXSeCEuU0nkiNFFK54mQRGk+Tx8R8POEQGaepFKZKMFUqUiUUKpEE6X5PH3EEj0u8LLJxIRLnijBVIklSihVIonSjphQokRSJZAo0USJi0SJpUpdojRfuxbJig5WRdVU4kn+ODRVJ9EOcVlRUH8s1ZLVH1uV4fXnttPfOjtnWP5itbKbrvffO6IQJIRWh4OakrqFZNC/lXJYT9ozbGmtu2pw/ZmrBvkjINQkk1iJqYjlz2Cv4pETxZm1IvVHDnQoxviz/G3UX2FrnQ1w7qywzoa5M/rnztRwUOyMW2fy3G29uQEx6WYI0yGn53NHjBtE1WTi2I+wfqwX9TOqvXA55czMNTExld5JM3cyGCfIORmskzLvBJPZiDq1M4gNZzD/hBsbsQ6bydh6EI0NUjhb4s7NbyeXGNiJHGaxd25VxHxV+nRV7GpAMJ+Bzi4HAtZY5IwfYaPk6OlLNY8yWb9U3mSraiqpayp1k4zWtlBkWmRWcSBtqP58fkTYNqgJ5UP9oeu1VE0K1uoyh2rd6vqydjhEIVel/iC3ipJDHEIwciG03AUgTDdcCofe9CL3je5FttmodR96B5iYZULXH9pOCvUeszZSqAcEbWBqpFgfirAJQO4/HaD8/NA2eh7s5KkNreykG91XD71bJR5064eyrJ9lrqnl3YkXKPOTHgEv+sCzCxwIHgAOlgFH2OrLwYEITsnx5/vsjxiiD0m7GIL3YAjLMYTswRB9wjguQ8icIY6qbWAIZGQBaSDIoQahPVADQQ41ZAFquN3MzKIGAgeUhrkmasngWcMshQZmWCOHq/cYpK6BWgjZBqQPaMqZXJEAIWK3+mDxoAQuCmtHQ3wxhAgKwcUQItD1gwOFTIpjHZbtB/shGRAxP6AAIr1nrZUGkSGHDm4KIhmEBhGLQDRAb23wZYAjTxUaOEUGOGgBcBoHnB2cKXKcoQdwhuc4UxzCmfIInGkmnGkznKH7cKbLcIbtw5nuJZyJ8cIW4YUtwQuEObywffCCcnjhC/DSum3a2Y2NPF4a19TaJpjghVu8sDleCosX5jDhnMmFiPDS2S3I3bYPUVi7KL4JXngIboKXwvVTxngpbT+F66f0QzJ44X5AEV6KYKXxwi1eUIKX1uKli/DCvLWxghO89Bm84D/Cy4JjTJnDS3kAXpocXtpD8DK8BC8xVfoMVYp9qCIyVOF7UMUc6Y96eukW4aVbhBecw0uzD15IDi/dArz0bpsKu+GIx0vnmnrbhBO8NBYv3RwvpcWLbUDUF2QowoteHqz9mG0vBS4KawdDfBO8iBDcBC9uPKp2CXgZbD+lw8vgh2Tw0vgBRXgpg5XGS2NBQRK89BYvIsIL99bGCsd4oTiDF7LP6cVyBu/iTJvjzCH3LF2OMwfcsyCTJEc9xgwZ4JR7AKcAGeA0+wCHvxw43TABTr8IOP0i4NAccPa5mYEsAxy85GZmsBtUTrfZgswDx+9ptztpApzOAqefA6e1wOkdOFztJVckAo7blJ0DDvdRWLsixBcDh5IQXAwc6u9yaAQc6gqf1vVD/ZAMcDo/oAg4bbDSwOksOlgCnMEARwYZgNN4a2NFY+Aoru8EDl1+ntnFGZErl/AB1zIiVy7hQ65lIDvSeYbmqqR2nxvdXJXU7YEXWB4dL2IRXsSi2xiQwQve5zYGwBxeFtzGlG77UluoAF8TlZ4ItlwCYI4X4colMcOLcOWSbUDQ9QPLCC/QnhiEK5dg6aOwdjzEN7kSpiG4yZWwww5kEV6gu+B15RJkfki6H8H9gKJr4SJYKbwIWy4BmFwL23KJxuVS562NFZjgpcnghS04z3Sz8wzaxZlc3YQPuJYRuboJH3ItA7sjnGe6yZ+QcgVUvw9wcgWU2Ac4wxGAIybAGRYBZ1gEHJQDzj73MwDngLPgfkb/6VRvXFtAAV8llX6v2wIKoAQ4roAa5sBxBdTgwOEKGzjEwLGFjXAFFBx8FNZOhPgmwGlDcBPguHsg2MXAcZvfFVCw80MywGn8gCLglMFKA8cWUAAnwLEFFI0KKMG9tbFCMXAQyACneNn9jMjVTfiA+xmRq5vwIfczCB3rPJMrl4Z97mdy5RIEe/AF0WNf0Oi4/pQvBVjEF5Ljyz4XNIDm+LLggqb096u2XgK+KCrdVqVu05OEL7ZeKsCcL7ZeYu7PRMjVMYhGfEG2jhGuXkLUR2HtSIgv5guCIbiYL8hdBCEU8QXZiyDh6iWE/JAMXzo/oIgvbbDSfLH1EqAJX2y9xKJ6STTe2liRCV9Yhi/8SBc0os+B5oALGu17J2gOuaBB/OgXNDD3Tsw+L8UwlEPOPm/FoPbYNRSDi5ADFyGH5ZCz18szRQY5ZMEVTenvhN0mLDxy/J0wtE0sQY6wyIFz5PQWOa4WQu7oJFckQo7b1MKhoPVRWLsmxDdBThGCmyDHFX6Ix8hxx4ve9cP9kAxyhB9QhJw+WGnkCAuPIrmigRY5KEJO562NFZsgp8sgp3zhkWbIkIYc8uYMyJCGHHJFg8Sx/uSEc4DZ640ZkgPMPq/M6I103DMNWgQYtAgwPAMYstclTZkDzJJLGncHzNwbc6UHjL8Ddu+X8OQOGFjAoDlgBgsY97dp5PqRPUfv7bnXSkD1f9cPAxc=###3928:XlxV32DM 3fff f40eNqtm0uS2zgMQC+TA/D/sSv7OUVX8VuVzWQxy1TuPqIIkJRkdktqZxG7RQMEJeEJBCDq6fMn4/QRxPMnJfZhyPPvf4yTB3mwPz+ZEg9qxfODZlGOs4jHORxPaT0e4DhLD5OfH8GsR9NylP/5mfKDrvMsB+o8ps6TYZrlk3L+/PBhObj8ukktB8ugoWAcXca5NPUHxQivnquRy+eHd0W6z1mlTPn88PL5969nXD7oQz/cI/35QaN7BPb8Qbl9SPf85eUiuXy48vHbh/WvUOb6QcWy3EX98ndsfwf+fP6m63zLZxbl02e2fJSv5M+qmC6G/UsFfQhZZnIPYdRzndqJ5y9D1kkMrTo4X/9czlX5/Osz3yvToEzvlckvlTGuy8ketZnnP1SkqjLXfwkVC1CsFo25r3k5B7+DAI1KlXM9agRlFBcrI6gxy4lV9YyKap9tWvReSwYtCrVk0GLnWrjbrS6QE6tz29XlYXVUFZvoqFGClH/+rid7+ZU+/AovSRh+RfxujQGvpNpfyXi8khS1hL0WA1oMCKeZ8H/UcXRdDU4YFv+i6xDDIQVDHoeUBA/lxWN/luWunkslDrA6oDiiw4CyZVUrCuJ61FW3zBxQsBxAK0DOdvtG5HDVjRuRwyXOowfkrDc5X82CeXRbUkUObwvqyFm9FqRW5HCARzggRwFydEfOSrEqXaX8BjnLuXyoh3mEHXL8DjmVNVvEiFeIETPE2OuIYTx+hwojDMwMBvoKDOwMBuYCDAT5DAbCf46BSEYMaHkGAyTOHNxdcfA0c3B/wsHRIZZzWG/51Bxc4JCBoYhDGtxruauqg0v0xwQyy8nsfixIP4qTwO9zn37jv6HPvfFfj/4bR/+N4EsC/Tc2i6v/imbv6qqrATsfNeCjdvBR3vRWH42Dj/K83F+Lh7pH3vqops9f1s7CgoOr/qIR7sSdy1JtdjeRx5uILzcRXH6+XsFXni3Tdc/mTk2iBxmuRw/c5SMnqmMygerklA6C5L0x4IymhQrpCzrg2V20UbLXBpQwaIsiX1Cia+NZfj902DHDnQgKuCcTZqygPMkMvl6hV8yQXzODR2BGOaPFzYq2CgYe4UFZLl0dIjAkqK3OVIaW0/hcxcuXj/JfgQr4drkHK1Vc9V6Oj99y0htV6hXg9fer25cjaA8Iim7pgBeeWTdzwEtxaTAhd7xwl3AiCRO5vro6kVPd9h4hVN9EyQKedW0UTFzPWBpOSwFQMbUBiGqIbwoLVjkHpI20a64K1w3Ph7WVTWrCJnZiy+KPW5YppLjTM/y4O/gxM/yYG/jJ5g2bFz/4qKB0RiR+h0hsRiR2h0j+3ZsZHc5EMeuXr7nFZtyyV7jFZ9zSJ7hlkQYM3Jc3bmkcojDEDtxahoBbbMMt06BhKrcMUIHqiCMaiBYQTBaJ5keieXRqg0TzzVIQdH0NG6INC9gQDcG0+EInWjY4kcaJTFs3EM30VY1E04NkJRqQyfM90RZTKtFYJ1olJSiocmwTVIUJuPhn4LrCKzvjlbrDKzfjlbjDq/SebZWgfIYpegdTYoYpch1TgtC3YyqdwhQ7hSkxw5S8gik5wxQ/gamIrizAJ2XDlMchDkPiiCmOmBITTDnAFDtgygKmEtImY5xEO6bqFeRV00qPcgQtXQUFIX0NG0zFvoANpgJiKo2YaoGXRUyltm7AlOurGjFlB8mKKQe4kQdMccCUGDFluoIqJwZMCcImmBIn4quwi6/Yp7zyM16xO7wKM16R67wSRLwhvgqb+EpOwKXzHXCpCbh0ugMu/QZwpQ248ilw8VPgUjNw0Svg0rNcUv4aXImicyvwUt3AhSChuEVTR3BJBJeagCsAuPgBXB7AlZE/uEUlegRXC14Cgks3S0FQ9TUM4BKE9wUM4CoggIlEB1d1DF4Ng4lEWzeAK/RVjeDyg2QFVwAA6QO4JIBLjeByXUGVUxtwmbWWZR5xBy55LrH8CajiLMN8Iw8liHtXKKRnRIl3iGJmRAl3iJI+zTiHK9kjI06whHszyzhfyh7ZGSXCCUq07BFuOSxSIjUnQ7c0R0popITZUkKiP0WghECXxqCJpJEFqR9FM+D3sRu4QYDt1m0QYFCTGxHQ/DAiAlxbFCAgdpOrr3t78HENPm5GHw9dd/XxTb06+km9OnyWmN4nf14kpCU5kZBmdgYCR26UmhyZRCw23ShnOzdNSKtZQvpFHogTNqlbuXi+bsUJn9StXLhQt1rvldtxyYAQeSbQYG5Wlbb5PELYWhl4hRAbv0QI8/jsJHUbVLRVTjCPaQvCYKhVpSUWrB2phJBYfU6QPCmnslet0AfL72tZKdk2HQiabshYvoqhWzGWr3D/Vu7DXr7CzUrxnDqRc834OpEj3fYeLVRXQ8lCkHVtFEzcFLiKKYUkxdSeX5agt3xZ5Wzc5pfXAxRMafllltwEMfFGS8yrejVzdEIRG+5QhM0o4m5QJIU3N8Vwomc88Vd4YmY8cVd4kt9d2ZL6zD5H6TP4mda/rL+An2n9y9oT+Eno3TWCYa3+xTw+0mFjwVr9qzXFlHuxNr/oXVdMuecrmDTyBTvnUh7BlBFM2K+ScjMEBAcTRzAl3+0bwZQcThQGMKWAE1GcKLRlAZhYX9UAJkcHyQqm2ufCWuGrg0kDmIYQpwIPFFQ5MoY4pffvJX/SlfrWK+zwGXbMHeyIGXbUDezUquUby1mc2Bl27BXsuBl2rrTffK+gPtLGnKKNOUWbWdXK6iu0mVWtrPyaNoGgy0JQ0KpWzGccgsCkVa0G2kCLjjIH2kB3noQRhmV2NpbZGZbZi6YKASizMyxKMSyzFxNH2rRyOrEb2mAHb7mjO22wn64YBhOxtiygjeirGmnDB8lKGwHU4AfaWKCNG2kzTF3l2IY2akKb/LZoZ9bEY8Ud7MyaeCy7gx3z9mjHz7Cjr2AnzLBzpQX4HVXzXbRjT/HHnuLPrBxl+RX+zMpRlp7gD0Mnxmc/lqNYaP7tYUgc+QPNPMoe+COBP/j+ABbF2VgUZ1gUZ9jmw7JvhoCg6yZu+KO7fRv+YHfwWBRnWBRn2ObDsmnLAv6ovqqRP3KQrPxRwBF54I8H/oSRP6IrqHJiw5/wmj+KfDfamTXv2FupmlnzjrmTqvleMfxltBNn2JFXsJNm2BHnscO/VwUfaeNO0cados2shmSupHamNSRzIrUTWiiRwPd0ow12AZIIQ+pIG2jBUe5AG2jBkQ6h0YKnobbNSdu4YAsO1LYZlog4Id3EDW1it29DG+xlHmvbDGvbDFtwWE5tWUAb01c10kYPkpU2BqihD7SJQJs00kZ1BVVObdLHcUIb+q5ox6YJdsyd3I7NM+zcye3E/PZoR8yww69gR86ww67kdtjbox1/ij/+VGo5zPhzJbfj4ow/Z3I7LZSATY6LbbeFPXtQy2UuHPhjM/DH7/ljE/AHU7oJN0GJjbkd3IlYfP0xsWYICNJu4ibpnLp9m6QzJpHi0NRc73NeDasTxdyWVSeyua9qTDqnQXLlj82QI44H/gjgjxz5Y7qCKhdG/qTlHlv8mT/Ujj/sdYka+bJIqs9815ivy8WczN5OdPTCC0kuzW5kc/pGXm64lqxTcGXw3RyWRB/a5PFwC7+ciiGPp/pRVFSfZdJ0Pf0CtQvv0tg7QJfb4GGXBwMlu+YB1wuL4mzz0ycvvhh15sUX7yZPEU1vdEZ5P3mKqHyjkzOIaZ1RflFn3PRDre9ByVfdC/5V9wKc/C/aDtbXpeSrJgb3qonhnFLG3xTQmjOJOR7kxMM0udDIENTkUaHSiT5NbBWCt82KNmx3wvdOeIAh3DMLAcFXGaqNDIv4ppEBHzMempoM5OcEw/6q5Vz3RgYGya/y+9powHizBwRZt3TsaGCkmzl2NFAMjcPQ1MQDTvQ/Wii1Xg==###4040:XlxV32DM 3fff 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###4084:XlxV32DM 3fff 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###5752:XlxV32DM 3fff 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###4392:XlxV32DM 3fff 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###4632:XlxV32DM 3fff 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###4732:XlxV32DM 3fff 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###488:XlxV32DM 536 1d0eNrVlDtuwzAQRC+TA3D557DxAVLERxApqgzg2tDdw1m7s1knKYR9wkgAuTs7yLaed9kDDDx2uA3JYxsYGWItJHRIiZDdwJoN1nvYNGBbhrH1Q0ZDc6wFudVPmf/1+2fxcPdbcfVbRoKZ5XCznO8FWQl2JbiV4FdCWAlxJaSVkFdCWQnbSmj1PNn+qO2PsLN9zka4OL/eLNwwr23eH20Ov9tmPXfSc2d48fB+wOfJ3cIfHcFFhPTm/P1x/vTfbKI3zs9JhTyfnhHnUkTfEfN4ven4I5M6L5ev+7XBmHrtMFJvYvhyE3m+hYBDa3zWMv2n0GYgKOwzFhSOGQ6EKIwIJcegUAqMC6XE0FAqjA6lxgBR2hkjSgfDhJQs/a/kuQVKugtKmRuhtHEvlHY6T+mg/0hZ6EIlRy8qBTpSKdGXSoXuVOqcptLgTEnFcLJKlvNV8pxync38AUgbZpU=
###4272:XlxV32DM 3ff8 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###4704:XlxV32DM 3ff4 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###4432:XlxV32DM 3fff 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###4252:XlxV32DM 3fff 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###4092:XlxV32DM 3fff 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###3844:XlxV32DM 3fff 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###3900:XlxV32DM 3fff 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###3876:XlxV32DM 3fff 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###6148:XlxV32DM 3fff 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###4712:XlxV32DM 3fff 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###4440:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 1184eNqFW0m27CgO3RI94uc6agHG2MOc1ShP7r0IS7LhBo56k3duSKhHNLZN2E3/szbFs/8R+eODK81Y6ZXCNtIVlxbbBx/k60pepJQufhPMiv9tvCfm//ffv2205Y/5k//521L9k9pf/7W0/XF//cdS+WON/8dbn/ymIwOFyfIglr5i8xsn4M8mtNEzxUo3xJHVSCkeItMg0tP4arydIi0Y7VF6+vbvWNkTYqof3Ew0K3715xB/kN/Hi/0ksEfto8L8fWRa8aN85EeM8XqzX+PPlbI9lbLFqVK2q1CM3zRwWQKzUwqXosKKEZscjw92wbQVVscaef/BG6WL3jyX9DMF/PmL3hNlR7rxoUDg9l90L4n0hgOfGstHfeg/8iMd9XGgaw+050DbXKZIe/eP935LFN2VWEOX32fM9PnvQgkrvHepV0sgOlZY5SlmO/bRDprsCN2O4LeDQuDx26UvFm5NveL2Fd6IC8qctMRq1wZ2bmDnNtnZHjuPbTIzdjOj3wzFq3ycKRI2FpsKlRU2hdOTiOwKq1neUPuFjaQHsbqhmN04uhtB3Jiznrob6XHDiBuhcXvaqfDvL/RO2FdYzerT41jJu92iYldY9SFd5ambitnNc3CTfmVrl2R0sYUnz20W4kvt8RTNku4NuGHADaAfUHTHWHTODG7kX9nawA37RB/xpdbRXESIT5gbSD+g6BSrPUg/wc1zctN2NxO72fzkJnU36WlFScRmYrHZst+ItTX1xTGNanU8CX+xJa1wlSK1lPIKq5uV8pJf9VWT3a/xqa9FK3vvMPbF8wmTe6qh7KtqaJQ9b+7ytQLYxktux7TCxWbHKw/zf40vufAWI9cV1vF7b24r7EUe0lGfYnbTP25SW7mpYqLJ5yi2d04/inV9RR7ddiYfK/wmD3EDN1CfYuTXMChmN8OQzfIrm6fJ1/5gj5y1T5mv8BDdNJql43uxXfRcOHuIdXylr2wlyFZa8fu7ekY347i+m8lP1/10ftNxvZlNfuxgxw569klPGsLpVx1fw+Uh6701utG9LtaN4VX+t/EOzEZ5ipHfP1VqHzfyUPzLbYYWb28NEebcVIwBil/538ZbguiDPMXIr24oZjeouxFlGx8mN+q1jbdPeRdoVr1czQqr4o7DaJiOb8IfpTkhDszWpwsdK/ycp640f8nvci79ZHNdYW/SfskrtK2w2t8D31b69BigGPk10Irf4qGYE1GG6Ve3X9OvSRxuuagH7FDMej7nNpKEz9NvvxLeFekB8ezL4TX+TjArvs9hZueDI/IDLo0DXMxWfmFP4hhicYxMMb9wEPvQ3mGCn7/oXpaFN/ohDeCNbqTfvtGrJBzt9U8nOJ5E1eGA7dYz827kMtDYcnnYglQ20HWmRkN1hUPjmaYNG/F9wK0801Ffe1rMOdK3kBu0PP+LfkiLQn1PIjnQb/S+LQrsNxfSFlk+6sP4ID8nYn8SYV1aT5m9Od7Xmo17lnWFt9fbFdk3+mm27Re9B5Z+jqct8xR31741vuh7G7/JFER80Jqu+pSuGO1VumKlk/ir9irGeCj9BDrya3w5U+05nlg3X0qV3kOL33J0fObGPIEfBeJQIA4HxAHHG7FL/VCsdA9xUvxmn+pXeVnkIf/b+P8n30xxPJ5NgXXzIpF7HPMTx0pbGuX0+pv0IN2Y2e/9hf9t/EZzHlCeYrRH6RHoKu+ud8Ech+Fywrq2isOtl6Rum3N8jK92hRv5C++++sluGX+Su86XtmxhhbfsPM+rmlb4EPk1V7/Sp/WzdY4V/z2vTX32Jv5zu1E0Dsf8WOBz2+s+p6BbNB1TKXZMKxyD4+vmZi8XENfG/J992+e/ulJqrfPUY1MjueuIflQjNxh256ljpFWwHNNMnuhkpFXx+C3XaeoW4lB1u3lVbyw/m0NCZi6+cIofxvKUJ9vYPqajP30NJE6FS3w7yqmspqYxdepvJqYfsV2lgvxf8Qf+nG3kGyK9TZ/jVWWJSHSaFT/KR34tRY0nxluXoGTW/Cgf+Wuzga+QOB+YrxqZvxiuL+T/sh/493xecVR/Md9V6qjztRU/xuOLH/zBeuGpZofNhg+/Nxt9Ek+LSm8yZdSE9CaV8kZ3vd3+ovdIxnnxXvO/ypfxiqs0IbQf7VF9inG80lGe2qudIoN+jYfSFaO9SlfMmXLj4nCu7oVUTgK5+7feOMatETdjxG/yEFvwE/XtL/xKt5OffthUeb9+0qe9xsV5uW6yLL3Ru1D6RSczV9wmFaDLYii8rCJGeW/yvZkjpfjelgGdgE5AbwSZpbr0V/1RzJEOw7bL29X1+r3toXmm7KAH6RnsPgXrmlxkpiJ+k4fYQRwc6EM62pOBvkMcFXOc4nOFYcPLgaz32J1vwt0+du/iVePJdwvkMkdk5n8b36pPq/FJVvmUdRUAbHg1zZZ3OYhVfs3a22Z7m+FV5DS66s/8ao+uim/2Zt3wvdCb8f6X/u6v+6VfdzOcqeGuN9LyHHGbxYtglpcOqrHHCkd/ZnHDrrArbGY17CZi1Yf0bFwY3VSM9p3EZXFYtgcxyuMw5OFuL8bVI/w7/OQDy2G/d3LHGrOejWY/FN/pEMx20GhH/mVHkjJQvdlwmXxj1mtv/hmrPMVsRxnKYvl8LMoeqff1KxzenvJmhqsrPKgNKzyYMdGJ5rQrRv2tnVGq364wymM3tzHc9le4o8waLSdrpQsBvv0i8JPAT8FsRx3Cva2WFW12R+Eq3onDq80JsapNYEYCMxBHnUWg7541kA7F9ywml1b8incz05X/Td8+NavxBZfof6Wril990Yry5lVaYdUTIU7xKy5jutqTrmRWl1hJmuIm1b/LSSVEXS1n3PxRxiaJuFf7hdPJZiNWsws0G8R9dplpNhgXRzcVI7+mWzH6h/KU34l+5OcwHkM6Q1ulU+VsuqZq+mRWIx5meVzh20/BbMdwiRSP5fNOaSZBN0uPu1MzalLtyP82vkG4G6QD6QRrII1rWDBjOM9fs8Pfs5DTEozahZj1HGDHAXYqZjvsMDvCau04iM/rWh2bZbVFqgPx3QxkZ4NYw4H0vjac085MMOrvZR34foNnE2KUx266MdzlV7g32YFqeJ81CfEdXrfC6oditmN4xyO55csPMsyIu4f0WicbZ8Sq1sukQ6zykG6gWXxh3djKpK2yU0KM8tjNMIa7rl5uHGaFvPHDfp2y80OcLe9MT2PTCqsdDvx0EAfFbGcc0pF+Vf+djsLVVg27j/hWe1fRjLXKkG7oK/xmrH7Vb2S26ZKIGOWxm2lMR/6VDm2KmuYozQXxs0WZmw3iHu68wnccBLOdn419ZTvnDYP7vC3vPwfR50TBedfFKhhrVzhYI3swQxxH/h9lsVa61be137D5jQ/DL+OifVbahpXrccRoz5t9WeS/4V1e0n7DRfjvRJKd9lCnHKDRfuR/G7/J+D0e1+ODbgBjWfX6hDUrfGSeQPsnMgtcLF933+0/8lVKCizf08HX9fLSL2KTeXPm5ISK/mmf2a21K/zlD2C0B3HNp9eH9ytMeu0t9qG/2gCs1gfw35s9oaO/uts55MoOxz9nC7YH54vqV4z1rvQD6rEAXfFbvSnGeno2lXbYtdBzrQ8Hb2uvK6vstyDb7ixXMk0eFN343ojw75tUtpOZiTgZjuz2eYt6gb/0gXydOc6wPMR9ZddntW2FqxxDop33f0lnplwhhWrOFT4MV1awPDMQO2L7XWN70H7U9411J2CX8b43cvJdzVu83P0d0ezvfYmgKzzYe98tycz6ssfMmCtpfE/ML1881HFJO4bswPYiHQOwHhfvB3WAu5mbxDWt8CFfM+yBH2ghHvIy+LE9jxXOlzvcHDlRmwl+nKpBPnHTBPWlh99hL8wf5MMmxOZOQCgrHAtv0TaZ+ojvO0/5vufNvgatRO1D/rfx9KLv8xYW889LJYE+gtam8dLxit/sVcyJqs9TiTOs3wVhN075slDFOvmy8I1+0KzWQ5iU/218odlN1aduKn7Td8D4AnTFHIb9CQM8BZNbmedDlW3nl+eCPG+WZ06A9YuLaJgfx+unDl6eaSHWjQllfnMEcbLy4ai0ZcT2DJUvx9z0VRjaoxj5H3v5aveNns1wBR2Gyy2/vAnXYUVujM/GX1z4nQ8siJWfRM0bXT8aZDPGyyG/PF5rFen3tLwA9XB0/hXWKopyrkR8N4Pp3Dl+/mRXk2v43MiMX2UVmj+YUbrXKjNMR9yjIHO81BUOlr/hqyQY9N0frxGFFb6/OhOM8vQbQcVXGKL5Y//s/1zum7+uX+yQoLa8O1dJm+GvCa0RyWXzK6yW2F7PK9wNjiNmy9z4Klz91f6cvA+kiT+p7bwdWtM3od9dl9qx4n8bH007VvpUnmLkfwpxHo/2KOY4jG8BpJf1WqcM0cEdSs76zRxxhXXD1fssrfAhW+ij8RtMiHV9PDNjvVtI1Oro2fW85ge9miYdd7Yf+d/GR8kc2nPJ+x8z/S6o###4716:XlxV32DM 3fff 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###4520:XlxV32DM 3fff 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###2184:XlxV32DM 1c09 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
/projects/S3Demo/S3demo_ngdbuild.xrpt
1,11 → 1,11
<?xml version="1.0" encoding="UTF-8" standalone="yes" ?>
<document OS="lin64" product="ISE" version="12.3">
<document OS="lin" product="ISE" version="12.3">
 
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
 
<application stringID="NgdBuild" timeStamp="Fri May 2 20:23:45 2014">
<application stringID="NgdBuild" timeStamp="Tue May 6 22:08:14 2014">
<section stringID="User_Env">
<table stringID="User_EnvVar">
<column stringID="variable"/>
12,7 → 12,7
<column stringID="value"/>
<row stringID="row" value="0">
<item stringID="variable" value="PATH"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin64:/opt/gnu-arm/bin:/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/ucb"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:/usr/local/bin:/usr/bin:/bin:/usr/local/games:/usr/games"/>
</row>
<row stringID="row" value="1">
<item stringID="variable" value="XILINX"/>
20,20 → 20,20
</row>
<row stringID="row" value="2">
<item stringID="variable" value="LD_LIBRARY_PATH"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin64"/>
<item stringID="value" value="/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin"/>
</row>
</table>
<item stringID="User_EnvOs" value="OS Information">
<item stringID="User_EnvOsname" value="Debian"/>
<item stringID="User_EnvOsrelease" value="Debian GNU/Linux 6.0.9 (squeeze)"/>
<item stringID="User_EnvOsrelease" value="Debian GNU/Linux 7.4 (wheezy)"/>
</item>
<item stringID="User_EnvHost" value="luis-laptop"/>
<item stringID="User_EnvHost" value="cudar75"/>
<table stringID="User_EnvCpu">
<column stringID="arch"/>
<column stringID="speed"/>
<row stringID="row" value="0">
<item stringID="arch" value="Intel(R) Core(TM)2 Duo CPU T6500 @ 2.10GHz"/>
<item stringID="speed" value="1200.000 MHz"/>
<item stringID="arch" value="AMD Athlon(tm) II X2 255 Processor"/>
<item stringID="speed" value="3100.000 MHz"/>
</row>
</table>
</section>
54,58 → 54,69
<item dataType="int" stringID="NGDBUILD_NUM_INFOS" value="0"/>
</section>
<section stringID="NGDBUILD_PRE_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_BUFG" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_BUFG" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_BUFGP" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="37"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDC" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="9"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDC_1" value="21"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDR" value="16"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDRE" value="10"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDE" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDR" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDRE" value="14"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDRS" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD_1" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="14"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT1" value="53"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="13"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="15"/>
<item dataType="int" stringID="NGDBUILD_NUM_LDE_1" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_LD_1" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT1" value="61"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="15"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2_L" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3" value="9"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="29"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3" value="26"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3_D" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="46"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4_D" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4_L" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXCY" value="53"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXF5" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXCY" value="61"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXF5" value="10"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="34"/>
<item dataType="int" stringID="NGDBUILD_NUM_SRL16_1" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_SRLC16E" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XORCY" value="57"/>
<item dataType="int" stringID="NGDBUILD_NUM_XORCY" value="66"/>
</section>
<section stringID="NGDBUILD_POST_UNISIM_SUMMARY">
<item dataType="int" stringID="NGDBUILD_NUM_BUFG" value="3"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="37"/>
<item dataType="int" stringID="NGDBUILD_NUM_BUFG" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDC" value="4"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDCE" value="9"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDC_1" value="21"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDR" value="16"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDRE" value="10"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDE" value="27"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDR" value="40"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDRE" value="14"/>
<item dataType="int" stringID="NGDBUILD_NUM_FDRS" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_FD_1" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_GND" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="14"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUF" value="20"/>
<item dataType="int" stringID="NGDBUILD_NUM_IBUFG" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT1" value="53"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="5"/>
<item dataType="int" stringID="NGDBUILD_NUM_INV" value="15"/>
<item dataType="int" stringID="NGDBUILD_NUM_LDE_1" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_LD_1" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT1" value="61"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2" value="15"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT2_L" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3" value="9"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="29"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3" value="26"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT3_D" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4" value="46"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4_D" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_LUT4_L" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXCY" value="53"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXF5" value="8"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="25"/>
<item dataType="int" stringID="NGDBUILD_NUM_SRLC16E" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXCY" value="61"/>
<item dataType="int" stringID="NGDBUILD_NUM_MUXF5" value="10"/>
<item dataType="int" stringID="NGDBUILD_NUM_OBUF" value="34"/>
<item dataType="int" stringID="NGDBUILD_NUM_SRLC16E" value="2"/>
<item dataType="int" stringID="NGDBUILD_NUM_VCC" value="1"/>
<item dataType="int" stringID="NGDBUILD_NUM_XORCY" value="57"/>
<item dataType="int" stringID="NGDBUILD_NUM_XORCY" value="66"/>
</section>
<section stringID="NGDBUILD_CORE_GENERATION_SUMMARY">
<section stringID="NGDBUILD_CORE_INSTANCES"/>
/projects/S3Demo/S3demo.bgn
1,4 → 1,4
Release 12.3 - Bitgen M.70d (lin64)
Release 12.3 - Bitgen M.70d (lin)
Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
Loading device for application Rf_Device from file '3s200a.nph' in environment
/opt/Xilinx/12.3/ISE_DS/ISE/.
5,9 → 5,9
"S3demo" is an NCD, version 3.2, device xc3s200a, package vq100, speed -5
Opened constraints file S3demo.pcf.
 
Fri May 2 20:24:20 2014
Tue May 6 22:08:37 2014
 
/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin64/unwrapped/bitgen -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:25 -g ProgPin:PullUp -g DonePin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g StartUpClk:JtagClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g en_sw_gsr:No -g en_porb:Yes -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 S3demo.ncd
/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/bitgen -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:25 -g ProgPin:PullUp -g DonePin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g StartUpClk:JtagClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g en_sw_gsr:No -g en_porb:Yes -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 S3demo.ncd
 
Summary of Bitgen Options:
+----------------------+----------------------+
114,15 → 114,22
 
 
Running DRC.
DRC detected 0 errors and 0 warnings.
INFO:Security:67 - XILINXD_LICENSE_FILE is set to
'/media/datos3/xilinx_linux/Xilinx/12.3/ISE_DS/ISE/coregen/core_licenses' in
/home/luis/.flexlmrc.
INFO:Security:54 - 'xc3s200a' is a WebPack part.
WARNING:Security:42 - Your software subscription period has lapsed. Your current
version of Xilinx tools will continue to function, but you no longer qualify for
Xilinx software updates or new releases.
 
WARNING:PhysDesignRules:367 - The signal <swt<1>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<2>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<3>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<4>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<5>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<6>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
WARNING:PhysDesignRules:367 - The signal <swt<7>_IBUF> is incomplete. The signal
does not drive any load pins in the design.
DRC detected 0 errors and 7 warnings. Please see the previously displayed
individual error or warning messages for more details.
Creating bit map...
Saving bit stream in "S3demo.bit".
Bitstream generation is complete.
/projects/S3Demo/_xmsgs/par.xmsgs
5,20 → 5,63
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="info" file="Par" num="282" delta="new" >No user timing constraints were detected or you have set the option to ignore timing constraints (&quot;par -x&quot;). Place and Route will run in &quot;Performance Evaluation Mode&quot; to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to &quot;std&quot;. For best performance, set the effort level to &quot;high&quot;.
<msg type="info" file="Par" num="282" delta="old" >No user timing constraints were detected or you have set the option to ignore timing constraints (&quot;par -x&quot;). Place and Route will run in &quot;Performance Evaluation Mode&quot; to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to &quot;std&quot;. For best performance, set the effort level to &quot;high&quot;.
</msg>
 
<msg type="info" file="Timing" num="2761" delta="new" >N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</msg>
<msg type="warning" file="Par" num="288" delta="old" >The signal <arg fmt="%s" index="1">swt&lt;1&gt;_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
 
<msg type="warning" file="Route" num="455" delta="new" >CLK Net:<arg fmt="%s" index="1">clkdiv&lt;23&gt;</arg> may have excessive skew because
<arg fmt="%d" index="2">2</arg> CLK pins and <arg fmt="%d" index="3">1</arg> NON_CLK pins failed to route using a CLK template.
<msg type="warning" file="Par" num="288" delta="old" >The signal <arg fmt="%s" index="1">swt&lt;2&gt;_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
 
<msg type="warning" file="Route" num="455" delta="new" >CLK Net:<arg fmt="%s" index="1">kb1_clkDiv&lt;3&gt;</arg> may have excessive skew because
<msg type="warning" file="Par" num="288" delta="old" >The signal <arg fmt="%s" index="1">swt&lt;3&gt;_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
 
<msg type="warning" file="Par" num="288" delta="old" >The signal <arg fmt="%s" index="1">swt&lt;4&gt;_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
 
<msg type="warning" file="Par" num="288" delta="old" >The signal <arg fmt="%s" index="1">swt&lt;5&gt;_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
 
<msg type="warning" file="Par" num="288" delta="old" >The signal <arg fmt="%s" index="1">swt&lt;6&gt;_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
 
<msg type="warning" file="Par" num="288" delta="old" >The signal <arg fmt="%s" index="1">swt&lt;7&gt;_IBUF</arg> has no load. PAR will not attempt to route this signal.
</msg>
 
<msg type="info" file="Timing" num="2761" delta="old" >N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</msg>
 
<msg type="info" file="Timing" num="2761" delta="old" >N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</msg>
 
<msg type="warning" file="Route" num="455" delta="old" >CLK Net:<arg fmt="%s" index="1">RS232Proj_UART_rClkDiv&lt;3&gt;</arg> may have excessive skew because
<arg fmt="%d" index="2">0</arg> CLK pins and <arg fmt="%d" index="3">1</arg> NON_CLK pins failed to route using a CLK template.
</msg>
 
<msg type="info" file="Timing" num="2761" delta="new" >N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</msg>
<msg type="warning" file="Route" num="455" delta="old" >CLK Net:<arg fmt="%s" index="1">RS232Proj_UART_stbeCur_FSM_FFd1</arg> may have excessive skew because
<arg fmt="%d" index="2">1</arg> CLK pins and <arg fmt="%d" index="3">3</arg> NON_CLK pins failed to route using a CLK template.
</msg>
 
<msg type="warning" file="Route" num="455" delta="old" >CLK Net:<arg fmt="%s" index="1">RS232Proj_stCur&lt;0&gt;</arg> may have excessive skew because
<arg fmt="%d" index="2">0</arg> CLK pins and <arg fmt="%d" index="3">5</arg> NON_CLK pins failed to route using a CLK template.
</msg>
 
<msg type="warning" file="Route" num="455" delta="old" >CLK Net:<arg fmt="%s" index="1">clkdiv&lt;23&gt;</arg> may have excessive skew because
<arg fmt="%d" index="2">0</arg> CLK pins and <arg fmt="%d" index="3">1</arg> NON_CLK pins failed to route using a CLK template.
</msg>
 
<msg type="warning" file="Route" num="455" delta="old" >CLK Net:<arg fmt="%s" index="1">kb1_clkDiv&lt;3&gt;</arg> may have excessive skew because
<arg fmt="%d" index="2">0</arg> CLK pins and <arg fmt="%d" index="3">1</arg> NON_CLK pins failed to route using a CLK template.
</msg>
 
<msg type="info" file="Timing" num="2761" delta="old" >N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.</msg>
 
<msg type="warning" file="ParHelpers" num="361" delta="old" >There are <arg fmt="%d" index="1">7</arg> loadless signals in this design. This design will cause Bitgen to issue DRC warnings.
 
</msg>
 
<msg type="warning" file="Par" num="283" delta="old" >There are <arg fmt="%d" index="1">7</arg> loadless signals in this design. This design will cause Bitgen to issue DRC warnings.
 
</msg>
 
</messages>
 
/projects/S3Demo/_xmsgs/bitgen.xmsgs
5,5 → 5,26
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;1&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;2&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;3&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;4&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;5&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;6&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;7&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
</messages>
 
/projects/S3Demo/_xmsgs/map.xmsgs
5,11 → 5,44
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="info" file="MapLib" num="562" delta="new" >No environment variables are currently set.
<msg type="info" file="LIT" num="243" delta="old" >Logical network <arg fmt="%s" index="1">swt&lt;7&gt;_IBUF</arg> has no load.
</msg>
 
<msg type="info" file="LIT" num="244" delta="new" >All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs.
<msg type="info" file="LIT" num="395" delta="old" >The above <arg fmt="%s" index="1">info</arg> message is repeated <arg fmt="%d" index="2">6</arg> more times for the following (max. 5 shown):
<arg fmt="%s" index="3">swt&lt;6&gt;_IBUF,
swt&lt;5&gt;_IBUF,
swt&lt;4&gt;_IBUF,
swt&lt;3&gt;_IBUF,
swt&lt;2&gt;_IBUF</arg>
To see the details of these <arg fmt="%s" index="4">info</arg> messages, please use the -detail switch.
</msg>
 
<msg type="info" file="MapLib" num="562" delta="old" >No environment variables are currently set.
</msg>
 
<msg type="info" file="LIT" num="244" delta="old" >All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;1&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;2&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;3&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;4&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;5&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;6&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
<msg type="warning" file="PhysDesignRules" num="367" delta="old" >The signal &lt;<arg fmt="%s" index="1">swt&lt;7&gt;_IBUF</arg>&gt; is incomplete. The signal does not drive any load pins in the design.
</msg>
 
</messages>
 
/projects/S3Demo/_xmsgs/trce.xmsgs
5,15 → 5,15
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="info" file="Timing" num="2698" delta="new" >No timing constraints found, doing default enumeration.</msg>
<msg type="info" file="Timing" num="2698" delta="old" >No timing constraints found, doing default enumeration.</msg>
 
<msg type="info" file="Timing" num="2752" delta="new" >To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</msg>
<msg type="info" file="Timing" num="2752" delta="old" >To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.</msg>
 
<msg type="info" file="Timing" num="3339" delta="new" >The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</msg>
<msg type="info" file="Timing" num="3339" delta="old" >The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.</msg>
 
<msg type="info" file="Timing" num="3390" delta="new" >This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.</msg>
<msg type="info" file="Timing" num="3390" delta="old" >This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.</msg>
 
<msg type="info" file="Timing" num="3389" delta="new" >This architecture does not support &apos;Discrete Jitter&apos; and &apos;Phase Error&apos; calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.</msg>
<msg type="info" file="Timing" num="3389" delta="old" >This architecture does not support &apos;Discrete Jitter&apos; and &apos;Phase Error&apos; calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.</msg>
 
</messages>
 
/projects/S3Demo/_xmsgs/xst.xmsgs
5,11 → 5,47
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="info" file="Xst" num="2555" delta="new" >&apos;<arg fmt="%s" index="1">-hierarchy_separator</arg>&apos; switch is being deprecated in a future release.
<msg type="info" file="Xst" num="2555" delta="old" >&apos;<arg fmt="%s" index="1">-hierarchy_separator</arg>&apos; switch is being deprecated in a future release.
</msg>
 
<msg type="info" file="Xst" num="2169" delta="new" >HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
<msg type="warning" file="Xst" num="737" delta="old" >Found <arg fmt="%d" index="1">1</arg>-bit latch for signal &lt;<arg fmt="%s" index="2">TBE</arg>&gt;. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
</msg>
 
<msg type="info" file="Xst" num="2371" delta="old" >HDL ADVISOR - Logic functions respectively driving the data and gate enable inputs of this latch share common terms. This situation will potentially lead to setup/hold violations and, as a result, to simulation problems. This situation may come from an incomplete case statement (all selector values are not covered). You should carefully review if it was in your intentions to describe such a latch.
</msg>
 
<msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">peSig</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
</msg>
 
<msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">oeSig</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
</msg>
 
<msg type="warning" file="Xst" num="646" delta="old" >Signal &lt;<arg fmt="%s" index="1">feSig</arg>&gt; is assigned but never used. This unconnected signal will be trimmed during the optimization process.
</msg>
 
<msg type="warning" file="Xst" num="737" delta="old" >Found <arg fmt="%d" index="1">8</arg>-bit latch for signal &lt;<arg fmt="%s" index="2">dbInSig</arg>&gt;. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
</msg>
 
<msg type="warning" file="Xst" num="647" delta="old" >Input &lt;<arg fmt="%s" index="1">swt&lt;7:1&gt;</arg>&gt; is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
</msg>
 
<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">tfSReg_10</arg>&gt; has a constant value of <arg fmt="%d" index="2">1</arg> in block &lt;<arg fmt="%s" index="3">UART</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
 
<msg type="warning" file="Xst" num="1293" delta="old" >FF/Latch &lt;<arg fmt="%s" index="1">tfSReg_10</arg>&gt; has a constant value of <arg fmt="%d" index="2">1</arg> in block &lt;<arg fmt="%s" index="3">RS232RefComp</arg>&gt;. This FF/Latch will be trimmed during the optimization process.
</msg>
 
<msg type="warning" file="Xst" num="2677" delta="old" >Node &lt;<arg fmt="%s" index="1">RS232Proj_UART_OE</arg>&gt; of sequential type is unconnected in block &lt;<arg fmt="%s" index="2">S3demo</arg>&gt;.
</msg>
 
<msg type="warning" file="Xst" num="2677" delta="old" >Node &lt;<arg fmt="%s" index="1">RS232Proj_UART_PE</arg>&gt; of sequential type is unconnected in block &lt;<arg fmt="%s" index="2">S3demo</arg>&gt;.
</msg>
 
<msg type="warning" file="Xst" num="2677" delta="old" >Node &lt;<arg fmt="%s" index="1">RS232Proj_UART_FE</arg>&gt; of sequential type is unconnected in block &lt;<arg fmt="%s" index="2">S3demo</arg>&gt;.
</msg>
 
<msg type="info" file="Xst" num="2169" delta="old" >HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
</msg>
 
</messages>
 
/projects/S3Demo/S3demo.ngc
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$3a11=789:?7=>?1593454339:;4?5?1593=1763;>0>=>?4:0;572<<=?H==5;:HLSQQ<e|g686=0>0:69KPRW]]0jb1=50?3821=18:897;O;;7CBE7=0:813866?0168<5E599136D@_UU8psq:0294:=665OTVSQQ<kmm6<6=0>1::9KPRW]]0xj28:1<1?D653H;?7L?>839B67=F;=1J4LM;;@;BG1=FKL2?7O[IG49AQCAW02H^_RGAFN38G7=DM;1HE>5LLJ18GIT>3JEFADZ[EE58GWCF\LN:7I<4DH38A7=B<=1N896;;D;@=1=BL02?7HKK439E37=AL:1MHN:4FEAF1>@CKV;87KJ]4:DGV@2<NMU:86HIDG68BCC5<2LMIK:4FGDF5>A43NDO=6G=;H21?L753@897D=;;H@VB<=NF@^XXLZJ3:KMR7=KA;1GC:5COFK@EI2<D\^:86BZT348HPR5WE>0@XZ<4:NVP10<D\^?SI84LTV7[I1<E'=;hRB:;LW[G\3<E\RM9<=4MbmvjqYddbnbzRmv<1<20>Kdg|dSnbddht\g|:687;<7@m`uov\gimcaUhu1??>^pw51=JkfexRmckeku[f;984:;6Clotlw[fjll`|Tot2>1?]qp42<Eje~byQlljfjrZe~4885=:5BcnwmpZekcmc}Snw313<\vq733DidyczPcmigmsYdq5;82<94MbmvjqYddbnbzRmv<01=[wr6<2Ghcx`{_bnh`lpXkp6:83?8;LalqkrXkeaoe{Qly=37:Zts9=1Fob{at^aooaoqWjs7=80>7:O`kphsWjf`hdxPcx>21;Yu|8>0Anaznu]`hnbn~Vir0<81169Ngjsi|Viggigy_b{?538Xz};?7@m`uov\gimcaUhu1?8>058Ifirf}Uh`fjfv^az8419W{~:86Clotlw[fjll`|Tot2>8?34?Heh}g~Toaekiw]`}97?6Vx=95BcnwmpZekcmc}Snw318<23>Kdg|dSnbddht\g|:617Uyx<=4MbmvjqYddbnbzRmv<0<22>Kdg|dSnbddht\g|:66Vx=95BcnwmpZekcmc}Snw321<23>Kdg|dSnbddht\g|:587Uyx<:4MbmvjqYddbnbzRmv<33=52=JkfexRmckeku[f;:84T~y?;;LalqkrXkeaoe{Qly=01:41<Eje~byQlljfjrZe~4;85Sz>3:O`kphsWjf`hdxPcx>1:40<Eje~byQlljfjrZe~4;4T~y?<;LalqkrXkeaoe{Qly=1=53=JkfexRmckeku[f;;7Uyx<=4MbmvjqYddbnbzRmv<5<22>Kdg|dSnbddht\g|:36Vx=>5BcnwmpZekcmc}Snw35?35?Heh}g~Toaekiw]`}939W{~:?6Clotlw[fjll`|Tot29>048Ifirf}Uh`fjfv^az838Xz};87@m`uov\gimcaUhu191179Ngjsi|Viggigy_b{?3;Yu|890Anaznu]`hnbn~Vir050>6:O`kphsWjf`hdxPcx>;:Zts9:1Fob{at^aooaoqWjs753?9;LalqkrXkeaoe{Qly=;=[wr6<2Ghcx`{_bnh`lpXd|~7<3?n;LalqkrXkeaoe{Qcuu>3:ZOI^V::86Clotlw[fjll`|Ttb|30?36?Heh}g~Toaekiw]{kw:687;>7@m`uov\gimcaUsc2>1?36?Heh}g~Toaekiw]{kw:6:7;>7@m`uov\gimcaUsc2>3?36?Heh}g~Toaekiw]{kw:6<7;>7@m`uov\gimcaUsc2>5?36?Heh}g~Toaekiw]{kw:6>7;>7@m`uov\gimcaUsc2>7?36?Heh}g~Toaekiw]{kw:607;>7@m`uov\gimcaUsc2>9?37?Heh}g~Toaekiw]{kw:668?0Anaznu]`hnbn~Vrd~1<?>078Ifirf}Uh`fjfv^zlv94668?0Anaznu]`hnbn~Vrd~1<=>078Ifirf}Uh`fjfv^zlv9446820Anaznu]`hnbn~Vrd~1<<>^pw51=JkfexRmckeku[}iu4;4:86Clotlw[fjll`|Ttb|33?37?Heh}g~Toaekiw]{kw:368>0Anaznu]`hnbn~Vrd~1;1159Ngjsi|Viggigy_ymq8386<2Ghcx`{_bnh`lpXpfx7;3?;;LalqkrXkeaoe{Qwos>;:42<Eje~byQlljfjrZ~hz535=l5BcnwmpZei|{Usc2?>03\MKPX88>0Anaznu]`jqtXpfx7=3?>159Ngjsi|ViexQwos>1:476<2Ghcx`{_blwvZ~hz595=<?6;Lqkv`aXck;TegitSgdWla5W180B=<4N018J4543G;>?6@>729M645<F=987C:;3:L716=I<?90B99<;O6;7>H31:1E9==4N430?K35;2D>?>5A5518J0343G?=?6@:769MAQQHZB;0C95@BTD2?U2<XHX_m6^FN^@VWLB_j2ZBBRLZSOCNA4=V92X27_k|umv?4;g<Zly~`y2?>0c8V`urd}6:<3o4Rdqvhq:697k0^h}zlu>26;g<Zly~`y2>3?c8V`urd}6:83o4Rdqvhq:6=7k0^h}zlu>22;g<Zly~`y2>7?c8V`urd}6:43o4Rdqvhq:61730^h}zlu>2:d=Umzgx1?11`9Qavsk|58;2l5]erwop9466h1Yi~{ct=01:d=Umzgx1<<>89Qavsk|585m6\jstnw878612Xnxb{<2<b?Wct}e~7?3?6;Sgpqir;<730^h}zlu>6:<=Umzgx1819:Pfwpjs4>427_k|umv?<;?<Zly~`y26>09P2>U4llgd:6]@USAF3>UUD8<T=95[YQG`?PUBZV\B_DLCE29UGF?<^@O\SYW_E0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI49[KWE^>2RXXAGM7:ZPPZAIL>1S_YQYCB48\adXAm;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaa3:Zpp0=ff5:5:6oa<1<21>gi484=7l`31?36?dh;:7<0mc2=>058ek:4294>7l`33?48ek:46830mcQ?_N@VB<=ffV;TCO[I9:cm[7YHJ\L27l`P3^MAQC5<je37obz_N@VB3=e|g6;2;5mto>2:3=e|g69255mto>0>5813k~e0>0n;cvm[5YNJ\Lj7oza_0]JFP@f3k~eS?QFBTDb?griW:UBNXH6;bnh`lp;87k0oaekiw>24;g<keaoe{2>1?c8gimca6:>3o4cmigms:6;7k0oaekiw>20;g<keaoe{2>5?c8gimca6::3o4cmigms:6?7k0oaekiw>2<;g<keaoe{2>9?;8gimca6:2l5lljfjr9476h1h`fjfv=02:d=ddbnbz1<=>`9`hnbn~588245lljfjr94912iggigy<2<:?fjll`|78374cmigms:2601h`fjfv=4==>ekcmc}0:06;bnh`lp;0730oaekiw>::==ddbnbzR>7;bnh`lpX901h`fjfv^33=>ekcmc}S<?6;bnh`lpX9;30oaekiw]27<=ddbnbzR?;9:aooaoqW8?27nbddht\53?<keaoe{Q>789`hnbn~V;356mckeku[4??3jf`hdxP289`hnbn~V8;56mckeku[77>3jf`hdxP23;8gimcaU9?55lljfjrZ5?3jf`hdxP499`hnbn~V?37nbddht\2==ddbnbzR97;bnh`lpX011h`fjfv^;4?fhsz5:5;6mats>2:2=df}x7>394covq86813jd~R>9;blwvZ713jd~R<9;blwvZ5a3jd~Rmbp^gr4567991hby|Pclr\at6789;87j|a8:eqjZIE]O80d~94hr]LFP@?3bh:SIHI199hf4YCNO8<7fl>_JAJ<>me9VAHE<m4kc3\OFOXJ\LL;6em1^IGMa=lj8UFYUAZT=2=a>me9VG^TB[[<1<2`>me9VG^TB[[<0<f?nd6WD_SCXZ31?3g?nd6WD_SCXZ32?g8og7XE\RDYY2=>0f8og7XE\RDYY2<>d9hf4YJ]QE^X1=11058og7XEje~byQlljFjrZe~494:46em1^O`kphsWjf`HdxPcx>24;7e3bh:S@m`uov\gimCaUhu1??>^pw5==lj8UFob{at^aooAoqWjs7=<0>b:ia5ZKdg|dSnbdDht\g|:697Uyx<94kc3\Ifirf}Uh`fJfv^az8486i2ai=RClotlw[fjlL`|Tot2>>^pw52=lj8UFob{at^aooAoqWjs7>3?n;j`2[Heh}g~ToaeKiw]`}949W{~:;6em1^O`kphsWjf`HdxPcx>0:4g<ck;TAnaznu]`hnBn~Vir0>0Pru34?nd6WDidyczPcmiGmsYdq5>5=l5db0]Ngjsi|ViggIgy_b{?0;Yu|8=0go?PMbmvjqYddbNbzRmv<4<2e>me9VGhcx`{_bnh@lpXkp6>2R|{169hf4YJkfexRmckEku[f;>7;j7fl>_LalqkrXkeaOe{Qly=4=[wr6?2ai=RClotlw[fjlL`|Tot28>0c8og7XEje~byQlljFjrZe~4>4T~y?8;j`2[Heh}g~ToaeKiw]`}9>99h1`n<QBcnwmpZekcMc}Snw38?]qp41<ck;TAnaznu]`hnBn~Vir040>a:ia5ZKdg|dSnbdDht\g|:>6Vx=55db0]Ngjsi|ViggIgy_mww8586m2ai=RClotlw[fjlL`|T`xz30?]JJSY7911`n<QBcnwmpZekcMc}Sua}<1<2=>me9VGhcx`{_bnh@lpXpfx7==0>9:ia5ZKdg|dSnbdDht\|jt;984:56em1^O`kphsWjf`HdxPxnp?5786k2ai=RClotlw[fjlL`|Ttb|313<\vq7?3bh:S@m`uov\gimCaUsc2>>0:8og7XEje~byQlljFjrZ~hz585=55db0]Ngjsi|ViggIgy_ymq868602ai=RClotlw[fjlL`|Ttb|34?3;?nd6WDidyczPcmiGmsYg{6>2<64kc3\Ifirf}Uh`fJfv^zlv909911`n<QBcnwmpZekcMc}Sua}<6<2<>me9VGhcx`{_bnh@lpXpfx743?7;j`2[Heh}g~ToaeKiw]{kw:>6m1`n<Q]erwop969m2ai=R\jstnw8469m2ai=R\jstnw8479m2ai=R\jstnw8449l2ai=R\jstnw848c3bh:S_k|umv?6;b<ck;T^h}zlu>0:a=lj8UYi~{ct=6=`>me9VXnxb{<4<g?nd6W[oxyaz36?f8og7XZly~`y28>e9hf4YUmzgx161d:ia5ZTb{|f040j;j`2[Rgn|[ol0=0j;j`2[Rgn|[ol0<0j;j`2[Rgn|[ol0?0j;j`2[Rgn|[ol0>0j;j`2[Rgn|[ol090j;j`2[Rgn|[ol080j;j`2[Rgn|[ol0;0j;j`2[Rgn|[ol0:0k;j`2[Rgn|[olS=j4kc3\SdosZlmT=i5db0]TelrUmnU9h6em1^UbmqTboV9o7fl>_VcjpWc`W=n0go?PW`kwV`aX=m1`n<QXahvQabY1l2ai=RYniuPfcZ16>2ai=RYniuPfcZejxVoz<=>?199hf4YPi`~YijQlmq]fu56788=:46em1^UbmqTboVif|Rk~01235=703bh:SZoftSgd[fkwWl{;<=>;d:ia5ZekcMc}0=0j;j`2[fjlL`|7==0j;j`2[fjlL`|7=<0j;j`2[fjlL`|7=?0k;j`2[fjlL`|7=3j4kc3\gimCa692i5db0]`hnBn~595h6em1^aooAoq4=4o7fl>_bnh@lp;=7n0go?PcmiGms:16m1`n<QlljFjr919l2ai=RmckEku8=8c3bh:SnbdDht?=;e<ck;ToaeKiw]3g>me9ViggIgy_0f8og7XkeaOe{Q>0e9hf4YddbNbzR?>d:ia5ZekcMc}S<<l;j`2[fjlL`|T>n5db0]`hnBn~V9h7fl>_bnh@lpX<j1`n<QlljFjrZ3d3bh:SnbdDht\2f=lj8Uh`fJfv^5`?nd6Wjf`HdxP8b9hf4YddbNbzR7>4:ia5Zuoao~Yij]fg0>24;743bh:S~fffuPfcVo`95;5=>5db0]pll`sZlmXej?32?30?nd6Wzbbjy\jgRkd59599:1`n<Q|hhdwV`aTan;783?<;j`2[vnnn}Xnk^gh1=7=56=lj8Uxddh{RdePmb7;>7;87fl>_rjjbqTboZcl=191129hf4Yt``l^hi\if3?<;743bh:S~fffuPfcVo`9535=?5db0]pll`sZlmXej?P1018og7X{acmx_khShe2[466:2ai=R}gigvQabUno8U9=?5db0]pll`sZlmXej?P3008og7X{acmx_khShe2[1753bh:S~fffuPfcVo`9V?:>6em1^qkmcrUmnYbk<Q9139hf4Yt``l^hi\if3\344<ck;TegitSgdWla6W1;97fl>_rjjbqTboZcl=R7>3:ia5Zuoao~Yij]fg3>2:45<ck;TegitSgdWla54;4:?6em1^qkmcrUmnYbk?2<>018og7X{acmx_khShe18186;2ai=R}gigvQabUno;6>2<=4kc3\wmoa|[ol_di=<7<27>me9Vycekz]efQjc7:06890go?PsikepWc`[`m9050>2:ia5Zuoao~Yij]fg3]257=lj8Uxddh{RdePmb4X:880go?PsikepWc`[`m9S>?=;j`2[vnnn}Xnk^gh2^626>me9Vycekz]efQjc7Y29;1`n<Q|hhdwV`aTan8T:<<4kc3\wmoa|[ol_di=_631?nd6Wzbbjy\jgRkd6Z>6;2ai=R}gigvQabUno;U3=<:4kc3\wmoa|[ol_di=_9326>md?2ahSDLZF39h`2=llVCIYK84ldf?4;0<dln7=384ldf?6;0<dln7?384ldf?0;0<dln79384ldf?2;><dln7;7>16:nf`919i2fnhR>POCWEe>jblV;TCO[Ia:nf`Z4XGK_Mm6bjd^1\KGSAi2fnhR:POCWEe>jblV?TCO[Ia:nf`Z0XGK_Mm6bjd^5\KGSA<2gh`fo4mbnh[GSAOY90~hj7;sgg[JDRN?1xj2?>79pwb:66?1xj2=>79pwb:46?1xj2;>79pwb:26?1xj29>99pwb:0294=7~}h<6<b?vu`W9UDNXH>0:qpcZ6Xe|r;<=>>4531?vu`W9Ufyu>?01370Z@6:2yxkR>Pmtz34566<=ULm6}|g^3\KGSA991xjQ>_lw{456799::>6}|g^3\ip~789::<=QI139pwbY6Wds<=>?112\Cd=t{nU9SBLZFg9pwbY5Wds<=>?7332?vu`W;Ufyu>?0151[C763zylS?Qbuy234515WNk0~iP3^MAQC773zylS>Qbuy234573>880~iP3^ov|56788>=SK?=;rqd[6Yj}q:;<=?;6^Eb?vu`W=UDNXHi;rqd[1Yj}q:;<=8:109pwbY3Wds<=>?64]E54=t{nU?S`{w012320Y@i2yxkR;POCWE55=t{nU>S`{w012355>6:2yxkR;Pmtz3456681UM=?5|sf]6[hs89:;==6PG`9pwbY1WFH^J<>4sre\2Zkrp9:;<<>9139pwbY1Wds<=>?114\B44<{zmT:Rczx1234461WNk0~iP7^MAQC763zylS:Q@BTD\wmoa|8;:7~}h_6]LFP@X{acmx??>;rqd[2YHJ\LTegit248wrr;87<0zz31?48wrr;:7<0zz33?48wrr;<7<0zz35?48wrr;>720zz37;2=2>up|5=5m6}xt^2\MGSAi2y|xR?PICWEe>up|V8TEO[Ia:qtpZ5XAK_Mm6}xt^6\MGSAi2y|xR;PICWEe>up|V<TEO[Ia:qtpZ1XAK_M=95yg`3\Ifirf}UcoRmv<1<20>p`i8UFob{at^j`[f;97;<7{in1^O`kphsWaiTot2>>^pw51=qoh;TAnaznu]kgZe~4;4:;6xha0]Ngjsi|VbhSnw32?]qp42<~nk:S@m`uov\lfYdq595=:5yg`3\Ifirf}UcoRmv<2<\vq733mj=RClotlw[meXkp6?2<94vfc2[Heh}g~TdnQly=6=[wr6<2|lm<QBcnwmpZndWjs793?8;web5ZKdg|dSemPcx>6:Zts9=1}kl?PMbmvjqYokVir0;0>7:tde4YJkfexRfl_b{?2;Yu|8>0zjo>_LalqkrX`jUhu191169ucd7XEje~byQgc^az828Xz};?7{in1^O`kphsWaiTot27>058rbg6WDidyczPhb]`}9>9W{~:96xha0]Ngjsi|VbhSa{{<1<2f>p`i8UFob{at^j`[iss494TECXP0078rbg6WDidyczPhb]{kw:768?0zjo>_LalqkrX`jUsc2>>078rbg6WDidyczPhb]{kw:568?0zjo>_LalqkrX`jUsc2<>078rbg6WDidyczPhb]{kw:368?0zjo>_LalqkrX`jUsc2:>078rbg6WDidyczPhb]{kw:168?0zjo>_LalqkrX`jUsc28>078rbg6WDidyczPhb]{kw:?68?0zjo>_LalqkrX`jUsc26>0:8rbg6WDidyczPhb]{kw:>6Vx=95yg`3\Ifirf}U}oRmv<1<20>p`i8UFob{at^t`[f;97;<7{in1^O`kphsWiTot2>>^pw51=qoh;TAnaznu]ugZe~4;4:;6xha0]Ngjsi|V|hSnw32?]qp42<~nk:S@m`uov\rfYdq595=:5yg`3\Ifirf}U}oRmv<2<\vq733mj=RClotlw[seXkp6?2<94vfc2[Heh}g~TznQly=6=[wr6<2|lm<QBcnwmpZpdWjs793?8;web5ZKdg|dS{mPcx>6:Zts9=1}kl?PMbmvjqYqkVir0;0>7:tde4YJkfexRxl_b{?2;Yu|8>0zjo>_LalqkrX~jUhu191169ucd7XEje~byQyc^az828Xz};?7{in1^O`kphsWiTot27>058rbg6WDidyczPvb]`}9>9W{~:96xha0]Ngjsi|V|hSa{{<1<2f>p`i8UFob{at^t`[iss494TECXP0078rbg6WDidyczPvb]{kw:768?0zjo>_LalqkrX~jUsc2>>078rbg6WDidyczPvb]{kw:568?0zjo>_LalqkrX~jUsc2<>078rbg6WDidyczPvb]{kw:368?0zjo>_LalqkrX~jUsc2:>078rbg6WDidyczPvb]{kw:168?0zjo>_LalqkrX~jUsc28>078rbg6WDidyczPvb]{kw:?68?0zjo>_LalqkrX~jUsc26>0:8rbg6WDidyczPvb]{kw:>6Vx46xha0]M441<~nk:SC?8;web5ZH5m2|lm<Q]erwop969n2|lm<Q]erwop9699l1}kl?PRdqvhq:66o1}kl?PRdqvhq:668o0zjo>_Sgpqir;:7l0zjo>_Sgpqir;:7;n7{in1^Pfwpjs4:4m7{in1^Pfwpjs4:4:i6xha0]Qavsk|5>5j6xha0]Qavsk|5>5=h5yg`3\V`urd}6>2k5yg`3\V`urd}6>2<k4vfc2[Wct}e~7:3h4vfc2[Wct}e~7:3?j;web5ZTb{|f0:0i;web5ZTb{|f0:0>e:tde4YUmzgx161f:tde4YUmzgx1611d9ucd7XZly~`y26>g9ucd7XZly~`y26>033?saf9VhgyRoad123442<~nk:Sobz_`lg4567WZ];n6xha0]`hnbn~j1}kl?Pcmigms7682|lm<QlljfjrZDRNN;97{in1^eqjZgil9:;<<?>1:tde4Y`zgUjbi>?010b?saf9Vbh0=0n;web5Znd484j7{in1^j`878f3mj=Rfl<2<b?saf9Vbh090n;web5Znd4<4j7{in1^j`838f3mj=Rfl<6<b?saf9Vbh050n;web5Znd40427{in1^j`[5?<~nk:SemP189ucd7X`jU956xha0]kgZ5>3mj=Rfl_5;8rbg6WaiT945yg`3\lfY112|lm<Qgc^5:?saf9VbhS574vfc2[meX1880zjo>_ia\ghvXmx:;<=?<;web5ZndWjg{Sh?012256=qoh;Td~Qlmq]fu56788;;7{in1^pf`Zgil9:;<<<4vfc2[wccWhdo<=>?1037?saf9VxnhRoad1234ZUP8h1}kl?Pvb>3:d=qoh;Tzn2>>`9ucd7X~j692l5yg`3\rf:46h1}kl?Pvb>7:d=qoh;Tzn2:>`9ucd7X~j6=2l5yg`3\rf:06h1}kl?Pvb>;:d=qoh;Tzn26>89ucd7X~jU;56xha0]ugZ7>3mj=Rxl_3;8rbg6WiT?45yg`3\rfY312|lm<Qyc^7:?saf9V|hS;74vfc2[seX?01}kl?Pvb];=>p`i8U}oR7i;web5ZpdWhdo<=>?119ucd7X~jUjbi>?01320>p`i8U}oRoad12344YT_9;<7{in1^t`[dhc89:;S^Y?_RU357=qoh;Tz~Qlmq]fu5678890zjo>_wq\ghvXmx:;<=?>5:tde4Yq{Vif|Rk~0123[c3c3mj=Rx|eocah`723mj=Rx|eocah`Yig}:;<<?8;web5Zptmgki`hQaou2344779>1}kl?PvrgmegjbWge<=>>1934?saf9V|xicomld]mkq67888=>6x|7:tp[JDRN8:0tn=|212b)0+qy8:;qMN95g8DE~ck3L1>7?tS3:9ag<293;8?8?k6;1;e56|fl31=6`ja;48 `>=m:1v_?95ec865?74;<;o:7=7a168`ae=83;1=v]=8;ga>07=9:9>=i8539c34>pSlm0;6<4>:05gV4?2lh19<4>3272`3<40h:;7)k=:5;8 gg=:j;0nim50;0;>40c28=owEk?;%fe>ae<R;;19vl517821?g=9=0ve<j7:188k4272900e<jn:188k7da2900c<=j:188k4ca2900e<kk:188kc?=831dj>4?:%``>c4<fkh1<65`f183>!dd2o80bol51:9la`<72-hh6k<4nc`96>=hmj0;6)ll:g08jgd=;21d=k750;&ag?7a02din7>4;n3e3?6=,ki1=k64nc`95>=h9o<1<7*mc;3e<>hej3807b?i5;29 ge=9o20bol53:9l5c2=83.io7?i8:laf?2<3f;m?7>5$ca95c><fkh1965`1g094?"ek3;m46`mb;48?j7a93:1(om51g:8jgd=?21d=h:50;&ag?7b;2din7>4;n3f6?6=,ki1=h=4nc`95>=h9l;1<7*mc;3f7>hej3807b?j0;29 ge=9l90bol53:9l5a`=83.io7?j3:laf?2<3f;oi7>5$ca95`5<fkh1965`1ef94?"ek3;n?6`mb;48?j7ck3:1(om51d18jgd=?21bjk4?:%``>cc<fkh1<65ffe83>!dd2oo0bol51:9jbf<72-hh6kk4nc`96>=nnk0;6)ll:gg8jgd=;21b>?<50;&ag?4592din7>4;h014?6=,ki1>??4nc`95>=n:8l1<7*mc;015>hej3807d<>e;29 ge=:;;0bol53:9j64b=83.io7<=1:laf?2<3`8:o7>5$ca9677<fkh1965f20`94?"ek389=6`mb;48?l46i3:1(om52338jgd=?21ii;4?:083>5}#lo08i6Fj5:Jf4>i4l3:17plj7;295?6=8r.oj7k>;Ig6?Mc73fh26=44}c32g?6=;3:1<v*kf;11?Mc23Ao;7d<=:188m7?=831dni4?::a54b=8391<7>t$ed977=Om<1Ci=5f2383>>o513:17blk:188yg7503:1?7>50z&gb?553Ao>7Ek?;h01>5<<a;31<75`be83>>{e9;n1<7=50;2x a`=;;1Ci85Ge19j67<722c957>5;n`g>5<<uk;9i7>53;294~"cn3997Ek:;Ig3?l452900e?750;9lfa<722wi=?h50;194?6|,ml1??5Ge49Ka5=n:;0;66g=9;29?jdc2900qo?<0;297?6=8r.oj7==;Ig6?Mc73`896=44i3;94?=hjm0;66sm12394?5=83:p(ih5339Ka0=Om91b>?4?::k1=?6=3fho6=44}c306?6=;3:1<v*kf;11?Mc23Ao;7d<=:188m7?=831dni4?::a565=8391<7>t$ed977=Om<1Ci=5f2383>>o513:17blk:188yg76m3:1?7>50z&gb?553Ao>7Ek?;h01>5<<a;31<75`be83>>{e98l1<7=50;2x a`=;;1Ci85Ge19j67<722c957>5;n`g>5<<uk;9<7>53;294~"cn3997Ek:;Ig3?l452900e?750;9lfa<722wi=??50;194?6|,ml1??5Ge49Ka5=n:;0;66g=9;29?jdc2900qo?=2;297?6=8r.oj7==;Ig6?Mc73`896=44i3;94?=hjm0;66sm13194?5=83:p(ih5339Ka0=Om91b>?4?::k1=?6=3fho6=44}c310?6=;3:1<v*kf;11?Mc23Ao;7d<=:188m7?=831dni4?::a573=8391<7>t$ed977=Om<1Ci=5f2383>>o513:17blk:188yg75>3:1?7>50z&gb?553Ao>7Ek?;h01>5<<a;31<75`be83>>{e9;=1<7=50;2x a`=;;1Ci85Ge19j67<722c957>5;n`g>5<<uk;957>53;294~"cn3997Ek:;Ig3?l452900e?750;9lfa<722wi=?o50;194?6|,ml1??5Ge49Ka5=n:;0;66g=9;29?jdc2900qo?=b;297?6=8r.oj7==;Ig6?Mc73`896=44i3;94?=hjm0;66sm13a94?5=83:p(ih5339Ka0=Om91b>?4?::k1=?6=3fho6=44}c30<?6=<3:1<v*kf;15?Mc23Ao;7d<=:188m7?=831bnh4?::ma`?6=3th:?44?:583>5}#lo08:6Fj5:Jf4>o5:3:17d<6:188mgc=831dni4?::a56g=83>1<7>t$ed973=Om<1Ci=5f2383>>o513:17dlj:188kgb=831vn<=m:187>5<7s-nm6>84Hd78L`6<a;81<75f2883>>oem3:17blk:188yg33290?6=4?{%fe>04<@l?0Dh>4i3694?=n:h0;66gkb;29?jd02900qo6<:180>5<7s-nm6h:4Hd78L`6<a;>1<75f4683>>ie?3:17pl99;290?6=8r.oj7;=;Ig6?Mc73`8?6=44i3c94?=nlk0;66am7;29?xd?m3:1?7>50z&gb?c33Ao>7Ek?;h07>5<<a==1<75`b683>>{e?80;694?:1y'`c<2:2Bn96Fj0:k10?6=3`8j6=44ie`94?=hj>0;66sm9583>6<729q/hk4j4:Jf1>Nb82c987>5;h64>5<<gk=1<75rb6194?2=83:p(ih5539Ka0=Om91b>94?::k1e?6=3`ni6=44oc594?=zj0?1<7=50;2x a`=m=1Ci85Ge19j61<722c?;7>5;n`4>5<<uk=>6=4;:183!ba2<80Dh;4Hd28m72=831b>l4?::kgf?6=3fh<6=44}c;5>5<4290;w)ji:d68L`3<@l:0e?:50;9j02<722ei;7>5;|`43?6=<3:1<v*kf;71?Mc23Ao;7d<;:188m7g=831bho4?::ma3?6=3th2;7>53;294~"cn3o?7Ek:;Ig3?l432900e9950;9lf2<722wi;44?:583>5}#lo0>>6Fj5:Jf4>o5<3:17d<n:188mad=831dn:4?::a==<72:0;6=u+dg8f0>Nb=2Bn<6g=4;29?l202900co950;9~f2d=83>1<7>t$ed917=Om<1Ci=5f2583>>o5i3:17djm:188kg1=831vn4750;194?6|,ml1i95Ge49Ka5=n:=0;66g;7;29?jd02900qo9k:187>5<7s-nm68<4Hd78L`6<a;>1<75f2`83>>ocj3:17bl8:188yg?f29086=4?{%fe>`2<@l?0Dh>4i3694?=n<>0;66am7;29?xd0n3:187>50z&gb?353Ao>7Ek?;h07>5<<a;k1<75fdc83>>ie?3:17pl6b;297?6=8r.oj7k;;Ig6?Mc73`8?6=44i5594?=hj>0;66sm5483>1<729q/hk4:2:Jf1>Nb82c987>5;h0b>5<<amh1<75`b683>>{e0=0;6>4?:1y'`c<b<2Bn96Fj0:k10?6=3`><6=44oc594?=zj<=1<7:50;2x a`==;1Ci85Ge19j61<722c9m7>5;hfa>5<<gk=1<75rb9794?5=83:p(ih5e59Ka0=Om91b>94?::k73?6=3fh<6=44}c7:>5<3290;w)ji:408L`3<@l:0e?:50;9j6d<722con7>5;n`4>5<<uk2=6=4<:183!ba2l>0Dh;4Hd28m72=831b8:4?::ma3?6=3th>n7>54;294~"cn3?97Ek:;Ig3?l432900e?o50;9j`g<722ei;7>5;|`;3?6=;3:1<v*kf;g7?Mc23Ao;7d<;:188m11=831dn:4?::a1a<72=0;6=u+dg866>Nb=2Bn<6g=4;29?l4f2900eil50;9lf2<722wi454?:283>5}#lo0n86Fj5:Jf4>o5<3:17d:8:188kg1=831vn8h50;694?6|,ml19?5Ge49Ka5=n:=0;66g=a;29?lbe2900co950;9~f=?=8391<7>t$ed9a1=Om<1Ci=5f2583>>o3?3:17bl8:188yg06290?6=4?{%fe>04<@l?0Dh>4i3694?=n:h0;66gkb;29?jd02900qo6n:180>5<7s-nm6h:4Hd78L`6<a;>1<75f4683>>ie?3:17pl93;290?6=8r.oj7;=;Ig6?Mc73`8?6=44i3c94?=nlk0;66am7;29?xd?j3:1?7>50z&gb?c33Ao>7Ek?;h07>5<<a==1<75`b683>>{e><0;694?:1y'`c<2:2Bn96Fj0:k10?6=3`8j6=44ie`94?=hj>0;66sm8b83>6<729q/hk4j4:Jf1>Nb82c987>5;h64>5<<gk=1<75rb7594?2=83:p(ih5539Ka0=Om91b>94?::k1e?6=3`ni6=44oc594?=zj1n1<7=50;2x a`=m=1Ci85Ge19j61<722c?;7>5;n`4>5<<uk<i6=4;:183!ba2<80Dh;4Hd28m72=831b>l4?::kgf?6=3fh<6=44}c:e>5<4290;w)ji:d68L`3<@l:0e?:50;9j02<722ei;7>5;|`5`?6=<3:1<v*kf;71?Mc23Ao;7d<;:188m7g=831bho4?::ma3?6=3th2<7>53;294~"cn3o?7Ek:;Ig3?l432900e9950;9lf2<722wi:k4?:583>5}#lo0>>6Fj5:Jf4>o5<3:17d<n:188mad=831dn:4?::a=4<72:0;6=u+dg8f0>Nb=2Bn<6g=4;29?l202900co950;9~f<4=8391<7>t$ed9a1=Om<1Ci=5f2583>>o3?3:17bl8:188yg43?3:1?7>50z&gb?c33Ao>7Ek?;h07>5<<a==1<75`b683>>{e:=<1<7=50;2x a`=m=1Ci85Ge19j61<722c?;7>5;n`4>5<<uk88m7>54;294~"cn3?97Ek:;Ig3?l432900e?o50;9j`g<722ei;7>5;|`100<72:0;6=u+dg8f0>Nb=2Bn<6g=4;29?l202900co950;9~f75?290?6=4?{%fe>04<@l?0Dh>4i3694?=n:h0;66gkb;29?jd02900qo<;4;297?6=8r.oj7k;;Ig6?Mc73`8?6=44i5594?=hj>0;66sm22494?2=83:p(ih5539Ka0=Om91b>94?::k1e?6=3`ni6=44oc594?=zj;>86=4<:183!ba2l>0Dh;4Hd28m72=831b8:4?::ma3?6=3th9?94?:583>5}#lo0>>6Fj5:Jf4>o5<3:17d<n:188mad=831dn:4?::a614=8391<7>t$ed9a1=Om<1Ci=5f2583>>o3?3:17bl8:188yg44:3:187>50z&gb?353Ao>7Ek?;h07>5<<a;k1<75fdc83>>ie?3:17pl=4083>6<729q/hk4j4:Jf1>Nb82c987>5;h64>5<<gk=1<75rb313>5<3290;w)ji:408L`3<@l:0e?:50;9j6d<722con7>5;n`4>5<<uk8?<7>53;294~"cn3o?7Ek:;Ig3?l432900e9950;9lf2<722wi>?k50;694?6|,ml19?5Ge49Ka5=n:=0;66g=a;29?lbe2900co950;9~f75a29086=4?{%fe>`2<@l?0Dh>4i3694?=n<>0;66am7;29?xd5:j0;694?:1y'`c<2:2Bn96Fj0:k10?6=3`8j6=44ie`94?=hj>0;66sm22g94?5=83:p(ih5e59Ka0=Om91b>94?::k73?6=3fh<6=44}c01f?6=<3:1<v*kf;71?Mc23Ao;7d<;:188m7g=831bho4?::ma3?6=3th9:84?:283>5}#lo0n86Fj5:Jf4>o5<3:17d:8:188kg1=831vn?8;:180>5<7s-nm6h:4Hd78L`6<a;>1<75f4683>>ie?3:17pl=5983>1<729q/hk4:2:Jf1>Nb82c987>5;h0b>5<<amh1<75`b683>>{e:?91<7=50;2x a`=m=1Ci85Ge19j61<722c?;7>5;n`4>5<<uk8>:7>54;294~"cn3?97Ek:;Ig3?l432900e?o50;9j`g<722ei;7>5;|`127<72:0;6=u+dg8f0>Nb=2Bn<6g=4;29?l202900co950;9~f733290?6=4?{%fe>04<@l?0Dh>4i3694?=n:h0;66gkb;29?jd02900qo<91;297?6=8r.oj7k;;Ig6?Mc73`8?6=44i5594?=hj>0;66sm24094?2=83:p(ih5539Ka0=Om91b>94?::k1e?6=3`ni6=44oc594?=zj;<;6=4<:183!ba2l>0Dh;4Hd28m72=831b8:4?::ma3?6=3th99=4?:583>5}#lo0>>6Fj5:Jf4>o5<3:17d<n:188mad=831dn:4?::a60`=8391<7>t$ed9a1=Om<1Ci=5f2583>>o3?3:17bl8:188yg43m3:187>50z&gb?353Ao>7Ek?;h07>5<<a;k1<75fdc83>>ie?3:17pl=5d83>6<729q/hk4j4:Jf1>Nb82c987>5;h64>5<<gk=1<75rb36`>5<3290;w)ji:408L`3<@l:0e?:50;9j6d<722con7>5;n`4>5<<uk8>h7>53;294~"cn3o?7Ek:;Ig3?l432900e9950;9lf2<722wi>9o50;694?6|,ml19?5Ge49Ka5=n:=0;66g=a;29?lbe2900co950;9~f73d29086=4?{%fe>`2<@l?0Dh>4i3694?=n<>0;66am7;29?xd5<00;694?:1y'`c<2:2Bn96Fj0:k10?6=3`8j6=44ie`94?=hj>0;66sm2c094?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm2c394?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm2c294?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm2`d94?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm2`g94?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm2`f94?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm2`a94?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm2``94?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm2`c94?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm2`;94?3=83:p(ih5369Ka0=Om91b>?4?::k17?6=3`826=44icg94?=hjm0;66sm28:94?2=83:p(ih5379Ka0=Om91b>?4?::k1=?6=3`hn6=44ocf94?=zj;3<6=4;:183!ba2:<0Dh;4Hd28m74=831b>44?::kaa?6=3fho6=44}c0:2?6=<3:1<v*kf;15?Mc23Ao;7d<=:188m7?=831bnh4?::ma`?6=3th9584?:583>5}#lo08:6Fj5:Jf4>o5:3:17d<6:188mgc=831dni4?::a6<2=83>1<7>t$ed973=Om<1Ci=5f2383>>o513:17dlj:188kgb=831vn?7<:187>5<7s-nm6>84Hd78L`6<a;81<75f2883>>oem3:17blk:188yg4>:3:187>50z&gb?513Ao>7Ek?;h01>5<<a;31<75fbd83>>iel3:17pl=9083>1<729q/hk4<6:Jf1>Nb82c9>7>5;h0:>5<<ako1<75`be83>>{e:0:1<7:50;2x a`=;?1Ci85Ge19j67<722c957>5;h`f>5<<gkn1<75rb3:e>5<3290;w)ji:248L`3<@l:0e?<50;9j6<<722cii7>5;n`g>5<<uk8im7>54;294~"cn39=7Ek:;Ig3?l452900e?750;9jf`<722eih7>5;|`1<5<72=0;6=u+dg802>Nb=2Bn<6g=2;29?l4>2900eok50;9lfa<722wi=;m50;194?6|,ml1i95Ge49Ka5=n:=0;66g;7;29?jd02900qo?9b;297?6=8r.oj7k;;Ig6?Mc73`8?6=44i5594?=hj>0;66sm14194?2=83:p(ih5539Ka0=Om91b>94?::k1e?6=3`ni6=44oc594?=zj8<j6=4<:183!ba2l>0Dh;4Hd28m72=831b8:4?::ma3?6=3th:9<4?:583>5}#lo0>>6Fj5:Jf4>o5<3:17d<n:188mad=831dn:4?::a520=8391<7>t$ed9a1=Om<1Ci=5f2583>>o3?3:17bl8:188yg71=3:187>50z&gb?353Ao>7Ek?;h07>5<<a;k1<75fdc83>>ie?3:17pl>7483>6<729q/hk4j4:Jf1>Nb82c987>5;h64>5<<gk=1<75rb040>5<3290;w)ji:408L`3<@l:0e?:50;9j6d<722con7>5;n`4>5<<uk;<87>53;294~"cn3o?7Ek:;Ig3?l432900e9950;9lf2<722wi=;?50;694?6|,ml19?5Ge49Ka5=n:=0;66g=a;29?lbe2900co950;9~f41429086=4?{%fe>`2<@l?0Dh>4i3694?=n<>0;66am7;29?xd6=o0;694?:1y'`c<2:2Bn96Fj0:k10?6=3`8j6=44ie`94?=hj>0;66sm16094?5=83:p(ih5e59Ka0=Om91b>94?::k73?6=3fh<6=44}c36`?6=<3:1<v*kf;71?Mc23Ao;7d<;:188m7g=831bho4?::ma3?6=3th:;<4?:283>5}#lo0n86Fj5:Jf4>o5<3:17d:8:188kg1=831vn<;m:187>5<7s-nm68<4Hd78L`6<a;>1<75f2`83>>ocj3:17bl8:188yg7083:1?7>50z&gb?c33Ao>7Ek?;h07>5<<a==1<75`b683>>{e9<31<7:50;2x a`==;1Ci85Ge19j61<722c9m7>5;hfa>5<<gk=1<75rb04e>5<4290;w)ji:d68L`3<@l:0e?:50;9j02<722ei;7>5;|`212<72=0;6=u+dg866>Nb=2Bn<6g=4;29?l4f2900eil50;9lf2<722wi=;k50;194?6|,ml1i95Ge49Ka5=n:=0;66g;7;29?jd02900qo?:5;290?6=8r.oj7;=;Ig6?Mc73`8?6=44i3c94?=nlk0;66am7;29?xd6>00;6>4?:1y'`c<b<2Bn96Fj0:k10?6=3`><6=44oc594?=zj8?;6=4;:183!ba2<80Dh;4Hd28m72=831b>l4?::kgf?6=3fh<6=44}c3b=?6=;3:1<v*kf;11?Mc23Ao;7d<=:188m7?=831dni4?::a5d>=8391<7>t$ed977=Om<1Ci=5f2383>>o513:17blk:188yg7f?3:1?7>50z&gb?553Ao>7Ek?;h01>5<<a;31<75`be83>>{e9k;1<7=50;2x a`=;;1Ci85Ge19j67<722c957>5;n`g>5<<uk;i<7>53;294~"cn3997Ek:;Ig3?l452900e?750;9lfa<722wi=lh50;194?6|,ml1??5Ge49Ka5=n:;0;66g=9;29?jdc2900qo?ne;297?6=8r.oj7==;Ig6?Mc73`896=44i3;94?=hjm0;66sm1`f94?5=83:p(ih5339Ka0=Om91b>?4?::k1=?6=3fho6=44}c3bg?6=;3:1<v*kf;11?Mc23Ao;7d<=:188m7?=831dni4?::a5dd=8391<7>t$ed977=Om<1Ci=5f2383>>o513:17blk:188yg7fi3:1?7>50z&gb?553Ao>7Ek?;h01>5<<a;31<75`be83>>{e9h<1<7=50;2x a`=;;1Ci85Ge19j67<722c957>5;n`g>5<<uk;j97>53;294~"cn3997Ek:;Ig3?l452900e?750;9lfa<722wi=4=50;794?6|,ml1?95Ge49Ka5=n:;0;66g=3;29?l412900e?750;9lfa<722wi=4<50;794?6|,ml1?95Ge49Ka5=n:;0;66g=3;29?l412900e?750;9lfa<722wi=4?50;794?6|,ml1?95Ge49Ka5=n:;0;66g=3;29?l412900e?750;9lfa<722wi=4>50;794?6|,ml1?95Ge49Ka5=n:;0;66g=3;29?l412900e?750;9lfa<722wi=5h50;794?6|,ml1?95Ge49Ka5=n:;0;66g=3;29?l412900e?750;9lfa<722wi=5k50;794?6|,ml1?95Ge49Ka5=n:;0;66g=3;29?l412900e?750;9lfa<722wi=5j50;794?6|,ml1?95Ge49Ka5=n:;0;66g=3;29?l412900e?750;9lfa<722wi=5m50;794?6|,ml1?95Ge49Ka5=n:;0;66g=3;29?l412900e?750;9lfa<722wi=i:50;694?6|,ml1?85Ge49Ka5=n:;0;66g=6;29?l4>2900coj50;9~f4b4290?6=4?{%fe>63<@l?0Dh>4i3094?=n:?0;66g=9;29?jdc2900qo?k2;290?6=8r.oj7=:;Ig6?Mc73`896=44i3494?=n:00;66amd;29?xd6l80;694?:1y'`c<4=2Bn96Fj0:k16?6=3`8=6=44i3;94?=hjm0;66sm1e294?2=83:p(ih5349Ka0=Om91b>?4?::k12?6=3`826=44ocf94?=zj8im6=4;:183!ba2:?0Dh;4Hd28m74=831b>;4?::k1=?6=3fho6=44}c3`a?6=<3:1<v*kf;16?Mc23Ao;7d<=:188m70=831b>44?::ma`?6=3th:ni4?:583>5}#lo0896Fj5:Jf4>o5:3:17d<9:188m7?=831dni4?::a5f3=83>1<7>t$ed970=Om<1Ci=5f2383>>o5>3:17d<6:188kgb=831vn<m;:187>5<7s-nm6>;4Hd78L`6<a;81<75f2783>>o513:17blk:188yg7d;3:187>50z&gb?523Ao>7Ek?;h01>5<<a;<1<75f2883>>iel3:17pl>c383>1<729q/hk4<5:Jf1>Nb82c9>7>5;h05>5<<a;31<75`be83>>{e9j;1<7:50;2x a`=;<1Ci85Ge19j67<722c9:7>5;h0:>5<<gkn1<75rb0a3>5<3290;w)ji:278L`3<@l:0e?<50;9j63<722c957>5;n`g>5<<uk;ij7>54;294~"cn39>7Ek:;Ig3?l452900e?850;9j6<<722eih7>5;|`2f`<72=0;6=u+dg801>Nb=2Bn<6g=2;29?l412900e?750;9lfa<722wi=om50;694?6|,ml1?85Ge49Ka5=n:;0;66g=6;29?l4>2900coj50;9~f420290?6=4?{%fe>65<@l?0Dh>4i3094?=n:?0;66g=9;29?jdc2900qo?;4;290?6=8r.oj7=<;Ig6?Mc73`896=44i3494?=n:00;66amd;29?xd6<;0;694?:1y'`c<4;2Bn96Fj0:k16?6=3`8=6=44i3;94?=hjm0;66sm15194?2=83:p(ih5329Ka0=Om91b>?4?::k12?6=3`826=44ocf94?=zjo>1<7=50;2x a`=<k1Ci85Ge19'00<5j2c?<7>5;h62>5<<gk=1<75rbg394?5=83:p(ih54c9Ka0=Om91/884=b:k74?6=3`>:6=44oc594?=zj;3i6=4;:183!ba2=n0Dh;4Hd28 13=<2c?<7>5;h62>5<<a=81<75`b683>>{emo0;694?:1y'`c<3l2Bn96Fj0:&71?4d3`>;6=44i5394?=n<;0;66am7;29?xdbl3:187>50z&gb?2c3Ao>7Ek?;%66>46<a=:1<75f4083>>o3:3:17bl8:188yg?c29086=4?{%fe>1d<@l?0Dh>4$579g>o383:17d:>:188kg1=831vn4k50;694?6|,ml18i5Ge49Ka5=#<<0n7d:?:188m17=831b8?4?::ma3?6=3th2j7>55;294~"cn3>n7Ek:;Ig3?!222o1b8=4?::k75?6=3`>96=44i5194?=hj>0;66sm12f94?3=83:p(ih54d9Ka0=Om91/884<;h63>5<<a=;1<75f4383>>o3;3:17bl8:188yg40n3:187>50z&gb?2c3Ao>7Ek?;%66>67<a=:1<75f4083>>o3:3:17bl8:188yg40m3:197>50z&gb?2b3Ao>7Ek?;%66>6=n<90;66g;1;29?l252900e9=50;9lf2<722wi>4k50;794?6|,ml18h5Ge49Ka5=#<<0:>6g;0;29?l262900e9<50;9j06<722ei;7>5;|`1=f<72<0;6=u+dg87a>Nb=2Bn<6*;5;58m16=831b8<4?::k76?6=3`>86=44oc594?=zj;h?6=4::183!ba2=o0Dh;4Hd28 13=92c?<7>5;h62>5<<a=81<75f4283>>ie?3:17pl=bb83>0<729q/hk4;e:Jf1>Nb82.?97=6;h63>5<<a=;1<75f4383>>o3;3:17bl8:188yg4el3:197>50z&gb?2b3Ao>7Ek?;%66>6e<a=:1<75f4083>>o3:3:17d:<:188kg1=831vn?6;:186>5<7s-nm69k4Hd78L`6<,=?1?6g;0;29?l262900e9<50;9j06<722ei;7>5;|`1=a<72<0;6=u+dg87a>Nb=2Bn<6*;5;38m16=831b8<4?::k76?6=3`>86=44oc594?=zj;3j6=4::183!ba2=o0Dh;4Hd28 13=?2c?<7>5;h62>5<<a=81<75f4283>>ie?3:17pl>4883>1<729q/hk4;d:Jf1>Nb82.?97<l;h63>5<<a=;1<75f4383>>ie?3:17pl>9683>0<729q/hk4;e:Jf1>Nb82.?97?=;h63>5<<a=;1<75f4383>>o3;3:17bl8:188yg7>>3:1?7>50z&gb?2e3Ao>7Ek?;%66>47<a=:1<75f4083>>ie?3:17pl>4c83>1<729q/hk4;d:Jf1>Nb82.?97<l;h63>5<<a=;1<75f4383>>ie?3:17pl>4g83>1<729q/hk4;d:Jf1>Nb82.?97<l;h63>5<<a=;1<75f4383>>ie?3:17pl>4e83>1<729q/hk4;d:Jf1>Nb82.?97<l;h63>5<<a=;1<75f4383>>ie?3:17pl>d883>7<729q/hk4;4:Jf1>Nb82c8j7>5;n`4>5<<uk;on7>52;294~"cn3>?7Ek:;Ig3?l5a2900co950;9~f46429096=4?{%fe>12<@l?0Dh>4i2d94?=hj>0;66sm11094?4=83:p(ih5459Ka0=Om91b?k4?::ma3?6=3th:<<4?:383>5}#lo0?86Fj5:Jf4>o4n3:17bl8:188yg7783:1>7>50z&gb?233Ao>7Ek?;h1e>5<<gk=1<75rb30b>5<5290;w)ji:568L`3<@l:0e>h50;9lf2<722wi>?750;094?6|,ml1895Ge49Ka5=n;o0;66am7;29?xd5:10;6?4?:1y'`c<3<2Bn96Fj0:k0b?6=3fh<6=44}c013?6=:3:1<v*kf;67?Mc23Ao;7d=i:188kg1=831vn?<9:181>5<7s-nm69:4Hd78L`6<a:l1<75`b683>>{e:;?1<7<50;2x a`=<=1Ci85Ge19j7c<722ei;7>5;|`161<72;0;6=u+dg870>Nb=2Bn<6g<f;29?jd02900qo<=3;296?6=8r.oj7:;;Ig6?Mc73`9m6=44oc594?=zj8>:6=4=:183!ba2k20Dh;4Hd28m6`=831dn:4?::a6f6=8381<7>t$ed9f==Om<1Ci=5f3g83>>ie?3:17pl>3g83>7<729q/hk4m8:Jf1>Nb82c8j7>5;n`4>5<<uk;m<7>52;294~"cn3h37Ek:;Ig3?l5a2900co950;9~fcg=8381<7>t$ed9f==Om<1Ci=5f3g83>>ie?3:17pli8;296?6=8r.oj7l7;Ig6?Mc73`9m6=44oc594?=zjo=1<7<50;2x a`=j11Ci85Ge19j7c<722ei;7>5;|`e2?6=:3:1<v*kf;`;?Mc23Ao;7d=i:188kg1=831vnk;50;094?6|,ml1n55Ge49Ka5=n;o0;66am7;29?xd59?0;6?4?:1y'`c<e02Bn96Fj0:k0b?6=3fh<6=44}c026?6=:3:1<v*kf;`;?Mc23Ao;7d=i:188kg1=831vn?>j:181>5<7s-nm6o64Hd78L`6<a:l1<75`b683>>{e:9k1<7<50;2x a`=j11Ci85Ge19j7c<722ei;7>5;|`143<72;0;6=u+dg8a<>Nb=2Bn<6g<f;29?jd02900qo<?2;296?6=8r.oj7l7;Ig6?Mc73`9m6=44oc594?=zj8ln6=4=:183!ba2k20Dh;4Hd28m6`=831dn:4?::a5cg=8381<7>t$ed9f==Om<1Ci=5f3g83>>ie?3:17pl>eb83>7<729q/hk4m8:Jf1>Nb82c8j7>5;n`4>5<<uk;nn7>52;294~"cn3h37Ek:;Ig3?l5a2900co950;9~f4cf29096=4?{%fe>g><@l?0Dh>4i2d94?=hj>0;66sm1d;94?4=83:p(ih5b99Ka0=Om91b?k4?::ma3?6=3th:i54?:383>5}#lo0i46Fj5:Jf4>o4n3:17bl8:188yg7b?3:1>7>50z&gb?d?3Ao>7Ek?;h1e>5<<gk=1<75rb0g5>5<5290;w)ji:c:8L`3<@l:0e>h50;9lf2<722wi=h;50;094?6|,ml1n55Ge49Ka5=n;o0;66am7;29?xd1i3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd0:3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd0<3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd0>3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd003:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd0i3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd0k3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd0m3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd?83:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd2>3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd203:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd2i3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd2k3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd2m3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd183:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd1:3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd1<3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd1>3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd103:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd1k3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd1m3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd083:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd5;k0;6?4?:1y'`c<3i2Bn96Fj0:&71?0<a=:1<75`b683>>{e::31<7<50;2x a`=<h1Ci85Ge19'00<13`>;6=44oc594?=zj;9<6=4=:183!ba2=k0Dh;4Hd28 13=>2c?<7>5;n`4>5<<uk8897>52;294~"cn3>j7Ek:;Ig3?!222?1b8=4?::ma3?6=3th9?>4?:383>5}#lo0?m6Fj5:Jf4>"3=3<0e9>50;9lf2<722wi>>?50;094?6|,ml18l5Ge49Ka5=#<<0=7d:?:188kg1=831vn?<i:181>5<7s-nm69o4Hd78L`6<,=?1:6g;0;29?jd02900qo<=d;296?6=8r.oj7:n;Ig6?Mc73->>6;5f4183>>ie?3:17pl=5883>7<729q/hk4;a:Jf1>Nb82.?9784i5294?=hj>0;66sm24594?4=83:p(ih54`9Ka0=Om91/8849;h63>5<<gk=1<75rb376>5<5290;w)ji:5c8L`3<@l:0(9;56:k74?6=3fh<6=44}c067?6=:3:1<v*kf;6b?Mc23Ao;7):::79j05<722ei;7>5;|`114<72;0;6=u+dg87e>Nb=2Bn<6*;5;48m16=831dn:4?::a61`=8381<7>t$ed90d=Om<1Ci=5+4485?l272900co950;9~f72c29096=4?{%fe>1g<@l?0Dh>4$5792>o383:17bl8:188yg43j3:1>7>50z&gb?2f3Ao>7Ek?;%66>3=n<90;66am7;29?xd6==0;6?4?:1y'`c<3i2Bn96Fj0:&71?0<a=:1<75`b683>>{e9<81<7<50;2x a`=<h1Ci85Ge19'00<13`>;6=44oc594?=zj8<=6=4=:183!ba2=k0Dh;4Hd28 13=>2c?<7>5;n`4>5<<uk;=87>52;294~"cn3>j7Ek:;Ig3?!222?1b8=4?::ma3?6=3th::?4?:383>5}#lo0?m6Fj5:Jf4>"3=3<0e9>50;9lf2<722wi=;>50;094?6|,ml18l5Ge49Ka5=#<<0=7d:?:188kg1=831vn<;j:181>5<7s-nm69o4Hd78L`6<,=?1:6g;0;29?jd02900qo?:c;296?6=8r.oj7:n;Ig6?Mc73->>6;5f4183>>ie?3:17pl>5`83>7<729q/hk4;a:Jf1>Nb82.?9784i5294?=hj>0;66sm14:94?4=83:p(ih54`9Ka0=Om91/8849;h63>5<<gk=1<75rb075>5<5290;w)ji:5c8L`3<@l:0(9;56:k74?6=3fh<6=44}c;0>5<5290;w)ji:5c8L`3<@l:0(9;56:k74?6=3fh<6=44}c07<?6=:3:1<v*kf;6b?Mc23Ao;7):::79j05<722ei;7>5;|`123<72;0;6=u+dg87e>Nb=2Bn<6*;5;48m16=831dn:4?::a53b=8381<7>t$ed90d=Om<1Ci=5+4485?l272900co950;9~f7d4290>6=4?{%fe>1c<@l?0Dh>4$5793>o383:17d:>:188m14=831b8>4?::ma3?6=3th9nh4?:483>5}#lo0?i6Fj5:Jf4>"3=39i7d:?:188m17=831b8?4?::k77?6=3fh<6=44}c027?6=<3:1<v*kf;70?Mc23Ao;7d:?:188m17=831bho4?::ma3?6=3th9=94?:483>5}#lo0?i6Fj5:Jf4>"3=38n7d:?:188m17=831b8?4?::k77?6=3fh<6=44}c021?6==3:1<v*kf;6f?Mc23Ao;7):::49j05<722c?=7>5;h61>5<<a=91<75`b683>>{e9oh1<7:50;2x a`==:1Ci85Ge19j05<722c?=7>5;hfa>5<<gk=1<75rb0d`>5<2290;w)ji:5g8L`3<@l:0(9;51e9j05<722c?=7>5;h61>5<<a=91<75`b683>>{e9on1<7;50;2x a`=<l1Ci85Ge19'00<?3`>;6=44i5394?=n<;0;66g;3;29?jd02900qo<?b;290?6=8r.oj7;<;Ig6?Mc73`>;6=44i5394?=nlk0;66am7;29?xd58j0;684?:1y'`c<3m2Bn96Fj0:&71?5f3`>;6=44i5394?=n<;0;66g;3;29?jd02900qo<?d;291?6=8r.oj7:j;Ig6?Mc73->>645f4183>>o393:17d:=:188m15=831dn:4?::a655=83>1<7>t$ed916=Om<1Ci=5f4183>>o393:17djm:188kg1=831vn?>;:186>5<7s-nm69k4Hd78L`6<,=?1=n5f4183>>o393:17d:=:188m15=831dn:4?::a653=83?1<7>t$ed90`=Om<1Ci=5+44827>o383:17d:>:188m14=831b8>4?::ma3?6=3th9<k4?:583>5}#lo0>?6Fj5:Jf4>o383:17d:>:188mad=831dn:4?::a646=83?1<7>t$ed90`=Om<1Ci=5+44804>o383:17d:>:188m14=831b8>4?::ma3?6=3th9=<4?:483>5}#lo0?i6Fj5:Jf4>"3=3n0e9>50;9j04<722c?>7>5;h60>5<<gk=1<75rb0de>5<3290;w)ji:418L`3<@l:0e9>50;9j04<722con7>5;n`4>5<<uk8;<7>55;294~"cn3>n7Ek:;Ig3?!2228o0e9>50;9j04<722c?>7>5;h60>5<<gk=1<75rb322>5<2290;w)ji:5g8L`3<@l:0(9;52e9j05<722c?=7>5;h61>5<<a=91<75`b683>>{e:9=1<7:50;2x a`==:1Ci85Ge19j05<722c?=7>5;hfa>5<<gk=1<75rb32;>5<2290;w)ji:5g8L`3<@l:0(9;52g9j05<722c?=7>5;h61>5<<a=91<75`b683>>{e:931<7;50;2x a`=<l1Ci85Ge19'00<612c?<7>5;h62>5<<a=81<75f4283>>ie?3:17pl>ed83>7<729q/hk4=0:Jf1>Nb82c8j7>5;n`4>5<<uk;?:7>52;294~"cn3;m7Ek:;Ig3?l5a2900co950;9~f7>529096=4?{%fe>4`<@l?0Dh>4i2d94?=hj>0;66sm8383>7<729q/hk4;6:Jf1>Nb82c8j7>5;n`4>5<<uk88h7>52;294~"cn3>=7Ek:;Ig3?l5a2900co950;9~f73e29096=4?{%fe>10<@l?0Dh>4i2d94?=hj>0;66sm17:94?4=83:p(ih5479Ka0=Om91b?k4?::ma3?6=3th2o7>52;294~"cn3>=7Ek:;Ig3?l5a2900co950;9~f7d?290>6=4?{%fe>1c<@l?0Dh>4$5796>o383:17d:>:188m14=831b8>4?::ma3?6=3th9n44?:583>5}#lo0>?6Fj5:Jf4>o383:17d:>:188mad=831dn:4?::a6g3=8391<7>t$ed90f=Om<1Ci=5+4481f>o383:17d:>:188k1>=831vn?6<:185>5<7s-nm69h4Hd78L`6<,=?1?6g;0;29?l262900e9<50;9j06<722e?47>5;n`4>5<<uk;297>55;294~"cn3?;7Ek:;Ig3?!22281b8=4?::k75?6=3`>96=44i5194?=h<10;66sm2c494?3=83:p(ih5519Ka0=Om91/884<9:k74?6=3`>:6=44i5094?=n<:0;66a;8;29?xdf83:1;7>50z&gb?bb3Ao>7Ek?;%66>5=n9>0;66g>8;29?l7f2900e<l50;9j60<722c957>5;n`g>5<<uk;o:7>53;294~"cn3937Ek:;Ig3?l452900e?750;9lfa<722wi><950;694?6|,ml1?85Ge49Ka5=n:;0;66g=6;29?l4>2900coj50;9~f77?290?6=4?{%fe>63<@l?0Dh>4i3094?=n:?0;66g=9;29?jdc2900qo<>9;290?6=8r.oj7=:;Ig6?Mc73`896=44i3494?=n:00;66amd;29?xd6l>0;6>4?:1y'`c<3j2Bn96Fj0:&71?763`>;6=44i5394?=hj>0;66sm1e794?2=83:p(ih5349Ka0=Om91b>?4?::k12?6=3`826=44ocf94?=z{<>1<7=t=469f2=:>0098637e;07?xu2=3:1?v3:5;`4?8302;>015;5259~w00=839p1885b69>10<cj27387:8;|q63?6=;r7>;7l8;<7:>72<51<1>95rs4:94?5|5<21n:52568gf>;?=3><7p}:9;297~;213h<70;m:3689=1=:=1v8o50;1x90g=j>16944kb:?;2?203ty>n7>53z?6f?d034?o6?:4=9:961=z{<i1<7=t=4a9f2=:=k0on6377;64?xu2l3:1?v3:d;`4?83a2;>01575259~w0c=839p18k5b69>1a<cj27347:8;|q6b?6=;r7>j7l8;<42>72<51k1>95rs7294?5|5?:1n:525g8gf>;?13><7p}91;297~;193h<708<:3689=d=:=1v;<50;1x934=j>16:<4kb:?;e?203ty=?7>53z?57?d034<>6?:4=9a961=z{?>1<7=t=769f2=:>:0on637b;64?xu1=3:1?v395;`4?8002;>015j5259~w30=839p1;85b69>20<cj273o7:8;|q53?6=;r7=;7l8;<4a>72<51l1>95rs7:94?5|5?21n:52668gf>;?l3><7p}99;297~;113h<709>:3689<2=:=1v;o50;1x93g=j>16:44kb:?;a?203ty=n7>53z?5f?d034<o6?:4=82961=z{?i1<7=t=7a9f2=:>k0on637f;64?xu1l3:1?v39d;`4?80a2;>014?5259~w3c=839p1;k5b69>2a<cj272<7:8;|q5b?6=:r7=j7l8;<;1>72<uz=;6=4<{<53>g1<5?l1ho5290873>{t?80;6>u2708a3>;0;38?707::368yv152908w09=:c58927=lk16594;7:p36<72:q6;>4m7:?41?43343=6?:4}r57>5<4s4=?6o94=619`g=:1<0?;6s|7483>6}:?<0i;6387;07?8?02;>0q~99:1808112k=01:;5dc9>=3<3?2wx;:4?:2y>32<e?27<57<;;<;;>72<uz=36=4<{<5;>g1<5>=1ho5296873>{t?00;6>u2788a3>;0j38?7076:368yv1f2908w09n:c5892?=lk16554;7:p3g<72:q6;o4m7:?4`?43343j6?:4}r5`>5<4s4=h6o94=6`9`g=:100?;6s|7e83>6}:?m0i;638f;07?8?e2;>0q~9j:18081b2k=01:j5dc9>=d<3?2wx;k4?:2y>3c<e?27>97<;;<:7>72<uz2;6=4<{<:3>g1<5>l1ho529c873>{t080;6>u2558gf>;?;3><706=:c58yv?42909w07<:c589<4=<>1vl>50;0x9d6=jm16=i85289~wd7=839nw0k9:2f8902=:=164>4=4:?5=?4f34=:6?o4=6196d=:?<09m6387;0b?81>2;k01:l52`9>3a<5i27<j7<n;<76>7g<5<=1>l525881e>;2j38j70;k:3c890`=:h16:<4=a:?57?4f34<>6?o4=7596d=:>k09m639d;0b?80a2;k01?:6:368973d2;>01?:n:3c8972d2;k01?:j:3c897372;k01?;=:3c897332;k01?;9:3c8973?2;k01?<m:368975b2;>01?<l:3c8974b2;k01?=?:3c897552;k01?=;:3c897512;k01?=7:3c8975f2;k01<;?:368940>2;>01<;::3c894302;k01<;6:3c8943e2;k01<;k:3c8943a2;k01<8>:3c894042;k01<8::3c894362;k01<;<:3c897d>2=;01l>5199>e5<6i27j<7?m;|qb6?6=1r7n;7l6;<77>7g<5;2;6?74=3`b>7?<5;>26?o4=30a>7g<58?;6?o4=`2952=::8=1>45rs`194?4|5;=m6o94=35f>15<uzk?6=4={<0:a?d03482o7:<;|qb1?6=:r79n94;3:?1f0<302wxm;4?:3y>6g5=<816>o85499~wd1=838p1??;:c5897742=:0q~o7:181846=3h<70<>3;62?xuf13:1>v3>fb8a3>;6nk0?<6s|a`83>7}:9on1n:521g`904=z{hh1<7<t=32`>g1<5;:i69>4}rc`>5<5s48;h7l8;<03f?263tyjh7>52z?141<e?279<>4;0:pe`<72;q6>=;5b69>655=<81vlh50;0x97772k=01?>i:528yvd72909w0<>1;`4?847n3>:7p}m1;296~;5890i;63>fg874>{tj;0;6?u22139f2=:9ol18<5rsc194?4|5;:36o94=324>16<uzh?6=4={<03=?d0348;;7:>;|qa1?6=:r794>4;8:?1=d<3;2wxnk4?:3y>54e=:0164>4m7:pg5<72;q6=>65289>=f<e?2wxo<4?:3y>54c=:016494m7:pg7<72;q6=<h5289><0<e?2wxo>4?:3y>576=:0164;4m7:pg1<72;q6=??5289><2<e?2wxo84?:3y>574=:016454m7:pg3<72;q6=?=5289><<<e?2wxo:4?:3y>572=:0164l4m7:pg=<72;q6=?;5289><g<e?2wxo44?:3y>570=:0164n4m7:pgd<72;q6=?95289><a<e?2wxoo4?:3y>54b=:0164h4m7:pgf<72;q6=>75289>=a<e?2wxoi4?:3y>57?=:0164k4m7:pg`<72;q6=?o5289>=5<e?2wxok4?:3y>57d=:0165<4m7:p`5<72;q6=?m5289>=7<e?2wxh<4?:3y>57>=:016594m7:p`7<72;q6=>o5289>=`<e?2wxh>4?:3y>57b=:016584m7:p`1<72;q6=>l5289>=c<e?2wxh84?:3y>57c=:0165;4m7:p`3<72;q6=?h5289>=2<e?2wxh:4?:3y>566=:016554m7:p`=<72;q6=>?5289>=<<e?2wxh44?:3y>564=:0165l4m7:p`d<72;q6=>=5289>=g<e?2wxin4?:3y]af=:n<0i;6s|ed83>7}Yml16j;4m7:pb5<72;qUj=52f68a3>{tn:0;6?uQf29>b=<e?2wxj84?:3y>aa<e?27m97=i;|qe2?6=:r7nj7l8;<d5>6`<uzl<6=4={<d2>g1<5o=1?k5rsg:94?4|5o>1n:52f980b>{tn00;6?uQf89>bd<e?2wxjl4?:3y>62c=j>16jl4<f:pbg<72;qUjo5211297c=z{oi1<7<t^ga894662:l0q~hk:181[`c34;;>7=i;|qeb?6=:rTmj63>0280b>{t99;1<7<t=dd904=:99;1n:5rs021>5<5s4l:69?4=021>g1<uz;;?7>52z?e0?2634;;?7l8;|q241<72;q6=<m5be9><7<4n2wx==h50;0x947c2kn01;o5419~w4622909w0?>e;`g?8312=:0q~??6;296~;69o0ih63:8;63?xu68>0;6?u21329fa=:=h0?<6s|11:94?4|588:6oj4=4a905=z{8:26=4={<316?dc34?n69>4}r33e?6=:r7:>>4md:?54?273ty:<o4?:3y>572=jm16:?4;0:p55e=838p1<<::cf8932=<91v<>k:181875>3ho7089:528yv77m3:1>v3>268a`>;103>;7p}>1583>7}:9;21ni5273874>{t98:1<7<t=00:>gb<5?i18=5rs032>5<5s4;9m7lk;<4f>16<uz;:>7>52z?26g<el27<<7:?;|q256<72?q6=?m5be9>56>=:;16=>75239>56g=:;16=>l5239>=6<382wx=<;50;0x944c2kn01::5419~w4712909w0?=e;`g?8112=:0q~?>7;296~;6:o0ih6388;63?xu6910;6?u21229fa=:?h0?<6s|10;94?4|589:6oj4=6a905=z{8;j6=4={<306?dc34=n69>4}r32f?6=:r7:?>4md:?;4?273ty:?94?:ey>56>=jm165i4;1:?:a?25343m69<4=01g>17<5;;?69=4=0d`>15<5;:h69=4=327>15<5;;;69<4=323>15<5;:369<4=8a97c=z{89>6=4l{<30=?dc343o69>4=8g904=:1o0?=63>3e876>;59=0?>63>fb876>;58j0?=63=05875>;5990?=63=01876>;5810?<6s|12494?d|589j6oj4=8g905=:1o0??63>3e877>;59=0?<63>fb875>;58j0?<63=05876>;5990?<63=01875>;5810??6s|12594?g|589i6oj4=8d905=:9:n18=52206904=:9oi18=5221a907=::9>18=52202906=::9:18=5221:904=z{89h6=4:{<30<?db34;857lj;<30e?db34;8n7lj;<30`?d03ty:?h4?:3y]56c<589m6o94}r30b?6=:r79494m7:?27c<4n2wx=9>50;0xZ42734;?=7l8;|q204<72;q6>4l5b69>517=;o1v<:=:181873:3ho70?;7;0:?xu6<:0;6?u21519fa=:9=>1>45rs067>5<58r7:nn4=2:?2f`<5:27:nk4=2:?2g5<5:27:o<4=2:?2g7<5:27:o>4=2:?2g1<5:27:o84=2:?2fa<5:27:oh4=2:?2gc<5:27:h=4=2:?2`4<5:27:h?4=2:?2`6<5:27:h94=2:?2<f<5:27:4i4=2:?2<`<5:27:4k4=2:?2=5<5:27:5<4=2:?2=7<5:27:5>4=2:?203<e?27j<7<:;<3g2?45348:;7<=;<02<?45348:57<=;<3g1?453ty:884?:3y>512=jm16=9853g9~w4202909w0?;7;`g?87el3827p}>4983>=}:9=31n:52207906=:9on18=5221f904=::9?18>52203907=::9;18=5221;906=z{8>j6=47{<37f?d0348:97:?;<3e`?26348;h7:<;<031?27348:=7:?;<035?25348;57:?;|q20f<721q6=9j5b69>643=<816=kj5439>65b=<;16>=;5439>647=<:16>=?5409>65?=<;1v<:j:18;873n3h<70<>5;61?87al3>870<?d;63?847=3>:70<>1;62?84793>870<?9;62?xu6=90;6>u21429f2=:9<?1>95217g961=z{8?:6=4<{<365?d034;>?7<;;<35f?433ty:9?4?:2y>504=j>16=8?5dc9>53g=<>1v<;<:181872;3h<70?9c;07?xu6==0;6>u21469f2=:9<91ho5217`902=z{8?>6=4<{<361?d034;>;7<;;<35b?433ty:9;4?:2y>500=j>16=8;5dc9>53c=<>1v<;8:180872?3h<70?:9;07?870838?7p}>5983>6}:9<21n:521459`g=:9?l18:5rs07:>5<4s4;>57l8;<36f?4334;<=7<;;|q21d<72:q6=8o5b69>50?=lk16=:>5469~w43e2908w0?:b;`4?872l38?70?82;07?xu6=j0;6>u214a9f2=:9<h1ho52163902=z{8?o6=4<{<36`?d034;>j7<;;<347?433ty:9h4?:2y>50c=j>16=8j5dc9>524=<>1v<;i:180872n3h<70?91;07?870<38?7p}>6183>6}:9?:1n:5214d9`g=:9>918:5rs042>5<4s4;==7l8;<357?4334;<97<;;|q227<72:q6=;<5b69>537=lk16=::5469~w4042908w0?93;`4?871=38?70?86;07?xu6>=0;6>u21769f2=:9?91ho52167902=z{8<>6=4<{<351?d034;>=7<;;<35e?433ty::;4?:2y>530=j>16=;;5dc9>520=<>1v<88:18087283ni70?99;64?87103h<7p}>6e83>7}:9?n1n:5217a902=z{8=<6=4={<3b1?4>34;=57l8;|q23=<72;q6=l95289>53g=j>1v<96:18187f038270?9b;`4?xu6?h0;6?u21`;96<=:9?i1n:5rs05a>5<5s4;j:7<6;<35a?d03ty:;n4?:3y>5dg=:016=;h5b69~w41c2909w0?nb;0:?87083h<7p}>7d83>7}:9hi1>4521639f2=z{8=m6=4={<3b`?4>34;<>7l8;|q2<5<72;q6=lk5289>525=j>1v<6>:18187fn38270?84;`4?xu60;0;6?u21c296<=:9>?1n:5rs0:0>5<5s4;i=7<6;<342?d03ty:494?:3y>5=e=jm16=975409~w4>22909w0?7d;`g?873j3>:7p}>8783>7}:91o1ni5215f904=z{82<6=4={<3;b?dc34;?j7:>;|q2<=<72;q6=4>5be9>51?=<;1v<66:18187>93ho70?;b;61?xu60h0;6?u21809fa=:9=n18?5rs0:a>5<5s4;2?7lk;<37b?253ty:594?:8y>5=e=::16=5j5229>5=c=::16=5h5229>5<6=::16=4?5229>5<4=::16=4=5229>5<0=j>1v<7::18187>>3>;70?65;6;?xu61>0;6?u21859f2=:90<18<5rs0;;>5<5s4;j97lk;<35<?5a3ty:5n4?:3y>5d0=jm16=885419~w4?>2909w0?n7;`g?872:3>;7p}>9`83>7}:9h21ni52146905=z{83i6=47{<3b=?dc34om69<4=df904=:9=318=5215`905=:9=l18=5215f905=:9?n18=5rs0;g>5<5s4;jm7lk;<36<?273ty:5h4?:7y>515=:;16=9<5239>512=:;16=995239>5dd=jm16=8o5419~w4?a2909w0?nc;`g?872k3>;7p}>a183>7}:9hn1ni5214g905=z{8k:6=4={<3ba?dc34;=<7:?;|q2e7<72;q6=lh5be9>534=<91v<o<:18187e83ho70?94;63?xu6i=0;6?u21c39fa=:9?<18=5rs0`0>5<4s4;io7lk;<3;g?4>34k;6?74}r3a6?6=:r7:o84=9:?2fa<el2wx=o:50;1x94dd2;301<lj:cf894>c2;30q~?m5;297~;6jl09563>bg8a`>;60l0956s|1c494?5|58hm6?74=0a3>gb<582m6?74}r3a3?6=;r7:o=4=9:?2g4<el27:5=4=9:p5g>=839p1<m>:3;894e52kn01<7>:3;8yv7e13:1?v3>c381=>;6k:0ih63>9381=>{t9kk1<7=t=0a0>7?<58i?6oj4=0;0>7?<uz;in7>52z?2g1<5127:o84md:p5f0=838p1<mj:cf894?22=:0q~?l7;297~;6kl09563>cg8a`>;61<0?=6s|1b:94?5|58im6?74=0f3>gb<583>69<4}r3`=?6=;r7:h=4=9:?2`4<el27:584;3:p5fg=839p1<j>:3;894b52kn01<78:528yv7dj3:1?v3>d381=>;6l:0ih63>96875>{t9ji1<7=t=0f0>7?<58n?6oj4=0;4>14<uz;hh7>53z?2`1<5127:5:4;3:?2`0<el2wx=i850;0x94b12kn01<j8:528yv7c?3:1>v3>d68a3>;6l<0956s|1e:94?4|V8n370?k9;1e?xu6l00;6?u215196<=:9m31n:5rs0fb>5<5sW;om63>dc80b>{t9mh1<7<t=061>7?<58ni6o94}r3gg?6=:rT:hn521d79f2=z{8no6=4={_3g`>;6m?0i;6s|1eg94?4|V8nn70?j7;`4?xu6lo0;6?uQ1ed894c?2k=0q~?j0;296~X6m916=h75b69~w4c62909wS?j1:?2ad<e?2wx=h<50;0xZ4c534;nn7l8;|q2a1<72;qU=h:4=0g`>g1<uz;n97>5dz?e0?2734l:69>4=dd905=:mm0?<63=228a3>;6m<08j63=128gf>;6nk0on63=0c8gf>;58:0on63=0g8gf>;6no0on63=068gf>{t9l<1<7<t=307>g1<58o=6>h4}r3f3?6=:r79>84m7:?2a2<4n2wx=h650;0x97412k=01<k7:2d8yv7b13:1>v3=268a3>;6m008j6s|1dc94?4|5;836o94=0gb>6`<uz;nn7>52z?16<<e?27:io4<f:p5`e=838p1?<n:c5894cd2:l0q~?jd;296~X6mm16=hk53g9~w4cb2909;v3>1b816>;69m09>63>29816>;6:m09>63>2d816>;6:o09>63>31816>;6;809>63>33816>;6;:09>63>1d816>;69o09>63>21816>;6:809>63>23816>;6::09>63>25816>;6:<09>63>27816>;6:>09>63>28816>;6:h09>63>2c816>;6:j09>63=81816>;6i<09>63>a7816>;6ih09>63>ac816>;6ij09>63>ae816>;6il09>63>ag816>;6j909>63>b0816>;6i>09>63>a9816>;6i009>63>ed8a3>{t9ll1<7<t^0ge?87a83h<7p}>f183>7}::0i1n:521g297c=z{8l:6=4={_3e5>;6nh0i;6s|1g094?4|V8l970?ie;`4?xu6n:0;6?uQ1g1897652k=0q~?i4;296~X6n=16>=85b69~w4`22909wS?i5:?14d<e?2wx=k850;0xZ4`1348;i7l8;|q2b2<72;qU=k94=331>g1<uz;m57>52z\2b<=::8<1n:5rs0db>5<5s4;mm7=i;<3ef?d03ty:jh4?:3y>5cc=;o16=kh5b69~w7652909w0<?2;1e?847;3h<7p}=0783>7}::9<1?k522159f2=z{;:j6=4={<03e?5a348;n7l8;|q14`<72;q6>=k53g9>65`=j>1v??=:181846:39m70<>3;`4?xu59?0;6?:t=060>70<58>96?84=067>70<58><6?84=0``>70<58hn6?84=0`e>70<58i;6?84=0a2>70<58i96?84=0a0>70<58i?6?84=0a6>70<58ho6?84=0af>70<58im6?84=0f3>70<58n:6?84=0f1>70<58n86?84=0f7>70<582h6?84=0:g>70<582n6?84=0:e>70<583;6?84=0;2>70<58396?84=0;0>70<5ln18?521129f2=::8<1?k52205963=::821>;5220;963=:9m?1>;5rs334>5<5s48:;7lk;<02<?4>3ty9=54?:3y>64>=jm16><75289~w77>2909w0<>9;`g?87c?3>:7p}=1`83>7}Y:8k01?<<:2d8yv46j3:1>vP=1c9>672=;o1v??l:181[46k279>84<f:p64b=838pR??k;<012?5a3ty9=h4?:3y]64c<5;8<6>h4}r02b?6=:rT9=k5223:97c=z{;8;6=4={_014>;5:008j6s|23094?4|V;8970<=a;1e?xu5:k0;6>u223`9f2=::;i1>95222d961=z{;8h6=4<{<01g?d03489i7<;;<074?433ty9>i4?:2y>67b=j>16>?m5dc9>66`=<>1v?<j:180845m3h<70<<0;07?843938?7p}=2g83>6}::;l1n:5223g9`g=::=:18:5rs313>5<4s488<7l8;<006?43348?>7<;;|q174<72:q6>>?5b69>666=lk16>9?5469~w7552908w0<<2;`4?844<38?70<;3;07?xu5;:0;6>u22219f2=:::81ho52250902=z{;9?6=4<{<000?d03488:7<;;<070?433ty9?84?:2y>663=j>16>>:5dc9>615=<>1v?=9:180844>3h<70<<8;07?843=38?7p}=3683>6}:::=1n:522249`g=::=>18:5rs31;>5<4s48847l8;<00e?43348?:7<;;|q17<<72:q6>>75b69>66>=lk16>9;5469~w75f2909w0<<a;`4?843?38?7p}=3c83>6}:::h1n:5222c9`g=::=<18:5rs31`>5<4s489n7jm;<00a?203488h7l8;|q10=<72;q6>965b69>611=<>1v?:6:18084313h<70<;a;07?842l38?7p}=4`83>6}::=k1n:5225a961=::<o1>95rs36a>5<4s48?n7l8;<07e?be348>h7:8;|q10f<72:q6>9m5b69>61c=:=16>8h5259~w72c2908w0<;d;`4?843k3ni70<:e;64?xu5<l0;6>u225g9f2=::<:1>952272961=z{;>m6=4<{<07b?d0348?i7jm;<06b?203ty99=4?:2y>606=j>16>8<5259>637=:=1v?;>:18084293h<70<:0;fa?84183><7p}=5383>6}::<81n:52246961=::?81>95rs370>5<4s48>?7l8;<066?be348==7:8;|q111<72:q6>8:5b69>600=:=16>;=5259~w7322908w0<:5;`4?842<3ni70<92;64?xu5=?0;6>u22449f2=::<21>952276961=z{;?<6=4<{<063?d0348>:7jm;<057?203ty9954?:3y>60>=j>16>;;5259~w73>2908w0<:9;`4?84203ni70<94;64?xu5=h0;6>u225;9`g=::<i18:5224`9f2=z{;<=6=4={<052?d0348=97:8;|q122<72=q6>:k5419>6g2=j>16>o=5429>6g>=<91v?87:18184?<3>:70<73;`4?xu5>00;6>u228a905=::0n1n:52291904=z{;<j6=4={<0;b?4>3488i7l8;|q12g<72;q6>l75289>60e=j>1v?8l:18184>838270<<f;`4?xu5>m0;6?u22`c96<=::<n1n:5rs34f>5<5s482=7<6;<074?d03ty9:k4?:3y>6dd=:016>8k5b69~w7172909w0<62;0:?84393h<7p}=7083>7}::hi1>45224d9f2=z{;=96=4={<0:7?4>348?>7l8;|q136<72;q6>lj5289>636=j>1v?9;:18184><38270<;3;`4?xu5?<0;6?u22`g96<=::?;1n:5rs355>5<5s48297<6;<070?d03ty9;:4?:3y>6d`=:016>;<5b69~w71?2909w0<66;0:?843=3h<7p}=7883>7}::k:1>4522719f2=z{;=j6=4={<0:3?4>348?:7l8;|q13g<72;q6>o?5289>632=j>1v?9l:18184>038270<;7;`4?xu5?m0;6?u22c096<=::??1n:5rs3:3>5<6>r79nl4=2:?1<c<5:2795=4=2:?1=4<5:2795?4=2:?1=6<5:279594=2:?1=0<5:2795;4=2:?1=2<5:279554=2:?1e<<5:279ml4=2:?1eg<5:279mn4=2:?1ea<5:279mh4=2:?1ec<5:279n=4=2:?1f4<5:279n?4=2:?1<7<e?2wx>5?50;1x97>72kn01?6=:2d897>72ko0q~<75;290~;50o0ih63=9e877>;5jl0?<63=3e80b>{t:1<1<7:t=3;3>gb<5;ho69=4=3;g>14<5;8o69>4}r0;3?6=>r795<4md:?1=`<39279ni4;2:?1<1<382795l4;1:?16c<382wx>5650;7x97?52kn01?7j:52897dc2=;01?=>:52897>42=80q~<79;290~;51:0ih63=be874>;51m0?=63=32874>{t:1k1<78t=3;7>gb<5;3n69<4=3``>14<5;2?69<4=3;b>16<5;9>69>4}r0;f?6=<r79584md:?1ff<392795i4;0:?172<382wx>5m50;4x97?12kn01?7m:50897?d2=801?ll:518975>2=:01?6<:518yv4?l3:1:v3=968a`>;51k0?=63=9b875>;5jj0?<63=3c874>;50:0?<6s|29g94?1|5;336oj4=3;a>16<5;3n69=4=3:7>15<5;3j69<4=36;>16<5;hn69<4}r0:=?6=jr794k4me:?1=5<em2795<4me:?1=7<em2795>4me:?1=1<em279584me:?1=3<em2795:4me:?1==<em2795l4m7:p6<`=83>p1?o6:cf8971b2=;01?l<:528973e2:l0q~<n0;297~;5ih0ih63=b5874>;5<k0?<6s|2`394?5|5;ki6oj4=36g>16<5;h>69>4}r0b6?6==r79mn4md:?13c<3:2798k4;0:?1f6<3:279n54;1:p6d5=839p1?ok:cf897362=:01?l::538yv4f<3:19v3=ad8a`>;5?o0?<63=52874>;5j10?>63=b7875>{t:h?1<7=t=3ce>gb<5;h?69<4=376>16<uz8j:7>53z?1f5<el279n94;1:?112<382wx>l950;7x97d62kn01?9i:538973>2=:01?l7:51897d12=90q~<n8;291~;5j;0ih63=7d876>;5>?0?<63=b88gf>;5j?0?<6s|2c194?d|5;k26ok4=3cb>gc<5;ki6ok4=3c`>gc<5;ko6ok4=3cf>gc<5;km6ok4=3`3>gc<5;h:6ok4=3`1>gc<5;h86o94}r0a3?6=:r79n54m7:?1f<<382wx>oo50;ax97df2kn01?o6:31897gf2;901?om:31897gd2;901?ok:31897gb2;901?oi:31897d72;901?l>:31897d52;901?l9:508yv4ej3:1>v3=b`8aa>;5jl0i;6s|2ca94?4|5;hh6o94=3`f>17<uz8ih7>52z?1fa<e?279nh4;3:p6g`=838pR?li;<0`4?d03ty9o=4?:3y>6f6=;o16>o75b69~yk02<3:1=vFj0:m203=83;pDh>4}o462?6=9rBn<6sa64594?7|@l:0qc8:8;295~Nb82we:8750;3xL`6<ug<>m7>51zJf4>{i><h1<7?tHd28yk02k3:1=vFj0:m20b=83;pDh>4}o46a?6=9rBn<6sa64d94?7|@l:0qc890;295~Nb82we:;?50;3xL`6<ug<=>7>51zJf4>{i>?91<7?tHd28yk01<3:1=vFj0:m233=83;pDh>4}o452?6=9rBn<6sa67594?7|@l:0qc898;295~Nb82we:;750;3xL`6<ug<=m7>51zJf4>{i>?h1<7?tHd28yk01k3:1=vFj0:m23b=83;pDh>4}o45a?6=9rBn<6sa67d94?7|@l:0qc880;295~Nb82we::?50;3xL`6<ug<<>7>51zJf4>{i>>91<7?tHd28yk00<3:1=vFj0:m223=83;pDh>4}o442?6=9rBn<6sa66594?7|@l:0qc888;295~Nb82we::750;3xL`6<ug<<m7>51zJf4>{i>>h1<7?tHd28yk00k3:1=vFj0:m22b=83;pDh>4}o44a?6=9rBn<6sa66d94?7|@l:0qc870;295~Nb82we:5?50;3xL`6<ug<3>7>51zJf4>{i>191<7?tHd28yk0?<3:1=vFj0:m2=3=83;pDh>4}o4;2?6=9rBn<6sa69594?7|@l:0qc878;295~Nb82we:5750;3xL`6<ug<3m7>51zJf4>{i>1h1<7?tHd28yk0?k3:1=vFj0:m2=b=83;pDh>4}o4;a?6=9rBn<6sa69d94?7|@l:0qc860;295~Nb82we:4?50;3xL`6<ug<2>7>51zJf4>{i>091<7?tHd28yk0><3:1=vFj0:m2<3=83;pDh>4}o4:2?6=9rBn<6sa68594?7|@l:0qc868;295~Nb82we:4750;3xL`6<ug<2m7>51zJf4>{i>0h1<7?tHd28yk0>k3:1=vFj0:m2<b=83;pDh>4}o4:a?6=9rBn<6sa68d94?7|@l:0qc8n0;295~Nb82we:l?50;3xL`6<ug<j>7>51zJf4>{i>h91<7?tHd28yk0f<3:1=vFj0:m2d3=83;pDh>4}o4b2?6=9rBn<6sa6`594?7|@l:0qc8n8;295~Nb82we:l750;3xL`6<ug<jm7>51zJf4>{i>hh1<7?tHd28yk0fk3:1=vFj0:m2db=83;pDh>4}o4ba?6=9rBn<6sa6`d94?7|@l:0qc8m0;295~Nb82we:o?50;3xL`6<ug<i>7>51zJf4>{i>k91<7?tHd28yk0e<3:1=vFj0:m2g3=83;pDh>4}o4a2?6=9rBn<6sa6c594?7|@l:0qc8m8;295~Nb82we:o750;3xL`6<ug<im7>51zJf4>{i>kh1<7?tHd28yk0ek3:1=vFj0:m2gb=83;pDh>4}o4aa?6=9rBn<6sa6cd94?7|@l:0qc8l0;295~Nb82we:n?50;3xL`6<ug<h>7>51zJf4>{i>j91<7?tHd28yk0d<3:1=vFj0:m2f3=83;pDh>4}o4`2?6=9rBn<6sa6b594?7|@l:0qc8l8;295~Nb82we:n750;3xL`6<ug<hm7>51zJf4>{i>jh1<7?tHd28yk0dk3:1=vFj0:m2fb=83;pDh>4}o4`a?6=9rBn<6sa6bd94?7|@l:0qc8k0;295~Nb82we:i?50;3xL`6<ug<o>7>51zJf4>{i>m91<7?tHd28yk0c<3:1=vFj0:m2a3=83;pDh>4}o4g2?6=9rBn<6sa6e594?7|@l:0qc8k8;295~Nb82we:i750;3xL`6<ug<om7>51zJf4>{i>mh1<7?tHd28yk0ck3:1=vFj0:m2ab=83;pDh>4}o4ga?6=9rBn<6sa6ed94?7|@l:0qc8j0;295~Nb82we:h?50;3xL`6<ug<n>7>51zJf4>{i>l91<7?tHd28yk0b<3:1=vFj0:m2`3=83;pDh>4}o4f2?6=9rBn<6sa6d594?7|@l:0qc8j8;295~Nb82we:h750;3xL`6<ug<nm7>51zJf4>{i>lh1<7?tHd28yk0bk3:1=vFj0:m2`b=83;pDh>4}o4fa?6=9rBn<6sa6dd94?7|@l:0qc8i0;295~Nb82we:k?50;3xL`6<ug<m>7>51zJf4>{i>o91<7?tHd28yk0a<3:1=vFj0:m2c3=83;pDh>4}o4e2?6=9rBn<6sa6g594?7|@l:0qc8i8;295~Nb82we:k750;3xL`6<ug<mm7>51zJf4>{i>oh1<7?tHd28yk0ak3:1=vFj0:m2cb=83;pDh>4}o4ea?6=9rBn<6sa6gd94?7|@l:0qc9?0;295~Nb82we;=?50;3xL`6<ug=;>7>51zJf4>{i?991<7?tHd28yk17<3:1=vFj0:m353=83;pDh>4}o532?6=9rBn<6sa71594?7|@l:0qc9?8;295~Nb82we;=750;3xL`6<ug=;m7>51zJf4>{i?9h1<7?tHd28yk17k3:1=vFj0:m35b=83;pDh>4}o53a?6=9rBn<6sa71d94?7|@l:0qc9>0;295~Nb82we;<?50;3xL`6<ug=:>7>51zJf4>{i?891<7?tHd28yk16<3:1=vFj0:m343=83;pDh>4}o522?6=9rBn<6sa70594?7|@l:0qc9>8;295~Nb82we;<750;3xL`6<ug=:m7>51zJf4>{i?8h1<7?tHd28yk16k3:1=vFj0:m34b=83;pDh>4}o52a?6=9rBn<6sa70d94?7|@l:0qc9=0;295~Nb82we;??50;3xL`6<ug=9>7>51zJf4>{i?;91<7?tHd28yk15<3:1=vFj0:m373=83;pDh>4}o512?6=9rBn<6sa73594?7|@l:0qc9=8;295~Nb82we;?750;3xL`6<ug=9m7>51zJf4>{i?;h1<7?tHd28yk15k3:1=vFj0:m37b=83;pDh>4}o51a?6=9rBn<6sa73d94?7|@l:0qc9<0;295~Nb82we;>?50;3xL`6<ug=8>7>51zJf4>{i?:91<7?tHd28yk14<3:1=vFj0:m363=83;pDh>4}o502?6=9rBn<6sa72594?7|@l:0qc9<8;295~Nb82we;>750;3xL`6<ug=8m7>51zJf4>{i?:h1<7?tHd28yk14k3:1=vFj0:m36b=83;pDh>4}o50a?6=9rBn<6sa72d94?7|@l:0qc9;0;295~Nb82we;9?50;3xL`6<ug=?>7>51zJf4>{i?=91<7?tHd28yk13<3:1=vFj0:m313=83;pDh>4}o572?6=9rBn<6sa75594?7|@l:0qc9;8;295~Nb82we;9750;3xL`6<ug=?m7>51zJf4>{i?=h1<7?tHd28yk13k3:1=vFj0:m31b=83;pDh>4}o57a?6=9rBn<6sa75d94?7|@l:0qc9:0;295~Nb82we;8?50;3xL`6<ug=>>7>51zJf4>{i?<91<7?tHd28yk12<3:1=vFj0:m303=83;pDh>4}o562?6=9rBn<6sa74594?7|@l:0qc9:8;295~Nb82we;8750;3xL`6<ug=>m7>51zJf4>{i?<h1<7?tHd28yk12k3:1=vFj0:m30b=83;pDh>4}o56a?6=9rBn<6sa74d94?7|@l:0qc990;295~Nb82we;;?50;3xL`6<ug==>7>51zJf4>{i??91<7?tHd28yk11<3:1=vFj0:m333=83;pDh>4}o552?6=9rBn<6sa77594?7|@l:0qc998;295~Nb82we;;750;3xL`6<ug==m7>51zJf4>{i??h1<7?tHd28yk11k3:1=vFj0:m33b=83;pDh>4}o55a?6=9rBn<6sa77d94?7|@l:0qc980;295~Nb82we;:?50;3xL`6<ug=<>7>51zJf4>{i?>91<7?tHd28yk10<3:1=vFj0:m323=83;pDh>4}o542?6=9rBn<6sa76594?7|@l:0qc988;295~Nb82we;:750;3xL`6<ug=<m7>51zJf4>{i?>h1<7?tHd28yk10k3:1=vFj0:m32b=83;pDh>4}o54a?6=9rBn<6sa76d94?7|@l:0qc970;295~Nb82we;5?50;3xL`6<ug=3>7>51zJf4>{i?191<7?tHd28yk1?<3:1=vFj0:m3=3=83;pDh>4}o5;2?6=9rBn<6sa79594?7|@l:0qc978;295~Nb82we;5750;3xL`6<ug=3m7>51zJf4>{i?1h1<7?tHd28yk1?k3:1=vFj0:m3=b=83;pDh>4}o5;a?6=9rBn<6sa79d94?7|@l:0qc960;295~Nb82we;4?50;3xL`6<ug=2>7>51zJf4>{i?091<7?tHd28yk1><3:1=vFj0:m3<3=83;pDh>4}o5:2?6=9rBn<6sa78594?7|@l:0qc968;295~Nb82we;4750;3xL`6<ug=2m7>51zJf4>{i?0h1<7?tHd28yk1>k3:1=vFj0:m3<b=83;pDh>4}o5:a?6=9rBn<6sa78d94?7|@l:0qc9n0;295~Nb82we;l?50;3xL`6<ug=j>7>51zJf4>{i?h91<7?tHd28yk1f<3:1=vFj0:m3d3=83;pDh>4}o5b2?6=9rBn<6sa7`594?7|@l:0qc9n8;295~Nb82we;l750;3xL`6<ug=jm7>51zJf4>{i?hh1<7?tHd28yk1fk3:1=vFj0:m3db=83;pDh>4}o5ba?6=9rBn<6sa7`d94?7|@l:0qc9m0;295~Nb82we;o?50;3xL`6<ug=i>7>51zJf4>{i?k91<7?tHd28yk1e<3:1=vFj0:m3g3=83;pDh>4}o5a2?6=9rBn<6sa7c594?7|@l:0qc9m8;295~Nb82we;o750;3xL`6<ug=im7>51zJf4>{i?kh1<7?tHd28yk1ek3:1=vFj0:m3gb=83;pDh>4}o5aa?6=9rBn<6sa7cd94?7|@l:0qc9l0;295~Nb82we;n?50;3xL`6<ug=h>7>51zJf4>{i?j91<7?tHd28yk1d<3:1=vFj0:m3f3=83;pDh>4}o5`2?6=9rBn<6sa7b594?7|@l:0qc9l8;295~Nb82we;n750;3xL`6<ug=hm7>51zJf4>{i?jh1<7?tHd28yk1dk3:1=vFj0:m3fb=83;pDh>4}o5`a?6=9rBn<6sa7bd94?7|@l:0qc9k0;295~Nb82wvqpNOCz;7a??0=9i<jpNOBz2~DEV|uIJ
$5224=7<2:;<=:401220>678;>0<=><4:234=4<8880<5:408625>7538::7?:421230>4?9;;0895;44A24>2=AGZ^X7l{n=194;773=0DYY^ZT;cm86<768>097GAPTV9fqhXmq~787>11:47?364:=1=548=;7A7?3EDK;1<><572::30>>789>04=M=119;>LHW]]0x{y28:1<25>>=G\^[YY4cee>4>586=221CXZ_UU8oaaYbp}6<6=0>1::9KPRW]]0xj28:1<7?=G?N=12:;7=;@21?D733H;:4?5N239B77=F0=1J4LM;;@;BG1=FKL2?7O[IG49AQCAW02H^_RGAFN38G1=D8=:?7N;;559@<FE53JO97NG<;BNH7>EKZ01HC@CFTUGG3>EUMH^NH<5K2:FJ5>C53L>?7H:;859F=F?33LN2495JEE67?C22<;1M:?5I759E<D>53ON87KJL4:DGG@3<NMIT=>5IDD18BAT33ONYI95IDSQ7?CBX9=1MJIH;;GDF61=ANLL?7KHIE09D6>AB;2MEH<5F2:K36>O6:2C9>6G<4:KAQC?<AGC__YO[E29JJS3<DMOT=95CD^31?IO53EE<7AAHIBCO0>JR\8>0@XZ=6:NVP7YK<2F^X>84LTV0[A2<D\^?:6BZT5]G2>JR\=UG;6C!71f\H0=J]QIR96CZXG727>Kdg|dSnbddht\g|:768>0Anaznu]`hnbn~Vir0<>1169Ngjsi|Viggigy_b{?558Xz};?7@m`uov\gimcaUhu1?>>058Ifirf}Uh`fjfv^az8479W{~:86Clotlw[fjll`|Tot2>2?34?Heh}g~Toaekiw]`}9756Vx=95BcnwmpZekcmc}Snw312<23>Kdg|dSnbddht\g|:6;7Uyx<:4MbmvjqYddbnbzRmv<06=52=JkfexRmckeku[f;9=4T~y?;;LalqkrXkeaoe{Qly=36:41<Eje~byQlljfjrZe~48?5Sz>4:O`kphsWjf`hdxPcx>22;703DidyczPcmigmsYdq5;=2R|{159Ngjsi|Viggigy_b{?5286?2Ghcx`{_bnh`lpXkp6:;3Q}t068Ifirf}Uh`fjfv^az84>99>1Fob{at^aooaoqWjs7=50Pru37?Heh}g~Toaekiw]`}97>68=0Anaznu]`hnbn~Vir0<71_sv27>Kdg|dSnbddht\g|:668<0Anaznu]`hnbn~Vir0<0Pru37?Heh}g~Toaekiw]`}94768=0Anaznu]`hnbn~Vir0?>1_sv20>Kdg|dSnbddht\g|:597;<7@m`uov\gimcaUhu1<>>^pw51=JkfexRmckeku[f;:;4:;6Clotlw[fjll`|Tot2=2?]qp45<Eje~byQlljfjrZe~4;4::6Clotlw[fjll`|Tot2=>^pw56=JkfexRmckeku[f;;7;=7@m`uov\gimcaUhu1=1_sv27>Kdg|dSnbddht\g|:368<0Anaznu]`hnbn~Vir090Pru30?Heh}g~Toaekiw]`}9399?1Fob{at^aooaoqWjs793Q}t018Ifirf}Uh`fjfv^az8386>2Ghcx`{_bnh`lpXkp6=2R|{129Ngjsi|Viggigy_b{?3;713DidyczPcmigmsYdq5=5Sz>3:O`kphsWjf`hdxPcx>;:40<Eje~byQlljfjrZe~414T~y?<;LalqkrXkeaoe{Qly=;=53=JkfexRmckeku[f;17Uyx<:4MbmvjqYddbnbzRbzt=2=5d=JkfexRmckeku[iss494TECXP0068Ifirf}Uh`fjfv^zlv9699<1Fob{at^aooaoqWqey0<>1149Ngjsi|Viggigy_ymq84799<1Fob{at^aooaoqWqey0<<1149Ngjsi|Viggigy_ymq84599<1Fob{at^aooaoqWqey0<:1149Ngjsi|Viggigy_ymq84399<1Fob{at^aooaoqWqey0<81149Ngjsi|Viggigy_ymq84199<1Fob{at^aooaoqWqey0<61149Ngjsi|Viggigy_ymq84?99=1Fob{at^aooaoqWqey0<0>5:O`kphsWjf`hdxPxnp?6586=2Ghcx`{_bnh`lpXpfx7><0>5:O`kphsWjf`hdxPxnp?6786=2Ghcx`{_bnh`lpXpfx7>>0>8:O`kphsWjf`hdxPxnp?668Xz};?7@m`uov\gimcaUsc2=>068Ifirf}Uh`fjfv^zlv9599=1Fob{at^aooaoqWqey090>4:O`kphsWjf`hdxPxnp?1;733DidyczPcmigmsYg{6=2<:4MbmvjqYddbnbzRv`r=5=51=JkfexRmckeku[}iu414:86Clotlw[fjll`|Ttb|39?3b?Heh}g~Tocz}_ymq85869VCEZR>>4:O`kphsWjd~Rv`r=3=54733DidyczPcovq[}iu4;4:=<:4MbmvjqYdf}xTtb|33?325`=J{axnkR\\220SvjlX]HX_Sj\Rde\34?<EzbyijQdb0]pll`sZlmXej<P839M47=I9:1E=>=4N070?K7>;2D9=>5A2618J1543G>??6@;529M035<F==87C:73:L7=6=I=990B8?<;O717>H2;:1E99=4N470?K31;2D>;>5A5958J@RPG[A:7B:4OCWE5>V33YKYXl5_IO]AQVOCPk1[ECQMURLBI@7<Y81Y=<5]S311TwimW\KYXRMJ199QW755X{eaSXO]T^DF[jt789::56\\220SvjlX]HX_SKKPos234575:2XX>><_rnh\QDTSWDidyczPcmiGmsYdq5:5>?5]S311TwimW\KYXRClotlw[fjlL`|Tot2>>378VV44:YxdfR[NRU]Ngjsi|ViggIgy_b{?5;Yu|;80^^<<2QplnZSFZ]UFob{at^aooAoqWjs7>3<:;SQ177VugcU^M_ZPMbmvjqYddbNbzRmv<3<\vq453[Y9??^}ok]VEWRXEje~byQlljFjrZe~4:4996\\220SvjlX]HX_S@m`uov\gimCaUhu1=1_sv16>TT::8[~bdPU@PW[Heh}g~ToaeKiw]`}929:<1Y_?==Psmi[PGU\VGhcx`{_bnh@lpXkp6?2R|{239QW755X{eaSXO]T^O`kphsWjf`HdxPcx>6:73<ZZ88>]|`j^WBVQYJkfexRmckEku[f;=7Uyx?<4RR006UthbV_J^YQBcnwmpZekcMc}Snw36?06?WU5;;ZycgQZASV\Ifirf}Uh`fJfv^az838Xz}897_]=33RqkoYRI[^TAnaznu]`hnBn~Vir0:0=5:PP664Wzf`TYL\[_LalqkrXkeaOe{Qly=5=[wr5;2XX>><_rnh\QDTSWDidyczPcmiGmsYk}}6;2?74RR006UthbV_J^YQBcnwmpZekcMc}Sa{{<1<\MKPX8;90^^<<2QplnZSFZ]UFob{at^aooAoqWqey0=0=3:PP664Wzf`TYL\[_LalqkrXkeaOe{Qwos>2:75<ZZ88>]|`j^WBVQYJkfexRmckEku[}iu4;49?6\\220SvjlX]HX_S@m`uov\gimCaUsc2<>318VV44:YxdfR[NRU]Ngjsi|ViggIgy_ymq8185;2XX>><_rnh\QDTSWDidyczPcmiGmsYg{6>2?=4RR006UthbV_J^YQBcnwmpZekcMc}Sua}<7<17>TT::8[~bdPU@PW[Heh}g~ToaeKiw]{kw:06;90^^<<2QplnZSFZ]UFob{at^aooAoqWqey050=6:PP664Wzf`TYL\[_LalqkrXkeaOe{Qwos>;:Zts:11Y_?==Psmi[PGU\VGhcx`{_bvq[}iu494:=RGAV^216>TT::8[~bdPU@PW[Heh}g~Toy|Pxnp?5;76:;1Y_?==Psmi[PGU\VGhcx`{_bvq[}iu4;4:=?<4RR006UthbV_J^YQBcnwmpZeszVrd~1=1100`?WU5;;ZycgQZASV\Ifirf}UomyoLts]{kw:768;TECXP0348VV44:YxdfR[NRU]Ngjsi|VnjxlM{r^zlv979988=7_]=33RqkoYRI[^TAnaznu]geqgD|{Usc2=>0312>TT::8[~bdPU@PW[Heh}g~ThlznCup\|jt;;7;:>;5]S311TwimW\KYXRClotlw[wEkcMc}Sua}<0<2570<ZZ88>]|`j^WBVQYJkfexR|LljFjrZ~hz585=<<9;SQ177VugcU^M_ZPMbmvjqYuKeaOe{Qwos>0:475i2XX>><_rnh\QDTSWDidyczPtgAwvZ~hz5:5=<QFNW]361=U[;99\ae_TCQPZKdg|dSyhLts]{kw:668;986\\220SvjlX]HX_S@m`uov\pcEszVrd~1<11007?WU5;;ZycgQZASV\Ifirf}UjNz}_ymq86869880^^<<2QplnZSFZ]UYHL?7;SQ177VugcU^M_ZPRdqvhq:76830^^<<2QplnZSFZ]UYi~{ct=2=54?<ZZ88>]|`j^WBVQYUmzgx1>130;8VV44:YxdfR[NRU]Qavsk|5:58<64RR006UthbV_J^YQ]erwop979901Y_?==Psmi[PGU\VXnxb{<0<25<=U[;99\ae_TCQPZTb{|f0<0=189QW755X{eaSXO]T^Pfwpjs4848=45]S311TwimW\KYXR\jstnw8483911Y_?==Psmi[PGU\VXnxb{<3<2=>TT::8[~bdPU@PW[Wct}e~7>3?>9:PP664Wzf`TYL\[_Sgpqir;:78:56\\220SvjlX]HX_S_k|umv?6;5612XX>><_rnh\QDTSW[oxyaz32?62<>TT::8[~bdPU@PW[Wct}e~7?3?6;SQ177VugcU^M_ZPRdqvhq:468;27_]=33RqkoYRI[^T^h}zlu>0:77>3[Y9??^}ok]VEWRXZly~`y2<>23:?WU5;;ZycgQZASV\V`urd}6829?7;SQ177VugcU^M_ZPRdqvhq:36820^^<<2QplnZSFZ]UYi~{ct=7=5==U[;99\ae_TCQPZTb{|f0;0>8:PP664Wzf`TYL\[_Sgpqir;?7;37_]=33RqkoYRI[^T^h}zlu>;:44<ZZ88>]|`j^WBVQYSJL;37_]=33RqkoYRI[^ToaeKiw>3:4><ZZ88>]|`j^WBVQYddbNbz1?1199QW755X{eaSXO]T^aooAoq4;4:46\\220SvjlX]HX_SnbdDht?7;7?3[Y9??^}ok]VEWRXkeaOe{2;>0:8VV44:YxdfR[NRU]`hnBn~5?5=55]S311TwimW\KYXRmckEku838602XX>><_rnh\QDTSWjf`Hdx37?3;?WU5;;ZycgQZASV\gimCa632<94RR006UthbV_J^YQlljFjrZ66?2XX>><_rnh\QDTSWjf`HdxP1058VV44:YxdfR[NRU]`hnBn~V8:;6\\220SvjlX]HX_SnbdDht\741<ZZ88>]|`j^WBVQYddbNbzR:>7:PP664Wzf`TYL\[_bnh@lpX=8=0^^<<2QplnZSFZ]Uh`fJfv^423>TT::8[~bdPU@PW[fjlL`|T;<94RR006UthbV_J^YQlljFjrZ>582XX>><_rnh\QDTSWjf`HdxPclr\at6789897_]=33RqkoYRI[^ToaeKiw]`iuYby9:;<<;=2:PP664Wzf`TYL\[_bnh@lpXkdzTi|>?010;64=U[;99\ae_TCQPZekcMc}Snc_ds345609=1Y_?==Psmi[PGU\Vi^~z>5:PP664Wzf`TYL\[_bvQwq76?2XX>><_rnh\QDTSWj~Yy?>1078VV44:YxdfR[NRU]`pWus:8=0^^<<2QplnZSFZ]Uhx_}{_g721>TT::8[~bdPU@PW[fru494:96\\220SvjlX]HX_Snz}<0<21>TT::8[~bdPU@PW[fru4;4:96\\220SvjlX]HX_Snz}<2<20>TT::8[~bdPU@PW[fruW9;?7_]=33RqkoYRI[^Toy|P1068VV44:YxdfR[NRU]`pwY59=1Y_?==Psmi[PGU\Vi~R=>9:PP664Wzf`TYL\[_ecweFru494:56\\220SvjlX]HX_Sio{aBvq848612XX>><_rnh\QDTSWmkmNz}<3<2=>TT::8[~bdPU@PW[agsiJ~y0>0>8:PP664Wzf`TYL\[_ecweFruW9;37_]=33RqkoYRI[^ThlznCup\54><ZZ88>]|`j^WBVQYci}kHxQ=199QW755X{eaSXO]T^fbpdEszV9:;6\\220SvjlX]HX_Sio{aHl`v4><ZZ88>]|`j^WBVQYci}kBbn|>179QW755X{eaSXO]T^fbpdTT\8=0^^<<2QplnZSFZ]Uomyo]SU327>TT::8[~bdPU@PW[wEkc8>0^^<<2QplnZSFZ]UyOae>189QW755X{eaSXO]T^p@hnBn~5:5=45]S311TwimW\KYXR|LljFjr979901Y_?==Psmi[PGU\VxH`fJfv=0=5<=U[;99\ae_TCQPZtDdbNbz1=1199QW755X{eaSXO]T^p@hnBn~V::46\\220SvjlX]HX_SMckEku[47?3[Y9??^}ok]VEWRXzJf`HdxP20:8VV44:YxdfR[NRU]qGimCaU8=55]S311TwimW\KYXR|Llj]AQCA6j2XX>><_rnh\QDTSW{IggR``t123574<ZZ88>]|`j^WBVQYuKeaTbbz?0132[LHQW9;<7_]=33RqkoYRI[^T~i\jg=2=52=U[;99\ae_TCQPZtcZlm7=3?8;SQ177VugcU^M_ZPrePfc9499>1Y_?==Psmi[PGU\Vxo^hi33?34?WU5;;ZycgQZASV\vaTbo5>5=:5]S311TwimW\KYXR|kRde?1;703[Y9??^}ok]VEWRXzmXnk181169QW755X{eaSXO]T^pgV`a;?7;=7_]=33RqkoYRI[^T~i\jg^222>TT::8[~bdPU@PW[wbUmnU:=;5]S311TwimW\KYXR|kRde\640<ZZ88>]|`j^WBVQYul[olS>?9;SQ177VugcU^M_ZPrePfcZ26>2XX>><_rnh\QDTSW{nYijQ:179QW755X{eaSXO]T^pgV`aX>8<0^^<<2QplnZSFZ]Uyh_kh_63`?WU5;;ZycgQZASV\vaTboVkeh=>?00f8VV44:YxdfR[NRU]q`Wc`Whdo<=>?10:8VV44:YxdfR[NRU]q`VTbo5:5=55]S311TwimW\KYXR|kSSgd848602XX>><_rnh\QDTSW{nX^hi32?3;?WU5;;ZycgQZASV\vaUUmn682<64RR006UthbV_J^YQ}dRPfc929911Y_?==Psmi[PGU\Vxo__kh<4<2<>TT::8[~bdPU@PW[wbTZlm7:3?7;SQ177VugcU^M_ZPreQQab:068=0^^<<2QplnZSFZ]Uyh^\jg^223>TT::8[~bdPU@PW[wbTZlmT=<94RR006UthbV_J^YQ}dRPfcZ46?2XX>><_rnh\QDTSW{nX^hiP3058VV44:YxdfR[NRU]q`VTboV>:;6\\220SvjlX]HX_Sj\Rde\141<ZZ88>]|`j^WBVQYulZXnkR8>7:PP664Wzf`TYL\[_sfPV`aX?8l0^^<<2QplnZSFZ]UxxokLus]EWHYANm;9>6\\220SvjlX]HX_S~zmeBwq[CUJWOLo= Ga229QW755X{eaSXO]T^qwf`ErzVLXARHId0/Jj47a3[Y9??^}ok]VEWRX{}hnOx|PFRO\BCb5:;1Y_?==Psmi[PGU\VynhMzr^DPIZ@Al;'Bb?=4RR006UthbV_J^YQ|tcg@qwYA[DUMJi<"Io314>TT::8[~bdPU@PW[vremJySK]B_Nww44463[Y9??^}ok]VEWRX{}hnOx|Pclr\at6789;n7_]=33RqkoYRI[^Ty|Lus]EWHYANm;9=6\\220SvjlX]HX_S~z}Ctp\BVKXNOn:!D`=2:PP664Wzf`TYL\[_rvqGptXNZGTJKj>-Hl25`=U[;99\ae_TCQPZuszJySK]B_GDg677<ZZ88>]|`j^WBVQYt|{I~~RH\M^DE`7+Nf;?0^^<<2QplnZSFZ]UxxMzr^DPIZ@Al;'BbR]X00d8VV44:YxdfR[NRU]ppwErzVLXARAzt2314>TT::8[~bdPU@PW[vruK|xTo`~Pep23457b3[Y9??^}ok]VEWRX{}~HyQISL]EBa75:2XX>><_rnh\QDTSWz~Ox|PFRO\BCb6%@d:>>5]S311TwimW\KYXR}{tBwq[CUJWOLo= Ga1006?WU5;;ZycgQZASV\wqrD}{UM_@QIFe3.Mk7Xn<;n7_]=33RqkoYRI[^TyzLus]EWHYANm89=6\\220SvjlX]HX_S~z{Ctp\BVKXNOn9!D`=2:PP664Wzf`TYL\[_rvwGptXNZGTJKj=-Hl252=U[;99\ae_TCQPZraK}x7<3?8;SQ177VugcU^M_ZPtgAwv9799>1Y_?==Psmi[PGU\V~mOy|32?34?WU5;;ZycgQZASV\pcEsz595=;5]S311TwimW\KYXRziCup\440<ZZ88>]|`j^WBVQYsnJ~yS<?9;SQ177VugcU^M_ZPtgAwvZ46>2XX>><_rnh\QDTSW}lHxQ<199QW755X{eaSXO]T^veWWc`494:46\\220SvjlX]HX_Syh\Rde?5;7?3[Y9??^}ok]VEWRX|oYYij2=>0:8VV44:YxdfR[NRU]wbVTbo595=55]S311TwimW\KYXRziSSgd818602XX>><_rnh\QDTSW}lX^hi35?3;?WU5;;ZycgQZASV\pcUUmn6=2<64RR006UthbV_J^YQ{fRPfc919911Y_?==Psmi[PGU\V~m__kh<9<2<>TT::8[~bdPU@PW[q`TZlm753?8;SQ177VugcU^M_ZPtgQQabY79>1Y_?==Psmi[PGU\V~m__kh_034?WU5;;ZycgQZASV\pcUUmnU9=:5]S311TwimW\KYXRziSSgd[6703[Y9??^}ok]VEWRX|oYYijQ;169QW755X{eaSXO]T^veWWc`W<;<7_]=33RqkoYRI[^Txk]]ef]552=U[;99\ae_TCQPZra[[olS:?8;SQ177VugcU^M_ZPtgQQabY?9>1Y_?==Psmi[PGU\V~m__kh_803?WU5;;ZycgQZASV\pcUUmnUfyu>?01>3:77<ZZ88>]|`j^WBVQYsnZXnkRczx12349699;:0^^<<2QplnZSFZ]Uj^\jg^ov|56785;5><5]S311TwimW\KYXRziSSgd[hs89:;0<0>219QW755X{eaSXO]T^veWWc`Wds<=>?<3<15>TT::8[~bdPU@PW[q`TZlmTaxv?012?6;7582XX>><_rnh\QDTSW}lX^hiPmtz3456;;78:7_]=33RqkoYRI[^Txk]]ef]nq}6789682<<?;SQ177VugcU^M_ZPtgQQabYj}q:;<=2;>338VV44:YxdfR[NRU]wbVTboVg~t=>?0=6=576<ZZ88>]|`j^WBVQYsnZXnkRczx1234939:81Y_?==Psmi[PGU\V~m__kh_lw{45674<4:>=5]S311TwimW\KYXRziSSgd[hs89:;0;0=1:PP664Wzf`TYL\[_udPV`aXe|r;<=>36?314>TT::8[~bdPU@PW[q`TZlmTaxv?012?3;463[Y9??^}ok]VEWRX|oYYijQbuy2345:0688;7_]=33RqkoYRI[^Txk]]ef]nq}6789632??4RR006UthbV_J^YQ{fRPfcZkrp9:;<1611328VV44:YxdfR[NRU]wbVTboVg~t=>?0=;=67=U[;99\ae_TCQPZra[[olS`{w01238<86:;80^^<<2QplnZSFZ]Uj^\jg^ov|5678535>?<>;SQ177VugcU^M_ZPtgQQabYj}q:;<=26>53g?WU5;;ZycgQZASV\pcUUmnUecy>?003f?WU5;;ZycgQZASV\pcUUmnUecy>?00320>TT::8[~bdPdcKmWla;87;?7_]=33RqkoYcj@dXej2>>068VV44:YxdfRjmIoQjc9499=1Y_?==Psmi[adNfZcl0>0>4:PP664Wzf`ThoGaShe?0;733[Y9??^}ok]gfLhTan6>2<:4RR006UthbVniEc]fg=4=51=U[;99\ae_e`JjVo`4>4:?6\\220SvjlXlkCe_diP0018VV44:YxdfRjmIoQjcZ76;2XX>><_rnh\`gOi[`mT><=4RR006UthbVniEc]fg^127>TT::8[~bdPdcKmWlaX<890^^<<2QplnZbeAgYbkR;>3:PP664Wzf`ThoGaShe\245<ZZ88>]|`j^faMkUnoV=:>6\\220SvjlX{}I~~1>1109QW755X{eaS~zLus]355=U[;99\ae_rvMa}r6j2XX>><_rnh\wqHbp}U;S`{w01235<=Umzgx1>1a:Pfwpjs494:m6\jstnw8469i2Xnxb{<03=e>Tb{|f0<<1a:Pfwpjs4895m6\jstnw8429i2Xnxb{<07=e>Tb{|f0<81a:Pfwpjs48=5m6\jstnw84>9i2Xnxb{<0;==>Tb{|f0<0n;Sgpqir;97;j7_k|umv?658f3[oxyaz320<b?Wct}e~7>?0n;Sgpqir;::427_k|umv?6;g<Zly~`y2=>0;8V`urd}682l5]erwop959901Yi~{ct=6==>Tb{|f0806;Sgpqir;>730^h}zlu>4:<=Umzgx1619:Pfwpjs404:7^84S2ffij0<[F_YOH84SSN22@1<[[F::R?;;U[SAf=R[LXTZD]FBMG0?SED12\BIZQ[YQG2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@2>^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo18\vr23hd7<384ao>3:43<ig6:2;5nn=3=50=ff585:6oa<3<23>gi4:0;285nn=1=2>gi4:4:56oa_1]LFP@>3hdT=RAMUG;8ekY5WFH^J45nn^1\KGSA;2hgy55mlt]LFP@13k~e0=09;cvm84813k~e0?07;cvm86<76?1ixc2<>`9apkY7W@H^Jl5mto]2[LDRNh1ixcQ=_H@VBd=e|gU8SDLZF`9apkYbp}6;2l5mto]f|q:66h1ixcQjxu>1:d=e|gUnty2<>b9apkYbp}6?6=0n;cvm[`~s4=4n7oza_dzw[5YNJ\Ln7oza_dzw[4YNJ\Ln7oza_dzw[7YNJ\Ln7oza_dzw[6YNJ\Ln7oza_dzw[1YNJ\L27nbddht?4;g<keaoe{2>0?c8gimca6:=3o4cmigms:6:7k0oaekiw>27;g<keaoe{2>4?c8gimca6:93o4cmigms:6>7k0oaekiw>23;g<keaoe{2>8?c8gimca6:5374cmigms:66h1h`fjfv=03:d=ddbnbz1<>>`9`hnbn~5892l5lljfjr944601h`fjfv=0==>ekcmc}0>06;bnh`lp;<730oaekiw>6:<=ddbnbz1819:aooaoq4>427nbddht?<;?<keaoe{26>99`hnbn~V:37nbddht\5<=ddbnbzR??9:aooaoqW8;27nbddht\57?<keaoe{Q>389`hnbn~V;?56mckeku[43>3jf`hdxP17;8gimcaU:;45lljfjrZ7?12iggigy_0;;?fjll`|T>45lljfjrZ4712iggigy_33:?fjll`|T>?74cmigmsY5;11h`fjfv^1;?fjll`|T855lljfjrZ3?3jf`hdxP699`hnbn~V=37nbddht\<==ddbnbzR78;blwv969?2iex2>>69`jqt;:7=0ocz}<2<5?fhszV:=7n`{r^35?fhszV8=7n`{r^1e?fhszVif|Rk~012355=df}xTo`~Pep2345743nxe46i}n^MAQC4<`z=0d~Q@BTD;?nd6WMLM=55db0]GBC403bh:SFMF8:ia5ZMDA8i0go?PKBK\FP@@?2ai=REKIe9hf4YJ]QE^X1>1e:ia5ZKRPF__0=0>d:ia5ZKRPF__0<0j;j`2[HS_G\^7=3?k;j`2[HS_G\^7>3k4kc3\IP^H]]692<j4kc3\IP^H]]682h5db0]NQ]IR\595=<94kc3\Ifirf}Uh`fJfv^az858602ai=RClotlw[fjlL`|Tot2>0?3a?nd6WDidyczPcmiGmsYdq5;;2R|{199hf4YJkfexRmckEku[f;984:n6em1^O`kphsWjf`HdxPcx>25;Yu|8=0go?PMbmvjqYddbNbzRmv<0<2e>me9VGhcx`{_bnh@lpXkp6:2R|{169hf4YJkfexRmckEku[f;:7;j7fl>_LalqkrXkeaOe{Qly=0=[wr6?2ai=RClotlw[fjlL`|Tot2<>0c8og7XEje~byQlljFjrZe~4:4T~y?8;j`2[Heh}g~ToaeKiw]`}9299h1`n<QBcnwmpZekcMc}Snw34?]qp41<ck;TAnaznu]`hnBn~Vir080>a:ia5ZKdg|dSnbdDht\g|:26Vx=:5db0]Ngjsi|ViggIgy_b{?2;7f3bh:S@m`uov\gimCaUhu181_sv23>me9VGhcx`{_bnh@lpXkp6<2<o4kc3\Ifirf}Uh`fJfv^az828Xz};<7fl>_LalqkrXkeaOe{Qly=:=5d=lj8UFob{at^aooAoqWjs743Q}t058og7XEje~byQlljFjrZe~404:m6em1^O`kphsWjf`HdxPcx>::Zts911`n<QBcnwmpZekcMc}Sa{{<1<2a>me9VGhcx`{_bnh@lpXd|~7<3QFNW]35==lj8UFob{at^aooAoqWqey0=0>9:ia5ZKdg|dSnbdDht\|jt;994:56em1^O`kphsWjf`HdxPxnp?548612ai=RClotlw[fjlL`|Ttb|313<2g>me9VGhcx`{_bnh@lpXpfx7=?0Pru3;?nd6WDidyczPcmiGmsYg{6:2<64kc3\Ifirf}Uh`fJfv^zlv949911`n<QBcnwmpZekcMc}Sua}<2<2<>me9VGhcx`{_bnh@lpXpfx783?7;j`2[Heh}g~ToaeKiw]{kw:26820go?PMbmvjqYddbNbzRv`r=4=5==lj8UFob{at^aooAoqWqey0:0>8:ia5ZKdg|dSnbdDht\|jt;07;37fl>_LalqkrXkeaOe{Qwos>::a=lj8UYi~{ct=2=a>me9VXnxb{<02=a>me9VXnxb{<03=a>me9VXnxb{<00=`>me9VXnxb{<0<g?nd6W[oxyaz32?f8og7XZly~`y2<>e9hf4YUmzgx1:1d:ia5ZTb{|f080k;j`2[Wct}e~7:3j4kc3\V`urd}6<2i5db0]Qavsk|525h6em1^Pfwpjs404n7fl>_VcjpWc`494n7fl>_VcjpWc`484n7fl>_VcjpWc`4;4n7fl>_VcjpWc`4:4n7fl>_VcjpWc`4=4n7fl>_VcjpWc`4<4n7fl>_VcjpWc`4?4n7fl>_VcjpWc`4>4o7fl>_VcjpWc`W9n0go?PW`kwV`aX9m1`n<QXahvQabY5l2ai=RYniuPfcZ5c3bh:SZoftSgd[1b<ck;T[lg{Rde\1a=lj8U\mdz]ef]5`>me9V]jey\jg^522>me9V]jey\jg^antZcv89:;=55db0]TelrUmnUha}Qjq123441602ai=RYniuPfcZejxVoz<=>?1934?nd6W^kbx_kh_bos[`w789:?h6em1^aooAoq494n7fl>_bnh@lp;994n7fl>_bnh@lp;984n7fl>_bnh@lp;9;4o7fl>_bnh@lp;97n0go?PcmiGms:56m1`n<QlljFjr959l2ai=RmckEku818c3bh:SnbdDht?1;b<ck;ToaeKiw>5:a=lj8Uh`fJfv=5=`>me9ViggIgy<9<g?nd6Wjf`Hdx39?a8og7XkeaOe{Q?c:ia5ZekcMc}S<j4kc3\gimCaU:<i5db0]`hnBn~V;:h6em1^aooAoqW88h7fl>_bnh@lpX:j1`n<QlljFjrZ5d3bh:SnbdDht\0f=lj8Uh`fJfv^7`?nd6Wjf`HdxP6b9hf4YddbNbzR9l;j`2[fjlL`|T4n5db0]`hnBn~V3:86em1^qkmcrUmnYbk<2>0?30?nd6Wzbbjy\jgRkd59799:1`n<Q|hhdwV`aTan;7>3?<;j`2[vnnn}Xnk^gh1=1=56=lj8Uxddh{RdePmb7;<7;87fl>_rjjbqTboZcl=1;1129hf4Yt``l^hi\if3?2;743bh:S~fffuPfcVo`95=5=>5db0]pll`sZlmXej?38?30?nd6Wzbbjy\jgRkd59?99;1`n<Q|hhdwV`aTan;T=<=4kc3\wmoa|[ol_di>_0226>me9Vycekz]efQjc4Y59;1`n<Q|hhdwV`aTan;T?<<4kc3\wmoa|[ol_di>_531?nd6Wzbbjy\jgRkd5Z36:2ai=R}gigvQabUno8U==?5db0]pll`sZlmXej?P7008og7X{acmx_khShe2[=753bh:S~fffuPfcVo`9V3:?6em1^qkmcrUmnYbk?2>>018og7X{acmx_khShe18786;2ai=R}gigvQabUno;682<=4kc3\wmoa|[ol_di=<5<27>me9Vycekz]efQjc7:26890go?PsikepWc`[`m90;0>3:ia5Zuoao~Yij]fg3>4:45<ck;TegitSgdWla5414:>6em1^qkmcrUmnYbk?Q>139hf4Yt``l^hi\if0\644<ck;TegitSgdWla5W:;97fl>_rjjbqTboZcl>R:>2:ia5Zuoao~Yij]fg3]657=lj8Uxddh{RdePmb4X>880go?PsikepWc`[`m9S:?=;j`2[vnnn}Xnk^gh2^:27>me9Vycekz]efQjc7Y?98>0go?PsikepWc`[`m9S5?>2:i`3>mdW@H^J?5dd69h`ZOE]O<0`hj30?48h`b;97<0`hj32?48h`b;;7<0`hj34?48h`b;=7<0`hj36?:8h`b;?3:5:6bjd=5=e>jblV:TCO[Ia:nf`Z7XGK_Mm6bjd^0\KGSAi2fnhR=POCWEe>jblV>TCO[Ia:nf`Z3XGK_Mm6bjd^4\KGSAi2fnhR9POCWEe>jblVosx1>1a:nf`Zc|5;5=<5cee]f|q:668UBB[Q?a:nf`Zc|585m6bjd^g{p959981giiQjxu>0:4YNF_U;m6bjd^g{p929i2fnhRkwt=7=54=kmmUnty2:>0]JJSY7i2fnhRkwt=4=g>jblVosx1950?c8h`bXmq~7;3k4ldf\a}rX8VEIYKk4ldf\a}rX9VEIYKk4ldf\a}rX:VEIYKk4ldf\a}rX;VEIYKk4ldf\a}rX<VEIYKk4ldf\a}rX=VEIYKk4ldf\a}rX>VEIYK?7;mgg[`~sW?Uecy>?013\MKPX8l1giiQjxu]4[JDRN820`hjPeyv\3Zhh|9:;<<QFNW]30>kddbk0anbd_CWECU5<zln37kk_N@VB6=upm20~ujPICWE2>uto5:5:6}|g=3=2>uto585:6}|g=1=2>uto5>5:6}|g=7=2>uto5<546}|g=594;0<{zm7;3o4sre\4ZIE]O;;7~}h_1]nq}6789;??<<4sre\4Zkrp9:;<<:<_G31?vu`W9Ufyu>?01377ZAf3zylS<Q@BTD24>utoV;Taxv?012245753zylS<Qbuy2345778VL:>6}|g^3\ip~789::<=QHa:qpcZ4XGK_Mj6}|g^0\ip~789:=5<?4sre\6Zkrp9:;<;7PF038wvaX:Vg~t=>?07;\Cd=t{nU8SBLZF028wvaX;Vg~t=>?006557=t{nU8S`{w0123510XN880~iP3^ov|56788>=SJo4sre\0ZIE]Ol0~iP4^ov|5678?>:=6}|g^6\ip~789:=8RH>1:qpcZ2Xe|r;<=>94^Eb?vu`W<UDNXH>0:qpcZ3Xe|r;<=>>0931?vu`W<Ufyu>?0133<Z@6:2yxkR;Pmtz3456681ULm6}|g^4\KGSA991xjQ9_lw{456799?:>6}|g^4\ip~789::<8QI139pwbY1Wds<=>?117\Cd=t{nU<SBLZF038wvaX?VEIYKQ|hhdw547<{zmT;RAMUG]pll`s:8;0~iP7^MAQCYt``l?;5|wu>3:3=t}6:2;5|wu>1:3=t}682;5|wu>7:3=t}6>2;5|wu>5:==t}6<6=09;ruw828f3z}S=QFBTD0?q~c02~shRAMUG37?saf9VGhcx`{_ia\g|:768>0zjo>_LalqkrX`jUhu1?1169ucd7XEje~byQgc^az848Xz};?7{in1^O`kphsWaiTot2=>058rbg6WDidyczPhb]`}949W{~:86xha0]Ngjsi|VbhSnw33?34?saf9VGhcx`{_ia\g|:46Vx=95yg`3\Ifirf}UcoRmv<5<23>p`i8UFob{at^j`[f;<7Uyx<:4vfc2[Heh}g~TdnQly=7=52=qoh;TAnaznu]kgZe~4<4T~y?;;web5ZKdg|dSemPcx>5:41<~nk:S@m`uov\lfYdq5<5Sz>4:tde4YJkfexRfl_b{?3;703mj=RClotlw[meXkp6<2R|{159ucd7XEje~byQgc^az8=86?2|lm<QBcnwmpZndWjs743Q}t078rbg6WDidyczPhb]oqq:768h0zjo>_LalqkrX`jUgyy2?>^KMRZ66=2|lm<QBcnwmpZndWqey0=0>5:tde4YJkfexRfl_ymq8486=2|lm<QBcnwmpZndWqey0?0>5:tde4YJkfexRfl_ymq8686=2|lm<QBcnwmpZndWqey090>5:tde4YJkfexRfl_ymq8086=2|lm<QBcnwmpZndWqey0;0>5:tde4YJkfexRfl_ymq8286=2|lm<QBcnwmpZndWqey050>5:tde4YJkfexRfl_ymq8<8602|lm<QBcnwmpZndWqey040Pru37?saf9VGhcx`{_wa\g|:768>0zjo>_LalqkrX~jUhu1?1169ucd7XEje~byQyc^az848Xz};?7{in1^O`kphsWiTot2=>058rbg6WDidyczPvb]`}949W{~:86xha0]Ngjsi|V|hSnw33?34?saf9VGhcx`{_wa\g|:46Vx=95yg`3\Ifirf}U}oRmv<5<23>p`i8UFob{at^t`[f;<7Uyx<:4vfc2[Heh}g~TznQly=7=52=qoh;TAnaznu]ugZe~4<4T~y?;;web5ZKdg|dS{mPcx>5:41<~nk:S@m`uov\rfYdq5<5Sz>4:tde4YJkfexRxl_b{?3;703mj=RClotlw[seXkp6<2R|{159ucd7XEje~byQyc^az8=86?2|lm<QBcnwmpZpdWjs743Q}t078rbg6WDidyczPvb]oqq:768h0zjo>_LalqkrX~jUgyy2?>^KMRZ66=2|lm<QBcnwmpZpdWqey0=0>5:tde4YJkfexRxl_ymq8486=2|lm<QBcnwmpZpdWqey0?0>5:tde4YJkfexRxl_ymq8686=2|lm<QBcnwmpZpdWqey090>5:tde4YJkfexRxl_ymq8086=2|lm<QBcnwmpZpdWqey0;0>5:tde4YJkfexRxl_ymq8286=2|lm<QBcnwmpZpdWqey050>5:tde4YJkfexRxl_ymq8<8602|lm<QBcnwmpZpdWqey040Pru:8rbg6WG::;6xha0]M52=qoh;TB?k4vfc2[Wct}e~7<3h4vfc2[Wct}e~7<3?j;web5ZTb{|f0<0i;web5ZTb{|f0<0>e:tde4YUmzgx1<1f:tde4YUmzgx1<11d9ucd7XZly~`y2<>g9ucd7XZly~`y2<>0g8rbg6W[oxyaz34?d8rbg6W[oxyaz34?3f?saf9VXnxb{<4<e?saf9VXnxb{<4<2a>p`i8UYi~{ct=4=b>p`i8UYi~{ct=4=5`=qoh;T^h}zlu>4:c=qoh;T^h}zlu>4:4c<~nk:S_k|umv?<;`<~nk:S_k|umv?<;7b3mj=R\jstnw8<8a3mj=R\jstnw8<86991}kl?Pbmw\ekb789::86xha0]ahpYffm:;<=Q\W1`8rbg6Wjf`hdxl;web5Zekcmc}=<>4vfc2[fjll`|TNXHH139ucd7Xo{dTmcj?0122547<~nk:Sj|a_`lg4567:h1}kl?Phb>3:d=qoh;Tdn2>>`9ucd7X`j692l5yg`3\lf:46h1}kl?Phb>7:d=qoh;Tdn2:>`9ucd7X`j6=2l5yg`3\lf:06h1}kl?Phb>;:d=qoh;Tdn26>89ucd7X`jU;56xha0]kgZ7>3mj=Rfl_3;8rbg6WaiT?45yg`3\lfY312|lm<Qgc^7:?saf9VbhS;74vfc2[meX?01}kl?Phb];=>p`i8UcoR7>2:tde4YokVif|Rk~012356=qoh;TdnQlmq]fu56788;87{in1^jp[fkwWl{;<=>>119ucd7XzlnTmcj?01226>p`i8UyiiQnne2345769=1}kl?Prdf\ekb789:T_Z>n;web5Zpd494j7{in1^t`848f3mj=Rxl<3<b?saf9V|h0>0n;web5Zpd4=4j7{in1^t`808f3mj=Rxl<7<b?saf9V|h0:0n;web5Zpd414j7{in1^t`8<8>3mj=Rxl_1;8rbg6WiT=45yg`3\rfY512|lm<Qyc^1:?saf9V|hS974vfc2[seX=01}kl?Pvb]5=>p`i8U}oR96;web5ZpdW130zjo>_wa\=c=qoh;TznQnne2345773mj=Rxl_`lg456798>0zjo>_wa\ekb789::S^Y?169ucd7X~jUjbi>?01]PS5YT_9;97{in1^tp[fkwWl{;<=>>3:tde4Yq{Vif|Rk~0123543<~nk:S{}Pclr\at6789Um9i5yg`3\rvciikfn=85yg`3\rvciikfnSca{012252=qoh;Tz~kaacnf[kis89::=4?8;web5Zptmgki`hQaou23444?9?1}kl?PvrgmegjbWge<=>>739uw2=q{VEIYK??;ya0w767i$?&z|??0|BCta?43IJs=km5F;095~U4038;o78n:01014be28?98v`=0`82?k47j3<0(?>6:327?xU4?38;o78n:01014be28?9;6j>fb83>4<6sZ936?>l:7c956529mh1=8<;;wV2ba<7280:6??j{R1;>76d2?k1=>=:1e`950433-8;?78>;%f5>1673k;mo7>536814`<59lqC>=?4$323>4`d3S8n6:u>1;03>4`=9l0:<7?=:0f9yl54;3:17d=?4;29?j41k3:17d=?6;29?j5103:17b=ie;29?j41i3:17b=<1;29?l55n3:17b<>a;29?j46<3:1(i652018ja1=821d><?50;&g<?46;2do;7?4;n03b?6=,m21><=4ne596>=h:9n1<7*k8;027>hc?3907b=<d;29 a>=;:i0bi950:9l76d=83.o47=<c:lg3?7<3f98m7>5$e:976e<fm=1>65`32;94?"c0398o6`k7;18?j5403:1(i6532a8ja1=<21d?>950;&g<?54k2do;7;4;n102?6=,m21?>m4ne592>=h;:?1<7*k8;10g>hc?3=07b==4;29 a>=;;90bi950:9l774=83.o47==3:lg3?7<3f99<7>5$e:9775<fm=1>65`30d94?"c0399?6`k7;18?j56l3:1(i653318ja1=<21d?<m50;&g<?55;2do;7;4;n12e?6=,m21??=4ne592>=h;831<7*k8;117>hc?3=07b=>0;29 a>=;9l0bi950:9l75c=83.o47=?f:lg3?7<3f9;h7>5$e:975`<fm=1>65`31a94?"c039;j6`k7;18?j57j3:1(i6531d8ja1=<21d?=o50;&g<?57n2do;7;4;n13=?6=,m21?=h4ne592>=h;921<7*k8;13b>hc?3=07d<=0;29 a>=:8l0bi950:9j64c=83.o47<>f:lg3?7<3`8:h7>5$e:964`<fm=1>65f20a94?"c038:j6`k7;18?l45i3:1(i6523;8ja1=821b>?650;&g<?4512do;7?4;h013?6=,m21>?74ne596>=n:;<1<7*k8;01=>hc?3907d<=5;29 a>=:;30bi954:9j730=83.o47=95:lg3?6<3`9=87>5$e:9733<fm=1=65f37194?"c039=96`k7;08?l51:3:1(i653778ja1=;21b?;?50;&g<?51=2do;7:4;h154?6=,m21?;;4ne591>=n;<l1<7*k8;151>hc?3<07d=:e;29 a>=;??0bi957:9a651=83;1<7>t$323>02<@;:=7E<?1:m66?6=3th9<54?:083>5}#:9:1>=<4H325?M4792eo97>5;|`2`f<72=0;6=u+21290d=O:9<0D?>>;%7a>5=n:o0;66g<9;29?lbf2900ci750;9~f72?29086=4?{%034?223A8;:6F=009j6c<722c857>5;nf:>5<<uk8?57>53;294~"5890?96F=079K657<a;l1<75f3883>>ic13:17pl=5583>6<729q/>=>5449K650<@;::7d<i:188m6?=831dh44?::a60?=8391<7>t$323>13<@;:=7E<?1:k1b?6=3`926=44oe;94?=zj;?j6=4<:183!4783>>7E<?6:J144=n:o0;66g<9;29?jb>2900qo<:b;297?6=8r.9<=4;5:J143=O:9;0e?h50;9j7<<722eo57>5;|`11f<72:0;6=u+212900=O:9<0D?>>;h0e>5<<a:31<75`d883>>{e:<n1<7=50;2x 7672=?0D?>9;I035>o5n3:17d=6:188ka?=831vn?;j:180>5<7s-8;<7::;I032>N5881b>k4?::k0=?6=3fn26=44}c06b?6=;3:1<v*=01871>N58?1C>=?4i3d94?=n;00;66ak9;29?xd5<h0;6>4?:1y'656=<<1C>=84H322?l4a2900e>750;9l`<<722wi>9l50;194?6|,;:;69;4H325?M4792c9j7>5;h1:>5<<gm31<75rb36`>5<4290;w)<?0;66?M47>2B9<<5f2g83>>o413:17bj6:188yg43l3:1?7>50z&145<3=2B9<;5G2138m7`=831b?44?::mg=?6=3th98h4?:283>5}#:9:1885G2148L7663`8m6=44i2;94?=hl00;66sm25d94?5=83:p(?>?:578L7613A8;=6g=f;29?l5>2900ci750;9~f73729086=4?{%034?223A8;:6F=009j6c<722c857>5;nf:>5<<uk8>=7>53;294~"5890?96F=079K657<a;l1<75f3883>>ic13:17pl=5383>6<729q/>=>5449K650<@;::7d<i:188m6?=831dh44?::a605=8391<7>t$323>13<@;:=7E<?1:k1b?6=3`926=44oe;94?=zj;?>6=4<:183!4783>>7E<?6:J144=n:o0;66g<9;29?jb>2900qo<:6;297?6=8r.9<=4;5:J143=O:9;0e?h50;9j7<<722eo57>5;|`112<72:0;6=u+212900=O:9<0D?>>;h0e>5<<a:31<75`d883>>{e:<21<7=50;2x 7672=?0D?>9;I035>o5n3:17d=6:188ka?=831vn?8;:187>5<7s-8;<7:n;I032>N5881b>k4?::k0=?6=3`nj6=44oe;94?=zj;<>6=4;:183!4783>j7E<?6:J144=n:o0;66g<9;29?lbf2900ci750;9~f701290?6=4?{%034?2f3A8;:6F=009j6c<722c857>5;hfb>5<<gm31<75rb344>5<3290;w)<?0;6b?M47>2B9<<5f2g83>>o413:17djn:188ka?=831vn;j50;694?6|,;:;6;l4H325?M4792c887>5;h1b>5<<a8li6=44oe194?=zj0i1<7=50;2x 7672;:>7E<?6:J144=n;=0;66g:f;29?jb42900qo6=:187>5<7s-8;<78m;I032>N5881b?94?::k0e?6=3`;mn7>5;nf0>5<<ukk<6=4<:183!47838;96F=079K657<a:>1<75f5g83>>ic;3:17pl7a;290?6=8r.9<=49b:J143=O:9;0e>:50;9j7d<722c:jo4?::mg7?6=3thjh7>53;294~"58909<85G2148L7663`9?6=44i4d94?=hl:0;66sm8b83>1<729q/>=>56c9K650<@;::7d=;:188m6g=831b=kl50;9l`6<722wimh4?:283>5}#:9:1>=;4H325?M4792c887>5;h7e>5<<gm91<75rb9g94?2=83:p(?>?:7`8L7613A8;=6g<4;29?l5f2900e<hm:188ka5=831vnlh50;194?6|,;:;6?>:;I032>N5881b?94?::k6b?6=3fn86=44}c;3>5<3290;w)<?0;4a?M47>2B9<<5f3583>>o4i3:17d?ib;29?jb42900qol?:180>5<7s-8;<7<?5:J143=O:9;0e>:50;9j1c<722eo?7>5;|`:6?6=<3:1<v*=0185f>N58?1C>=?4i2694?=n;h0;66g>fc83>>ic;3:17plm1;297?6=8r.9<=4=049K650<@;::7d=;:188m0`=831dh>4?::a=1<72=0;6=u+21292g=O:9<0D?>>;h17>5<<a:k1<75f1g`94?=hl:0;66smb383>6<729q/>=>52178L7613A8;=6g<4;29?l3a2900ci=50;9~f<0=83>1<7>t$323>3d<@;:=7E<?1:k00?6=3`9j6=44i0da>5<<gm91<75rbc194?5=83:p(?>?:326?M47>2B9<<5f3583>>o2n3:17bj<:188yg??290?6=4?{%034?0e3A8;:6F=009j71<722c8m7>5;h3ef?6=3fn86=44}c`7>5<4290;w)<?0;031>N58?1C>=?4i2694?=n=o0;66ak3;29?xd1m3:187>50z&145<1j2B9<;5G2138m62=831b?l4?::k2bg<722eo?7>5;|`:`?6=;3:1<v*=018140=O:9<0D?>>;h17>5<<a<l1<75`d283>>{e?90;694?:1y'656=>k1C>=84H322?l532900e>o50;9j5cd=831dh>4?::a=`<72:0;6=u+2129653<@;:=7E<?1:k00?6=3`?m6=44oe194?=zj>81<7:50;2x 7672?h0D?>9;I035>o4<3:17d=n:188m4`e2900ci=50;9~f<`=8391<7>t$323>7623A8;:6F=009j71<722c>j7>5;nf0>5<<uk=?6=4;:183!4783<i7E<?6:J144=n;=0;66g<a;29?l7aj3:17bj<:188ygg729086=4?{%034?47=2B9<;5G2138m62=831b9k4?::mg7?6=3th<:7>54;294~"5890=n6F=079K657<a:>1<75f3`83>>o6nk0;66ak3;29?xdf93:1?7>50z&145<58<1C>=84H322?l532900e8h50;9l`6<722wi;54?:583>5}#:9:1:o5G2148L7663`9?6=44i2c94?=n9oh1<75`d283>>{ei;0;6>4?:1y'656=:9?0D?>9;I035>o4<3:17d;i:188ka5=831vn:o50;694?6|,;:;6;l4H325?M4792c887>5;h1b>5<<a8li6=44oe194?=zjh91<7=50;2x 7672;:>7E<?6:J144=n;=0;66g:f;29?jb42900qo9l:187>5<7s-8;<78m;I032>N5881b?94?::k0e?6=3`;mn7>5;nf0>5<<ukk?6=4<:183!47838;96F=079K657<a:>1<75f5g83>>ic;3:17pl8e;290?6=8r.9<=49b:J143=O:9;0e>:50;9j7d<722c:jo4?::mg7?6=3thj97>53;294~"58909<85G2148L7663`9?6=44i4d94?=hl:0;66sm8183>1<729q/>=>56c9K650<@;::7d=;:188m6g=831b=kl50;9l`6<722wim;4?:283>5}#:9:1>=;4H325?M4792c887>5;h7e>5<<gm91<75rb9694?2=83:p(?>?:7`8L7613A8;=6g<4;29?l5f2900e<hm:188ka5=831vnl650;194?6|,;:;6?>:;I032>N5881b?94?::k6b?6=3fn86=44}c:5>5<3290;w)<?0;4a?M47>2B9<<5f3583>>o4i3:17d?ib;29?jb42900qoo6:180>5<7s-8;<7<?5:J143=O:9;0e>:50;9j1c<722eo?7>5;|`;<?6=<3:1<v*=0185f>N58?1C>=?4i2694?=n;h0;66g>fc83>>ic;3:17plna;297?6=8r.9<=4=049K650<@;::7d=;:188m0`=831dh>4?::aeg<72:0;6=u+2129653<@;:=7E<?1:k00?6=3`?m6=44oe194?=zj8n86=4;:183!4783?o7E<?6:J144=n;00;66g:2;29?l342900ci750;9~f4b3290?6=4?{%034?3c3A8;:6F=009j7<<722c>>7>5;h70>5<<gm31<75rb0f6>5<3290;w)<?0;7g?M47>2B9<<5f3883>>o2:3:17d;<:188ka?=831vn<j9:187>5<7s-8;<7;k;I032>N5881b?44?::k66?6=3`?86=44oe;94?=zj8n<6=4;:183!4783?o7E<?6:J144=n;00;66g:2;29?l342900ci750;9~f4b?290?6=4?{%034?3c3A8;:6F=009j7<<722c>>7>5;h70>5<<gm31<75rb0f:>5<3290;w)<?0;7g?M47>2B9<<5f3883>>o2:3:17d;<:188ka?=831vn<jn:187>5<7s-8;<7;k;I032>N5881b?44?::k66?6=3`?86=44oe;94?=zj:2=6=4<:183!47838;96F=079K657<a:>1<75f5g83>>ic;3:17pl<8483>6<729q/>=>52178L7613A8;=6g<4;29?l3a2900ci=50;9~f61>290?6=4?{%034?0e3A8;:6F=009j71<722c8m7>5;h3ef?6=3fn86=44}c1;0?6=;3:1<v*=018140=O:9<0D?>>;h17>5<<a<l1<75`d283>>{e;>=1<7:50;2x 7672?h0D?>9;I035>o4<3:17d=n:188m4`e2900ci=50;9~f6>429086=4?{%034?47=2B9<;5G2138m62=831b9k4?::mg7?6=3th8;84?:583>5}#:9:1:o5G2148L7663`9?6=44i2c94?=n9oh1<75`d283>>{e;181<7=50;2x 7672;:>7E<?6:J144=n;=0;66g:f;29?jb42900qo=83;290?6=8r.9<=49b:J143=O:9;0e>:50;9j7d<722c:jo4?::mg7?6=3th84<4?:283>5}#:9:1>=;4H325?M4792c887>5;h7e>5<<gm91<75rb252>5<3290;w)<?0;4a?M47>2B9<<5f3583>>o4i3:17d?ib;29?jb42900qo=70;297?6=8r.9<=4=049K650<@;::7d=;:188m0`=831dh>4?::a73`=83>1<7>t$323>3d<@;:=7E<?1:k00?6=3`9j6=44i0da>5<<gm91<75rb25e>5<4290;w)<?0;031>N58?1C>=?4i2694?=n=o0;66ak3;29?xd4>m0;694?:1y'656=>k1C>=84H322?l532900e>o50;9j5cd=831dh>4?::a72c=8391<7>t$323>7623A8;:6F=009j71<722c>j7>5;nf0>5<<uk9=n7>54;294~"5890=n6F=079K657<a:>1<75f3`83>>o6nk0;66ak3;29?xd4?m0;6>4?:1y'656=:9?0D?>9;I035>o4<3:17d;i:188ka5=831vn>8n:187>5<7s-8;<78m;I032>N5881b?94?::k0e?6=3`;mn7>5;nf0>5<<uk9j87>53;294~"58909<85G2148L7663`9?6=44i4d94?=hl:0;66sm3`194?5=83:p(?>?:326?M47>2B9<<5f3583>>o2n3:17bj<:188yg5>?3:187>50z&145<1j2B9<;5G2138m62=831b?l4?::k2bg<722eo?7>5;|`0e7<72:0;6=u+2129653<@;:=7E<?1:k00?6=3`?m6=44oe194?=zj:3>6=4;:183!4783<i7E<?6:J144=n;=0;66g<a;29?l7aj3:17bj<:188yg5f93:1?7>50z&145<58<1C>=84H322?l532900e8h50;9l`6<722wi?4=50;694?6|,;:;6;l4H325?M4792c887>5;h1b>5<<a8li6=44oe194?=zj:k;6=4<:183!47838;96F=079K657<a:>1<75f5g83>>ic;3:17pl<9083>1<729q/>=>56c9K650<@;::7d=;:188m6g=831b=kl50;9l`6<722wi?4h50;194?6|,;:;6?>:;I032>N5881b?94?::k6b?6=3fn86=44}c1;b?6=<3:1<v*=0185f>N58?1C>=?4i2694?=n;h0;66g>fc83>>ic;3:17pl<9d83>6<729q/>=>52178L7613A8;=6g<4;29?l3a2900ci=50;9~f6>c290?6=4?{%034?0e3A8;:6F=009j71<722c8m7>5;h3ef?6=3fn86=44}c1:`?6=;3:1<v*=018140=O:9<0D?>>;h17>5<<a<l1<75`d283>>{e;1h1<7:50;2x 7672?h0D?>9;I035>o4<3:17d=n:188m4`e2900ci=50;9~f6?d29086=4?{%034?47=2B9<;5G2138m62=831b9k4?::mg7?6=3th8444?:583>5}#:9:1:o5G2148L7663`9?6=44i2c94?=n9oh1<75`d283>>{e;0h1<7=50;2x 7672;:>7E<?6:J144=n;=0;66g:f;29?jb42900qo=78;290?6=8r.9<=49b:J143=O:9;0e>:50;9j7d<722c:jo4?::mg7?6=3th8j<4?:483>5}#:9:18o5G2148L7663`8m6=44i2194?=n;00;66gka;29?jb>2900qo=i0;291?6=8r.9<=4;b:J143=O:9;0e?h50;9j76<722c857>5;hfb>5<<gm31<75rb2ge>5<2290;w)<?0;6a?M47>2B9<<5f2g83>>o4;3:17d=6:188mag=831dh44?::a7`c=83?1<7>t$323>1d<@;:=7E<?1:k1b?6=3`986=44i2;94?=nlh0;66ak9;29?xd4mm0;684?:1y'656=<k1C>=84H322?l4a2900e>=50;9j7<<722com7>5;nf:>5<<uk9no7>55;294~"5890?n6F=079K657<a;l1<75f3283>>o413:17djn:188ka?=831vn>km:186>5<7s-8;<7:m;I032>N5881b>k4?::k07?6=3`926=44iec94?=hl00;66sm3dc94?3=83:p(?>?:5`8L7613A8;=6g=f;29?l542900e>750;9j`d<722eo57>5;|`0a<<72<0;6=u+21290g=O:9<0D?>>;h0e>5<<a:91<75f3883>>oci3:17bj6:188yg5b03:197>50z&145<3j2B9<;5G2138m7`=831b?>4?::k0=?6=3`nj6=44oe;94?=zj:n<6=4;:183!4783>j7E<?6:J144=n:o0;66g<9;29?lbf2900ci750;9~f6b1290?6=4?{%034?2f3A8;:6F=009j6c<722c857>5;hfb>5<<gm31<75rb2f6>5<3290;w)<?0;6b?M47>2B9<<5f2g83>>o413:17djn:188ka?=831vn>j;:187>5<7s-8;<7:n;I032>N5881b>k4?::k0=?6=3`nj6=44oe;94?=zj:n86=4;:183!4783>j7E<?6:J144=n:o0;66g<9;29?lbf2900ci750;9~f6b5290?6=4?{%034?2f3A8;:6F=009j6c<722c857>5;hfb>5<<gm31<75rb2f2>5<3290;w)<?0;6b?M47>2B9<<5f2g83>>o413:17djn:188ka?=831vn>j?:187>5<7s-8;<7:n;I032>N5881b>k4?::k0=?6=3`nj6=44oe;94?=zj:im6=4;:183!4783>j7E<?6:J144=n:o0;66g<9;29?lbf2900ci750;9~f6eb290?6=4?{%034?2f3A8;:6F=009j6c<722c857>5;hfb>5<<gm31<75rb2d:>5<3290;w)<?0;6b?M47>2B9<<5f2g83>>o413:17djn:188ka?=831vn>li:187>5<7s-8;<7:n;I032>N5881b>k4?::k0=?6=3`nj6=44oe;94?=zj;336=4<:183!47838;96F=079K657<a:>1<75f5g83>>ic;3:17pl=9683>6<729q/>=>52178L7613A8;=6g<4;29?l3a2900ci=50;9~f71a290?6=4?{%034?0e3A8;:6F=009j71<722c8m7>5;h3ef?6=3fn86=44}c0:2?6=;3:1<v*=018140=O:9<0D?>>;h17>5<<a<l1<75`d283>>{e:>n1<7:50;2x 7672?h0D?>9;I035>o4<3:17d=n:188m4`e2900ci=50;9~f7g529086=4?{%034?47=2B9<;5G2138m62=831b9k4?::mg7?6=3th95<4?:583>5}#:9:1:o5G2148L7663`9?6=44i2c94?=n9oh1<75`d283>>{e:h;1<7=50;2x 7672;:>7E<?6:J144=n;=0;66g:f;29?jb42900qo<7f;290?6=8r.9<=49b:J143=O:9;0e>:50;9j7d<722c:jo4?::mg7?6=3th9m=4?:283>5}#:9:1>=;4H325?M4792c887>5;h7e>5<<gm91<75rb3:g>5<3290;w)<?0;4a?M47>2B9<<5f3583>>o4i3:17d?ib;29?jb42900qo<6f;297?6=8r.9<=4=049K650<@;::7d=;:188m0`=831dh>4?::a6=d=83>1<7>t$323>3d<@;:=7E<?1:k00?6=3`9j6=44i0da>5<<gm91<75rb3;f>5<4290;w)<?0;031>N58?1C>=?4i2694?=n=o0;66ak3;29?xd5000;694?:1y'656=>k1C>=84H322?l532900e>o50;9j5cd=831dh>4?::a6<b=8391<7>t$323>7623A8;:6F=009j71<722c>j7>5;nf0>5<<uk83;7>54;294~"5890=n6F=079K657<a:>1<75f3`83>>o6nk0;66ak3;29?xd51j0;6>4?:1y'656=:9?0D?>9;I035>o4<3:17d;i:188ka5=831vn?6::187>5<7s-8;<78m;I032>N5881b?94?::k0e?6=3`;mn7>5;nf0>5<<uk82n7>53;294~"58909<85G2148L7663`9?6=44i4d94?=hl:0;66sm29194?2=83:p(?>?:7`8L7613A8;=6g<4;29?l5f2900e<hm:188ka5=831vn?7n:180>5<7s-8;<7<?5:J143=O:9;0e>:50;9j1c<722eo?7>5;|`1<4<72=0;6=u+21292g=O:9<0D?>>;h17>5<<a:k1<75f1g`94?=hl:0;66sm28794?5=83:p(?>?:326?M47>2B9<<5f3583>>o2n3:17bj<:188yg40k3:187>50z&145<1j2B9<;5G2138m62=831b?l4?::k2bg<722eo?7>5;|`1`0<72:0;6=u+212900=O:9<0D?>>;h0e>5<<a:31<75`d883>>{e:m>1<7=50;2x 7672=?0D?>9;I035>o5n3:17d=6:188ka?=831vn?j<:180>5<7s-8;<7::;I032>N5881b>k4?::k0=?6=3fn26=44}c0g`?6=;3:1<v*=01871>N58?1C>=?4i3d94?=n;00;66ak9;29?xd5lj0;6>4?:1y'656=<<1C>=84H322?l4a2900e>750;9l`<<722wi>il50;194?6|,;:;69;4H325?M4792c9j7>5;h1:>5<<gm31<75rb3fb>5<4290;w)<?0;66?M47>2B9<<5f2g83>>o413:17bj6:188yg4c13:1?7>50z&145<3=2B9<;5G2138m7`=831b?44?::mg=?6=3th9h54?:283>5}#:9:1885G2148L7663`8m6=44i2;94?=hl00;66sm2e594?5=83:p(?>?:578L7613A8;=6g=f;29?l5>2900ci750;9~f7b129086=4?{%034?223A8;:6F=009j6c<722c857>5;nf:>5<<uk8o>7>53;294~"5890?96F=079K657<a;l1<75f3883>>ic13:17pl=d083>6<729q/>=>5449K650<@;::7d<i:188m6?=831dh44?::a6g`=83?1<7>t$323>11<@;:=7E<?1:k1b?6=3`986=44i2494?=n;00;66ak9;29?xd5jl0;684?:1y'656=<>1C>=84H322?l4a2900e>=50;9j73<722c857>5;nf:>5<<uk8ih7>55;294~"5890?;6F=079K657<a;l1<75f3283>>o4>3:17d=6:188ka?=831vn?ll:186>5<7s-8;<7:8;I032>N5881b>k4?::k07?6=3`9=6=44i2;94?=hl00;66sm2c`94?3=83:p(?>?:558L7613A8;=6g=f;29?l542900e>850;9j7<<722eo57>5;|`1fd<72<0;6=u+212902=O:9<0D?>>;h0e>5<<a:91<75f3783>>o413:17bj6:188yg4e13:197>50z&145<3?2B9<;5G2138m7`=831b?>4?::k02?6=3`926=44oe;94?=zj;h36=4::183!4783><7E<?6:J144=n:o0;66g<3;29?l512900e>750;9l`<<722wi?=>50;694?6|,;:;6964H325?M4792c9j7>5;h15>5<<a:31<75`d883>>{e:ol1<7:50;2x 7672=20D?>9;I035>o5n3:17d=9:188m6?=831dh44?::a6cc=83>1<7>t$323>1><@;:=7E<?1:k1b?6=3`9=6=44i2;94?=hl00;66sm2gf94?2=83:p(?>?:5:8L7613A8;=6g=f;29?l512900e>750;9l`<<722wi>km50;694?6|,;:;6964H325?M4792c9j7>5;h15>5<<a:31<75`d883>>{e:oh1<7:50;2x 7672=20D?>9;I035>o5n3:17d=9:188m6?=831dh44?::a6cg=83>1<7>t$323>1><@;:=7E<?1:k1b?6=3`9=6=44i2;94?=hl00;66sm2d;94?2=83:p(?>?:5:8L7613A8;=6g=f;29?l512900e>750;9l`<<722wi>k?50;694?6|,;:;6964H325?M4792c9j7>5;h15>5<<a:31<75`d883>>{e:o:1<7:50;2x 7672=20D?>9;I035>o5n3:17d=9:188m6?=831dh44?::a6``=83>1<7>t$323>1><@;:=7E<?1:k1b?6=3`9=6=44i2;94?=hl00;66sm2dg94?2=83:p(?>?:5:8L7613A8;=6g=f;29?l512900e>750;9l`<<722wi>hj50;694?6|,;:;6964H325?M4792c9j7>5;h15>5<<a:31<75`d883>>{e:li1<7:50;2x 7672=20D?>9;I035>o5n3:17d=9:188m6?=831dh44?::a6`d=83>1<7>t$323>1><@;:=7E<?1:k1b?6=3`9=6=44i2;94?=hl00;66sm2dc94?2=83:p(?>?:5:8L7613A8;=6g=f;29?l512900e>750;9l`<<722wi>h650;694?6|,;:;6964H325?M4792c9j7>5;h15>5<<a:31<75`d883>>{e:>91<7:50;2x 7672=<0D?>9;I035>o5n3:17d=9:188m6?=831dh44?::a626=83>1<7>t$323>10<@;:=7E<?1:k1b?6=3`9=6=44i2;94?=hl00;66sm27g94?2=83:p(?>?:548L7613A8;=6g=f;29?l512900e>750;9l`<<722wi>;h50;694?6|,;:;6984H325?M4792c9j7>5;h15>5<<a:31<75`d883>>{e9191<7:50;2x 7672=k0D?>9;I035>"2j3:0e?h50;9j7<<722com7>5;nf:>5<<uk;3<7>54;294~"5890?m6F=079K657<,<h1<6g=f;29?l5>2900eio50;9l`<<722wi=5l50;694?6|,;:;69o4H325?M4792.>n7>4i3d94?=n;00;66gka;29?jb>2900qo?78;290?6=8r.9<=4;a:J143=O:9;0(8l50:k1b?6=3`926=44iec94?=hl00;66smf683>6<729q/>=>52178L7613A8;=6g<4;29?l3a2900ci=50;9~fc0=8391<7>t$323>7623A8;:6F=009j71<722c>j7>5;nf0>5<<ukoi6=4;:183!4783<i7E<?6:J144=n;=0;66g<a;29?l7aj3:17bj<:188yg`229086=4?{%034?47=2B9<;5G2138m62=831b9k4?::mg7?6=3thn57>54;294~"5890=n6F=079K657<a:>1<75f3`83>>o6nk0;66ak3;29?xda<3:1?7>50z&145<58<1C>=84H322?l532900e8h50;9l`6<722wii:4?:583>5}#:9:1:o5G2148L7663`9?6=44i2c94?=n9oh1<75`d283>>{en:0;6>4?:1y'656=:9?0D?>9;I035>o4<3:17d;i:188ka5=831vnh;50;694?6|,;:;6;l4H325?M4792c887>5;h1b>5<<a8li6=44oe194?=zjo81<7=50;2x 7672;:>7E<?6:J144=n;=0;66g:f;29?jb42900qok<:187>5<7s-8;<78m;I032>N5881b?94?::k0e?6=3`;mn7>5;nf0>5<<ukl:6=4<:183!47838;96F=079K657<a:>1<75f5g83>>ic;3:17plj1;290?6=8r.9<=49b:J143=O:9;0e>:50;9j7d<722c:jo4?::mg7?6=3thm<7>53;294~"58909<85G2148L7663`9?6=44i4d94?=hl:0;66smdg83>1<729q/>=>56c9K650<@;::7d=;:188m6g=831b=kl50;9l`6<722wiik4?:283>5}#:9:1>=;4H325?M4792c887>5;h7e>5<<gm91<75rbeg94?2=83:p(?>?:7`8L7613A8;=6g<4;29?l5f2900e<hm:188ka5=831vn<7j:187>5<7s-8;<7:n;I032>N5881/9o4?;h0e>5<<a:31<75fd`83>>ic13:17pl>9e83>1<729q/>=>54`9K650<@;::7);m:19j6c<722c857>5;hfb>5<<gm31<75rb0;`>5<3290;w)<?0;6b?M47>2B9<<5+5c83?l4a2900e>750;9j`d<722eo57>5;|`2=g<72=0;6=u+21290d=O:9<0D?>>;%7a>5=n:o0;66g<9;29?lbf2900ci750;9~f423290?6=4?{%034?2f3A8;:6F=009'1g<73`8m6=44i2;94?=nlh0;66ak9;29?xd6<:0;694?:1y'656=<h1C>=84H322?!3e291b>k4?::k0=?6=3`nj6=44oe;94?=zj8>96=4;:183!4783>j7E<?6:J144=#=k0;7d<i:188m6?=831bhl4?::mg=?6=3th:8<4?:583>5}#:9:18l5G2148L7663-?i6=5f2g83>>o413:17djn:188ka?=831vn<;n:180>5<7s-8;<7::;I032>N5881/9o4?;h0e>5<<a:31<75`d883>>{e9<31<7=50;2x 7672=?0D?>9;I035>"2j3:0e?h50;9j7<<722eo57>5;|`21=<72:0;6=u+212900=O:9<0D?>>;%7a>5=n:o0;66g<9;29?jb>2900qo?;c;291?6=8r.9<=4;b:J143=O:9;0(8l50:k1b?6=3`986=44i2;94?=nlh0;66ak9;29?xd6<k0;684?:1y'656=<k1C>=84H322?!3e291b>k4?::k07?6=3`926=44iec94?=hl00;66sm15c94?3=83:p(?>?:5`8L7613A8;=6*:b;28m7`=831b?>4?::k0=?6=3`nj6=44oe;94?=zj8>26=4::183!4783>i7E<?6:J144=#=k0;7d<i:188m65=831b?44?::kge?6=3fn26=44}c307?6=<3:1<v*=0187e>N58?1C>=?4$4`94>o5n3:17d=6:188mag=831dh44?::a564=83>1<7>t$323>1g<@;:=7E<?1:&6f?6<a;l1<75f3883>>oci3:17bj6:188yg7493:187>50z&145<3i2B9<;5G2138 0d=82c9j7>5;h1:>5<<amk1<75`d883>>{e9::1<7:50;2x 7672=k0D?>9;I035>"2j3:0e?h50;9j7<<722com7>5;nf:>5<<uk;9j7>54;294~"5890?m6F=079K657<,<h1<6g=f;29?l5>2900eio50;9l`<<722wi=?k50;694?6|,;:;69o4H325?M4792.>n7>4i3d94?=n;00;66gka;29?jb>2900qo?=d;290?6=8r.9<=4;a:J143=O:9;0(8l50:k1b?6=3`926=44iec94?=hl00;66sm13a94?2=83:p(?>?:5c8L7613A8;=6*:b;28m7`=831b?44?::kge?6=3fn26=44}c31f?6=<3:1<v*=0187e>N58?1C>=?4$4`94>o5n3:17d=6:188mag=831dh44?::a5<2=83>1<7>t$323>1g<@;:=7E<?1:&6f?6<a;l1<75f3883>>oci3:17bj6:188yg7593:1?7>50z&145<2m2B9<;5G2138 0d=12c857>5;h71>5<<gm31<75rb02;>5<2290;w)<?0;64?M47>2B9<<5f2g83>>o4;3:17d=9:188m6?=831dh44?::a53b=83>1<7>t$323>1?<@;:=7E<?1:&6f?6<a;l1<75f3283>>o413:17bj6:188yg71k3:187>50z&145<312B9<;5G2138 0d=82c9j7>5;h10>5<<a:31<75`d883>>{e9?h1<7:50;2x 7672=30D?>9;I035>"2j3:0e?h50;9j76<722c857>5;nf:>5<<uk;=m7>54;294~"5890?56F=079K657<,<h1<6g=f;29?l542900e>750;9l`<<722wi=;750;694?6|,;:;6974H325?M4792.>n7>4i3d94?=n;:0;66g<9;29?jb>2900qo?98;290?6=8r.9<=4;9:J143=O:9;0(8l50:k1b?6=3`986=44i2;94?=hl00;66sm17594?2=83:p(?>?:5;8L7613A8;=6*:b;28m7`=831b?>4?::k0=?6=3fn26=44}c352?6=<3:1<v*=0187=>N58?1C>=?4$4`94>o5n3:17d=<:188m6?=831dh44?::a5g4=83>1<7>t$323>1?<@;:=7E<?1:&6f??<a;l1<75f3283>>o413:17bj6:188yg7e93:187>50z&145<312B9<;5G2138 0d=12c9j7>5;h10>5<<a:31<75`d883>>{e9k:1<7:50;2x 7672=30D?>9;I035>"2j330e?h50;9j76<722c857>5;nf:>5<<uk;jj7>54;294~"5890?56F=079K657<,<h156g=f;29?l542900e>750;9l`<<722wi=lk50;694?6|,;:;6974H325?M4792.>n774i3d94?=n;:0;66g<9;29?jb>2900qo?nd;290?6=8r.9<=4;9:J143=O:9;0(8l59:k1b?6=3`986=44i2;94?=hl00;66sm1`a94?2=83:p(?>?:5;8L7613A8;=6*:b;;8m7`=831b?>4?::k0=?6=3fn26=44}c3bf?6=<3:1<v*=0187=>N58?1C>=?4$4`9=>o5n3:17d=<:188m6?=831dh44?::a5dg=83>1<7>t$323>1?<@;:=7E<?1:&6f??<a;l1<75f3283>>o413:17bj6:188yg7f13:187>50z&145<312B9<;5G2138 0d=12c9j7>5;h10>5<<a:31<75`d883>>{e9>o1<7:50;2x 7672=30D?>9;I035>"2j330e?h50;9j76<722c857>5;nf:>5<<uk;<h7>54;294~"5890?56F=079K657<,<h156g=f;29?l542900e>750;9l`<<722wi=:m50;694?6|,;:;6974H325?M4792.>n774i3d94?=n;:0;66g<9;29?jb>2900qo?8b;290?6=8r.9<=4;9:J143=O:9;0(8l59:k1b?6=3`986=44i2;94?=hl00;66sm16c94?2=83:p(?>?:5;8L7613A8;=6*:b;;8m7`=831b?>4?::k0=?6=3fn26=44}c34=?6=<3:1<v*=0187=>N58?1C>=?4$4`9=>o5n3:17d=<:188m6?=831dh44?::a52>=83>1<7>t$323>1?<@;:=7E<?1:&6f??<a;l1<75f3283>>o413:17bj6:188yg7293:187>50z&145<312B9<;5G2138 0d=82c9j7>5;h10>5<<a:31<75`d883>>{e91<1<7=50;2x 7672?90D?>9;I035>"2j330e8850;9j12<722eo?7>5;|`150<72:0;6=u+212926=O:9<0D?>>;%7a>6d<a<<1<75f5683>>ic;3:17pl=1383>6<729q/>=>5629K650<@;::7);m:2`8m00=831b9:4?::mg7?6=3th:o54?:483>5}#:9:1::5G2148L7663-?i6<;4i4494?=n=>0;66g:8;29?l3>2900ci=50;9~f4e1290>6=4?{%034?003A8;:6F=009'1g<5:2c>:7>5;h74>5<<a<21<75f5883>>ic;3:17pl>c683>1<729q/>=>5649K650<@;::7);m:508m00=831b9:4?::k6<?6=3fn86=44}c1ge?6=<3:1<v*=01851>N58?1C>=?4$4`92>o2>3:17d;8:188m0>=831dh>4?::a646=83>1<7>t$323>33<@;:=7E<?1:&6f?5d3`?=6=44i4594?=n=10;66ak3;29?xd58l0;694?:1y'656=><1C>=84H322?!3e2820e8850;9j12<722c>47>5;nf0>5<<uk;hm7>53;294~"5890=?6F=079K657<,<h1?o5f5783>>o2?3:17bj<:188yg7cm3:1?7>50z&145<1;2B9<;5G2138 0d=m2c>:7>5;h74>5<<gm91<75rb0`7>5<4290;w)<?0;40?M47>2B9<<5+5c82=>o2>3:17d;8:188ka5=831vn<>::180>5<7s-8;<78<;I032>N5881/9o4>3:k62?6=3`?<6=44oe194?=zj8::6=4<:183!4783<87E<?6:J144=#=k0:?6g:6;29?l302900ci=50;9~fcc=8391<7>t$323>35<@;:=7E<?1:&6f?743`?=6=44i4594?=hl:0;66smf`83>6<729q/>=>5629K650<@;::7);m:018m00=831b9:4?::mg7?6=3thi:7>53;294~"5890=?6F=079K657<,<h1=>5f5783>>o2?3:17bj<:188yg7>>3:187>50z&145<1=2B9<;5G2138 0d=>2c>:7>5;h74>5<<a<21<75`d283>>{e9j>1<7:50;2x 7672??0D?>9;I035>"2j39h7d;9:188m01=831b954?::mg7?6=3th:o?4?:583>5}#:9:1:85G2148L7663-?i6>m4i4494?=n=>0;66g:8;29?jb42900qo?l0;290?6=8r.9<=495:J143=O:9;0(8l53b9j13<722c>;7>5;h7;>5<<gm91<75rb0`f>5<3290;w)<?0;46?M47>2B9<<5+5c80g>o2>3:17d;8:188m0>=831dh>4?::a5ge=83>1<7>t$323>33<@;:=7E<?1:&6f?5d3`?=6=44i4594?=n=10;66ak3;29?xd6jh0;694?:1y'656=><1C>=84H322?!3e2:i0e8850;9j12<722c>47>5;nf0>5<<uk;i47>54;294~"5890=96F=079K657<,<h1?n5f5783>>o2?3:17d;7:188ka5=831vn<l9:187>5<7s-8;<78:;I032>N5881/9o4<c:k62?6=3`?<6=44i4:94?=hl:0;66sm11494?2=83:p(?>?:778L7613A8;=6*:b;35?l312900e8950;9j1=<722eo?7>5;|`247<72=0;6=u+212920=O:9<0D?>>;%7a>40<a<<1<75f5683>>o203:17bj<:188yg`a290?6=4?{%034?023A8;:6F=009'1g<6>2c>:7>5;h74>5<<a<21<75`d283>>{enk0;694?:1y'656=><1C>=84H322?!3e28<0e8850;9j12<722c>47>5;nf0>5<<ukh<6=4;:183!4783<>7E<?6:J144=#=k0::6g:6;29?l302900e8650;9l`6<722wi=5;50;794?6|,;:;6;94H325?M4792.>n7:>;h75>5<<a<=1<75f5983>>o213:17bj<:188yg7?:3:197>50z&145<1?2B9<;5G2138 0d=<=1b9;4?::k63?6=3`?36=44i4;94?=hl:0;66sm11594?3=83:p(?>?:758L7613A8;=6*:b;34?l312900e8950;9j1=<722c>57>5;nf0>5<<uk;;?7>55;294~"5890=;6F=079K657<,<h1=:5f5783>>o2?3:17d;7:188m0?=831dh>4?::a556=83?1<7>t$323>31<@;:=7E<?1:&6f?703`?=6=44i4594?=n=10;66g:9;29?jb42900qohl:186>5<7s-8;<788;I032>N5881/9o4>7:k62?6=3`?<6=44i4:94?=n=00;66ak3;29?xde03:197>50z&145<1?2B9<;5G2138 0d=9>1b9;4?::k63?6=3`?36=44i4;94?=hl:0;66smde83>6<729q/>=>5629K650<@;::7);m:2`8m00=831b9:4?::mg7?6=3th9:44?:483>5}#:9:1::5G2148L7663-?i685f5783>>o2?3:17d;7:188m0?=831dh>4?::a7gc=83>1<7>t$323>33<@;:=7E<?1:&6f?243`?=6=44i4594?=n=10;66ak3;29?xd4jm0;684?:1y'656=>>1C>=84H322?!3e2<1b9;4?::k63?6=3`?36=44i4;94?=hl:0;66sm3ef94?3=83:p(?>?:758L7613A8;=6*:b;3a?l312900e8950;9j1=<722c>57>5;nf0>5<<uk9on7>55;294~"5890=;6F=079K657<,<h1o6g:6;29?l302900e8650;9j1<<722eo?7>5;|`2<`<72:0;6=u+212926=O:9<0D?>>;%7a>`=n=?0;66g:7;29?jb42900qo?;e;291?6=8r.9<=497:J143=O:9;0(8l51c9j13<722c>;7>5;h7;>5<<a<31<75`d283>>{e91k1<7:50;2x 7672??0D?>9;I035>"2j3837d;9:188m01=831b954?::mg7?6=3th:4i4?:483>5}#:9:1::5G2148L7663-?i69k4i4494?=n=>0;66g:8;29?l3>2900ci=50;9~f4>d290>6=4?{%034?003A8;:6F=009'1g<592c>:7>5;h74>5<<a<21<75f5883>>ic;3:17pl>5183>1<729q/>=>5649K650<@;::7);m:69j13<722c>;7>5;h7;>5<<gm91<75rb2d0>5<2290;w)<?0;44?M47>2B9<<5+5c81?l312900e8950;9j1=<722c>57>5;nf0>5<<uk;8;7>55;294~"5890=;6F=079K657<,<h1o6g:6;29?l302900e8650;9j1<<722eo?7>5;|`270<72=0;6=u+212920=O:9<0D?>>;%7a>d=n=?0;66g:7;29?l3?2900ci=50;9~f6`c290>6=4?{%034?003A8;:6F=009'1g<282c>:7>5;h74>5<<a<21<75f5883>>ic;3:17pl<fc83>0<729q/>=>5669K650<@;::7);m:5g8m00=831b9:4?::k6<?6=3`?26=44oe194?=zj:i86=4::183!4783<<7E<?6:J144=#=k0>7d;9:188m01=831b954?::k6=?6=3fn86=44}c1gg?6==3:1<v*=01853>N58?1C>=?4$4`96>o2>3:17d;8:188m0>=831b944?::mg7?6=3th8h44?:483>5}#:9:1::5G2148L7663-?i6n5f5783>>o2?3:17d;7:188m0?=831dh>4?::a623=83>1<7>t$323>33<@;:=7E<?1:&6f?5d3`?=6=44i4594?=n=10;66ak3;29?xd5k:0;684?:1y'656=>>1C>=84H322?!3e28h0e8850;9j12<722c>47>5;h7:>5<<gm91<75rb3a1>5<4290;w)<?0;40?M47>2B9<<5+5c82=>o2>3:17d;8:188ka5=831vn?98:187>5<7s-8;<78:;I032>N5881/9o4<c:k62?6=3`?<6=44i4:94?=hl:0;66sm26`94?2=83:p(?>?:778L7613A8;=6*:b;1`?l312900e8950;9j1=<722eo?7>5;|`13<<72=0;6=u+212920=O:9<0D?>>;%7a>6e<a<<1<75f5683>>o203:17bj<:188yg54<3:1>7>50z&145<2i2B9<;5G2138m03=831dh>4?::a753=8381<7>t$323>0g<@;:=7E<?1:k61?6=3fn86=44}c133?6=:3:1<v*=0186e>N58?1C>=?4i4794?=hl:0;66sm23694?4=83:p(?>?:4c8L7613A8;=6g:5;29?jb42900qo<=3;296?6=8r.9<=4:a:J143=O:9;0e8;50;9l`6<722wi>?<50;094?6|,;:;68o4H325?M4792c>97>5;nf0>5<<uk89=7>52;294~"5890>m6F=079K657<a<?1<75`d283>>{e:;l1<7<50;2x 7672<k0D?>9;I035>o2=3:17bj<:188yg45m3:1>7>50z&145<2i2B9<;5G2138m03=831dh>4?::a67b=8381<7>t$323>0g<@;:=7E<?1:k61?6=3fn86=44}c01g?6=:3:1<v*=0186e>N58?1C>=?4i4794?=hl:0;66sm23`94?4=83:p(?>?:4c8L7613A8;=6g:5;29?jb42900qo=97;296?6=8r.9<=4:a:J143=O:9;0e8;50;9l`6<722wi>;j50;094?6|,;:;6i:4H325?M4792c>97>5;nf0>5<<uk9=57>52;294~"5890o86F=079K657<a<?1<75`d283>>{e;ol1<7<50;2x 7672m>0D?>9;I035>o2=3:17bj<:188yg41j3:1>7>50z&145<c<2B9<;5G2138m03=831dh>4?::a764=8381<7>t$323>a2<@;:=7E<?1:k61?6=3fn86=44}c02f?6=:3:1<v*=018g0>N58?1C>=?4i4794?=hl:0;66sm20;94?4=83:p(?>?:e68L7613A8;=6g:5;29?jb42900qo<>8;296?6=8r.9<=4k4:J143=O:9;0e8;50;9l`6<722wi><950;094?6|,;:;6i:4H325?M4792c>97>5;nf0>5<<uk8::7>52;294~"5890o86F=079K657<a<?1<75`d283>>{e;<k1<7<50;2x 7672m>0D?>9;I035>o2=3:17bj<:188yg52>3:1>7>50z&145<c<2B9<;5G2138m03=831dh>4?::a704=8381<7>t$323>a2<@;:=7E<?1:k61?6=3fn86=44}c17a?6=:3:1<v*=018g0>N58?1C>=?4i4794?=hl:0;66sm35c94?4=83:p(?>?:e68L7613A8;=6g:5;29?jb42900qo=;6;296?6=8r.9<=4k4:J143=O:9;0e8;50;9l`6<722wi?9<50;094?6|,;:;6i:4H325?M4792c>97>5;nf0>5<<uk98i7>52;294~"5890o86F=079K657<a<?1<75`d283>>{e;;n1<7<50;2x 7672m>0D?>9;I035>o2=3:17bj<:188yg55j3:1>7>50z&145<c<2B9<;5G2138m03=831dh>4?::a77g=8381<7>t$323>a2<@;:=7E<?1:k61?6=3fn86=44}c11=?6=:3:1<v*=018g0>N58?1C>=?4i4794?=hl:0;66sm33:94?4=83:p(?>?:e68L7613A8;=6g:5;29?jb42900qo==7;296?6=8r.9<=4k4:J143=O:9;0e8;50;9l`6<722wi??850;094?6|,;:;6i:4H325?M4792c>97>5;nf0>5<<uk9997>52;294~"5890o86F=079K657<a<?1<75`d283>>{e;821<7<50;2x 7672m>0D?>9;I035>o2=3:17bj<:188yg56?3:1>7>50z&145<c<2B9<;5G2138m03=831dh>4?::a740=8381<7>t$323>a2<@;:=7E<?1:k61?6=3fn86=44}c121?6=:3:1<v*=018g0>N58?1C>=?4i4794?=hl:0;66sm30694?4=83:p(?>?:e68L7613A8;=6g:5;29?jb42900qo=>3;296?6=8r.9<=4k4:J143=O:9;0e8;50;9l`6<722wi?<<50;094?6|,;:;6i:4H325?M4792c>97>5;nf0>5<<uk9:=7>52;294~"5890o86F=079K657<a<?1<75`d283>>{e9<=1<7:50;2x 7672=k0D?>9;I035>"2j3:0e?h50;9j7<<722com7>5;nf:>5<<uk;2<7>55;294~"5890?o6F=079K657<,<h1<6g=f;29?l5>2900eio50;9j5cd=831dh44?::a<6<72;0;6=u+212927=O:9<0D?>>;%7a>g=n=?0;66ak3;29?xd?j3:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk2o6=4=:183!4783<97E<?6:J144=#=k0i7d;9:188ka5=831vn5h50;094?6|,;:;6;<4H325?M4792.>n7l4i4494?=hl:0;66sm9083>7<729q/>=>5639K650<@;::7);m:c9j13<722eo?7>5;|`:7?6=:3:1<v*=01856>N58?1C>=?4$4`9f>o2>3:17bj<:188yg?229096=4?{%034?053A8;:6F=009'1g<e3`?=6=44oe194?=zj0=1<7<50;2x 7672?80D?>9;I035>"2j3h0e8850;9l`6<722wi544?:383>5}#:9:1:?5G2148L7663-?i6o5f5783>>ic;3:17pl9f;296?6=8r.9<=492:J143=O:9;0(8l5b:k62?6=3fn86=44}c52>5<5290;w)<?0;41?M47>2B9<<5+5c8a?l312900ci=50;9~f25=8381<7>t$323>34<@;:=7E<?1:&6f?d<a<<1<75`d283>>{e?<0;6?4?:1y'656=>;1C>=84H322?!3e2k1b9;4?::mg7?6=3th<;7>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qo96:181>5<7s-8;<78=;I032>N5881/9o4m;h75>5<<gm91<75rb6`94?4=83:p(?>?:708L7613A8;=6*:b;`8m00=831dh>4?::a3a<72;0;6=u+212927=O:9<0D?>>;%7a>g=n=?0;66ak3;29?xd0n3:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk2:6=4=:183!4783<97E<?6:J144=#=k0i7d;9:188ka5=831vn5;50;094?6|,;:;6;<4H325?M4792.>n7l4i4494?=hl:0;66sm8683>7<729q/>=>5639K650<@;::7);m:c9j13<722eo?7>5;|`;=?6=:3:1<v*=01856>N58?1C>=?4$4`9f>o2>3:17bj<:188yg50i3:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk9<47>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qo=86;296?6=8r.9<=492:J143=O:9;0(8l5b:k62?6=3fn86=44}c140?6=:3:1<v*=01856>N58?1C>=?4$4`9f>o2>3:17bj<:188yg50:3:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk9<<7>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qo=9e;296?6=8r.9<=492:J143=O:9;0(8l5b:k62?6=3fn86=44}c15g?6=:3:1<v*=01856>N58?1C>=?4$4`9f>o2>3:17bj<:188yg5>03:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk92:7>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qo=64;296?6=8r.9<=492:J143=O:9;0(8l5b:k62?6=3fn86=44}c1:6?6=:3:1<v*=01856>N58?1C>=?4$4`9f>o2>3:17bj<:188yg5>83:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk93i7>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qo=7c;296?6=8r.9<=492:J143=O:9;0(8l5b:k62?6=3fn86=44}c1;e?6=:3:1<v*=01856>N58?1C>=?4$4`9f>o2>3:17bj<:188yg4?83:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk8<i7>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qo<62;296?6=8r.9<=492:J143=O:9;0(8l5b:k62?6=3fn86=44}c0:4?6=:3:1<v*=01856>N58?1C>=?4$4`9f>o2>3:17bj<:188yg4?m3:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk83o7>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qo<7a;296?6=8r.9<=492:J143=O:9;0(8l5b:k62?6=3fn86=44}c0;<?6=:3:1<v*=01856>N58?1C>=?4$4`9f>o2>3:17bj<:188yg4?>3:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk8387>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qo<72;296?6=8r.9<=492:J143=O:9;0(8l5b:k62?6=3fn86=44}cg`>5<5290;w)<?0;41?M47>2B9<<5+5c8a?l312900ci=50;9~f`g=8381<7>t$323>34<@;:=7E<?1:&6f?d<a<<1<75`d283>>{em10;6?4?:1y'656=>;1C>=84H322?!3e2k1b9;4?::mg7?6=3thn:7>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qok;:181>5<7s-8;<78=;I032>N5881/9o4m;h75>5<<gm91<75rbd094?4=83:p(?>?:708L7613A8;=6*:b;`8m00=831dh>4?::aa5<72;0;6=u+212927=O:9<0D?>>;%7a>g=n=?0;66ak3;29?xdfk3:1>7>50z&145<1:2B9<;5G2138 0d=j2c>:7>5;nf0>5<<uk93;7>52;294~"5890=>6F=079K657<,<h1n6g:6;29?jb42900qo=n5;296?6=8r.9<=492:J143=O:9;0(8l5b:k62?6=3fn86=44}c0:=?6=:3:1<v*=01856>N58?1C>=?4$4`9f>o2>3:17bj<:188yg`?29096=4?{%034?053A8;:6F=009'1g<e3`?=6=44oe194?=zj:l96=4::183!4783<<7E<?6:J144=#=k0h7d;9:188m01=831b954?::k6=?6=3fn86=44}c302?6==3:1<v*=01853>N58?1C>=?4$4`91>o2>3:17d;8:188m0>=831b944?::mg7?6=3th8jn4?:483>5}#:9:1::5G2148L7663-?i68?4i4494?=n=>0;66g:8;29?l3>2900ci=50;9~f40a290>6=4?{%034?003A8;:6F=009'1g<43`?=6=44i4594?=n=10;66g:9;29?jb42900qo=;7;290?6=8r.9<=49c:J143=O:9;0e8850;9j12<722c:jo4?::mg7?6=3th8854?:483>5}#:9:1::5G2148L7663-?i6?74i4494?=n=>0;66g:8;29?l3>2900ci=50;9~f62>290>6=4?{%034?003A8;:6F=009'1g<6k2c>:7>5;h74>5<<a<21<75f5883>>ic;3:17pl<4g83>1<729q/>=>56b9K650<@;::7d;9:188m01=831b=kl50;9l`6<722wi?8>50;794?6|,;:;6;94H325?M4792.>n7:i;h75>5<<a<=1<75f5983>>o213:17bj<:188yg5293:197>50z&145<1?2B9<;5G2138 0d=n2c>:7>5;h74>5<<a<21<75f5883>>ic;3:17pl<5283>1<729q/>=>56b9K650<@;::7d;9:188m01=831b=kl50;9l`6<722wi?8:50;794?6|,;:;6;94H325?M4792.>n7:?;h75>5<<a<=1<75f5983>>o213:17bj<:188yg52=3:197>50z&145<1?2B9<;5G2138 0d=9=1b9;4?::k63?6=3`?36=44i4;94?=hl:0;66sm35194?2=83:p(?>?:7a8L7613A8;=6g:6;29?l302900e<hm:188ka5=831vn>:;:186>5<7s-8;<788;I032>N5881/9o4=b:k62?6=3`?<6=44i4:94?=n=00;66ak3;29?xd4<<0;684?:1y'656=>>1C>=84H322?!3e2:n0e8850;9j12<722c>47>5;h7:>5<<gm91<75rb274>5<3290;w)<?0;4`?M47>2B9<<5f5783>>o2?3:17d?ib;29?jb42900qo=:8;291?6=8r.9<=497:J143=O:9;0(8l53d9j13<722c>;7>5;h7;>5<<a<31<75`d283>>{e;<31<7;50;2x 7672?=0D?>9;I035>"2j320e8850;9j12<722c>47>5;h7:>5<<gm91<75rb26a>5<3290;w)<?0;4`?M47>2B9<<5f5783>>o2?3:17d?ib;29?jb42900qo=;c;291?6=8r.9<=497:J143=O:9;0(8l53g9j13<722c>;7>5;h7;>5<<a<31<75`d283>>{e;=n1<7;50;2x 7672?=0D?>9;I035>"2j38>7d;9:188m01=831b954?::k6=?6=3fn86=44}c10b?6=<3:1<v*=0185g>N58?1C>=?4i4494?=n=>0;66g>fc83>>ic;3:17pl<4183>0<729q/>=>5669K650<@;::7);m:3c8m00=831b9:4?::k6<?6=3`?26=44oe194?=zj:>:6=4::183!4783<<7E<?6:J144=#=k0o7d;9:188m01=831b954?::k6=?6=3fn86=44}c104?6=:3:1<v*=0181`>N58?1C>=?4i4794?=hl:0;66sm14`94?4=83:p(?>?:3a8L7613A8;=6g:5;29?jb42900qo<82;296?6=8r.9<=4=c:J143=O:9;0e8;50;9l`6<722wi?n?50;094?6|,;:;6?m4H325?M4792c>97>5;nf0>5<<uk3i6=4=:183!4783?h7E<?6:J144=n=<0;66ak3;29?xd4?j0;6?4?:1y'656==j1C>=84H322?l322900ci=50;9~f6?f29096=4?{%034?3d3A8;:6F=009j10<722eo?7>5;|`1=1<72;0;6=u+21291f=O:9<0D?>>;h76>5<<gm91<75rbdg94?4=83:p(?>?:4a8L7613A8;=6g:5;29?jb42900qo==e;296?6=8r.9<=4:c:J143=O:9;0e8;50;9l`6<722wi??m50;094?6|,;:;68m4H325?M4792c>97>5;nf0>5<<uk99=7>52;294~"5890>o6F=079K657<a<?1<75`d283>>{e;8o1<7<50;2x 7672<i0D?>9;I035>o2=3:17bj<:188yg56j3:1>7>50z&145<2k2B9<;5G2138m03=831dh>4?::a50b=8381<7>t$323>0e<@;:=7E<?1:k61?6=3fn86=44}c330?6=:3:1<v*=0186g>N58?1C>=?4i4794?=hl:0;66smfe83>7<729q/>=>55b9K650<@;::7d;::188ka5=831vnk750;094?6|,;:;68m4H325?M4792c>97>5;nf0>5<<ukh>6=4=:183!4783?h7E<?6:J144=n=<0;66ak3;29?xd4n>0;684?:1y'656=>>1C>=84H322?!3e2:1b9;4?::k63?6=3`?36=44i4;94?=hl:0;66sm3g:94?2=83:p(?>?:7a8L7613A8;=6g:6;29?l302900e<hm:188ka5=831vn<=6:186>5<7s-8;<788;I032>N5881/9o4<0:k62?6=3`?<6=44i4:94?=n=00;66ak3;29?xd6;k0;684?:1y'656=>>1C>=84H322?!3e2:;0e8850;9j12<722c>47>5;h7:>5<<gm91<75rb01`>5<3290;w)<?0;4`?M47>2B9<<5f5783>>o2?3:17d?ib;29?jb42900qo?62;291?6=8r.9<=497:J143=O:9;0(8l5339j13<722c>;7>5;h7;>5<<a<31<75`d283>>{e9091<7:50;2x 7672?i0D?>9;I035>o2>3:17d;8:188m4`e2900ci=50;9~f6`329086=4?{%034?033A8;:6F=009'1g<4j2c>:7>5;h74>5<<g?:1<75rb01b>5<2290;w)<?0;45?M47>2B9<<5+5c82e>o2>3:17d;8:188m0>=831d:=4?::mg7?6=3th8o?4?:783>5}#:9:1:55G2148L7663-?i685f5783>>o2?3:17d;7:188m0?=831d:=4?::mg7?6=3th9o<4?:483>5}#:9:1:45G2148L7663-?i6?5f5783>>o2?3:17d;7:188m0?=831d:=4?::a7c3=83?1<7>t$323>3?<@;:=7E<?1:&6f?2b3`?=6=44i4594?=n=10;66g:9;29?j072900qoln:184>5<7s-8;<7?if:J143=O:9;0(8l51:k17?6=3`8?6=44i3494?=n:>0;66g<5;29?l5>2900ci750;9~f66529086=4?{%034?2c3A8;:6F=009j6c<722c857>5;nf:>5<<ukh26=47:183!4783;mi6F=079K657<,<h186g=3;29?l432900e?850;9j62<722c8?7>5;h16>5<<a:31<75`d883>>{e9>l1<7:50;2x 7672=30D?>9;I035>"2j330e?h50;9j76<722c857>5;nf:>5<<uk9>n7>54;294~"5890?46F=079K657<a;l1<75f3783>>o413:17bj6:188yg52k3:187>50z&145<302B9<;5G2138m7`=831b?;4?::k0=?6=3fn26=44}c16`?6=<3:1<v*=0187<>N58?1C>=?4i3d94?=n;?0;66g<9;29?jb>2900qo=?3;297?6=8r.9<=493:J143=O:9;0(8l5189j13<722c>;7>5;nf0>5<<uk9;=7>54;294~"5890?46F=079K657<a;l1<75f3783>>o413:17bj6:188yv0c2908w08k:e189=4=;=16m:4<4:p2`<72:q6:h4k3:?44?53343n6>:4}r4e>5<4s4<m6i=4=7g95cd<50n19k5rs6294?5|5>:1h>5273800>;>n39?7p}81;297~;093n8709?:0da?8?b2<l0q~9=:1808152m901::5359>e5<4<2wx;>4?:2y>36<c;27<>7?ib:?:b?3a3ty<87>53z?40?b434==6>:4=`3971=z{>?1<7=t=679`6=:?=0:jo52a186b>{t??0;6>u2778g7>;0039?70o=:268yv102908w098:e18920=9oh01l?55g9~w2>=839p1:65d29>3d<4<27j?7=;;|q4=?6=;r7<57j<;<5;>4`e34k968h4}r5b>5<4s4=j6i=4=6a971=:i=0886s|7c83>6}:?k0o?638a;3ef>;f;3?m7p}8c;297~;0k3n8709j:2689d3=;=1v:j50;1x92b=l:16;n4>fc9>e1<2n2wx;h4?:2y>3`<c;273<7=;;<c5>62<uz=m6=4<{<5e>a5<5>o1=kl4=`791c=z{1:1<7=t=929`6=:0=08863n8;17?xu?93:1?v371;f0?8>728li70o9:4d8yv>52908w06=:e189=g=;=16mi4<4:p<6<72:q64>4k3:?;6?7aj27j;7;i;|q;0?6=;r7387j<;<:5>62<5h31?95rs9794?5|51?1h>528582bg=:i10>j6s|8783>6}:0?0o?6378;17?8gf2:>0q~68:1808>02m9015851g`89d?==o1v5650;0x9=>=l:16mo4<4:p<<<72:q6444k3:?;<?7aj27jm7;i;|q;e?6=;r73m7j<;<:`>62<5ho1?95rs9`94?5|51h1h>528`82bg=:im0>j6s|8b83>6}:0j0o?637e;17?8ga2:>0q~6k:1808>c2m9015m51g`89dc==o1v5k50;1x9=c=l:165=4<4:?a4?533ty3j7>53z?;b?b4342n6<hm;<ce>0`<uz3;6=4<{<;3>a5<5081?952b0800>{t180;6>u2908g7>;>83;mn63m0;7e?xu>:3:1?v362;f0?8?32:>01o<5359~w<5=839p14=5d29>=7<6nk16n<4:f:p=1<72:q6594k3:?:2?5334h86>:4}r;6>5<4s43>6i=4=8695cd<5k819k5rs8494?5|50<1h>5299800>;e<39?7p}67;297~;>?3n87079:0da?8d42<l0q~77:1808??2m901;k5359>=a<4<2wx544?:2y>=<<c;27247?ib:?a0?3a3ty2m7>53z?5`?7aj272o7;i;<;a>a5<uzkh6=4={<c`>a5<5hh19k5rsc;94?4|5k31h45216d97<=z{kk1<7<t=cc9`<=:;981?45rsc`94?2fs48;;7;=;<4g>62<50i1?9528380e>;?i39j706l:2c89=c=;h165=4<a:?:6?5f343?6>o4=8497d=:1108m639e;1b?8172:k01:<53`9>31<4i27<:7=n;<5;>6g<5>k1?l527b80e>;0m39j706?:2c89=2=;h164;4<a:?;<?5f349347=;;<1:f?53349357=n;<1;f?5f3493h7=n;<1;b?5f3492=7=n;<1:7?5f349297=n;<1:3?5f349=m7=;;<14`?53349=n7=n;<15`?5f349=j7=n;<145?5f349<?7=n;<141?5f349<;7=n;<14=?5f348<o7=;;<0:1?533483=7=n;<0;7?5f348397=n;<0;3?5f348357=n;<0;f?5f3483h7=n;<0;b?5f3482=7=n;<04`?5f348<j7=n;<ff>62<5ll1?952dg80e>;b939j70k<:2c89`3=;h16i:4<a:?f=?5f34oi6>o4=2d;>01<5kk1>952b`812>;ei38<70l6:3689g?=:?16n44=7:pff<72mq6>=65d49>2a<4i278nk4<9:?0b<<41278454<a:?02d<4i279;n4<a:?24=<4127oi7=n;<363?5>34hj6?=4=c;966=:;<h1?45rscf94?4|5:hn6i=4=2`g>0?<uzhn6=4={<1g`?b4349on7;6;|qab?6=:r7:4i4k3:?2<f<2?2wxo=4?:3y>7c5==016?k:5619~wf7=838p1>h=:45896`22?:0q~m=:18185303n870=;7;75?xud;3:1>v3<488g7>;4<>0>;6s|c583>7}:;<:1h>5235d913=z{j?1<7<t=272>a5<5:>m6894}ra5>5<5s49>87j<;<167?313tyh;7>52z?010<c;2789>4:7:pg=<72;q6?9:5d29>715==?1vn750;0x96222m901>:<:458yvef2909w0=:8;f0?852?3?=7p}lb;296~;4=00o?63<56863>{tkj0;6?u235a9`6=:;=h19;5rsbf94?4|5:>o6i=4=26a>01<uzin6=4={<174?b43498j7;9;|q`b?6=:r788<4k3:?07c<2?2wxh=4?:3y>56g=>916=8>5579~wa7=838p1>m=:72896b>2<30q~jm:181877039870?7e;f0?xuck3:1>v3>09802>;cl3n87p}ke;297~;cm3n870ji:2689c6=;=1vih50;1x9a`=l:16i<4<4:?e5?533tyn<7>53z?f4?b434nm6<hm;<d3>0`<uzo:6=4<{<g2>a5<5l91?952f3800>{tm;0;6>u2e38g7>;b93;mn63i1;7e?xub;3:1?v3j3;f0?8c22:>01k=5359~w`2=839p1h:5d29>a6<6nk16j?4:f:pa0<72:q6i84k3:?f3?5334l?6>:4}rg5>5<4s4o=6i=4=d795cd<5o919k5rsd594?5|5l=1h>52e8800>;a=39?7p}j8;297~;b03n870k8:0da?8`32<l0q~k6:1808c>2m901hl5359>b3<4<2wxil4?:2y>ad<c;27n57?ib:?e1?3a3tynn7>52z?ff?b434l<6>:4}rg`>5<4s4oh6i=4=d`95cd<5o<19k5rsdf94?5|5mo1=kl4=dd91c=:ml0o?6s|f983>7}:n10o?63i7;7e?xu6810;6lu21ec916=:9m319>521e:916=:9m=19>521e4916=:9m?19>521e6916=:9m919>5211:9`<=:9mo19:5rs02:>5<5s4;9n7=6;<ge>a5<uz;;m7>52z?20<<4127mh7j<;|q24g<72;q6=9?5389>b<<c;2wx==m50;0x94?e2:301<>;:e18yv77l3:1>v3>2b80=>;a83n87p}>0d83>7}:9=k1?452fd8g7>{t99l1<7<t=07;>6?<58::6i=4}r324?6=:r7:8?4<9:?ee?b43ty:=<4?:3y>5<e=;016==;5d29~w4752909w0?=d;1:?8`62m90q~?>3;296~;6<k08563if;f0?xu69=0;6?u214;97<=:9981h>5rs036>5<5s4;??7=6;<da>a5<uz;::7>52z?2=a<4127:<;4k3:p541=838p1<<j:2;89c4=l:1v<?7:181873k39270??0;f0?xu6900;6?u214c97<=:9991h>5rs03b>5<5s4;?87=6;<d`>a5<uz;:n7>52z?2=`<4127:<:4k3:p54e=838p1<<i:2;89c5=l:1v<?k:181874839270h;:e18yv76m3:1>v3>3080=>;a=3n87p}>1g83>7}:9:81?452f78g7>{t9;:1<7<t=010>6?<5o=1h>5rs002>5<5s4;9=7j6;<3:2?3?3ty:>?4?:2y>57d=l016=>95579>a`<2=2wx=?=50;1x944d2m301<=8:4:89`6==?1v<<;:180875l3n270?<5;74?8c52<<0q~?=5;297~;6:l0o563j4;75?874>3?37p}>2783>6}:9;l1h452e7862>;6;?0>56s|13594?5|589;6i74=014>0?<5l219;5rs00;>5<4s4;8=7j6;<301?3134oj6884}r31=?6=;r7:??4k9:?270<2027no7;9;|q26d<72:q6=>=5d89>561==>16j54:6:p562=83hp1<;>:218944e2mk01<<l:ec8944c2mk01<<j:ec8944a2mk01<=?:ec894562mk01<==:ec894542mk01<=9:e18yv74=3:1>v3>348g7>;6;?0>;6s|12594?4|589<6i=4=015>00<uz;847>55z?204<ci27:8?4ka:?206<ci27:894ka:?27f<c;2wx=>750;0x945>2m901<=l:458yv74j3:1>v3>3c8g7>;6;j0>:6s|12f94?0|58>:6i74=gc912=:nk0>463ic;75?8`>2<?01<=n:448yv74m3:19v3>438g=>;ai3?=70hm:4489ce==116=>o5569~w45a290?w0?;3;f:?8`e2<=01km5589>56g==11v<:?:186873<3n270hl:458942b2<201<=6:4;8945e2<30q~?;5;292~;6<00o563ie;74?8`a2<201<>?:44894>c2<301kj5549~w421290>w0?;a;f:?8`b2<<01kh5579>556==116=5j5599~w420290?w0?;b;f:?8`a2<=01<>?:4;894>c2<=0q~?;8;297~;6<j0o563>01863>;60m0>:6s|15f94?c|58=36>=4=05:>65<58=j6>=4=05a>65<58=h6>=4=05g>65<58=n6>=4=06:>65<58>j6>=4=06a>65<58>h6>=4=06f>a5<5k31?>5216d976=z{8>m6=4:{<37=?bf34;?m7jn;<37f?bf34;?o7jn;<364?b43ty:9<4?:33x941?2;l01<96:3d8941f2;l01<9m:3d8941d2;l01<9k:3d8941b2;l01<89:3d894002;l01<87:3d8940>2;l01<8n:3d8940e2;l01<8l:3d8940c2;l01<>7:3d8942>2;l01<:n:3d8942e2;l01<:l:3d8943?2;l01<;6:3d8943f2;l01<:>:3d894252;l01<:<:3d894232;l01<67:3d894>e2;l01<;8:3d8943e2m901o75349>52`=:o1v<;=:18087293n270?:b;76?872l3?>7p}>5283>0}:99;19:5211091==:99919;521459`d=:9<=1h45rs077>5<3s4;>47j6;<335?3134;;>7;9;<337?3?3ty:984?:2y>50?=l016==<5569>555==01v<;9:1826~;6i009j63>a`81b>;6ik09j63>ab81b>;6im09j63>ad81b>;6io09j63>b181b>;6j809j63>b381b>;61=09j63>5`8g=>;61k09j63>9b81b>;61m09j63>9d81b>;68:0>;63>9181b>{t9<i1<7<t=072>6?<58?o6i=4}r36a?6=;r7:h>4<9:?223<c1278=54:5:p50`=839p1<j;:2;894002m301>?8:478yv7183:1?v3>d480=>;6>10o563<17861>{t9?;1<7=t=0f5>6?<58<26i74=236>03<uz;=>7>53z?2`2<4127::l4k9:?051<2=2wx=;=50;1x94b?2:301<8m:e;896742<?0q~?94;297~;6l008563>6b8g=>;49;0>96s|17794?5|58nj6>74=04g>a?<5:;:68;4}r35a?6=1r7::;4<3:?222<4;27::54<3:?22<<4;27::l4<3:?22g<4;27::n4<3:?22a<4;27::k4k3:p526=838p1<97:e;894012:30q~?81;297~;6?108563>788g=>;6>>0856s|16094?5|58=26>74=05b>a?<58<36>74}r347?6=;r7:;l4<9:?23g<c127::44<9:p522=839p1<9m:2;8941d2m301<8n:2;8yv70=3:1?v3>7b80=>;6?m0o563>6c80=>{t9><1<7=t=05g>6?<58=n6i74=04`>6?<uz;<;7>53z?23`<4127::i4<9:?23c<c12wx=5>50;7x94>72m301<<>:40894>12<=01<6::44894>52<20q~?71;296~;60908563>838g7>{t9191<7:t=0:0>a?<582=6884=0:6>0><58296884}r3;0?6=:r7:4>4<9:?2<0<c;2wx=5950;0x94462:301<69:e18yv7?03:15v3>898g=>;60l0>;63>4d863>;60h0>463>8b86<>;6=90>463>6g862>;6;00>:63>3c862>{t9131<7<t=0:;>6?<582j6i=4}r3;f?6=1r7:4o4k9:?2<`<2>27:8h4:6:?2<d<2>27:4n4:6:?215<2?27::k4:9:?27<<2027:?o4:8:p5=e=838p1<6m:2;894>d2m90q~?7f;291~;6<l0>563>8`863>;6;00>;63>3c863>;6;h0o?6s|18294?`|58i<6864=0ab>01<58h?6884=0;5>00<58i?6884=0a1>00<58i;6884=0`f>00<58hh6884=0`b>00<58h36884=0`5>00<583;6i74=0;1>01<58386884}r3:5?6=:r7:5=4<9:?2=6<c;2wx=4<50;0x94?52m901<7<:458yv7><3:1mv3>958g=>;61k0om63>9b8ge>;61m0om63>9d8ge>;6kh0>:63>97863>;60<0>563>83863>;6190:jo5rs0;6>5<5s4;287=6;<3:2?b43ty:5:4?:7y>5<d=l016==;5569>550==116==95579>552==<16=4<5579~w4??290>w0?6c;f:?877=3?=70??6;75?877?3?370?62;7;?xu6100;69u218f9`<=:99<19:5211591<=:9081945rs0;b>5<4s4;2i7j6;<333?3034;2?7?ib:p5<`=838p1<o6:e;8960>2<?0q~?n0;296~;6ih0o563>b5863>{t9h;1<7<t=0ca>a?<58h=6864}r3b6?6=:r7:mn4k9:?2f=<202wx=l=50;0x94gc2m301<ln:4:8yv7f<3:1>v3>ad8g=>;6jj0>46s|1`794?4|58km6i74=0`f>0><uz;j:7>52z?2f5<c127:o=4:8:p5d1=838p1<l>:e;894e52<20q~?n8;296~;6j;0o563>c586<>{t9k91<7<t=0c:>6?<58h?6i=4}r3a1?6=:r7:ml4<9:?2f3<c;2wx=o950;0x94ge2:301<l7:e18yv7e13:1>v3>ab80=>;6jh0o?6s|1c`94?4|58ko6>74=0``>a5<uz;ih7>52z?2e`<4127:nh4k3:p5g`=838p1<oi:2;894e72m90q~?l1;296~;6j908563>c38g7>{t9j91<7<t=0`2>6?<58i?6i=4}r3`1?6=:r7:n?4<9:?2g2<c;2wx=n850;0x94e12m901<m8:458yv7d03:1>v3>c98g7>;6k>0>:6s|1b;94?d|58k26>=4=0cb>65<58ki6>=4=0c`>65<58ko6>=4=0cf>65<58km6>=4=0`3>65<58h:6>=4=0`1>65<58ij6i=4}r3`f?6=;r7:h>4k9:?2g3<2127:n;4:7:p5fe=839p1<j;:e;894e12<201<l7:458yv7dl3:1?v3>d48g=>;6k?0>;63>b`863>{t9jo1<7=t=0f5>a?<58i=6884=0``>01<uz;hj7>53z?2`2<c127:o54:9:?2f`<2?2wx=i>50;1x94b?2m301<m7:4:894e72<=0q~?k1;297~;6l00o563>c9863>;6k;0>;6s|1e094?5|58nj6i74=0a;>00<58i?6894}r3gf?6=mr7:hn4k9:?2`d<2:27:h44:2:?2`=<2:27:h:4:2:?2`3<2:27:h84:2:?2`1<2:27:h>4:2:?2``<2>27:484:7:?2<7<2127oh7;9;<35b?303ty:hi4?:3y>5ae=;016=ik5d29~w4ba2909w0<;8;1:?8?d2m90q~?j0;296~;5>=08563m5;f0?xu6m80;6?u225c97<=:1m0o?6s|1d094?4|5;>i6>74=8g9`6=z{8o86=4={<07g?5>343m6i=4}r3f0?6=:r798i4<9:?b4?b43ty:i84?:3y>61c=;016m<4k3:p5`0=838p1?:i:2;89d4=l:1v<k8:181842839270o<:e18yv7b03:1>v3=5080=>;f<3n87p}>e883>7}::<81?452a48g7>{t9lk1<7<t=370>6?<5h<1h>5rs0ga>5<5s48?57=6;<c4>a5<uz;no7>52z?120<4127i:7j<;|q2aa<72;q6>8;5389>e=<c;2wx=hk50;0x97312:301l75d29~w4ca2909w0<:7;1:?8gf2m90q~?i0;296~;5=108563nb;f0?xu6n80;6?u224697<=:im0o?6s|1g094?4|5;<=6>74=c59`6=z{8l86=4={<06=?5>34kn6i=4}r3e0?6=:r79::4<9:?a<?b43ty:j84?:3y>60g=;016mk4k3:p5c0=838p1?;m:2;89g6=l:1v<h8:181842k39270l>:e18yv7a03:1>v3=5e80=>;e:3n87p}>f883>7}::<o1?452b28g7>{t9ok1<7<t=37e>6?<5k>1h>5rs32g>5<5sW8;h63=178g7>{t:9l1<7<t^32e?846?3n87p}=1083>7}Y:8;01??7:e18yv46<3:1>vP=159>64?=l:1v??9:181847m3n870<>6;76?xu59>0;6?u22029`6=::8=1985rs33;>5<5s48:>7j<;<02<?323ty9=44?:3y>643=l:16><75549~w77f2909wS<>a:?15g<c;2wx><l50;0x96dc2m901??m:478yv46k3:1>vP=1b9>677==<1v??k:181[46l279>?4:5:p64c=838pR??j;<017?323ty9>=4?:3y]676<5;8?68;4}r016?6=:r79==4:7:?167<c;2wx>?=50;0x97752<=01?<<:e18yv45<3:1nv3>db8ge>;61=0om63>898ge>;60k0om63>818ge>;60:0om63=14863>;cl3?<70<=4;f0?87>83nj70?9f;7;?xu5:<0;6?uQ2378974e2<?0q~<=6;296~X5:?16>?m5549~w7402909wS<=7:?16a<2=2wx>?650;0xZ74?3489i7;:;|q16d<72;qU>?o4=30e>03<uz89n7>52z?16g<c;278=o4:5:p67e=838p1?<l:e18967b2<?0q~<=d;296~;5:m0o?63<20861>{t:;o1<7<t=30f>a5<5:8h68;4}r01b?6=:r79>k4k3:?06`<2=2wx>>>50;0x972?2m3014l5549~w75e2909w0<;9;f:?8>42<<0q~<<1;296~;5<h0o5639f;75?xu5;;0;6?u225`9`<=:?80>:6s|22194?4|5;>h6i74=61913=z{;9?6=4={<07`?b>34=>6884}r001?6=:r798h4k9:?43?313ty9?;4?:3y>61`=l016;44:6:p661=838p1?;?:e;892d==?1v?=7:18184293n2709k:448yv4413:1>v3=538g=>;0n3?=7p}=3`83>7}::<91h45280862>{t:=:1<7<t=377>a?<51h19;5rs31`>5<5s48>97j6;<:6>00<uz88h7>52z?113<c1273;7;9;|q17`<72;q6>895d89><<<2>2wx>>h50;4x973?2m301?8;:3d897022;l01?89:3d897002;l01lm5579~w7262909w0<:9;f:?8>c2<<0q~<;2;296~;5=h0o5637f;75?xu5<:0;6?u224`9`<=:180>:6s|25694?4|5;?h6i74=81913=z{;>>6=4={<06`?b>343>6884}r072?6=:r799h4k9:?:3?313ty98:4?:3y>60`=l016544:6:p636=83np1?8;:e;89g0==>16n:4:8:?a<?31348=57;9;<17<?3>349><7;6;<160?3?349?87;6;<16<?3>349?o7;7;<174?3>34h>68;4}r055?6=kr79:84k9:?a2?3134h<6884=c:91==::?31955235:912=:;<:19:52346912=:;=>1955234:91==:;=i19;5235291==z{;<96=4m{<052?b>34h<6894=c:91<=::?31945235:91==:;<:19;52346913=:;=>19:5234:913=:;=i19452352912=z{;<86=4n{<053?b>34h36894=34:>01<5:>36884=273>0><5:??6874=267>00<5:?36894=26`>01<5:>;6884}r05<?6==r79:94ka:?120<ci279:;4ka:?122<ci279:44k3:p63g=838pR?8n;<05f?b43ty9:o4?:3y>7f5=l:16>;l5549~w70d2909wS<9c:?12a<c;2wx>;j50;0x96bf2m901?8k:478yv41m3:1>v3=6d8g=>;5?:0856s|27d94?4|5;<m6i74=353>6?<uz8<<7>521y>6`>=:o16>ho52g9>6`d=:o16>hm52g9>6`b=:o16>hk52g9>6``=:o16>k>52g9>6c7=:o16>h752g9>6cg=:o16>kl52g9>6ce=:o16>kj52g9>6cc=:o16>kh52g9>756=:o16>o652g9>6g?=:o16>oo52g9>6gd=:o16>om52g9>6gb=:o16>ok52g9>6g`=:o16>:<5d29>fd<4=278<?4=f:?01g<5n2789n4=f:?01a<5n278<<4=f:p627=838p1?9?:e;897152<?0q~<83;296~;5?:0o563=e880=>{t:>>1<76t=356>a5<5:>26874=272>01<5:?>6864=266>00<5:?26874=26g>0?<5:>:6884}r042?6=0r79;:4k3:?00<<2>2789<4:9:?010<2>278884:8:?01<<2>2788i4:6:?004<2?2wx>:650;:x971>2m901>:6:4:896362<201>;::4;896222<=01>;6:458962c2<201>:>:4:8yv40i3:14v3=7c8g7>;4<00>;63<50862>;4=<0>;63<4486=>;4=00>463<4e863>;4<80>56s|26a94?5|5;=h6i=4=3:2>62<5;3j6>:4}r04`?6=;r79;i4k3:?13c<4<2795:4<4:p62c=839p1?9j:e18971c28li70<66;7e?xu5?o0;6?u226d9`6=::021?95rs3:3>5<4s483<7j<;<04b?7aj2795:4:f:p6=7=839p1?6>:e1897>42:>01?7m:268yv4?:3:1?v3=838g7>;5080:jo5228c91c=z{;286=4<{<0;7?b4348397=;;<0:g?533ty9494?:2y>6=2=l:16>5=51g`897?e2<l0q~<75;297~;50<0o?63=86800>;51m0886s|29494?5|5;2=6i=4=3:6>4`e3482o7;i;|q1<2<72:q6>595d29>6=?=;=16>4k5359~w7>?2908w0<78;f0?84??3;mn63=9e86b>{t:131<7=t=3::>a5<5;2i6>:4=3;e>62<uz83m7>53z?1<d<c;279444>fc9>6<c==o1v?6m:18084?j3n870<7d;17?84f839?7p}=8b83>6}::1i1h>5229`95cd<5;3m68h4}r0;`?6=;r794i4k3:?1<c<4<279m<4<4:p6=c=839p1?6j:e1897>c28li70<n0;7e?xu50o0;6>u229d9`6=::0;1?9522`0971=z{;3;6=4<{<0:4?b43483j7?ib:?1e4<2n2wx>4?50;1x97?62m901?9k:26897?12:>0q~<62;297~;51;0o?63=9082bg=::h819k5rs3;0>5<4s48<o7?ib:?1=0<2n279594k3:p6<?=838p1?76:e1897??2<l0q~<n3;296~;5l808563=948g7>{t:h>1<7<t=3f0>6?<5;3=6i=4}r0b1?6=:r79h94<9:?1=2<c;2wx>l850;0x97b22:301?77:e18yv4f?3:1>v3=d380=>;51h0o?6s|2`:94?4|5;n=6>74=3;a>a5<uz8j57>52z?1`2<412795n4k3:p6dg=838p1?j7:2;897?c2m90q~<nb;296~;5l008563=9d8g7>{t:hi1<7<t=3fb>6?<5;3m6i=4}r0b`?6=:r79ho4<9:?1e5<c;2wx>lk50;0x97bd2:301?o>:e18yv4fn3:1>v3=de80=>;5i;0o?6s|2c294?4|5;h36i74=356>01<uz8i=7>52z?1f<<c1279;:4:7:p6g4=838p1?ln:e;8971>2<=0q~<m3;296~;5jk0o563=7c863>{t:k>1<7<t=3``>a?<5;=>6864}r0a1?6=:r79ni4k9:?132<202wx>o850;0x97db2m301?96:4:8yv4e?3:1>v3=bg8g=>;5?k0>46s|2b294??|5;h36>=4=3`:>65<5;hj6>=4=3`a>65<5;hh6>=4=3`g>65<5;hn6>=4=3`e>65<5;i96i=4}r0`5?6=:r79o?4:6:?1g4<182wx>n=50;0x97e42m901?m=:458yv4d<3:1>v3=d08g=>;51=0>96s|2b:94?4|5;n96i74=3:1>00<uz8h97>52z?1`6<c1279;h4:6:p6f0=838p1?j;:e;897>72<<0q~<l7;29<~;5l<0o563=1186<>;58l0>;63=74862>;5?>0>:63=7c862>;5?00>:63=98862>{t:j31<7<t=3f5>a?<5;2?6884}r0`e?6=>r79:k4=f:?12`<5n279;=4=f:?136<5n279h:4k9:?1<3<2>2wx>nl50;0x97b?2m301?67:448yv4dk3:1>v3=d88g=>;50h0>:6s|2bf94?4|5;nj6i74=3:`>00<uz8hi7>52z?1`g<c12794h4:6:p6f`=838p1?jl:e;897?72<<0q~<k0;296~;5lm0o563=93862>{t:ml1<7=t=3g;>a?<5;h36>74=cc97<=z{;nn6=4={<0e5?5>348n57j6;|q1a5<72:q6>h65389>6`g=l016>o75389~w7c62908w0<ja;1:?84bj3n270<ma;1:?xu5m;0;6>u22d`97<=::li1h4522c`97<=z{;o86=4<{<0fg?5>348nh7j6;<0ag?5>3ty9i94?:2y>6`b=;016>hk5d89>6gb=;01v?k::18084bm39270<jf;f:?84em3927p}=e783>6}::ll1?4522g29`<=::kl1?45rs3g4>5<5s48m<7=6;<0e5?b>3ty9j?4?:3y>6cg=l016>n?5579~w7`42908w0<ia;1:?84aj3n270<l1;74?xu5n=0;6>u22g`97<=::oi1h4522b391==z{;l>6=4<{<0eg?5>348mh7j6;<0`5?3>3ty9j;4?:2y>6cb=;016>kk5d89>6f5==?1v?h8:18084am39270<if;f:?84d;3?<7p}=f983>6}::ol1?4523129`<=::j91955rs3d:>5<4s49;<7=6;<0`7?3>349;=7j6;|q047<72;q6?=<5d89>755==?1v>><:181857;3n870=?1;1:?xu48=0;6?uQ316896622<?0q~=?5;296~;5>o08563<048g7>{t;9<1<7<t^225?857?3?>7p}<0683>7}::?o1?4523159`6=z{::36=4={_13<>;4980o?6s|31;94?4|V::270=>2;f0?xu48h0;6?uQ31c896742m90q~=?b;296~X48k16?<:5d29~w66d2909wS=?c:?050<c;2wx?=j50;0xZ66c349::7j<;|q04`<72;qU?=k4=234>a5<uz9:<7>52z\055=:;821h>5rs23:>5<5sW9:563<248g7>{t;8k1<7<t^23b?855>3n87p}<1b83>7}Y;8i01><8:e18yv56l3:1>vP<1e9>77>=l:1v>?i:181[56n278>44k3:p776=838pR><?;<11e?b43ty8>?4?:3y]774<5:8i6i=4}r110?6=:rT8>95233f9`6=z{:8=6=4<{<112?32349997;:;<12f?b43ty8>54?:2y>77>==<16??95549>74c=l:1v><n:180855i3?>70==9;76?85593n87p}<2c83>7}:;;h1985233a9`6=z{:8o6=4={<11`?323499i7j<;|q06c<72;qU??h4=213>03<uz98<7>535y>5ae=:o16>9652g9>61?=:o16>8:52g9>60?=:o16>8o52g9>60d=:o16>8m52g9>60b=:o16>8k52g9>60`=:o16>9o52g9>61d=:o16>9m52g9>61b=:o16>9k52g9>61`=:o16>8>52g9>607=:o16>8<52g9>605=:o16>8;52g9>600=:o16>8952g9>60>=:o16?oh52g9>6a7=:o16>i<52g9>6a0=:o16>i952g9>6a>=:o16>i752g9>6ag=:o16>il52g9>6ae=:o16>ij52g9>6a5=:o16>i:52g9>6a3=:o16=8?52g9>57d=:o16=?m52g9>57b=:o16=?k52g9>57`=:o16=>>52g9>567=:o16=><52g9>565=:o16=5>52g9>5=5=:o16?>>5d29~w6562909wS=<1:?077<c;2wx?><50;0x96be2m901>==:478yv54;3:1>vP<329>762==<1v>=;:18787?k3?270=<4;f0?874k3;mn63m9;1:?xu4;<0;6?uQ3278965b2m90q~=<6;296~X4;?16?9<5d29~w6502909wS=<7:?003<c;2wx?>650;0xZ65?349?m7j<;|q07<<72;qU?>74=26f>a5<uz98m7>52z\07d=:;<81h>5rs21a>5<5sW98n63<578g7>{t;:n1<7<t^21g?852i3n87p}<3d83>7}:;:o1985232d9`6=z{:>96=4={<176?32349??7j<;|q003<72;q6?985549>711=l:1v>:n:181853i3?>70=;b;f0?xu4<l0;6?u235g910=:;=l1h>5rs271>5<5s49>>7;:;<167?b43ty89;4?:3y>700==<16?895d29~w63f29098v3=6g802>;5>l08:63=71802>;5?:08:63=e9802>;5mh08:63=ec802>;5mj08:63=ee802>;5ml08:63=eg802>;5n908:63=f0802>;5m008:63=f`802>;5nk08:63=fb802>;5nm08:63=fd802>;5no08:63<01802>;5j108:63=b8802>;5jh08:63=bc802>;5jj08:63=be802>;5jl08:63=bg802>;58l0>463=208g7>;4=h0>963<5c802>;4=j08:63<5e802>;48808:6s|34`94?4|5:?i6i74=27`>6?<uz9>o7>52z?01f<c12789i4<9:p70b=838p1>;k:e;896642<=0q~=:e;296~X4=l16?;95549~w600290hw0<>5;75?846:3?=70<>0;75?847m3?=70=97;f0?853?3;mn63<4g82bg=:;<91=kl4=260>4`e349>;7?ib:?00g<6nk16?>h51g`8yv5103:1>vP<699>73?=l:1v>8n:180851i3n870=9b;17?850m39?7p}<6c83>6}:;?h1h>5237f971=:;>l1?95rs24`>5<4s49=o7j<;<15f?7aj278;h4:f:p73b=839p1>8k:e18960a2:>01>6?:268yv51m3:1?v3<6d8g7>;4>m0:jo5236d91c=z{:<m6=4<{<15b?b4349<=7=;;<1;5?533ty8;=4?:2y>726=l:16?;h51g`896>72<l0q~=81;297~;4?80o?63<72800>;40;0886s|36094?5|5:=96i=4=252>4`e3493=7;i;|q036<72:q6?:=5d29>723=;=16?5=5359~w6132908w0=84;f0?850;3;mn63<8386b>{t;>?1<7=t=256>a5<5:=<6>:4=2:7>62<uz9<:7>53z?033<c;278;84>fc9>7=5==o1v>98:180850?3n870=89;17?85?=39?7p}<7983>6}:;>21h>5236595cd<5:2?68h4}r14=?6=:r78;44k3:?0<3<4<2wx?:o50;1x961f2m901>96:0da?85?=3?m7p}<7c83>6}:;?k1=kl4=25g>0`<5:=h6i=4}r1;3?6=:r784:4k3:?0<3<2n2wx?5650;1x96>?2m901>66:26896?d2:>0q~=79;297~;4000o?63<8c800>;41m0886s|39c94?5|5:2j6i=4=2::>4`e3492o7;i;|q0<g<72:q6?5l5d29>7=b=;=16?4k5359~w6>d2908w0=7c;f0?85?j3;mn63<9e86b>{t;1n1<7=t=2:g>a5<5:2m6>:4=2;e>62<uz93i7>53z?0<`<c;2784i4>fc9>7<c==o1v>6i:18085?n3n870=61;17?85f839?7p}<9183>6}:;0:1h>5239d95cd<5:3m68h4}r1:5?6=;r785<4k3:?0=6<4<278m<4<4:p7<4=839p1>7=:e1896?628li70=n0;7e?xu41:0;6>u23819`6=:;0?1?9523`0971=z{:3?6=4<{<1:0?b43492?7?ib:?0e4<2n2wx?4;50;1x96?22m901>78:26896g42:>0q~=66;297~;41?0o?63<9482bg=:;h819k5rs2;4>5<5s492;7j<;<1b0?533ty8554?:2y>7<>=l:16?4951g`896g42<l0q~=69;297~;4010:jo5238`91c=:;0k1h>5rs2c6>5<5s49j97j<;<1b0?3a3ty8m;4?:5y>7gb==?16?k=5d29>7c4==016?k95579~w6g02909w0=l3;74?85d:3n87p}<a983>6}:;mh19;523ea9`6=:;j819:5rs2c:>5<5s49hi7=6;<14`?b43ty8ml4?:3y>7`>=;016?4l5d29~w6ge2909w0=lf;1:?850m3n87p}<ab83>7}:;l31?45238a9`6=z{:ko6=4={<1g4?5>349<j7j<;|q0e`<72;q6?ho5389>7<b=l:1v>oi:18185c939270=70;f0?xu4j90;6?u23d`97<=:;0o1h>5rs2`2>5<5s49o>7=6;<1;5?b43ty8n?4?:3y>7`e=;016?4h5d29~w6d42909w0=k3;1:?85?:3n87p}<b583>7}:;ln1?4523`29`6=z{:h>6=4={<1g0?5>3493?7j<;|q0f3<72;q6?hk5389>7d7=l:1v>l8:18185c=39270=74;f0?xu4j10;6?u23dd97<=:;h81h>5rs2`:>5<5s49o:7=6;<1;1?b43ty8nl4?:3y>7c6=;016?l=5d29~w6de2909w0=k7;1:?85?>3n87p}<bb83>7}:;o;1?4523`69`6=z{:hm6=4>6z?0b<<5n278oh4=f:?0gc<5n278h=4=f:?0`4<5n278h?4=f:?0`6<5n278h94=f:?0`0<5n278h;4=f:?0`2<5n278i54=f:?0a<<5n278il4=f:?0ag<5n278in4=f:?0aa<5n278ih4=f:?0ac<5n278j=4=f:?0b4<5n278o<4k3:p7f6=839p1>li:e;896e62<?01>li:ec8yv5d<3:18v3<cd8g=>;4nm0>:63<db86=>;4?j0>96s|3b794?2|5:im6i74=2f`>0><5:<h6884=2d`>01<uz9h:7>56z?0`5<c1278hi4:8:?0g6<2>278h44:7:?02`<2>278jn4:8:p7f1=83?p1>j>:e;896bc2<=01>hk:4;896172<<01>m=:4:8yv5d03:18v3<d38g=>;4nm0>;63<db863>;4?;0>:6s|3b;94?0|5:n86i74=2fg>00<5:li6884=2a0>0><5:n26884=257>00<uz9hm7>54z?0`1<c1278jo4:9:?0`f<2>278;;4:6:p7fd=83<p1>j::e;896bf2<201>jm:4:896`e2<=01>97:44896e52<30q~=lc;292~;4l?0o563<d`863>;4lk0>;63<fc86<>;4?h0>:63<c3862>{t;jn1<79t=2f4>a?<5:nj6884=2fg>0?<5:lo6864=2a0>0?<5:n26864=2:4>00<uz9o47>5bz?0g`<ci278ok4ka:?0`5<ci278h<4ka:?0`7<ci278h>4ka:?0`1<ci278h84ka:?0`3<ci278h:4ka:?0`<<c;2wx?ik50;6x96c?2m301>lk:45896`52<<01>7n:478yv5cn3:1?v3<e88g=>;4n:0>:63<8`862>{t;l:1<7=t=2gb>a?<5:l86864=2:`>00<uz9n=7>55z?0ag<c1278nh4:8:?0<`<2>278j?4:8:?0b2<2?2wx?h<50;1x96cd2m301>7?:44896`32<<0q~=j3;291~;4mm0o563<bd862>;41;0>:63<f686<>;4n<0>;6s|3d694?5|5:on6i74=2d0>01<5:3?6884}r1f1?6=;r78ik4k9:?0=3<2>278j94:7:p7`0=83?p1>h?:e;896db2<=01>77:44896`02<301>h::4;8yv5b?3:19v3<f08g=>;4jm0>463<a4862>;4n10:jo523g7913=z{:l96=4m{<1f<?bf349n57jn;<1fe?bf349nn7jn;<1fg?bf349nh7jn;<1fa?bf349nj7jn;<1e4?bf349m=7jn;<1e6?b43ty8j;4?:3y>7c1=l:16?k65579~w6`>290hw0=i9;f:?85b039870=j9;10?85bi39870=jb;10?85bk39870=jd;10?85bm39870=jf;10?85a839870=i1;10?85a=3?37p}<f`83>7}:;o31hl523ga9`6=z{:li6=4={<1ef?b4349mo7;6;|q0ba<72;q6?kj5d29>7ce==?1v>hj:181[5am278jk4k3:p7c`=838p1>hi:47896`?2m90qp`65683>4}O:9;0qc7:8;295~N5881vb4;6:182M4792we58o50;3xL7663td29o4?:0yK657<ug3>o7>51zJ144=zf0?o6=4>{I035>{i1<o1<7?tH322?xh>=o0;6<uG2138yk?183:1=vF=009~j<06290:wE<?1:m=34=83;pD?>>;|l:26<728qC>=?4}o;50?6=9rB9<<5rn846>5<6sA8;=6sa97494?7|@;::7p`66683>4}O:9;0qc798;295~N5881vb486:182M4792we5;o50;3xL7663td2:o4?:0yK657<ug3=o7>51zJ144=zf0<o6=4>{I035>{i1?o1<7?tH322?xh>>o0;6<uG2138yk?083:1=vF=009~j<16290:wE<?1:m=24=83;pD?>>;|l:36<728qC>=?4}o;40?6=9rB9<<5rn856>5<6sA8;=6sa96494?7|@;::7p`67683>4}O:9;0qc788;295~N5881vb496:182M4792we5:o50;3xL7663td2;o4?:0yK657<ug3<o7>51zJ144=zf0=o6=4>{I035>{i1>o1<7?tH322?xh>?o0;6<uG2138yk??83:1=vF=009~j<>6290:wE<?1:m==4=83;pD?>>;|l:<6<728qC>=?4}o;;0?6=9rB9<<5rn8:6>5<6sA8;=6sa99494?7|@;::7p`68683>4}O:9;0qc778;295~N5881vb466:182M4792we55o50;3xL7663td24o4?:0yK657<ug33o7>51zJ144=zf02o6=4>{I035>{i11o1<7?tH322?xh>0o0;6<uG2138yk?>83:1=vF=009~j<?6290:wE<?1:m=<4=83;pD?>>;|l:=6<728qC>=?4}o;:0?6=9rB9<<5rn8;6>5<6sA8;=6sa98494?7|@;::7p`69683>4}O:9;0qc768;295~N5881vb476:182M4792we54o50;3xL7663td25o4?:0yK657<ug32o7>51zJ144=zf03o6=4>{I035>{i10o1<7?tH322?xh>1o0;6<uG2138yk?f83:1=vF=009~j<g6290:wE<?1:m=d4=83;pD?>>;|l:e6<728qC>=?4}o;b0?6=9rB9<<5rn8c6>5<6sA8;=6sa9`494?7|@;::7p`6a683>4}O:9;0qc7n8;295~N5881vb4o6:182M4792we5lo50;3xL7663td2mo4?:0yK657<ug3jo7>51zJ144=zf0ko6=4>{I035>{i1ho1<7?tH322?xh>io0;6<uG2138yk?e83:1=vF=009~j<d6290:wE<?1:m=g4=83;pD?>>;|l:f6<728qC>=?4}o;a0?6=9rB9<<5rn8`6>5<6sA8;=6sa9c494?7|@;::7p`6b683>4}O:9;0qc7m8;295~N5881vb4l6:182M4792we5oo50;3xL7663td2no4?:0yK657<ug3io7>51zJ144=zf0ho6=4>{I035>{i1ko1<7?tH322?xh>jo0;6<uG2138yk?d83:1=vF=009~j<e6290:wE<?1:m=f4=83;pD?>>;|l:g6<728qC>=?4}o;`0?6=9rB9<<5rn8a6>5<6sA8;=6sa9b494?7|@;::7p`6c683>4}O:9;0qc7l8;295~N5881vb4m6:182M4792we5no50;3xL7663td2oo4?:0yK657<ug3ho7>51zJ144=zf0io6=4>{I035>{i1jo1<7?tH322?xh>ko0;6<uG2138yk?c83:1=vF=009~j<b6290:wE<?1:m=a4=83;pD?>>;|l:`6<728qC>=?4}o;g0?6=9rB9<<5rn8f6>5<6sA8;=6sa9e494?7|@;::7p`6d683>4}O:9;0qc7k8;295~N5881vb4j6:182M4792we5io50;3xL7663td2ho4?:0yK657<ug3oo7>51zJ144=zf0no6=4>{I035>{i1mo1<7?tH322?xh>lo0;6<uG2138yk?b83:1=vF=009~j<c6290:wE<?1:m=`4=83;pD?>>;|l:a6<728qC>=?4}o;f0?6=9rB9<<5rn8g6>5<6sA8;=6sa9d494?7|@;::7p`6e683>4}O:9;0qc7j8;295~N5881vb4k6:182M4792we5ho50;3xL7663td2io4?:0yK657<ug3no7>51zJ144=zf0oo6=4>{I035>{i1lo1<7?tH322?xh>mo0;6<uG2138yk?a83:1=vF=009~j<`6290:wE<?1:m=c4=83;pD?>>;|l:b6<728qC>=?4}o;e0?6=9rB9<<5rn8d6>5<6sA8;=6sa9g494?7|@;::7p`6f683>4}O:9;0qc7i8;295~N5881vb4h6:182M4792we5ko50;3xL7663td2jo4?:0yK657<ug3mo7>51zJ144=zf0lo6=4>{I035>{i1oo1<7?tH322?xh>no0;6<uG2138ykg783:1=vF=009~jd66290:wE<?1:me54=83;pD?>>;|lb46<728qC>=?4}oc30?6=9rB9<<5rn`26>5<6sA8;=6saa1494?7|@;::7p`n0683>4}O:9;0qco?8;295~N5881vbl>6:182M4792wem=o50;3xL7663tdj<o4?:0yK657<ugk;o7>51zJ144=zfh:o6=4>{I035>{ii9o1<7?tH322?xhf8o0;6<uG2138ykg683:1=vF=009~jd76290:wE<?1:me44=83;pD?>>;|lb56<728qC>=?4}oc20?6=9rB9<<5rn`36>5<6sA8;=6saa0494?7|@;::7p`n1683>4}O:9;0qco>8;295~N5881vbl?6:182M4792wem<o50;3xL7663tdj=o4?:0yK657<ugk:o7>51zJ144=zfh;o6=4>{I035>{ii8o1<7?tH322?xhf9o0;6<uG2138ykg583:1=vF=009~jd46290:wE<?1:me74=83;pD?>>;|lb66<728qC>=?4}oc10?6=9rB9<<5rn`06>5<6sA8;=6saa3494?7|@;::7p`n2683>4}O:9;0qco=8;295~N5881vbl<6:182M4792wem?o50;3xL7663tdj>o4?:0yK657<ugk9o7>51zJ144=zfh8o6=4>{I035>{ii;o1<7?tH322?xhf:o0;6<uG2138ykg483:1=vF=009~jd56290:wE<?1:me64=83;pD?>>;|lb76<728qC>=?4}oc00?6=9rB9<<5rn`16>5<6sA8;=6saa2494?7|@;::7p`n3683>4}O:9;0qco<8;295~N5881vbl=6:182M4792wem>o50;3xL7663tdj?o4?:0yK657<ugk8o7>51zJ144=zfh9o6=4>{I035>{ii:o1<7?tH322?xhf;o0;6<uG2138ykg383:1=vF=009~jd26290:wE<?1:me14=83;pD?>>;|lb06<728qC>=?4}oc70?6=9rB9<<5rn`66>5<6sA8;=6saa5494?7|@;::7p`n4683>4}O:9;0qco;8;295~N5881vbl:6:182M4792wem9o50;3xL7663tdj8o4?:0yK657<ugk?o7>51zJ144=zfh>o6=4>{I035>{ii=o1<7?tH322?xhf<o0;6<uG2138ykg283:1=vF=009~jd36290:wE<?1:me04=83;pD?>>;|lb16<728qC>=?4}oc60?6=9rB9<<5rn`76>5<6sA8;=6saa4494?7|@;::7p`n5683>4}O:9;0qco:8;295~N5881vbl;6:182M4792wem8o50;3xL7663tdj9o4?:0yK657<ugk>o7>51zJ144=zfh?o6=4>{I035>{ii<o1<7?tH322?xhf=o0;6<uG2138ykg183:1=vF=009~jd06290:wE<?1:me34=83;pD?>>;|lb26<728qC>=?4}oc50?6=9rB9<<5rn`46>5<6sA8;=6saa7494?7|@;::7p`n6683>4}O:9;0qco98;295~N5881vbl86:182M4792wem;o50;3xL7663tdj:o4?:0yK657<ugk=o7>51zJ144=zfh<o6=4>{I035>{ii?o1<7?tH322?xhf>o0;6<uG2138ykg083:1=vF=009~jd16290:wE<?1:me24=83;pD?>>;|lb36<728qC>=?4}oc40?6=9rB9<<5rn`56>5<6sA8;=6saa6494?7|@;::7p`n7683>4}O:9;0qco88;295~N5881vbl96:182M4792wem:o50;3xL7663tdj;o4?:0yK657<ugk<o7>51zJ144=zfh=o6=4>{I035>{ii>o1<7?tH322?xhf?o0;6<uG2138ykg?83:1=vF=009~jd>6290:wE<?1:me=4=83;pD?>>;|lb<6<728qC>=?4}oc;0?6=9rB9<<5rn`:6>5<6sA8;=6saa9494?7|@;::7p`n8683>4}O:9;0qco78;295~N5881vbl66:182M4792wem5o50;3xL7663tdj4o4?:0yK657<ugk3o7>51zJ144=zfh2o6=4>{I035>{ii1o1<7?tH322?xhf0o0;6<uG2138ykg>83:1=vF=009~jd?6290:wE<?1:me<4=83;pD?>>;|lb=6<728qC>=?4}oc:0?6=9rB9<<5rn`;6>5<6sA8;=6saa8494?7|@;::7p`n9683>4}O:9;0qco68;295~N5881vbl76:182M4792wem4o50;3xL7663tdj5o4?:0yK657<ugk2o7>51zJ144=zfh3o6=4>{I035>{ii0o1<7?tH322?xhf1o0;6<uG2138ykgf83:1=vF=009~jdg6290:wE<?1:med4=83;pD?>>;|lbe6<728qC>=?4}ocb0?6=9rB9<<5rn`c6>5<6sA8;=6saa`494?7|@;::7p`na683>4}O:9;0qcon8;295~N5881vblo6:182M4792wemlo50;3xL7663tdjmo4?:0yK657<ugkjo7>51zJ144=zfhko6=4>{I035>{iiho1<7?tH322?xhfio0;6<uG2138ykge83:1=vF=009~jdd6290:wE<?1:meg4=83;pD?>>;|lbf6<728qC>=?4}oca0?6=9rB9<<5rn``6>5<6sA8;=6saac494?7|@;::7p`nb683>4}O:9;0qcom8;295~N5881vbll6:182M4792wemoo50;3xL7663tdjno4?:0yK657<ugkio7>51zJ144=zfhho6=4>{I035>{iiko1<7?tH322?xhfjo0;6<uG2138ykgd83:1=vF=009~jde6290:wE<?1:mef4=83;pD?>>;|lbg6<728qC>=?4}oc`0?6=9rB9<<5rn`a6>5<6sA8;=6saab494?7|@;::7p`nc683>4}O:9;0qcol8;295~N5881vblm6:182M4792wemno50;3xL7663tdjoo4?:0yK657<ugkho7>51zJ144=zfhio6=4>{I035>{iijo1<7?tH322?xhfko0;6<uG2138ykgc83:1=vF=009~jdb6290:wE<?1:mea4=83;pD?>>;|lb`6<728qC>=?4}ocg0?6=9rB9<<5rn`f6>5<6sA8;=6saae494?7|@;::7p`nd683>4}O:9;0qcok8;295~N5881vblj6:182M4792wemio50;3xL7663tdjho4?:0yK657<ugkoo7>51zJ144=zfhno6=4>{I035>{iimo1<7?tH322?xhflo0;6<uG2138ykgb83:1=vF=009~jdc6290:wE<?1:me`4=83;pD?>>;|lba6<728qC>=?4}ocf0?6=9rB9<<5rn`g6>5<6sA8;=6saad494?7|@;::7p`ne683>4}O:9;0qcoj8;295~N5881vblk6:182M4792wemho50;3xL7663tdjio4?:0yK657<ugkno7>51zJ144=zfhoo6=4>{I035>{iilo1<7?tH322?xhfmo0;6<uG2138ykga83:1=vF=009~jd`6290:wE<?1:mec4=83;pD?>>;|lbb6<728qC>=?4}oce0?6=9rB9<<5rn`d6>5<6sA8;=6saag494?7|@;::7p`nf683>4}O:9;0qcoi8;295~N5881vblh6:182M4792wemko50;3xL7663tdjjo4?:0yK657<ugkmo7>51zJ144=zfhlo6=4>{I035>{iioo1<7?tH322?xhfno0;6<uG2138ykd783:1=vF=009~jg66290:wE<?1:mf54=83;pD?>>;|la46<728qC>=?4}o`30?6=9rB9<<5rnc26>5<6sA8;=6sab1494?7|@;::7p`m0683>4}O:9;0qcl?8;295~N5881vbo>6:182M4792wen=o50;3xL7663tdi<o4?:0yK657<ugh;o7>51zJ144=zfk:o6=4>{I035>{ij9o1<7?tH322?xhe8o0;6<uG2138ykd683:1=vF=009~jg76290:wE<?1:mf44=83;pD?>>;|la56<728qC>=?4}o`20?6=9rB9<<5rnc36>5<6sA8;=6sab0494?7|@;::7p`m1683>4}O:9;0qcl>8;295~N5881vbo?6:182M4792wen<o50;3xL7663tdi=o4?:0yK657<ugh:o7>51zJ144=zfk;o6=4>{I035>{ij8o1<7?tH322?xhe9o0;6<uG2138ykd583:1=vF=009~jg46290:wE<?1:mf74=83;pD?>>;|la66<728qC>=?4}o`10?6=9rB9<<5rnc06>5<6sA8;=6sab3494?7|@;::7p`m2683>4}O:9;0qcl=8;295~N5881vbo<6:182M4792wen?o50;3xL7663tdi>o4?:0yK657<ugh9o7>51zJ144=zfk8o6=4>{I035>{ij;o1<7?tH322?xhe:o0;6<uG2138ykd483:1=vF=009~jg56290:wE<?1:mf64=83;pD?>>;|la76<728qC>=?4}o`00?6=9rB9<<5rnc16>5<6sA8;=6sab2494?7|@;::7p`m3683>4}O:9;0qcl<8;295~N5881vbo=6:182M4792wen>o50;3xL7663tdi?o4?:0yK657<ugh8o7>51zJ144=zfk9o6=4>{I035>{ij:o1<7?tH322?xhe;o0;6<uG2138ykd383:1=vF=009~jg26290:wE<?1:mf14=83;pD?>>;|la06<728qC>=?4}o`70?6=9rB9<<5rnc66>5<6sA8;=6sab5494?7|@;::7p`m4683>4}O:9;0qcl;8;295~N5881vbo:6:182M4792wen9o50;3xL7663tdi8o4?:0yK657<ugh?o7>51zJ144=zfk>o6=4>{I035>{ij=o1<7?tH322?xhe<o0;6<uG2138ykd283:1=vF=009~jg36290:wE<?1:mf04=83;pD?>>;|la16<728qC>=?4}o`60?6=9rB9<<5rnc76>5<6sA8;=6sab4494?7|@;::7p`m5683>4}O:9;0qcl:8;295~N5881vbo;6:182M4792wen8o50;3xL7663tdi9o4?:0yK657<ugh>o7>51zJ144=zfk?o6=4>{I035>{ij<o1<7?tH322?xhe=o0;6<uG2138ykd183:1=vF=009~jg06290:wE<?1:mf34=83;pD?>>;|la26<728qC>=?4}o`50?6=9rB9<<5rnc46>5<6sA8;=6sab7494?7|@;::7p`m6683>4}O:9;0qcl98;295~N5881vbo86:182M4792wen;o50;3xL7663tdi:o4?:0yK657<ugh=o7>51zJ144=zfk<o6=4>{I035>{ij?o1<7?tH322?xhe>o0;6<uG2138ykd083:1=vF=009~jg16290:wE<?1:mf24=83;pD?>>;|la36<728qC>=?4}o`40?6=9rB9<<5r}|CDF}c1:0m><7l84`~DED|8tJK\vsO@
/projects/S3Demo/S3demo.ngd
1,3 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.6e
$20f5=6>2.Yi{g|inl9$4(5<8$9"9.+1:27?5678=1;<=?;;12361=789297=?;;1;751=589:?7?6>2e90w767i{:<=#:4:671F773=0BB][[:cvm86<768:087AZTQWW>dh;;3:5=68;;72067=1I=1=MLO=;602?=2<09:;866?C333?=<NFY__6~y{<683:47<03E^X][[:mgg82<768;047AZTQWW>vu`4>0;2<5N2:C36>G6<2K:=5<4A308E62<I1KH86O6AB68EFC?;2KO^>5MUG68FP@@=2H^JJ^>0:@VMIBX\HXLIYO]CI:8FPUXAGLD=6M=;BG1?FO23JAIYK=4CMI5?FJLFF^87NB]9:ALIHOS\LN<7N\JAUGG5>B53MCn7I\L_RW@G@UTN\F:7H<4E568A12?<2O2O4:4EE;;0>CBL=20IU^NNEGG54=BPYKEHHJPBBF\BLJB9=1NT]OADDF\GJHT\[KBBY]>1:G[TDHCMMUB_HQIIMG1?C153ON87KJL4:DGG@3<NMIT=>5IDS68BATB<2LOS<<4FG68BCBA<2LMI?:4FGGE0>@ANL90JK]7;GPLIZSDN81L?6IAD09J6>O7:2C:>6G=2:K00>OE]O?0EO[IG39JJ6=NFH90ECL7;HLEAWTBL01BBDZ\T@VF7>OI^l1BBR@HD^CM@ZDDL?1BCNABP79JKQ_WM;1GE:5CICPBV\0<DFKOII=4LNA;?IIDIEH^J:5COFK@EI2<D\^:86BZT348HPR5WE>0@XZ<4:NVP10<D\^?SI84LTV7[I1<E'=;hRB<;LW[1>KRPJS>7@[WF4a8Idlhz_oydaa129Ngjsi|Viggigy_b{?4;733DidyczPcmigmsYdq5;;2<94MbmvjqYddbnbzRmv<02=[wr6<2Ghcx`{_bnh`lpXkp6:=3?8;LalqkrXkeaoe{Qly=32:Zts9=1Fob{at^aooaoqWjs7=?0>7:O`kphsWjf`hdxPcx>26;Yu|8>0Anaznu]`hnbn~Vir0<=1169Ngjsi|Viggigy_b{?568Xz};?7@m`uov\gimcaUhu1?;>058Ifirf}Uh`fjfv^az8429W{~:86Clotlw[fjll`|Tot2>5?34?Heh}g~Toaekiw]`}9726Vx=95BcnwmpZekcmc}Snw317<23>Kdg|dSnbddht\g|:6>7Uyx<:4MbmvjqYddbnbzRmv<05=52=JkfexRmckeku[f;9>4T~y?;;LalqkrXkeaoe{Qly=3;:41<Eje~byQlljfjrZe~4825Sz>4:O`kphsWjf`hdxPcx>2=;703DidyczPcmigmsYdq5;22R|{129Ngjsi|Viggigy_b{?5;713DidyczPcmigmsYdq5;5Sz>4:O`kphsWjf`hdxPcx>14;703DidyczPcmigmsYdq58;2R|{159Ngjsi|Viggigy_b{?6486?2Ghcx`{_bnh`lpXkp69=3Q}t068Ifirf}Uh`fjfv^az87499>1Fob{at^aooaoqWjs7>?0Pru30?Heh}g~Toaekiw]`}9499?1Fob{at^aooaoqWjs7>3Q}t018Ifirf}Uh`fjfv^az8686>2Ghcx`{_bnh`lpXkp682R|{129Ngjsi|Viggigy_b{?0;713DidyczPcmigmsYdq5>5Sz>3:O`kphsWjf`hdxPcx>6:40<Eje~byQlljfjrZe~4<4T~y?<;LalqkrXkeaoe{Qly=4=53=JkfexRmckeku[f;>7Uyx<=4MbmvjqYddbnbzRmv<6<22>Kdg|dSnbddht\g|:06Vx=>5BcnwmpZekcmc}Snw38?35?Heh}g~Toaekiw]`}9>9W{~:?6Clotlw[fjll`|Tot26>048Ifirf}Uh`fjfv^az8<8Xz};?7@m`uov\gimcaUgyy2?>0c8Ifirf}Uh`fjfv^nvp969W@D]S=?;;LalqkrXkeaoe{Qwos>3:43<Eje~byQlljfjrZ~hz5;;2<;4MbmvjqYddbnbzRv`r=32:43<Eje~byQlljfjrZ~hz5;92<;4MbmvjqYddbnbzRv`r=30:43<Eje~byQlljfjrZ~hz5;?2<;4MbmvjqYddbnbzRv`r=36:43<Eje~byQlljfjrZ~hz5;=2<;4MbmvjqYddbnbzRv`r=34:43<Eje~byQlljfjrZ~hz5;32<;4MbmvjqYddbnbzRv`r=3::42<Eje~byQlljfjrZ~hz5;5=85BcnwmpZekcmc}Sua}<32=50=JkfexRmckeku[}iu4;;5=85BcnwmpZekcmc}Sua}<30=50=JkfexRmckeku[}iu4;95=55BcnwmpZekcmc}Sua}<31=[wr6<2Ghcx`{_bnh`lpXpfx7>3?;;LalqkrXkeaoe{Qwos>0:42<Eje~byQlljfjrZ~hz5>5=95BcnwmpZekcmc}Sua}<4<20>Kdg|dSnbddht\|jt;>7;?7@m`uov\gimcaUsc28>068Ifirf}Uh`fjfv^zlv9>99=1Fob{at^aooaoqWqey040>a:O`kphsWjd~Rv`r=2=54YNF_U;=95BcnwmpZei|{Usc2>>0320>Kdg|dSn`{r^zlv94998;?7@m`uov\gkruWqey0>0>1b9Nmkiu^lxxeb`>9:Oplwc`Wbh:S~fffuPfcVo`:V297C>=;O30?K74;2D:9>5A1618J7743G>8?6@;429M005<F=<87C:83:L7<6=I<090B8><;O727>H2::1E9>=4N460?K32;2D>:>5A5658J@RPG[A:7B:4OCWE7>IIM:1DYY?4P29S575<X8987]?:3:R226=W9090\?><;Q027>V5?:1[>5<4P208T15<X=997];<;Q747>V21;1[:>5_6118T3743Y<9?6^9429S205<X>:87]9>3:R466=W?:90\:9<;Q5;7>V?::1[4>=4P960?U>2;2Z3:>5_8918T=?53Y387]7?3:R:76=W1=90\49<;Q;;7>VFL=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKBj2ZBBRG\CMM@O6=WZLk0\_KKEGKMAA7<Y:1Z=8?4R028V@TB[FF]IR^NR@OP0>TKGJ90^BC<;SQW=>Tb{|f0=0n;Sgpqir;87;j7_k|umv?558f3[oxyaz310<b?Wct}e~7=?0n;Sgpqir;9:4j7_k|umv?518f3[oxyaz314<b?Wct}e~7=;0n;Sgpqir;9>4j7_k|umv?5=8f3[oxyaz318<:?Wct}e~7=3o4Rdqvhq:668k0^h}zlu>14;g<Zly~`y2=1?c8V`urd}69>3o4Rdqvhq:5;730^h}zlu>1:d=Umzgx1<1189Qavsk|595m6\jstnw868612Xnxb{<5<:?Wct}e~79374Rdqvhq:1601Yi~{ct=5==>Tb{|f0506;Sgpqir;17;0_;5\3egnk6=TME90_HZ<;RDE2>UUD8<N;6]]L04\52=TZEI::H:4SSQW0>UTM]20XH\BIOCO2>RNMFLM86ZVPD48QKSTMMi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB<2R;U=?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED=4XNP6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@2>^cjVCon6Vkh^RqmhOcpk1SheQ_rhoJjse<PmbT\gbTxrfb>^c`VZye`Xjrrklj46<PmgTAld`rWgqwlii991Sh`QBiomqR`ttafd87U}{4:XFVJ3<ig6;2;5nn=2=50=ff5;5:6oa<0<21>gi4;4=7l`32?34?dh;;3:596oa<2<5?dh;;7;27l`P0^MAQC?<igU:SBLZF89bjZ4XGK_M56oa_2]LFP@43kf~46lcu^MAQC0<j}d7<384bul?5;0<j}d7>364bul?7?69>2hb1=1a:`wjZ6XAK_Mm6l{n^3\MGSAi2hbR<PICWEe>dsfV9TEO[I9:aooaoq494j7nbddht?558f3jf`hdx310<b?fjll`|7=?0n;bnh`lp;9:4j7nbddht?518f3jf`hdx314<b?fjll`|7=;0n;bnh`lp;9>4j7nbddht?5=8f3jf`hdx318<:?fjll`|7=3o4cmigms:587k0oaekiw>15;g<keaoe{2=2?c8gimca69?374cmigms:5601h`fjfv=1==>ekcmc}0906;bnh`lp;=730oaekiw>5:<=ddbnbz1919:aooaoq41427nbddht?=;><keaoe{Q?8:aooaoqW830oaekiw]24<=ddbnbzR?>9:aooaoqW8827nbddht\56?<keaoe{Q>489`hnbn~V;>56mckeku[40>3jf`hdxP16;8gimcaU:445lljfjrZ7>02iggigy_3;8gimcaU9<45lljfjrZ4612iggigy_30:?fjll`|T>>64cmigmsY402iggigy_5:8gimcaU>46mckeku[3><keaoe{Q88:aooaoqW120oaekiw]:3>ei|{6;2:5lnup?5;1<kg~y0?08;blwv959>2iexQ?6:ampwY6>2iexQ=6:ampwY4n2iexQlmq]fu56788:0ocz}_bos[`w789::?6i}n99dvkYHJ\L97e}8;iq\KGSA02ai=RJIF0:8og7XLOL9;6em1^I@M==lj8U@OD?l;j`2[NENWK_MK:5db0]H@Lb<ck;TAXV@UU>3:`=lj8UFYUAZT=2=5a=lj8UFYUAZT=3=a>me9VG^TB[[<0<2`>me9VG^TB[[<3<f?nd6WD_SCXZ32?3g?nd6WD_SCXZ33?g8og7XE\RDYY2<>034?nd6WDidyczPcmiGmsYdq5:5=55db0]Ngjsi|ViggIgy_b{?5586j2ai=RClotlw[fjlL`|Tot2>0?]qp4><ck;TAnaznu]`hnBn~Vir0<?11c9hf4YJkfexRmckEku[f;984T~y?8;j`2[Heh}g~ToaeKiw]`}9799h1`n<QBcnwmpZekcMc}Snw31?]qp41<ck;TAnaznu]`hnBn~Vir0?0>a:ia5ZKdg|dSnbdDht\g|:56Vx=:5db0]Ngjsi|ViggIgy_b{?7;7f3bh:S@m`uov\gimCaUhu1=1_sv23>me9VGhcx`{_bnh@lpXkp6?2<o4kc3\Ifirf}Uh`fJfv^az818Xz};<7fl>_LalqkrXkeaOe{Qly=7=5d=lj8UFob{at^aooAoqWjs793Q}t058og7XEje~byQlljFjrZe~4?4:m6em1^O`kphsWjf`HdxPcx>5:Zts9>1`n<QBcnwmpZekcMc}Snw37?3b?nd6WDidyczPcmiGmsYdq5=5Sz>7:ia5ZKdg|dSnbdDht\g|:?68k0go?PMbmvjqYddbNbzRmv<9<\vq703bh:S@m`uov\gimCaUhu1711`9hf4YJkfexRmckEku[f;17Uyx<64kc3\Ifirf}Uh`fJfv^nvp9699l1`n<QBcnwmpZekcMc}Sa{{<1<\MKPX8820go?PMbmvjqYddbNbzRv`r=2=5<=lj8UFob{at^aooAoqWqey0<>1189hf4YJkfexRmckEku[}iu48;5=45db0]Ngjsi|ViggIgy_ymq84499j1`n<QBcnwmpZekcMc}Sua}<00=[wr602ai=RClotlw[fjlL`|Ttb|31?3;?nd6WDidyczPcmiGmsYg{692<64kc3\Ifirf}Uh`fJfv^zlv959911`n<QBcnwmpZekcMc}Sua}<5<2<>me9VGhcx`{_bnh@lpXpfx793?7;j`2[Heh}g~ToaeKiw]{kw:16820go?PMbmvjqYddbNbzRv`r=5=5==lj8UFob{at^aooAoqWqey050>8:ia5ZKdg|dSnbdDht\|jt;17n0go?PRdqvhq:76l1`n<Q]erwop9776l1`n<Q]erwop9766l1`n<Q]erwop9756m1`n<Q]erwop979l2ai=R\jstnw878c3bh:S_k|umv?7;b<ck;T^h}zlu>7:a=lj8UYi~{ct=7=`>me9VXnxb{<7<g?nd6W[oxyaz37?f8og7XZly~`y27>e9hf4YUmzgx171e:ia5ZQfa}Xnk1>1e:ia5ZQfa}Xnk1?1e:ia5ZQfa}Xnk1<1e:ia5ZQfa}Xnk1=1e:ia5ZQfa}Xnk1:1e:ia5ZQfa}Xnk1;1e:ia5ZQfa}Xnk181e:ia5ZQfa}Xnk191d:ia5ZQfa}XnkR>k;j`2[Rgn|[olS<j4kc3\SdosZlmT>i5db0]TelrUmnU8h6em1^UbmqTboV>o7fl>_VcjpWc`W<n0go?PW`kwV`aX>m1`n<QXahvQabY09?1`n<QXahvQabYdeyUn}=>?00:8og7X_hc^hiPclr\at6789;<>>5db0]TelrUmnUha}Qjq123441(D\^?SAQMUG3b?nd6W^kbx_kh_bos[`w789::;"A>8:ia5ZQfa}XnkRmbp^gr456791;<7fl>_VcjpWc`Wjg{Sh?0127`>me9ViggIgy<1<f?nd6Wjf`Hdx311<f?nd6Wjf`Hdx310<f?nd6Wjf`Hdx313<g?nd6Wjf`Hdx31?f8og7XkeaOe{2=>e9hf4YddbNbz1=1d:ia5ZekcMc}090k;j`2[fjlL`|793j4kc3\gimCa6=2i5db0]`hnBn~5=5h6em1^aooAoq414o7fl>_bnh@lp;17i0go?PcmiGmsY7k2ai=RmckEku[4b<ck;ToaeKiw]24a=lj8Uh`fJfv^32`>me9ViggIgy_00`?nd6Wjf`HdxP2b9hf4YddbNbzR=l;j`2[fjlL`|T8n5db0]`hnBn~V?h7fl>_bnh@lpX>j1`n<QlljFjrZ1d3bh:SnbdDht\<f=lj8Uh`fJfv^;20>me9Vycekz]efQjc4:687;87fl>_rjjbqTboZcl=1?1129hf4Yt``l^hi\if3?6;743bh:S~fffuPfcVo`9595=>5db0]pll`sZlmXej?34?30?nd6Wzbbjy\jgRkd59399:1`n<Q|hhdwV`aTan;7:3?<;j`2[vnnn}Xnk^gh1=5=56=lj8Uxddh{RdePmb7;07;87fl>_rjjbqTboZcl=171139hf4Yt``l^hi\if3\545<ck;TegitSgdWla6W8::>6em1^qkmcrUmnYbk<Q=139hf4Yt``l^hi\if3\744<ck;TegitSgdWla6W=;97fl>_rjjbqTboZcl=R;>2:ia5Zuoao~Yij]fg0]557=lj8Uxddh{RdePmb7X?880go?PsikepWc`[`m:S5?=;j`2[vnnn}Xnk^gh1^;27>me9Vycekz]efQjc7:66890go?PsikepWc`[`m90?0>3:ia5Zuoao~Yij]fg3>0:45<ck;TegitSgdWla54=4:?6em1^qkmcrUmnYbk?2:>018og7X{acmx_khShe18386;2ai=R}gigvQabUno;6<2<=4kc3\wmoa|[ol_di=<9<26>me9Vycekz]efQjc7Y69;1`n<Q|hhdwV`aTan8T><<4kc3\wmoa|[ol_di=_231?nd6Wzbbjy\jgRkd6Z26:2ai=R}gigvQabUno;U>=?5db0]pll`sZlmXej<P6008og7X{acmx_khShe1[2753bh:S~fffuPfcVo`:V2:?6em1^qkmcrUmnYbk?Q71068og7X{acmx_khShe1[=76:2ah;6el_H@VB7=ll>1`hRGMUG48h`b;87<0`hj31?48h`b;:7<0`hj33?48h`b;<7<0`hj35?48h`b;>720`hj37;2=2>jbl5=5m6bjd^2\KGSAi2fnhR?POCWEe>jblV8TCO[Ia:nf`Z5XGK_Mm6bjd^6\KGSAi2fnhR;POCWEe>jblV<TCO[Ia:nf`Z1XGK_M86clljc8ifjlWK_MK]64nffaqljc;2xnh55}ee]LFP@>3zzj~yoa3`48wva;87<0~i31?48wva;:7<0~i33?48wva;<7<0~i35?48wva;>720~i37;2=2>uto5=5m6}|g^2\KGSA991xjQ?_lw{45679=>:>6}|g^2\ip~789::89QI139pwbY7Wds<=>?156\Cd=t{nU:SBLZF028wvaX9Vg~t=>?002357=t{nU:S`{w0123556XN880~iP1^ov|56788:;SJo4sre\6ZIE]Ol0~iP2^ov|5678>8:=6}|g^0\ip~789:<>RH>1:qpcZ4Xe|r;<=>82^Eb?vu`W:UDNXH>0:qpcZ5Xe|r;<=>>4731?vu`W:Ufyu>?01372Z@6:2yxkR=Pmtz34566<?ULm6}|g^6\KGSAn2yxkR:Pmtz34561=8;0~iP4^ov|5678??TJ<?4sre\0Zkrp9:;<;;PG`9pwbY2WFH^J<>4sre\1Zkrp9:;<<>7139pwbY2Wds<=>?11:\B44<{zmT9Rczx123446?WNk0~iP6^MAQC773zylS;Qbuy234577>880~iP6^ov|56788:=SK?=;rqd[3Yj}q:;<=??6^Eb?vu`W>UDNXH>1:qpcZ1XGK_MS~fffu325>utoV=TCO[I_rjjbq4692yxkR9POCWE[vnnn}9=7~y{<1<5?vqs484=7~y{<3<5?vqs4:4=7~y{<5<5?vqs4<4=7~y{<7<;?vqs4>0;2;5|wu>4:d=t}U;SDLZF`9psqY6W@H^Jl5|wu]1[LDRNh1x{yQ<_H@VBd=t}U?SDLZF`9psqY2W@H^Jl5|wu]5[LDRNh1x{yQ8_H@VB42<~nk:S@m`uov\lfYdq5:5=95yg`3\Ifirf}UcoRmv<0<23>p`i8UFob{at^j`[f;97Uyx<:4vfc2[Heh}g~TdnQly=0=52=qoh;TAnaznu]kgZe~4;4T~y?;;web5ZKdg|dSemPcx>0:41<~nk:S@m`uov\lfYdq595Sz>4:tde4YJkfexRfl_b{?0;703mj=RClotlw[meXkp6?2R|{159ucd7XEje~byQgc^az8086?2|lm<QBcnwmpZndWjs793Q}t068rbg6WDidyczPhb]`}9099>1}kl?PMbmvjqYokVir0;0Pru37?saf9VGhcx`{_ia\g|:068=0zjo>_LalqkrX`jUhu191_sv20>p`i8UFob{at^j`[f;07;<7{in1^O`kphsWaiTot27>^pw50=qoh;TAnaznu]kgZjr|5:5=o5yg`3\Ifirf}UcoRbzt=2=[LHQW9;>7{in1^O`kphsWaiTtb|30?36?saf9VGhcx`{_ia\|jt;97;>7{in1^O`kphsWaiTtb|32?36?saf9VGhcx`{_ia\|jt;;7;>7{in1^O`kphsWaiTtb|34?36?saf9VGhcx`{_ia\|jt;=7;>7{in1^O`kphsWaiTtb|36?36?saf9VGhcx`{_ia\|jt;?7;>7{in1^O`kphsWaiTtb|38?36?saf9VGhcx`{_ia\|jt;17;37{in1^O`kphsWaiTtb|39?]qp42<~nk:S@m`uov\rfYdq5:5=95yg`3\Ifirf}U}oRmv<0<23>p`i8UFob{at^t`[f;97Uyx<:4vfc2[Heh}g~TznQly=0=52=qoh;TAnaznu]ugZe~4;4T~y?;;web5ZKdg|dS{mPcx>0:41<~nk:S@m`uov\rfYdq595Sz>4:tde4YJkfexRxl_b{?0;703mj=RClotlw[seXkp6?2R|{159ucd7XEje~byQyc^az8086?2|lm<QBcnwmpZpdWjs793Q}t068rbg6WDidyczPvb]`}9099>1}kl?PMbmvjqYqkVir0;0Pru37?saf9VGhcx`{_wa\g|:068=0zjo>_LalqkrX~jUhu191_sv20>p`i8UFob{at^t`[f;07;<7{in1^O`kphsWiTot27>^pw50=qoh;TAnaznu]ugZjr|5:5=o5yg`3\Ifirf}U}oRbzt=2=[LHQW9;>7{in1^O`kphsWiTtb|30?36?saf9VGhcx`{_wa\|jt;97;>7{in1^O`kphsWiTtb|32?36?saf9VGhcx`{_wa\|jt;;7;>7{in1^O`kphsWiTtb|34?36?saf9VGhcx`{_wa\|jt;=7;>7{in1^O`kphsWiTtb|36?36?saf9VGhcx`{_wa\|jt;?7;>7{in1^O`kphsWiTtb|38?36?saf9VGhcx`{_wa\|jt;17;37{in1^O`kphsWiTtb|39?]qp==qoh;TB=?8;web5ZH6?2|lm<QA2d9ucd7XZly~`y2?>g9ucd7XZly~`y2?>0g8rbg6W[oxyaz31?d8rbg6W[oxyaz31?3f?saf9VXnxb{<3<e?saf9VXnxb{<3<2a>p`i8UYi~{ct=1=b>p`i8UYi~{ct=1=5`=qoh;T^h}zlu>7:c=qoh;T^h}zlu>7:4c<~nk:S_k|umv?1;`<~nk:S_k|umv?1;7b3mj=R\jstnw838a3mj=R\jstnw8386m2|lm<Q]erwop919n2|lm<Q]erwop9199l1}kl?PRdqvhq:?6o1}kl?PRdqvhq:?68o0zjo>_Sgpqir;17l0zjo>_Sgpqir;17;:<6xha0]ahpYffm:;<=?;;web5Zdk}Vkeh=>?0^QT4g=qoh;Toaekiwa8rbg6Wjf`hdx>119ucd7Xkeaoe{QMUGE26>p`i8Ul~cQnne2345769m1}kl?Pgsl\ekb789::="BZT5]G[GSA981}kl?Pgsl\ekb789:9m6xha0]kg969i2|lm<Qgc=3=e>p`i8Uco1<1a:tde4Yok595m6xha0]kg929i2|lm<Qgc=7=e>p`i8Uco181a:tde4Yok5=5m6xha0]kg9>9i2|lm<Qgc=;==>p`i8UcoR>6;web5ZndW830zjo>_ia\6<=qoh;TdnQ<9:tde4YokV>27{in1^j`[0?<~nk:SemP689ucd7X`jU<56xha0]kgZ>>3mj=Rfl_831?saf9VbhSnc_ds34566;2|lm<Qgc^antZcv89:;=<=4vfc2[muXkdzTi|>?01324>p`i8UyiiQnne2345753mj=R|jd^cm`56788;:86xha0]qaaYffm:;<=Q\W1c8rbg6Wi7<3o4vfc2[se;97k0zjo>_wa?6;g<~nk:S{m33?c8rbg6Wi783o4vfc2[se;=7k0zjo>_wa?2;g<~nk:S{m37?c8rbg6Wi743o4vfc2[se;1730zjo>_wa\4<=qoh;TznQ>9:tde4YqkV827{in1^t`[6?<~nk:S{mP489ucd7X~jU>56xha0]ugZ0>3mj=Rxl_6;8rbg6WiT445yg`3\rfY>n2|lm<Qyc^cm`56788:0zjo>_wa\ekb789::=95yg`3\rfYffm:;<=?PSV22b>p`i8U}oRoad12344YT_9%GYY<PL^@VB40<~nk:S{mPaof34566WZ];#B?8;web5ZpdWhdo<=>?_RU3[VQ7:;1}kl?Pvb]bja6789UX[=Q\W1-OQQ2XDVH^J<74vfc2[seXign;<=>PSV2\WR6(G880zjo>_wq\ghvXmx:;<=?<;web5ZptWjg{Sh?012250=qoh;Tz~Qlmq]fu5678Vl>h6xha0]uw`hfjeo:96xha0]uw`hfjeoTbbz?01323>p`i8U}h`nbmg\jjr789;:<<94vfc2[subfhhgiR``t12354>6?2|lm<QysdlbficXff~;<=?=639uw2=q{VEIYK64xhnjj}si911s`cvPatvl[5Ylk%hy wbbf~DEve9<1KLu?=e;D90?7|[:;1=9m56e827636l?084l>?{o37e?7<f8>i6;5+157956`<uZ9;6<:l:7f956529m<1?5o?4:Q56?42;3;1=>=:1e497=d>?2Y8<7<:3;3956529m<1?5l69:f26`<7280:w^=>:06`>3b=9:9>=i8539c34>"5?38>:6F<4:tW7g<7280:6<6<{R12>42d2?n1=>=:1e497=g782.:?i495:T20<<5s|=:6<5zbd83?x"68=0i7)=j:19'7f<4:m1/?i4:d:`26`<72;21=475192xL45e3-;857?=e:X1<?3|m3;26<65d;34>xo5;j0;66a>a383>>o5;l0;66a<2`83>>i6i90;66a=5583>>o5=80;66a>5c83>>i6=<0;6)??6;360>h68<0;76a>5383>!77>3;>86`>0482?>i6=90;6)??6;360>h68<0976a>4d83>!77>3;>86`>0480?>i5=o0;6)??6;06a>h68<0;76a=5e83>!77>38>i6`>0482?>i5=j0;6)??6;06a>h68<0976a=5c83>!77>38>i6`>0480?>i5=h0;6)??6;06a>h68<0?76a=5883>!77>38>i6`>0486?>i5=10;6)??6;06a>h68<0=76a=5683>!77>38>i6`>0484?>i5<10;6)??6;073>h68<0;76a=4783>!77>38?;6`>0482?>i5<<0;6)??6;073>h68<0976a=4583>!77>38?;6`>0480?>i5<:0;6)??6;073>h68<0?76a=4383>!77>38?;6`>0486?>i5<80;6)??6;073>h68<0=76a=4183>!77>38?;6`>0484?>o6>80;6)??6;354>h68<0;76g>5g83>!77>3;=<6`>0482?>o6=l0;6)??6;354>h68<0976g>5e83>!77>3;=<6`>0480?>o5010;6)??6;0;3>h68<0;76g=8783>!77>383;6`>0482?>o50<0;6)??6;0;3>h68<0976g=8583>!77>383;6`>0480?>o50:0;6)??6;0;3>h68<0?76g=8383>!77>383;6`>0486?>o5080;6)??6;0;3>h68<0=76g=8183>!77>383;6`>0484?>d6<:0;6<4?:1y'56?=<o1C=9<4H01a?!7303;?h6*91;11g>i3m3:1(<:7:e;8?xd6<=0;6<4?:1y'56?=9:i0D<:=;I30f>"6<10o46*91;11g>ici3:1(<:7:e;8?xd6?l0;6;4?:1y'56?=<91C=9<4H01a?!7303><7);m:39K55b<,?;1??m4i3;94?"6<109h65f3383>!7303?<76a>0`83>!7303n276g=a;29 42?2;k07d??8;29 42?289;76g=f;29 42?28;;76sm16d94?0=83:p(<=6:528L4253A;8n6*>49873>"2j380D<>k;%42>64d3`826=4+15:96a=<a:81<7*>49863>=h99k1<7*>498g=>=n:h0;6)?;8;0b?>o6810;6)?;8;304>=n:o0;6)?;8;324>=zj82j6=49:183!7413>;7E?;2:J27g=#9=218:5+5c81?M77l2.==7==c:k1=?6=,8>36?j4;h11>5<#9=219:54o02b>5<#9=21h454i3c94?"6<109m65f11:94?"6<10:?=54i3d94?"6<10:==54}c3;b?6=>3:1<v*>38874>N6<;1C=>l4$06;>11<,<h1>6F>0e9'24<4:j1b>44?:%37<?4c32c8>7>5$06;>01<3f;;m7>5$06;>a?<3`8j6=4+15:96d=<a8:36=4+15:9566<3`8m6=4+15:9546<3th:5=4?:783>5}#9:318=5G1508L45e3-;?47:8;%7a>7=O99n0(;?533a8m7?=83.:854=d:9j77<72-;?47;8;:m24d<72-;?47j6;:k1e?6=,8>36?o4;h33<?6=,8>36<=?;:k1b?6=,8>36<??;:a5<7=83<1<7>t$01:>16<@8>97E?<b:&20=<3?2.>n7<4H02g?!062:8h7d<6:18'51>=:m10e><50;&20=<2?21d==o50;&20=<c121b>l4?:%37<?4f32c:<54?:%37<?74821b>k4?:%37<?76821vn<7=:185>5<7s-;857:?;I376>N6;k1/=965469'1g<53A;;h6*91;11g>o513:1(<:7:3f8?l55290/=9655698k46f290/=965d898m7g=83.:854=a:9j55>=83.:854>3198m7`=83.:854>1198yg7>;3:1:7>50z&27<<382B:8?5G12`8 42?2==0(8l52:J24a=#>808>n5f2883>!73038o76g<2;29 42?2<=07b??a;29 42?2m307d<n:18'51>=:h10e<>7:18'51>=9::07d<i:18'51>=98:07pl>9583>3<729q/=>75419K514<@89i7)?;8;64?!3e2;1C==j4$73977e<a;31<7*>4981`>=n;;0;6)?;8;74?>i68h0;6)?;8;f:?>o5i3:1(<:7:3c8?l7703:1(<:7:013?>o5n3:1(<:7:033?>{e90?1<7850;2x 45>2=:0D<:=;I30f>"6<10?;6*:b;08L46c3-<:6><l;h0:>5<#9=21>i54i2094?"6<10>;65`11c94?"6<10o565f2`83>!73038j76g>0983>!7303;8<65f2g83>!7303;:<65rb0:3>5<1290;w)?<9;63?M73:2B:?o5+15:902=#=k097E??d:&55?55k2c957>5$06;>7b<3`996=4+15:912=<g8:j6=4+15:9`<=<a;k1<7*>4981e>=n9921<7*>498275=<a;l1<7*>498255=<uk;3=7>56;294~"6;00?<6F>439K56d<,8>36994$4`96>N68m1/:<4<2b9j6<<72-;?47<k;:k06?6=,8>36894;n33e?6=,8>36i74;h0b>5<#9=21>l54i02;>5<#9=21=>>4;h0e>5<#9=21=<>4;|`2<7<72?0;6=u+12;905=O9=80D<=m;%37<?203-?i6?5G11f8 37=;;i0e?750;&20=<5l21b??4?:%37<?3032e:<l4?:%37<?b>32c9m7>5$06;>7g<3`;;47>5$06;>45732c9j7>5$06;>47732wi=5=50;494?6|,89269>4H061?M74j2.:854;7:&6f?4<@8:o7)8>:20`?l4>290/=9652e98m64=83.:854:7:9l55g=83.:854k9:9j6d<72-;?47<n;:k24=<72-;?47?<0:9j6c<72-;?47?>0:9~f4>3290=6=4?{%30=?273A;?>6F>3c9'51>=<>1/9o4=;I33`>"19399o6g=9;29 42?2;n07d==:18'51>==>10c<>n:18'51>=l010e?o50;&20=<5i21b==650;&20=<6;910e?h50;&20=<69910qo?75;292?6=8r.:?44;0:J207=O9:h0(<:7:558 0d=:2B:<i5+60806f=n:00;6)?;8;0g?>o4:3:1(<:7:458?j77i3:1(<:7:e;8?l4f290/=9652`98m46?290/=9651228?l4a290/=9651028?xd60?0;6;4?:1y'56?=<91C=9<4H01a?!7303><7);m:39K55b<,?;1??m4i3;94?"6<109h65f3383>!7303?<76a>0`83>!7303n276g=a;29 42?2;k07d??8;29 42?289;76g=f;29 42?28;;76sm19594?0=83:p(<=6:528L4253A;8n6*>49873>"2j380D<>k;%42>64d3`826=4+15:96a=<a:81<7*>49863>=h99k1<7*>498g=>=n:h0;6)?;8;0b?>o6810;6)?;8;304>=n:o0;6)?;8;324>=zj8236=49:183!7413>;7E?;2:J27g=#9=218:5+5c81?M77l2.==7==c:k1=?6=,8>36?j4;h11>5<#9=219:54o02b>5<#9=21h454i3c94?"6<109m65f11:94?"6<10:?=54i3d94?"6<10:==54}c3;=?6=>3:1<v*>38874>N6<;1C=>l4$06;>11<,<h1>6F>0e9'24<4:j1b>44?:%37<?4c32c8>7>5$06;>01<3f;;m7>5$06;>a?<3`8j6=4+15:96d=<a8:36=4+15:9566<3`8m6=4+15:9546<3th:4o4?:783>5}#9:318=5G1508L45e3-;?47:8;%7a>7=O99n0(;?533a8m7?=83.:854=d:9j77<72-;?47;8;:m24d<72-;?47j6;:k1e?6=,8>36?o4;h33<?6=,8>36<=?;:k1b?6=,8>36<??;:a5=e=83<1<7>t$01:>16<@8>97E?<b:&20=<3?2.>n7<4H02g?!062:8h7d<6:18'51>=:m10e><50;&20=<2?21d==o50;&20=<c121b>l4?:%37<?4f32c:<54?:%37<?74821b>k4?:%37<?76821vn<6k:185>5<7s-;857:?;I376>N6;k1/=965469'1g<53A;;h6*91;11g>o513:1(<:7:3f8?l55290/=9655698k46f290/=965d898m7g=83.:854=a:9j55>=83.:854>3198m7`=83.:854>1198yg7?m3:1:7>50z&27<<382B:8?5G12`8 42?2==0(8l52:J24a=#>808>n5f2883>!73038o76g<2;29 42?2<=07b??a;29 42?2m307d<n:18'51>=:h10e<>7:18'51>=9::07d<i:18'51>=98:07pl>9`83>=<729q/=>75459K514<@89i7)?;8;305>"2j380D<>k;%42>64d3`826=4+15:96a=<a:81<7*>49863>=n99i1<7*>498270=<g8:j6=4+15:9`<=<a;k1<7*>4981e>=n9::1<7*>498275=O9:k07d?>0;29 42?28;;7E?<a:9j57b=83.:854>3798yg7>j3:147>50z&27<<3<2B:8?5G12`8 42?289:7);m:39K55b<,?;1??m4i3;94?"6<109h65f3383>!7303?<76g>0b83>!7303;8965`11c94?"6<10o565f2`83>!73038j76g>3183>!7303;8<6F>3`98m477290/=9651028L45f32c:>i4?:%37<?74>21vn<7l:18;>5<7s-;857:;;I376>N6;k1/=9651238 0d=:2B:<i5+60806f=n:00;6)?;8;0g?>o4:3:1(<:7:458?l77k3:1(<:7:016?>i68h0;6)?;8;f:?>o5i3:1(<:7:3c8?l7483:1(<:7:013?M74i21b=<>50;&20=<6991C=>o4;h31`?6=,8>36<=9;:a5<b=8321<7>t$01:>12<@8>97E?<b:&20=<6;81/9o4=;I33`>"19399o6g=9;29 42?2;n07d==:18'51>==>10e<>l:18'51>=9:?07b??a;29 42?2m307d<n:18'51>=:h10e<=?:18'51>=9::0D<=n;:k255<72-;?47?>0:J27d=<a88o6=4+15:9560<3th<>7>54;294~"6;00=j6F>439K56d<,8>36;k4$73977e<a;h1<7*>4986=>=n;:0;6)?;8;7;?>o6:m0;6)?;8;31b>=hl?0;6)?;8;f:?>{ei80;6>4?:1y'56?=9=;0D<:=;I30f>"6<10:8=5+60806f=n:k0;6)?;8;74?>o183:1(<:7:458?jb1290/=965d898yg>0290?6=4?{%30=?0a3A;?>6F>3c9'51>=>l1/:<4<2b9j6g<72-;?47;6;:k07?6=,8>36864;h31`?6=,8>36<<i;:mg2?6=,8>36i74;|`bg?6=;3:1<v*>388204=O9=80D<=m;%37<?7382.==7==c:k1f?6=,8>36894;h43>5<#9=219:54oe494?"6<10o565rb9d94?2=83:p(<=6:7d8L4253A;8n6*>4985a>"19399o6g=b;29 42?2<307d=<:18'51>==110e<<k:18'51>=9;l07bj9:18'51>=l010qol=:180>5<7s-;857?;1:J207=O9:h0(<:7:063?!062:8h7d<m:18'51>==>10e;>50;&20=<2?21dh;4?:%37<?b>32wi5<4?:583>5}#9:31:k5G1508L45e3-;?478j;%42>64d3`8i6=4+15:91<=<a:91<7*>4986<>=n9;n1<7*>49826c=<gm<1<7*>498g=>=zjk91<7=50;2x 45>28>:7E?;2:J27g=#9=21=9>4$73977e<a;h1<7*>49863>=n>90;6)?;8;74?>ic>3:1(<:7:e;8?xd>;3:187>50z&27<<1n2B:8?5G12`8 42?2?o0(;?533a8m7d=83.:854:9:9j76<72-;?47;7;:k26a<72-;?47?=f:9l`3<72-;?47j6;:af1<72:0;6=u+12;9517<@8>97E?<b:&20=<6<91/:<4<2b9j6g<72-;?47;8;:k54?6=,8>36894;nf5>5<#9=21h454}c;6>5<3290;w)?<9;4e?M73:2B:?o5+15:92`=#>808>n5f2c83>!7303?276g<3;29 42?2<207d?=d;29 42?288m76ak6;29 42?2m307plm5;297?6=8r.:?44>409K514<@89i7)?;8;374>"19399o6g=b;29 42?2<=07d8?:18'51>==>10ci850;&20=<c121vn4950;694?6|,8926;h4H061?M74j2.:8549e:&55?55k2c9n7>5$06;>0?<3`986=4+15:91==<a88o6=4+15:957`<3fn=6=4+15:9`<=<ukh=6=4<:183!7413;?=6F>439K56d<,8>36<:?;%42>64d3`8i6=4+15:912=<a?:1<7*>49863>=hl?0;6)?;8;f:?>{e100;694?:1y'56?=>o1C=9<4H01a?!7303<n7)8>:20`?l4e290/=9655898m65=83.:854:8:9j57b=83.:854>2g98ka0=83.:854k9:9~fg1=8391<7>t$01:>4263A;?>6F>3c9'51>=9=:0(;?533a8m7d=83.:854:7:9j25<72-;?47;8;:mg2?6=,8>36i74;|`:f?6=<3:1<v*>3885b>N6<;1C=>l4$06;>3c<,?;1??m4i3`94?"6<10>565f3283>!7303?376g>2e83>!7303;9j65`d783>!7303n276smb983>6<729q/=>751538L4253A;8n6*>498205=#>808>n5f2c83>!7303?<76g90;29 42?2<=07bj9:18'51>=l010qo7k:187>5<7s-;8578i;I376>N6;k1/=9656d9'24<4:j1b>o4?:%37<?3>32c8?7>5$06;>0><3`;9h7>5$06;>44a32eo:7>5$06;>a?<3thi57>53;294~"6;00:8<5G1508L45e3-;?47?;0:&55?55k2c9n7>5$06;>01<3`<;6=4+15:912=<gm<1<7*>498g=>=zj>91<7:50;2x 45>2?l0D<:=;I30f>"6<10=i6*91;11g>o5j3:1(<:7:4;8?l54290/=9655998m44c290/=96513d8?jb1290/=965d898ygg529086=4?{%30=?7392B:8?5G12`8 42?28>;7)8>:20`?l4e290/=9655698m36=83.:854:7:9l`3<72-;?47j6;:a30<72=0;6=u+12;92c=O9=80D<=m;%37<?0b3-<:6><l;h0a>5<#9=219454i2194?"6<10>465f13f94?"6<10:>k54oe494?"6<10o565rb`194?5=83:p(<=6:062?M73:2B:?o5+15:9516<,?;1??m4i3`94?"6<10>;65f6183>!7303?<76ak6;29 42?2m307pl87;290?6=8r.:?449f:J207=O9:h0(<:7:7g8 37=;;i0e?l50;&20=<2121b?>4?:%37<?3?32c:>i4?:%37<?75n21dh;4?:%37<?b>32wim94?:283>5}#9:31=9?4H061?M74j2.:854>419'24<4:j1b>o4?:%37<?3032c=<7>5$06;>01<3fn=6=4+15:9`<=<uk=26=4;:183!7413<m7E?;2:J27g=#9=21:h5+60806f=n:k0;6)?;8;7:?>o4;3:1(<:7:4:8?l75l3:1(<:7:00e?>ic>3:1(<:7:e;8?xdf=3:1?7>50z&27<<6<81C=9<4H01a?!7303;?<6*91;11g>o5j3:1(<:7:458?l07290/=9655698ka0=83.:854k9:9~f2d=83>1<7>t$01:>3`<@8>97E?<b:&20=<1m2.==7==c:k1f?6=,8>36874;h10>5<#9=219554i00g>5<#9=21=?h4;nf5>5<#9=21h454}cc5>5<4290;w)?<9;375>N6<;1C=>l4$06;>4273-<:6><l;h0a>5<#9=219:54i7294?"6<10>;65`d783>!7303n276sm7e83>1<729q/=>756g9K514<@89i7)?;8;4f?!062:8h7d<m:18'51>==010e>=50;&20=<2021b=?j50;&20=<6:o10ci850;&20=<c121vnl950;194?6|,8926<:>;I376>N6;k1/=9651528 37=;;i0e?l50;&20=<2?21b:=4?:%37<?3032eo:7>5$06;>a?<3th<j7>54;294~"6;00=j6F>439K56d<,8>36;k4$73977e<a;h1<7*>4986=>=n;:0;6)?;8;7;?>o6:m0;6)?;8;31b>=hl?0;6)?;8;f:?>{ei10;6>4?:1y'56?=9=;0D<:=;I30f>"6<10:8=5+60806f=n:k0;6)?;8;74?>o183:1(<:7:458?jb1290/=965d898yg>6290?6=4?{%30=?0a3A;?>6F>3c9'51>=>l1/:<4<2b9j6g<72-;?47;6;:k07?6=,8>36864;h31`?6=,8>36<<i;:mg2?6=,8>36i74;|`b=?6=;3:1<v*>388204=O9=80D<=m;%37<?7382.==7==c:k1f?6=,8>36894;h43>5<#9=219:54oe494?"6<10o565rb9194?2=83:p(<=6:7d8L4253A;8n6*>4985a>"19399o6g=b;29 42?2<307d=<:18'51>==110e<<k:18'51>=9;l07bj9:18'51>=l010qoon:180>5<7s-;857?;1:J207=O9:h0(<:7:063?!062:8h7d<m:18'51>==>10e;>50;&20=<2?21dh;4?:%37<?b>32wi484?:583>5}#9:31:k5G1508L45e3-;?478j;%42>64d3`8i6=4+15:91<=<a:91<7*>4986<>=n9;n1<7*>49826c=<gm<1<7*>498g=>=zjhh1<7=50;2x 45>28>:7E?;2:J27g=#9=21=9>4$73977e<a;h1<7*>49863>=n>90;6)?;8;74?>ic>3:1(<:7:e;8?xd?13:187>50z&27<<1n2B:8?5G12`8 42?2?o0(;?533a8m7d=83.:854:9:9j76<72-;?47;7;:k26a<72-;?47?=f:9l`3<72-;?47j6;:aea<72:0;6=u+12;9517<@8>97E?<b:&20=<6<91/:<4<2b9j6g<72-;?47;8;:k54?6=,8>36894;nf5>5<#9=21h454}c:a>5<3290;w)?<9;4e?M73:2B:?o5+15:92`=#>808>n5f2c83>!7303?276g<3;29 42?2<207d?=d;29 42?288m76ak6;29 42?2m307plne;297?6=8r.:?44>409K514<@89i7)?;8;374>"19399o6g=b;29 42?2<=07d8?:18'51>==>10ci850;&20=<c121vn5j50;694?6|,8926;h4H061?M74j2.:8549e:&55?55k2c9n7>5$06;>0?<3`986=4+15:91==<a88o6=4+15:957`<3fn=6=4+15:9`<=<ukkm6=4<:183!7413;?=6F>439K56d<,8>36<:?;%42>64d3`8i6=4+15:912=<a?:1<7*>49863>=hl?0;6)?;8;f:?>{ej90;6>4?:1y'56?=9=;0D<:=;I30f>"6<10:8=5+60806f=n:k0;6)?;8;74?>o183:1(<:7:458?jb1290/=965d898yg4fl3:1?7>50z&27<<6<81C=9<4H01a?!7303;?<6*91;11g>o5j3:1(<:7:458?l07290/=9655698ka0=83.:854k9:9~f7gd29086=4?{%30=?7392B:8?5G12`8 42?28>;7)8>:20`?l4e290/=9655698m36=83.:854:7:9l`3<72-;?47j6;:a6d6=83>1<7>t$01:>3`<@8>97E?<b:&20=<1m2.==7==c:k1f?6=,8>36874;h10>5<#9=219554i00g>5<#9=21=?h4;nf5>5<#9=21h454}c0bf?6=;3:1<v*>388204=O9=80D<=m;%37<?7382.==7==c:k1f?6=,8>36894;h43>5<#9=219:54oe494?"6<10o565rb3;f>5<3290;w)?<9;4e?M73:2B:?o5+15:92`=#>808>n5f2c83>!7303?276g<3;29 42?2<207d?=d;29 42?288m76ak6;29 42?2m307pl=a`83>6<729q/=>751538L4253A;8n6*>498205=#>808>n5f2c83>!7303?<76g90;29 42?2<=07bj9:18'51>=l010qo<6c;290?6=8r.:?449f:J207=O9:h0(<:7:7g8 37=;;i0e?l50;&20=<2121b?>4?:%37<?3?32c:>i4?:%37<?75n21dh;4?:%37<?b>32wi>l750;194?6|,8926<:>;I376>N6;k1/=9651528 37=;;i0e?l50;&20=<2?21b:=4?:%37<?3032eo:7>5$06;>a?<3th95l4?:583>5}#9:31:k5G1508L45e3-;?478j;%42>64d3`8i6=4+15:91<=<a:91<7*>4986<>=n9;n1<7*>49826c=<gm<1<7*>498g=>=zj;k36=4<:183!7413;?=6F>439K56d<,8>36<:?;%42>64d3`8i6=4+15:912=<a?:1<7*>49863>=hl?0;6)?;8;f:?>{e:021<7:50;2x 45>2?l0D<:=;I30f>"6<10=i6*91;11g>o5j3:1(<:7:4;8?l54290/=9655998m44c290/=96513d8?jb1290/=965d898yg4f?3:1?7>50z&27<<6<81C=9<4H01a?!7303;?<6*91;11g>o5j3:1(<:7:458?l07290/=9655698ka0=83.:854k9:9~f7?1290?6=4?{%30=?0a3A;?>6F>3c9'51>=>l1/:<4<2b9j6g<72-;?47;6;:k07?6=,8>36864;h31`?6=,8>36<<i;:mg2?6=,8>36i74;|`1e3<72:0;6=u+12;9517<@8>97E?<b:&20=<6<91/:<4<2b9j6g<72-;?47;8;:k54?6=,8>36894;nf5>5<#9=21h454}c0:0?6=<3:1<v*>3885b>N6<;1C=>l4$06;>3c<,?;1??m4i3`94?"6<10>565f3283>!7303?376g>2e83>!7303;9j65`d783>!7303n276sm2`794?5=83:p(<=6:062?M73:2B:?o5+15:9516<,?;1??m4i3`94?"6<10>;65f6183>!7303?<76ak6;29 42?2m307pl=9383>1<729q/=>756g9K514<@89i7)?;8;4f?!062:8h7d<m:18'51>==010e>=50;&20=<2021b=?j50;&20=<6:o10ci850;&20=<c121vn?o;:180>5<7s-;857?;1:J207=O9:h0(<:7:063?!062:8h7d<m:18'51>==>10e;>50;&20=<2?21dh;4?:%37<?b>32wi>4?50;694?6|,8926;h4H061?M74j2.:8549e:&55?55k2c9n7>5$06;>0?<3`986=4+15:91==<a88o6=4+15:957`<3fn=6=4+15:9`<=<uk8hn7>53;294~"6;00:8<5G1508L45e3-;?47?;0:&55?55k2c9n7>5$06;>01<3`<;6=4+15:912=<gm<1<7*>498g=>=zj;ij6=4<:183!7413;?=6F>439K56d<,8>36<:?;%42>64d3`8i6=4+15:912=<a?:1<7*>49863>=hl?0;6)?;8;f:?>{e:ko1<7:50;2x 45>2?l0D<:=;I30f>"6<10=i6*91;11g>o5j3:1(<:7:4;8?l54290/=9655998m44c290/=96513d8?jb1290/=965d898yg4d13:1?7>50z&27<<6<81C=9<4H01a?!7303;?<6*91;11g>o5j3:1(<:7:458?l07290/=9655698ka0=83.:854k9:9~f7dd290?6=4?{%30=?0a3A;?>6F>3c9'51>=>l1/:<4<2b9j6g<72-;?47;6;:k07?6=,8>36864;h31`?6=,8>36<<i;:mg2?6=,8>36i74;|`1g=<72:0;6=u+12;9517<@8>97E?<b:&20=<6<91/:<4<2b9j6g<72-;?47;8;:k54?6=,8>36894;nf5>5<#9=21h454}c0ae?6=<3:1<v*>3885b>N6<;1C=>l4$06;>3c<,?;1??m4i3`94?"6<10>565f3283>!7303?376g>2e83>!7303;9j65`d783>!7303n276sm2b594?5=83:p(<=6:062?M73:2B:?o5+15:9516<,?;1??m4i3`94?"6<10>;65f6183>!7303?<76ak6;29 42?2m307pl=b983>1<729q/=>756g9K514<@89i7)?;8;4f?!062:8h7d<m:18'51>==010e>=50;&20=<2021b=?j50;&20=<6:o10ci850;&20=<c121vn?m9:180>5<7s-;857?;1:J207=O9:h0(<:7:063?!062:8h7d<m:18'51>==>10e;>50;&20=<2?21dh;4?:%37<?b>32wi>o850;694?6|,8926;h4H061?M74j2.:8549e:&55?55k2c9n7>5$06;>0?<3`986=4+15:91==<a88o6=4+15:957`<3fn=6=4+15:9`<=<uk8h97>53;294~"6;00:8<5G1508L45e3-;?47?;0:&55?55k2c9n7>5$06;>01<3`<;6=4+15:912=<gm<1<7*>498g=>=zj;h?6=4;:183!7413<m7E?;2:J27g=#9=21:h5+60806f=n:k0;6)?;8;7:?>o4;3:1(<:7:4:8?l75l3:1(<:7:00e?>ic>3:1(<:7:e;8?xd5k=0;6>4?:1y'56?=9=;0D<:=;I30f>"6<10:8=5+60806f=n:k0;6)?;8;74?>o183:1(<:7:458?jb1290/=965d898yg4e:3:187>50z&27<<1n2B:8?5G12`8 42?2?o0(;?533a8m7d=83.:854:9:9j76<72-;?47;7;:k26a<72-;?47?=f:9l`3<72-;?47j6;:a6f5=8391<7>t$01:>4263A;?>6F>3c9'51>=9=:0(;?533a8m7d=83.:854:7:9j25<72-;?47;8;:mg2?6=,8>36i74;|`1f5<72=0;6=u+12;92c=O9=80D<=m;%37<?0b3-<:6><l;h0a>5<#9=219454i2194?"6<10>465f13f94?"6<10:>k54oe494?"6<10o565rb3a1>5<4290;w)?<9;375>N6<;1C=>l4$06;>4273-<:6><l;h0a>5<#9=219:54i7294?"6<10>;65`d783>!7303n276sm2`d94?2=83:p(<=6:7d8L4253A;8n6*>4985a>"19399o6g=b;29 42?2<307d=<:18'51>==110e<<k:18'51>=9;l07bj9:18'51>=l010qo=>9;29<?6=8r.:?44;5:J207=O9:h0(<:7:012?!3e2;1C==j4$73977e<a;31<7*>4981`>=n:h0;6)?;8;0b?>o4:3:1(<:7:458?l77k3:1(<:7:016?>i68h0;6)?;8;f:?>o6;90;6)?;8;304>N6;h10e<??:18'51>=98:0D<=n;:k26a<72-;?47?<6:9~f67?29036=4?{%30=?223A;?>6F>3c9'51>=9:;0(8l52:J24a=#>808>n5f2883>!73038o76g=a;29 42?2;k07d==:18'51>==>10e<>l:18'51>=9:?07b??a;29 42?2m307d?<0;29 42?289;7E?<a:9j546=83.:854>119K56g<3`;9h7>5$06;>45132wi?<950;:94?6|,89269;4H061?M74j2.:854>309'1g<53A;;h6*91;11g>o513:1(<:7:3f8?l4f290/=9652`98m64=83.:854:7:9j55e=83.:854>3498k46f290/=965d898m457290/=9651228L45f32c:==4?:%37<?7682B:?l54i00g>5<#9=21=>84;|`053<7210;6=u+12;900=O9=80D<=m;%37<?7492.>n7<4H02g?!062:8h7d<6:18'51>=:m10e?o50;&20=<5i21b??4?:%37<?3032c:<n4?:%37<?74=21d==o50;&20=<c121b=>>50;&20=<6;91C=>o4;h324?6=,8>36<??;I30e>=n9;n1<7*>498273=<uk9:97>58;294~"6;00?96F>439K56d<,8>36<=>;%7a>7=O99n0(;?533a8m7?=83.:854=d:9j6d<72-;?47<n;:k06?6=,8>36894;h33g?6=,8>36<=:;:m24d<72-;?47j6;:k275<72-;?47?<0:J27d=<a8;;6=4+15:9546<@89j76g>2e83>!7303;8:65rb237>5<?290;w)?<9;66?M73:2B:?o5+15:9567<,<h1>6F>0e9'24<4:j1b>44?:%37<?4c32c9m7>5$06;>7g<3`996=4+15:912=<a8:h6=4+15:9563<3f;;m7>5$06;>a?<3`;8<7>5$06;>4573A;8m65f10294?"6<10:==5G12c8?l75l3:1(<:7:015?>{e;891<7650;2x 45>2=?0D<:=;I30f>"6<10:?<5+5c81?M77l2.==7==c:k1=?6=,8>36?j4;h0b>5<#9=21>l54i2094?"6<10>;65f11a94?"6<10:?854o02b>5<#9=21h454i013>5<#9=21=>>4H01b?>o6990;6)?;8;324>N6;h10e<<k:18'51>=9:<07pl<1383>=<729q/=>75449K514<@89i7)?;8;305>"2j380D<>k;%42>64d3`826=4+15:96a=<a;k1<7*>4981e>=n;;0;6)?;8;74?>o68j0;6)?;8;301>=h99k1<7*>498g=>=n9::1<7*>498275=O9:k07d?>0;29 42?28;;7E?<a:9j57b=83.:854>3798yg5693:147>50z&27<<3=2B:8?5G12`8 42?289:7);m:39K55b<,?;1??m4i3;94?"6<109h65f2`83>!73038j76g<2;29 42?2<=07d??c;29 42?289>76a>0`83>!7303n276g>3183>!7303;8<6F>3`98m477290/=9651028L45f32c:>i4?:%37<?74>21vn>??:18;>5<7s-;857::;I376>N6;k1/=9651238 0d=:2B:<i5+60806f=n:00;6)?;8;0g?>o5i3:1(<:7:3c8?l55290/=9655698m46d290/=9651278?j77i3:1(<:7:e;8?l7483:1(<:7:013?M74i21b=<>50;&20=<6991C=>o4;h31`?6=,8>36<=9;:a6c`=8321<7>t$01:>12<@8>97E?<b:&20=<6;81/9o4=;I33`>"19399o6g=9;29 42?2;n07d==:18'51>==>10e<>l:18'51>=9:?07b??a;29 42?2m307d<n:18'51>=:h10e<=?:18'51>=9::0D<=n;:k255<72-;?47?>0:J27d=<a88o6=4+15:9560<3th9jh4?:983>5}#9:31895G1508L45e3-;?47?<1:&6f?4<@8:o7)8>:20`?l4>290/=9652e98m64=83.:854:7:9j55e=83.:854>3498k46f290/=965d898m7g=83.:854=a:9j566=83.:854>319K56g<3`;:<7>5$06;>4773A;8m65f13f94?"6<10:?;54}c0e`?6=03:1<v*>38870>N6<;1C=>l4$06;>4563-?i6?5G11f8 37=;;i0e?750;&20=<5l21b??4?:%37<?3032c:<n4?:%37<?74=21d==o50;&20=<c121b>l4?:%37<?4f32c:?=4?:%37<?7482B:?l54i033>5<#9=21=<>4H01b?>o6:m0;6)?;8;302>=zj;lh6=47:183!7413>?7E?;2:J27g=#9=21=>?4$4`96>N68m1/:<4<2b9j6<<72-;?47<k;:k06?6=,8>36894;h33g?6=,8>36<=:;:m24d<72-;?47j6;:k1e?6=,8>36?o4;h304?6=,8>36<=?;I30e>=n98:1<7*>498255=O9:k07d?=d;29 42?289=76sm2g`94?>=83:p(<=6:568L4253A;8n6*>498274=#=k097E??d:&55?55k2c957>5$06;>7b<3`996=4+15:912=<a8:h6=4+15:9563<3f;;m7>5$06;>a?<3`8j6=4+15:96d=<a89;6=4+15:9566<@89j76g>1183>!7303;:<6F>3`98m44c290/=9651248?xd5nh0;654?:1y'56?=<=1C=9<4H01a?!7303;8=6*:b;08L46c3-<:6><l;h0:>5<#9=21>i54i2094?"6<10>;65f11a94?"6<10:?854o02b>5<#9=21h454i3c94?"6<109m65f12294?"6<10:?=5G12c8?l7683:1(<:7:033?M74i21b=?j50;&20=<6;?10qo<i9;29<?6=8r.:?44;4:J207=O9:h0(<:7:012?!3e2;1C==j4$73977e<a;31<7*>4981`>=n;;0;6)?;8;74?>o68j0;6)?;8;301>=h99k1<7*>498g=>=n:h0;6)?;8;0b?>o6;90;6)?;8;304>N6;h10e<??:18'51>=98:0D<=n;:k26a<72-;?47?<6:9~f7`?29036=4?{%30=?233A;?>6F>3c9'51>=9:;0(8l52:J24a=#>808>n5f2883>!73038o76g<2;29 42?2<=07d??c;29 42?289>76a>0`83>!7303n276g=a;29 42?2;k07d?<0;29 42?289;7E?<a:9j546=83.:854>119K56g<3`;9h7>5$06;>45132wi>k950;:94?6|,89269:4H061?M74j2.:854>309'1g<53A;;h6*91;11g>o513:1(<:7:3f8?l55290/=9655698m46d290/=9651278?j77i3:1(<:7:e;8?l4f290/=9652`98m457290/=9651228L45f32c:==4?:%37<?7682B:?l54i00g>5<#9=21=>84;|`1b3<7210;6=u+12;901=O9=80D<=m;%37<?7492.>n7<4H02g?!062:8h7d<6:18'51>=:m10e><50;&20=<2?21b==m50;&20=<6;<10c<>n:18'51>=l010e?o50;&20=<5i21b=>>50;&20=<6;91C=>o4;h324?6=,8>36<??;I30e>=n9;n1<7*>498273=<uk9997>58;294~"6;00?86F>439K56d<,8>36<=>;%7a>7=O99n0(;?533a8m7?=83.:854=d:9j77<72-;?47;8;:k24f<72-;?47?<5:9l55g=83.:854k9:9j6d<72-;?47<n;:k275<72-;?47?<0:J27d=<a8;;6=4+15:9546<@89j76g>2e83>!7303;8:65rb3g5>5<?290;w)?<9;67?M73:2B:?o5+15:9567<,<h1>6F>0e9'24<4:j1b>44?:%37<?4c32c8>7>5$06;>01<3`;;o7>5$06;>45232e:<l4?:%37<?b>32c9m7>5$06;>7g<3`;8<7>5$06;>4573A;8m65f10294?"6<10:==5G12c8?l75l3:1(<:7:015?>{e9jo1<7=50;2x 45>28>:7E?;2:J27g=#9=21=9>4$73977e<a;h1<7*>49863>=n>90;6)?;8;74?>ic>3:1(<:7:e;8?xd6km0;6>4?:1y'56?=9=;0D<:=;I30f>"6<10:8=5+60806f=n:k0;6)?;8;74?>o183:1(<:7:458?jb1290/=965d898yg7e=3:187>50z&27<<1n2B:8?5G12`8 42?2?o0(;?533a8m7d=83.:854:9:9j76<72-;?47;7;:k26a<72-;?47?=f:9l`3<72-;?47j6;:a5fe=8391<7>t$01:>4263A;?>6F>3c9'51>=9=:0(;?533a8m7d=83.:854:7:9j25<72-;?47;8;:mg2?6=,8>36i74;|`2f6<72=0;6=u+12;92c=O9=80D<=m;%37<?0b3-<:6><l;h0a>5<#9=219454i2194?"6<10>465f13f94?"6<10:>k54oe494?"6<10o565rb0f;>5<4290;w)?<9;375>N6<;1C=>l4$06;>4273-<:6><l;h0a>5<#9=219:54i7294?"6<10>;65`d783>!7303n276sm1b594?2=83:p(<=6:7d8L4253A;8n6*>4985a>"19399o6g=b;29 42?2<307d=<:18'51>==110e<<k:18'51>=9;l07bj9:18'51>=l010qo?k7;297?6=8r.:?44>409K514<@89i7)?;8;374>"19399o6g=b;29 42?2<=07d8?:18'51>==>10ci850;&20=<c121vn<m::187>5<7s-;8578i;I376>N6;k1/=9656d9'24<4:j1b>o4?:%37<?3>32c8?7>5$06;>0><3`;9h7>5$06;>44a32eo:7>5$06;>a?<3th:h;4?:283>5}#9:31=9?4H061?M74j2.:854>419'24<4:j1b>o4?:%37<?3032c=<7>5$06;>01<3fn=6=4+15:9`<=<uk;h?7>54;294~"6;00=j6F>439K56d<,8>36;k4$73977e<a;h1<7*>4986=>=n;:0;6)?;8;7;?>o6:m0;6)?;8;31b>=hl?0;6)?;8;f:?>{e9m?1<7=50;2x 45>28>:7E?;2:J27g=#9=21=9>4$73977e<a;h1<7*>49863>=n>90;6)?;8;74?>ic>3:1(<:7:e;8?xd6k80;694?:1y'56?=>o1C=9<4H01a?!7303<n7)8>:20`?l4e290/=9655898m65=83.:854:8:9j57b=83.:854>2g98ka0=83.:854k9:9~f4b329086=4?{%30=?7392B:8?5G12`8 42?28>;7)8>:20`?l4e290/=9655698m36=83.:854:7:9l`3<72-;?47j6;:a5g`=83>1<7>t$01:>3`<@8>97E?<b:&20=<1m2.==7==c:k1f?6=,8>36874;h10>5<#9=219554i00g>5<#9=21=?h4;nf5>5<#9=21h454}c3g7?6=;3:1<v*>388204=O9=80D<=m;%37<?7382.==7==c:k1f?6=,8>36894;h43>5<#9=219:54oe494?"6<10o565rb0`g>5<3290;w)?<9;4e?M73:2B:?o5+15:92`=#>808>n5f2c83>!7303?276g<3;29 42?2<207d?=d;29 42?288m76ak6;29 42?2m307pl>d383>6<729q/=>751538L4253A;8n6*>498205=#>808>n5f2c83>!7303?<76g90;29 42?2<=07bj9:18'51>=l010qo?mb;290?6=8r.:?449f:J207=O9:h0(<:7:7g8 37=;;i0e?l50;&20=<2121b?>4?:%37<?3?32c:>i4?:%37<?75n21dh;4?:%37<?b>32wi=i?50;194?6|,8926<:>;I376>N6;k1/=9651528 37=;;i0e?l50;&20=<2?21b:=4?:%37<?3032eo:7>5$06;>a?<3th:n44?:583>5}#9:31:k5G1508L45e3-;?478j;%42>64d3`8i6=4+15:91<=<a:91<7*>4986<>=n9;n1<7*>49826c=<gm<1<7*>498g=>=zj8n;6=4<:183!7413;?=6F>439K56d<,8>36<:?;%42>64d3`8i6=4+15:912=<a?:1<7*>49863>=hl?0;6)?;8;f:?>{e9k=1<7:50;2x 45>2?l0D<:=;I30f>"6<10=i6*91;11g>o5j3:1(<:7:4;8?l54290/=9655998m44c290/=96513d8?jb1290/=965d898yg7dj3:1?7>50z&27<<6<81C=9<4H01a?!7303;?<6*91;11g>o5j3:1(<:7:458?l07290/=9655698ka0=83.:854k9:9~f4d5290?6=4?{%30=?0a3A;?>6F>3c9'51>=>l1/:<4<2b9j6g<72-;?47;6;:k07?6=,8>36864;h31`?6=,8>36<<i;:mg2?6=,8>36i74;|`14a<72?0;6=u+12;905=O9=80D<=m;%37<?203-?i6?5G11f8 37=;;i0e?750;&20=<5l21b??4?:%37<?3032e:<l4?:%37<?b>32c9m7>5$06;>7g<3`;;47>5$06;>45732c9j7>5$06;>47732wi>=m50;494?6|,89269>4H061?M74j2.:854;7:&6f?4<@8:o7)8>:20`?l4>290/=9652e98m64=83.:854:7:9l55g=83.:854k9:9j6d<72-;?47<n;:k24=<72-;?47?<0:9j6c<72-;?47?>0:9~f76e290=6=4?{%30=?273A;?>6F>3c9'51>=<>1/9o4=;I33`>"19399o6g=9;29 42?2;n07d==:18'51>==>10c<>n:18'51>=l010e?o50;&20=<5i21b==650;&20=<6;910e?h50;&20=<69910qo<>5;292?6=8r.:?44;0:J207=O9:h0(<:7:558 0d=:2B:<i5+60806f=n:00;6)?;8;0g?>o4:3:1(<:7:458?j77i3:1(<:7:e;8?l4f290/=9652`98m46?290/=9651228?l4a290/=9651028?xd59=0;6;4?:1y'56?=<91C=9<4H01a?!7303><7);m:39K55b<,?;1??m4i3;94?"6<109h65f3383>!7303?<76a>0`83>!7303n276g=a;29 42?2;k07d??8;29 42?289;76g=f;29 42?28;;76sm20194?0=83:p(<=6:528L4253A;8n6*>49873>"2j380D<>k;%42>64d3`826=4+15:96a=<a:81<7*>49863>=h99k1<7*>498g=>=n:h0;6)?;8;0b?>o6810;6)?;8;304>=n:o0;6)?;8;324>=zj;;96=49:183!7413>;7E?;2:J27g=#9=218:5+5c81?M77l2.==7==c:k1=?6=,8>36?j4;h11>5<#9=219:54o02b>5<#9=21h454i3c94?"6<109m65f11:94?"6<10:?=54i3d94?"6<10:==54}c025?6=>3:1<v*>38874>N6<;1C=>l4$06;>11<,<h1>6F>0e9'24<4:j1b>44?:%37<?4c32c8>7>5$06;>01<3f;;m7>5$06;>a?<3`8j6=4+15:96d=<a8:36=4+15:9566<3`8m6=4+15:9546<3th9==4?:783>5}#9:318=5G1508L45e3-;?47:8;%7a>7=O99n0(;?533a8m7?=83.:854=d:9j77<72-;?47;8;:m24d<72-;?47j6;:k1e?6=,8>36?o4;h33<?6=,8>36<=?;:k1b?6=,8>36<??;:a65`=83<1<7>t$01:>16<@8>97E?<b:&20=<3?2.>n7<4H02g?!062:8h7d<6:18'51>=:m10e><50;&20=<2?21d==o50;&20=<c121b>l4?:%37<?4f32c:<54?:%37<?74821b>k4?:%37<?76821vn?>j:185>5<7s-;857:?;I376>N6;k1/=965469'1g<53A;;h6*91;11g>o513:1(<:7:3f8?l55290/=9655698k46f290/=965d898m7g=83.:854=a:9j55>=83.:854>3198m7`=83.:854>1198yg47i3:1:7>50z&27<<382B:8?5G12`8 42?2==0(8l52:J24a=#>808>n5f2883>!73038o76g<2;29 42?2<=07b??a;29 42?2m307d<n:18'51>=:h10e<>7:18'51>=9::07d<i:18'51>=98:07pl=0883>3<729q/=>75419K514<@89i7)?;8;64?!3e2;1C==j4$73977e<a;31<7*>4981`>=n;;0;6)?;8;74?>i68h0;6)?;8;f:?>o5i3:1(<:7:3c8?l7703:1(<:7:013?>o5n3:1(<:7:033?>{e9o?1<7850;2x 45>2=80D<:=;I30f>"6<10?;6*:b;08 37=;;i0e?750;&20=<5l21b>l4?:%37<?4f32c9j7>5$06;>47732c8>7>5$06;>01<3f;;m7>5$06;>a?<3`;8<7>5$06;>4573A;8m65rb0d7>5<1290;w)?<9;61?M73:2B:?o5+15:902=#=k097)8>:20`?l4>290/=9652e98m7g=83.:854=a:9j6c<72-;?47?>0:9j77<72-;?47;8;:m24d<72-;?47j6;:k275<72-;?47?<0:J27d=<uk;m?7>56;294~"6;00?>6F>439K56d<,8>36994$4`96>"19399o6g=9;29 42?2;n07d<n:18'51>=:h10e?h50;&20=<69910e><50;&20=<2?21d==o50;&20=<c121b=>>50;&20=<6;91C=>o4;|`2b7<72?0;6=u+12;907=O9=80D<=m;%37<?203-?i6?5+60806f=n:00;6)?;8;0g?>o5i3:1(<:7:3c8?l4a290/=9651028?l55290/=9655698k46f290/=965d898m457290/=9651228L45f32wi=k?50;494?6|,89269<4H061?M74j2.:854;7:&6f?4<,?;1??m4i3;94?"6<109h65f2`83>!73038j76g=f;29 42?28;;76g<2;29 42?2<=07b??a;29 42?2m307d?<0;29 42?289;7E?<a:9~f4`7290=6=4?{%30=?253A;?>6F>3c9'51>=<>1/9o4=;%42>64d3`826=4+15:96a=<a;k1<7*>4981e>=n:o0;6)?;8;324>=n;;0;6)?;8;74?>i68h0;6)?;8;f:?>o6;90;6)?;8;304>N6;h10qo?jf;292?6=8r.:?44;2:J207=O9:h0(<:7:558 0d=:2.==7==c:k1=?6=,8>36?j4;h0b>5<#9=21>l54i3d94?"6<10:==54i2094?"6<10>;65`11c94?"6<10o565f12294?"6<10:?=5G12c8?xd6ml0;6;4?:1y'56?=<;1C=9<4H01a?!7303><7);m:39'24<4:j1b>44?:%37<?4c32c9m7>5$06;>7g<3`8m6=4+15:9546<3`996=4+15:912=<g8:j6=4+15:9`<=<a89;6=4+15:9566<@89j76sm22:94?0=83:p(<=6:518L4253A;8n6*>49873>"2j380D<>k;%42>64d3`826=4+15:96a=O9==07d<i:18'51>=98:07d==:18'51>==>10c<>n:18'51>=l010e?o50;&20=<5i21b==650;&20=<6;910qo<<7;292?6=8r.:?44;3:J207=O9:h0(<:7:558 0d=:2B:<i5+60806f=n:00;6)?;8;0g?M73?21b>k4?:%37<?76821b??4?:%37<?3032e:<l4?:%37<?b>32c9m7>5$06;>7g<3`;;47>5$06;>45732wi>>850;494?6|,89269=4H061?M74j2.:854;7:&6f?4<@8:o7)8>:20`?l4>290/=9652e9K511<3`8m6=4+15:9546<3`996=4+15:912=<g8:j6=4+15:9`<=<a;k1<7*>4981e>=n9921<7*>498275=<uk8897>56;294~"6;00??6F>439K56d<,8>36994$4`96>N68m1/:<4<2b9j6<<72-;?47<k;I373>=n:o0;6)?;8;324>=n;;0;6)?;8;74?>i68h0;6)?;8;f:?>o5i3:1(<:7:3c8?l7703:1(<:7:013?>{e::>1<7850;2x 45>2=90D<:=;I30f>"6<10?;6*:b;08L46c3-<:6><l;h0:>5<#9=21>i5G1558?l4a290/=9651028?l55290/=9655698k46f290/=965d898m7g=83.:854=a:9j55>=83.:854>3198yg44;3:1:7>50z&27<<3;2B:8?5G12`8 42?2==0(8l52:J24a=#>808>n5f2883>!73038o7E?;7:9j6c<72-;?47?>0:9j77<72-;?47;8;:m24d<72-;?47j6;:k1e?6=,8>36?o4;h33<?6=,8>36<=?;:a664=83<1<7>t$01:>15<@8>97E?<b:&20=<3?2.>n7<4H02g?!062:8h7d<6:18'51>=:m1C=994;h0e>5<#9=21=<>4;h11>5<#9=219:54o02b>5<#9=21h454i3c94?"6<109m65f11:94?"6<10:?=54}c015?6=>3:1<v*>38877>N6<;1C=>l4$06;>11<,<h1>6F>0e9'24<4:j1b>44?:%37<?4c3A;?;65f2g83>!7303;:<65f3383>!7303?<76a>0`83>!7303n276g=a;29 42?2;k07d??8;29 42?289;76sm23;94?0=83:p(<=6:518L4253A;8n6*>49873>"2j380D<>k;%42>64d3`826=4+15:96a=O9==07d<i:18'51>=98:07d==:18'51>==>10c<>n:18'51>=l010e?o50;&20=<5i21b==650;&20=<6;910qo<=8;292?6=8r.:?44;3:J207=O9:h0(<:7:558 0d=:2B:<i5+60806f=n:00;6)?;8;0g?M73?21b>k4?:%37<?76821b??4?:%37<?3032e:<l4?:%37<?b>32c9m7>5$06;>7g<3`;;47>5$06;>45732wi>?950;494?6|,89269=4H061?M74j2.:854;7:&6f?4<@8:o7)8>:20`?l4>290/=9652e9K511<3`8m6=4+15:9546<3`996=4+15:912=<g8:j6=4+15:9`<=<a;k1<7*>4981e>=n9921<7*>498275=<uk89:7>56;294~"6;00??6F>439K56d<,8>36994$4`96>N68m1/:<4<2b9j6<<72-;?47<k;I373>=n:o0;6)?;8;324>=n;;0;6)?;8;74?>i68h0;6)?;8;f:?>o5i3:1(<:7:3c8?l7703:1(<:7:013?>{e:;?1<7850;2x 45>2=90D<:=;I30f>"6<10?;6*:b;08L46c3-<:6><l;h0:>5<#9=21>i5G1558?l4a290/=9651028?l55290/=9655698k46f290/=965d898m7g=83.:854=a:9j55>=83.:854>3198yg45<3:1:7>50z&27<<3;2B:8?5G12`8 42?2==0(8l52:J24a=#>808>n5f2883>!73038o7E?;7:9j6c<72-;?47?>0:9j77<72-;?47;8;:m24d<72-;?47j6;:k1e?6=,8>36?o4;h33<?6=,8>36<=?;:a675=83<1<7>t$01:>15<@8>97E?<b:&20=<3?2.>n7<4H02g?!062:8h7d<6:18'51>=:m1C=994;h0e>5<#9=21=<>4;h11>5<#9=219:54o02b>5<#9=21h454i3c94?"6<109m65f11:94?"6<10:?=54}c016?6=>3:1<v*>38877>N6<;1C=>l4$06;>11<,<h1>6F>0e9'24<4:j1b>44?:%37<?4c3A;?;65f2g83>!7303;:<65f3383>!7303?<76a>0`83>!7303n276g=a;29 42?2;k07d??8;29 42?289;76sm23294?0=83:p(<=6:518L4253A;8n6*>49873>"2j380D<>k;%42>64d3`826=4+15:96a=O9==07d<i:18'51>=98:07d==:18'51>==>10c<>n:18'51>=l010e?o50;&20=<5i21b==650;&20=<6;910qo?n9;292?6=8r.:?44;1:J207=O9:h0(<:7:558 0d=:2B:<i5+60806f=n:00;6)?;8;0g?>o5n3:1(<:7:033?>o4:3:1(<:7:458?j77i3:1(<:7:e;8?l4f290/=9652`98m46?290/=9651228?xd6i?0;6;4?:1y'56?=<81C=9<4H01a?!7303><7);m:39K55b<,?;1??m4i3;94?"6<109h65f2g83>!7303;:<65f3383>!7303?<76a>0`83>!7303n276g=a;29 42?2;k07d??8;29 42?289;76sm1`694?0=83:p(<=6:538L4253A;8n6*>49873>"2j380D<>k;%42>64d3`826=4+15:96a=<a;l1<7*>498255=<a:81<7*>49863>=h99k1<7*>498g=>=n:h0;6)?;8;0b?>o6810;6)?;8;304>=zj8k>6=49:183!7413>:7E?;2:J27g=#9=218:5+5c81?M77l2.==7==c:k1=?6=,8>36?j4;h0e>5<#9=21=<>4;h11>5<#9=219:54o02b>5<#9=21h454i3c94?"6<109m65f11:94?"6<10:?=54}c362?6=;3:1<v*>38853>N6<;1C=>l4$06;>46a3-<:6><l;%7a>63<a<;1<7*>49817>h6<?0;76g:2;29 42?2;90b<:9:098ka0=83.:854k9:9~f43429086=4?{%30=?003A;?>6F>3c9'51>=99l0(;?533a8 0d=;<1b9<4?:%37<?443g;?:7>4;h71>5<#9=21>>5a15495>=hl?0;6)?;8;f:?>{e;981<7:50;2x 45>2?30D<:=;I30f>"6<10:<k5+60806f=#=k0<7d;>:18'51>=::1e=9850:9j17<72-;?47<<;o372?7<3`?86=4+15:966=i9=<1>65`d783>!7303n276sm14394?2=83:p(<=6:7;8L4253A;8n6*>49824c=#>808>n5+5c802>o293:1(<:7:318j4212910e8<50;&20=<5;2d:8;4>;:k67?6=,8>36?=4n065>7=<gm<1<7*>498g=>=zj8>m6=4;:183!7413<27E?;2:J27g=#9=21==h4$73977e<,<h1=>5f5083>!7303887c?;6;28?l35290/=965229m510=921b9>4?:%37<?443g;?:7<4;nf5>5<#9=21h454}c`a>5<4290;w)?<9;44?M73:2B:?o5+15:955`<,?;1??m4$4`9b>o293:1(<:7:318j4212910e8<50;&20=<5;2d:8;4>;:mg2?6=,8>36i74;|`ag?6=<3:1<v*>3885=>N6<;1C=>l4$06;>46a3-<:6><l;%7a>47<a<;1<7*>49817>h6<?0;76g:2;29 42?2;90b<:9:098m05=83.:854=3:l203<532eo:7>5$06;>a?<3thih7>55;294~"6;00=m6F>439K56d<,8>36<>i;%42>64d3-?i6<<4i4394?"6<109?6`>4783?>o2:3:1(<:7:318j4212810e8=50;&20=<5;2d:8;4=;:k60?6=,8>36?=4n065>6=<gm<1<7*>498g=>=zj83m6=4::183!7413<j7E?;2:J27g=#9=21==h4$73977e<,<h1:6g:1;29 42?2;90b<:9:198m04=83.:854=3:l203<632c>?7>5$06;>75<f8>=6?54i4694?"6<109?6`>4780?>ic>3:1(<:7:e;8?xd5m<0;694?:1y'56?=>01C=9<4H01a?!7303;;j6*91;11g>"2j39m7d;>:18'51>=::1e=9850:9j17<72-;?47<<;o372?7<3`?86=4+15:966=i9=<1>65`d783>!7303n276sm2d694?3=83:p(<=6:7c8L4253A;8n6*>49824c=#>808>n5+5c85?l36290/=965229m510=821b9?4?:%37<?443g;?:7?4;h70>5<#9=21>>5a15496>=n==0;6)?;8;00?k73>3907bj9:18'51>=l010qo=?5;291?6=8r.:?449a:J207=O9:h0(<:7:02e?!062:8h7);m:078m07=83.:854=3:l203<732c>>7>5$06;>75<f8>=6<54i4194?"6<109?6`>4781?>o2<3:1(<:7:318j4212:10ci850;&20=<c121vn>><:186>5<7s-;8578n;I376>N6;k1/=96511d8 37=;;i0(8l59:k65?6=,8>36?=4n065>5=<a<81<7*>49817>h6<?0:76g:3;29 42?2;90b<:9:398m02=83.:854=3:l203<432eo:7>5$06;>a?<3th8=o4?:483>5}#9:31:l5G1508L45e3-;?47??f:&55?55k2.>n7:4i4394?"6<109?6`>4783?>o2:3:1(<:7:318j4212810e8=50;&20=<5;2d:8;4=;:k60?6=,8>36?=4n065>6=<gm<1<7*>498g=>=zj:8<6=4::183!7413<j7E?;2:J27g=#9=21==h4$73977e<,<h1855f5083>!7303887c?;6;28?l35290/=965229m510=921b9>4?:%37<?443g;?:7<4;h77>5<#9=21>>5a15497>=hl?0;6)?;8;f:?>{e;;21<7;50;2x 45>2?k0D<:=;I30f>"6<10:<k5+60806f=#=k0?n6g:1;29 42?2;90b<:9:198m04=83.:854=3:l203<632c>?7>5$06;>75<f8>=6?54i4694?"6<109?6`>4780?>ic>3:1(<:7:e;8?xd5mk0;684?:1y'56?=>h1C=9<4H01a?!7303;;j6*91;11g>"2j3<0e8?50;&20=<5;2d:8;4?;:k66?6=,8>36?=4n065>4=<a<91<7*>49817>h6<?0976g:4;29 42?2;90b<:9:298ka0=83.:854k9:9~f663290>6=4?{%30=?0f3A;?>6F>3c9'51>=99l0(;?533a8 0d=<2c>=7>5$06;>75<f8>=6=54i4094?"6<109?6`>4782?>o2;3:1(<:7:318j4212;10e8:50;&20=<5;2d:8;4<;:mg2?6=,8>36i74;|`044<72<0;6=u+12;92d=O9=80D<=m;%37<?77n2.==7==c:&6f??<a<;1<7*>49817>h6<?0;76g:2;29 42?2;90b<:9:098m05=83.:854=3:l203<532c>87>5$06;>75<f8>=6>54oe494?"6<10o565rb0ca>5<3290;w)?<9;4:?M73:2B:?o5+15:955`<,?;1??m4$4`973=n=80;6)?;8;00?k73>3:07d;=:18'51>=::1e=9851:9j16<72-;?47<<;o372?4<3fn=6=4+15:9`<=<uk;mn7>55;294~"6;00=m6F>439K56d<,8>36<>i;%42>64d3-?i6<;4i4394?"6<109?6`>4783?>o2:3:1(<:7:318j4212810e8=50;&20=<5;2d:8;4=;:k60?6=,8>36?=4n065>6=<gm<1<7*>498g=>=zj8lj6=4<:183!7413<<7E?;2:J27g=#9=21==h4$73977e<,<h1=95f5083>!7303887c?;6;28?l35290/=965229m510=921dh;4?:%37<?b>32wi=lj50;694?6|,8926;74H061?M74j2.:854>0g9'24<4:j1/9o4<6:k65?6=,8>36?=4n065>5=<a<81<7*>49817>h6<?0:76g:3;29 42?2;90b<:9:398ka0=83.:854k9:9~f4d6290?6=4?{%30=?0>3A;?>6F>3c9'51>=99l0(;?533a8 0d=;?1b9<4?:%37<?443g;?:7>4;h71>5<#9=21>>5a15495>=n=:0;6)?;8;00?k73>3807bj9:18'51>=l010qo?nf;290?6=8r.:?4499:J207=O9:h0(<:7:02e?!062:8h7);m:248m07=83.:854=3:l203<732c>>7>5$06;>75<f8>=6<54i4194?"6<109?6`>4781?>ic>3:1(<:7:e;8?xd5;m0;6?4?:1y'56?==<1C=9<4H01a?!73038?7E;j;%7e>01<,?;1??m4i4294?"6<10>;65`d783>!7303n276sm22d94?4=83:p(<=6:478L4253A;8n6*>49810>N2m2.>j7;8;%42>64d3`?;6=4+15:912=<gm<1<7*>498g=>=zj8<>6=4=:183!7413?>7E?;2:J27g=#9=21>95G5d9'1c<2?2.==7==c:k64?6=,8>36894;nf5>5<#9=21h454}c350?6=:3:1<v*>38861>N6<;1C=>l4$06;>72<@<o0(8h5569'24<4:j1b9=4?:%37<?3032eo:7>5$06;>a?<3th::>4?:383>5}#9:31985G1508L45e3-;?47<;;I7f?!3a2<=0(;?533a8m06=83.:854:7:9l`3<72-;?47j6;:a534=8381<7>t$01:>03<@8>97E?<b:&20=<5<2B>i6*:f;74?!062:8h7d;?:18'51>==>10ci850;&20=<c121vn?7?:181>5<7s-;857;:;I376>N6;k1/=965259K1`=#=o0>;6*91;11g>o283:1(<:7:458?jb1290/=965d898yg4?n3:1>7>50z&27<<2=2B:8?5G12`8 42?2;>0D8k4$4d912=#>808>n5f5183>!7303?<76ak6;29 42?2m307pl=8d83>7<729q/=>75549K514<@89i7)?;8;07?M3b3-?m6894$73977e<a<:1<7*>49863>=hl?0;6)?;8;f:?>{e:1n1<7<50;2x 45>2<?0D<:=;I30f>"6<10986F:e:&6b?303-<:6><l;h73>5<#9=219:54oe494?"6<10o565rb3:`>5<5290;w)?<9;76?M73:2B:?o5+15:961=O=l1/9k4:7:&55?55k2c><7>5$06;>01<3fn=6=4+15:9`<=<uk83n7>52;294~"6;00>96F>439K56d<,8>36?:4H4g8 0`==>1/:<4<2b9j15<72-;?47;8;:mg2?6=,8>36i74;|`1<d<72;0;6=u+12;910=O9=80D<=m;%37<?433A?n7);i:458 37=;;i0e8>50;&20=<2?21dh;4?:%37<?b>32wi>5750;094?6|,89268;4H061?M74j2.:854=4:J6a>"2n3?<7)8>:20`?l37290/=9655698ka0=83.:854k9:9~f4g429096=4?{%30=?b03A;?>6F>3c9'51>=:=1C9h5+5g8g=>"19399o6g:0;29 42?2<=07bj9:18'51>=l010qo==b;296?6=8r.:?44k7:J207=O9:h0(<:7:368L0c<,<l1h45+60806f=n=90;6)?;8;74?>ic>3:1(<:7:e;8?xd6i80;6?4?:1y'56?=l>1C=9<4H01a?!73038?7E;j;%7e>a?<,?;1??m4i4294?"6<10>;65`d783>!7303n276sm24794?4=83:p(<=6:e58L4253A;8n6*>49810>N2m2.>j7j6;%42>64d3`?;6=4+15:912=<gm<1<7*>498g=>=zj8?h6=4=:183!7413n<7E?;2:J27g=#9=21>95G5d9'1c<c12.==7==c:k64?6=,8>36894;nf5>5<#9=21h454}c36e?6=:3:1<v*>388g3>N6<;1C=>l4$06;>72<@<o0(8h5d89'24<4:j1b9=4?:%37<?3032eo:7>5$06;>a?<3th:944?:383>5}#9:31h:5G1508L45e3-;?47<;;I7f?!3a2m30(;?533a8m06=83.:854:7:9l`3<72-;?47j6;:a50>=8381<7>t$01:>a1<@8>97E?<b:&20=<5<2B>i6*:f;f:?!062:8h7d;?:18'51>==>10ci850;&20=<c121vn<;8:181>5<7s-;857j8;I376>N6;k1/=965259K1`=#=o0o56*91;11g>o283:1(<:7:458?jb1290/=965d898yg40k3:1>7>50z&27<<c?2B:8?5G12`8 42?2;>0D8k4$4d9`<=#>808>n5f5183>!7303?<76ak6;29 42?2m307pl=7983>7<729q/=>75d69K514<@89i7)?;8;07?M3b3-?m6i74$73977e<a<:1<7*>49863>=hl?0;6)?;8;f:?>{e:>>1<7<50;2x 45>2m=0D<:=;I30f>"6<10986F:e:&6b?b>3-<:6><l;h73>5<#9=219:54oe494?"6<10o565rb353>5<5290;w)?<9;f4?M73:2B:?o5+15:961=O=l1/9k4k9:&55?55k2c><7>5$06;>01<3fn=6=4+15:9`<=<uk8=o7>52;294~"6;00o;6F>439K56d<,8>36?:4H4g8 0`=l01/:<4<2b9j15<72-;?47;8;:mg2?6=,8>36i74;|`12=<72;0;6=u+12;9`2=O9=80D<=m;%37<?433A?n7);i:e;8 37=;;i0e8>50;&20=<2?21dh;4?:%37<?b>32wi>;:50;094?6|,8926i94H061?M74j2.:854=4:J6a>"2n3n27)8>:20`?l37290/=9655698ka0=83.:854k9:9~f70729096=4?{%30=?b03A;?>6F>3c9'51>=:=1C9h5+5g8g=>"19399o6g:0;29 42?2<=07bj9:18'51>=l010qo<:0;296?6=8r.:?44k7:J207=O9:h0(<:7:368L0c<,<l1h45+60806f=n=90;6)?;8;74?>ic>3:1(<:7:e;8?xd5<o0;6?4?:1y'56?=l>1C=9<4H01a?!73038?7E;j;%7e>a?<,?;1??m4i4294?"6<10>;65`d783>!7303n276sm25g94?4=83:p(<=6:e58L4253A;8n6*>49810>N2m2.>j7j6;%42>64d3`?;6=4+15:912=<gm<1<7*>498g=>=zj;>o6=4=:183!7413n<7E?;2:J27g=#9=21>95G5d9'1c<c12.==7==c:k64?6=,8>36894;nf5>5<#9=21h454}c07g?6=:3:1<v*>388g3>N6<;1C=>l4$06;>72<@<o0(8h5d89'24<4:j1b9=4?:%37<?3032eo:7>5$06;>a?<3th98o4?:383>5}#9:31h:5G1508L45e3-;?47<;;I7f?!3a2m30(;?533a8m06=83.:854:7:9l`3<72-;?47j6;:a61g=8381<7>t$01:>a1<@8>97E?<b:&20=<5<2B>i6*:f;f:?!062:8h7d;?:18'51>==>10ci850;&20=<c121vn?:6:181>5<7s-;857j8;I376>N6;k1/=965259K1`=#=o0o56*91;11g>o283:1(<:7:458?jb1290/=965d898yg>?29086=4?{%30=?013A;?>6F>3c9'51>=99l0(;?533a8 0d=9h1b9<4?:%37<?443g;?:7>4;nf5>5<#9=21h454i4094?"6<109?6`>4782?k7403:07pl60;297?6=8r.:?4496:J207=O9:h0(<:7:02e?!062:8h7);m:0c8m07=83.:854=3:l203<732eo:7>5$06;>a?<3`?96=4+15:966=i9=<1=6`>3983?>{e1;0;6>4?:1y'56?=>?1C=9<4H01a?!7303;;j6*91;11g>"2j3;j7d;>:18'51>=::1e=9850:9l`3<72-;?47j6;:k66?6=,8>36?=4n065>4=i9:21<65rb8694?5=83:p(<=6:748L4253A;8n6*>49824c=#>808>n5+5c82e>o293:1(<:7:318j4212910ci850;&20=<c121b9?4?:%37<?443g;?:7?4n01;>5=<uk3=6=4<:183!7413<=7E?;2:J27g=#9=21==h4$73977e<,<h1=l5f5083>!7303887c?;6;28?jb1290/=965d898m04=83.:854=3:l203<63g;847>4;|`:<?6=;3:1<v*>38852>N6<;1C=>l4$06;>46a3-<:6><l;%7a>4g<a<;1<7*>49817>h6<?0;76ak6;29 42?2m307d;=:18'51>=::1e=9851:l27=<732wi5l4?:283>5}#9:31:;5G1508L45e3-;?47??f:&55?55k2.>n7?n;h72>5<#9=21>>5a15494>=hl?0;6)?;8;f:?>o2:3:1(<:7:318j421281e=>650:9~f<e=8391<7>t$01:>30<@8>97E?<b:&20=<68o1/:<4<2b9'1g<6i2c>=7>5$06;>75<f8>=6=54oe494?"6<10o565f5383>!7303887c?;6;38j45?2910qo7j:180>5<7s-;85789;I376>N6;k1/=96511d8 37=;;i0(8l51`9j14<72-;?47<<;o372?6<3fn=6=4+15:9`<=<a<81<7*>49817>h6<?0:7c?<8;28?xd0<3:1?7>50z&27<<1>2B:8?5G12`8 42?28:m7)8>:20`?!3e28k0e8?50;&20=<5;2d:8;4?;:mg2?6=,8>36i74;h71>5<#9=21>>5a15495>h6;10;76sm7783>6<729q/=>75679K514<@89i7)?;8;33b>"19399o6*:b;3b?l36290/=965229m510=821dh;4?:%37<?b>32c>>7>5$06;>75<f8>=6<5a12:94>=zj>21<7=50;2x 45>2?<0D<:=;I30f>"6<10:<k5+60806f=#=k0:m6g:1;29 42?2;90b<:9:198ka0=83.:854k9:9j17<72-;?47<<;o372?7<f8936=54}c5b>5<4290;w)?<9;45?M73:2B:?o5+15:955`<,?;1??m4$4`95d=n=80;6)?;8;00?k73>3:07bj9:18'51>=l010e8<50;&20=<5;2d:8;4>;o30<?6<3th<o7>53;294~"6;00=:6F>439K56d<,8>36<>i;%42>64d3-?i6<o4i4394?"6<109?6`>4783?>ic>3:1(<:7:e;8?l35290/=965229m510=92d:?54?;:a3`<72:0;6=u+12;923=O9=80D<=m;%37<?77n2.==7==c:&6f?7f3`?:6=4+15:966=i9=<1<65`d783>!7303n276g:2;29 42?2;90b<:9:09m56>=821vn5>50;194?6|,8926;84H061?M74j2.:854>0g9'24<4:j1/9o4>a:k65?6=,8>36?=4n065>5=<gm<1<7*>498g=>=n=;0;6)?;8;00?k73>3;0b<=7:198yg>529086=4?{%30=?013A;?>6F>3c9'51>=99l0(;?533a8 0d=9h1b9<4?:%37<?443g;?:7>4;nf5>5<#9=21h454i4094?"6<109?6`>4782?k7403:07pl74;297?6=8r.:?4496:J207=O9:h0(<:7:02e?!062:8h7);m:0c8m07=83.:854=3:l203<732eo:7>5$06;>a?<3`?96=4+15:966=i9=<1=6`>3983?>{e0?0;6>4?:1y'56?=>?1C=9<4H01a?!7303;;j6*91;11g>"2j3;j7d;>:18'51>=::1e=9850:9l`3<72-;?47j6;:k66?6=,8>36?=4n065>4=i9:21<65rb9c94?5=83:p(<=6:748L4253A;8n6*>49824c=#>808>n5+5c82e>o293:1(<:7:318j4212910ci850;&20=<c121b9?4?:%37<?443g;?:7?4n01;>5=<uk2h6=4<:183!7413<=7E?;2:J27g=#9=21==h4$73977e<,<h1=l5f5083>!7303887c?;6;28?jb1290/=965d898m04=83.:854=3:l203<63g;847>4;|`;a?6=;3:1<v*>38852>N6<;1C=>l4$06;>46a3-<:6><l;%7a>4g<a<;1<7*>49817>h6<?0;76ak6;29 42?2m307d;=:18'51>=::1e=9851:l27=<732wi>l?50;194?6|,8926;84H061?M74j2.:854>0g9'24<4:j1/9o4>a:k65?6=,8>36?=4n065>5=<gm<1<7*>498g=>=n=;0;6)?;8;00?k73>3;0b<=7:198yg4>n3:1?7>50z&27<<1>2B:8?5G12`8 42?28:m7)8>:20`?!3e28k0e8?50;&20=<5;2d:8;4?;:mg2?6=,8>36i74;h71>5<#9=21>>5a15495>h6;10;76sm28f94?5=83:p(<=6:748L4253A;8n6*>49824c=#>808>n5+5c82e>o293:1(<:7:318j4212910ci850;&20=<c121b9?4?:%37<?443g;?:7?4n01;>5=<uk82n7>53;294~"6;00=:6F>439K56d<,8>36<>i;%42>64d3-?i6<o4i4394?"6<109?6`>4783?>ic>3:1(<:7:e;8?l35290/=965229m510=92d:?54?;:a6<?=8391<7>t$01:>30<@8>97E?<b:&20=<68o1/:<4<2b9'1g<6i2c>=7>5$06;>75<f8>=6=54oe494?"6<10o565f5383>!7303887c?;6;38j45?2910qo<67;297?6=8r.:?4496:J207=O9:h0(<:7:02e?!062:8h7);m:0c8m07=83.:854=3:l203<732eo:7>5$06;>a?<3`?96=4+15:966=i9=<1=6`>3983?>{e:0?1<7=50;2x 45>2?<0D<:=;I30f>"6<10:<k5+60806f=#=k0:m6g:1;29 42?2;90b<:9:198ka0=83.:854k9:9j17<72-;?47<<;o372?7<f8936=54}c0:7?6=;3:1<v*>38852>N6<;1C=>l4$06;>46a3-<:6><l;%7a>4g<a<;1<7*>49817>h6<?0;76ak6;29 42?2m307d;=:18'51>=::1e=9851:l27=<732wi>oh50;194?6|,8926;84H061?M74j2.:854>0g9'24<4:j1/9o4>a:k65?6=,8>36?=4n065>5=<gm<1<7*>498g=>=n=;0;6)?;8;00?k73>3;0b<=7:198yg4el3:1?7>50z&27<<1>2B:8?5G12`8 42?28:m7)8>:20`?!3e28k0e8?50;&20=<5;2d:8;4?;:mg2?6=,8>36i74;h71>5<#9=21>>5a15495>h6;10;76sm2c`94?5=83:p(<=6:748L4253A;8n6*>49824c=#>808>n5+5c82e>o293:1(<:7:318j4212910ci850;&20=<c121b9?4?:%37<?443g;?:7?4n01;>5=<uk8i57>53;294~"6;00=:6F>439K56d<,8>36<>i;%42>64d3-?i6<o4i4394?"6<109?6`>4783?>ic>3:1(<:7:e;8?l35290/=965229m510=92d:?54?;:a6g1=8391<7>t$01:>30<@8>97E?<b:&20=<68o1/:<4<2b9'1g<6i2c>=7>5$06;>75<f8>=6=54oe494?"6<10o565f5383>!7303887c?;6;38j45?2910qo<m5;297?6=8r.:?4496:J207=O9:h0(<:7:02e?!062:8h7);m:0c8m07=83.:854=3:l203<732eo:7>5$06;>a?<3`?96=4+15:966=i9=<1=6`>3983?>{e:k91<7=50;2x 45>2?<0D<:=;I30f>"6<10:<k5+60806f=#=k0:m6g:1;29 42?2;90b<:9:198ka0=83.:854k9:9j17<72-;?47<<;o372?7<f8936=54}c0a5?6=;3:1<v*>38852>N6<;1C=>l4$06;>46a3-<:6><l;%7a>4g<a<;1<7*>49817>h6<?0;76ak6;29 42?2m307d;=:18'51>=::1e=9851:l27=<732wi=o850;194?6|,8926;84H061?M74j2.:854>0g9'24<4:j1/9o4>a:k65?6=,8>36?=4n065>5=<gm<1<7*>498g=>=n=;0;6)?;8;00?k73>3;0b<=7:198yg7e<3:1?7>50z&27<<1>2B:8?5G12`8 42?28:m7)8>:20`?!3e28k0e8?50;&20=<5;2d:8;4?;:mg2?6=,8>36i74;h71>5<#9=21>>5a15495>h6;10;76sm1b:94?5=83:p(<=6:748L4253A;8n6*>49824c=#>808>n5+5c82e>o293:1(<:7:318j4212910ci850;&20=<c121b9?4?:%37<?443g;?:7?4n01;>5=<uk;h:7>53;294~"6;00=:6F>439K56d<,8>36<>i;%42>64d3-?i6<o4i4394?"6<109?6`>4783?>ic>3:1(<:7:e;8?l35290/=965229m510=92d:?54?;:a5f2=8391<7>t$01:>30<@8>97E?<b:&20=<68o1/:<4<2b9'1g<6i2c>=7>5$06;>75<f8>=6=54oe494?"6<10o565f5383>!7303887c?;6;38j45?2910qo?l2;297?6=8r.:?4496:J207=O9:h0(<:7:02e?!062:8h7);m:0c8m07=83.:854=3:l203<732eo:7>5$06;>a?<3`?96=4+15:966=i9=<1=6`>3983?>{e9j:1<7=50;2x 45>2?<0D<:=;I30f>"6<10:<k5+60806f=#=k0:m6g:1;29 42?2;90b<:9:198ka0=83.:854k9:9j17<72-;?47<<;o372?7<f8936=54}c3aa?6=;3:1<v*>38852>N6<;1C=>l4$06;>46a3-<:6><l;%7a>4g<a<;1<7*>49817>h6<?0;76ak6;29 42?2m307d;=:18'51>=::1e=9851:l27=<732wi=om50;194?6|,8926;84H061?M74j2.:854>0g9'24<4:j1/9o4>a:k65?6=,8>36?=4n065>5=<gm<1<7*>498g=>=n=;0;6)?;8;00?k73>3;0b<=7:198yg7ei3:1?7>50z&27<<1>2B:8?5G12`8 42?28:m7)8>:20`?!3e28k0e8?50;&20=<5;2d:8;4?;:mg2?6=,8>36i74;h71>5<#9=21>>5a15495>h6;10;76sm1c:94?5=83:p(<=6:748L4253A;8n6*>49824c=#>808>n5+5c82e>o293:1(<:7:318j4212910ci850;&20=<c121b9?4?:%37<?443g;?:7?4n01;>5=<ukh:6=4<:183!7413<=7E?;2:J27g=#9=21==h4$73977e<,<h1=l5f5083>!7303887c?;6;28?jb1290/=965d898m04=83.:854=3:l203<63g;847>4;|`1e`<72:0;6=u+12;923=O9=80D<=m;%37<?77n2.==7==c:&6f?7f3`?:6=4+15:966=i9=<1<65`d783>!7303n276g:2;29 42?2;90b<:9:09m56>=821vn?ml:180>5<7s-;85789;I376>N6;k1/=96511d8 37=;;i0(8l51`9j14<72-;?47<<;o372?6<3fn=6=4+15:9`<=<a<81<7*>49817>h6<?0:7c?<8;28?xd6ko0;6>4?:1y'56?=>?1C=9<4H01a?!7303;;j6*91;11g>"2j3;j7d;>:18'51>=::1e=9850:9l`3<72-;?47j6;:k66?6=,8>36?=4n065>4=i9:21<65rb23b>5<2290;w)?<9;4b?M73:2B:?o5+15:955`<,?;1??m4$4`9=>o293:1(<:7:318j4212910e8<50;&20=<5;2d:8;4>;:k67?6=,8>36?=4n065>7=<a<>1<7*>49817>h6<?0876ak6;29 42?2m307pl<2883>0<729q/=>756`9K514<@89i7)?;8;33b>"19399o6*:b;6b?l36290/=965229m510=821b9?4?:%37<?443g;?:7?4;h70>5<#9=21>>5a15496>=n==0;6)?;8;00?k73>3907bj9:18'51>=l010qo<89;290?6=8r.:?4480:J207=O9:h0(<:7:7g8 37=;;i0e8?50;&20=<2021b9?4?:%37<?3>32c:>i4?:%37<?75n21dh;4?:%37<?b>32wi>:o50;794?6|,8926;o4H061?M74j2.:854>0g9'24<4:j1/9o4<8:k65?6=,8>36?=4n065>5=<a<81<7*>49817>h6<?0:76g:3;29 42?2;90b<:9:398m02=83.:854=3:l203<432eo:7>5$06;>a?<3th9;o4?:483>5}#9:31:l5G1508L45e3-;?47??f:&55?55k2.>n764i4394?"6<109?6`>4783?>o2:3:1(<:7:318j4212810e8=50;&20=<5;2d:8;4=;:k60?6=,8>36?=4n065>6=<gm<1<7*>498g=>=zj;<:6=4;:183!7413=;7E?;2:J27g=#9=21:h5+60806f=n=80;6)?;8;7;?>o2:3:1(<:7:4;8?l75l3:1(<:7:00e?>ic>3:1(<:7:e;8?xd5>;0;684?:1y'56?=>h1C=9<4H01a?!7303;;j6*91;11g>"2j38:7d;>:18'51>=::1e=9850:9j17<72-;?47<<;o372?7<3`?86=4+15:966=i9=<1>65f5583>!7303887c?;6;18?jb1290/=965d898yg41;3:197>50z&27<<1i2B:8?5G12`8 42?28:m7)8>:20`?!3e2h1b9<4?:%37<?443g;?:7>4;h71>5<#9=21>>5a15495>=n=:0;6)?;8;00?k73>3807d;;:18'51>=::1e=9853:9l`3<72-;?47j6;:a627=83>1<7>t$01:>26<@8>97E?<b:&20=<1m2.==7==c:k65?6=,8>36864;h71>5<#9=219454i00g>5<#9=21=?h4;nf5>5<#9=21h454}c046?6==3:1<v*>3885e>N6<;1C=>l4$06;>46a3-<:6><l;%7a>1?<a<;1<7*>49817>h6<?0;76g:2;29 42?2;90b<:9:098m05=83.:854=3:l203<532c>87>5$06;>75<f8>=6>54oe494?"6<10o565rb350>5<2290;w)?<9;4b?M73:2B:?o5+15:955`<,?;1??m4$4`9g>o293:1(<:7:318j4212910e8<50;&20=<5;2d:8;4>;:k67?6=,8>36?=4n065>7=<a<>1<7*>49817>h6<?0876ak6;29 42?2m307pl=6883>1<729q/=>75719K514<@89i7)?;8;4f?!062:8h7d;>:18'51>==110e8<50;&20=<2121b=?j50;&20=<6:o10ci850;&20=<c121vn?8n:186>5<7s-;8578n;I376>N6;k1/=96511d8 37=;;i0(8l5219j14<72-;?47<<;o372?6<3`?96=4+15:966=i9=<1=65f5283>!7303887c?;6;08?l33290/=965229m510=;21dh;4?:%37<?b>32wi>;l50;794?6|,8926;o4H061?M74j2.:854>0g9'24<4:j1/9o4>6:k65?6=,8>36?=4n065>5=<a<81<7*>49817>h6<?0:76g:3;29 42?2;90b<:9:398m02=83.:854=3:l203<432eo:7>5$06;>a?<3th9;84?:583>5}#9:31;=5G1508L45e3-;?478j;%42>64d3`?:6=4+15:91==<a<81<7*>4986=>=n9;n1<7*>49826c=<gm<1<7*>498g=>=zj;==6=4::183!7413<j7E?;2:J27g=#9=21==h4$73977e<,<h1?l5f5083>!7303887c?;6;28?l35290/=965229m510=921b9>4?:%37<?443g;?:7<4;h77>5<#9=21>>5a15497>=hl?0;6)?;8;f:?>{e:>=1<7;50;2x 45>2?k0D<:=;I30f>"6<10:<k5+60806f=#=k0:<6g:1;29 42?2;90b<:9:198m04=83.:854=3:l203<632c>?7>5$06;>75<f8>=6?54i4694?"6<109?6`>4780?>ic>3:1(<:7:e;8?xd5><0;694?:1y'56?=?91C=9<4H01a?!7303<n7)8>:20`?l36290/=9655998m04=83.:854:9:9j57b=83.:854>2g98ka0=83.:854k9:9~f701290>6=4?{%30=?0f3A;?>6F>3c9'51>=99l0(;?533a8 0d=:;1b9<4?:%37<?443g;?:7>4;h71>5<#9=21>>5a15495>=n=:0;6)?;8;00?k73>3807d;;:18'51>=::1e=9853:9l`3<72-;?47j6;:a631=83?1<7>t$01:>3g<@8>97E?<b:&20=<68o1/:<4<2b9'1g<4?2c>=7>5$06;>75<f8>=6=54i4094?"6<109?6`>4782?>o2;3:1(<:7:318j4212;10e8:50;&20=<5;2d:8;4<;:mg2?6=,8>36i74;|`12a<72=0;6=u+12;935=O9=80D<=m;%37<?0b3-<:6><l;h72>5<#9=219554i4094?"6<10>565f13f94?"6<10:>k54oe494?"6<10o565rb34f>5<2290;w)?<9;4b?M73:2B:?o5+15:955`<,?;1??m4$4`97<=n=80;6)?;8;00?k73>3:07d;=:18'51>=::1e=9851:9j16<72-;?47<<;o372?4<3`??6=4+15:966=i9=<1?65`d783>!7303n276sm27d94?3=83:p(<=6:7c8L4253A;8n6*>49824c=#>808>n5+5c82`>o293:1(<:7:318j4212910e8<50;&20=<5;2d:8;4>;:k67?6=,8>36?=4n065>7=<a<>1<7*>49817>h6<?0876ak6;29 42?2m307pl=5383>7<52:q/=>75279K514<@89i7)8>:20`?l372900ci850;9a13<72;0;6=u+12;913=#9=21>n5+5g863>N2m2.==7==c:k64?6=,8>36894;nf5>5<#9=21h454}c06>5<5290;w)?<9;06?!73038h7)8>:20`?l37290/=9655698ka0=83.:854k9:9~w06=838pR8>4=44915=z{m<1<7<t^e48973=l?1v8850;0x900=l?16>84:0:~f4g?29096=4?{%30=?423A;?>6F>3c9'51>=:j1/:<4<2b9j15<72-;?47;8;:mg2?6=,8>36i74;|`1a=<72;0;6=u+12;960=O9=80D<=m;%37<?4d3-<:6><l;h73>5<#9=219:54oe494?"6<10o565rb`294?4=83:p(<=6:4a8L4253A;8n6*>49810>"19399o6g:0;29 42?2<=07bj9:18'51>=l01C=994;|`1e6<72;0;6=u+12;91f=O9=80D<=m;%37<?433-<:6><l;h73>5<#9=219:54oe494?"6<10o56F>4698yg4d93:1>7>50z&27<<2k2B:8?5G12`8 42?2;>0(;?533a8m06=83.:854:7:9l`3<72-;?47j6;I373>=zj8ij6=4=:183!7413?h7E?;2:J27g=#9=21>95+60806f=n=90;6)?;8;74?>ic>3:1(<:7:e;8L42032winl4?:383>5}#9:319n5G1508L45e3-;?47<;;%42>64d3`?;6=4+15:912=<gm<1<7*>498g=>N6<>10qo==3;291?6=8r.:?449a:J207=O9:h0(<:7:02e?!062:8h7);m:49j14<72-;?47<<;o372?6<3`?96=4+15:966=i9=<1=65f5283>!7303887c?;6;08?l33290/=965229m510=;21dh;4?:%37<?b>32wi??:50;694?6|,8926:>4H061?M74j2.:8549e:&55?55k2c>=7>5$06;>0><3`?96=4+15:91<=<a88o6=4+15:957`<3fn=6=4+15:9`<=<ukhm6=48:28:!7413;8?6F>439K56d<,<h1?6F>0e9'24<4:j1b=o4?::k2g?6=3`;n6=44i0d94?=n:m0;66g<2;29?j77i3:17o?<2;29=?6=8r.:?44>359'51>=9:>0(<>6:5a8L4503A;;h6*91;11g>"2j390(<>j:01f?l552900e?o50;l27=<632c9h7>5H024?>o6n3:17d?j:188m4e=831b=o4?::m24d<722e:<o4?::a6`<72;0;6=u+15:961=n=90;6)?;8;74?>ic>3:1(<:7:e;8L42032wi=>m50;394?6|,8>36i64oec94?"6<10o565rs2094?4|V:801<==:208yv4c2909wS<k;<0f>06<uz;m6=4={_3e?874:3;m7p}>e;296~X6m27:??4>e:p5f<72;qU=n5212095f=z{8h1<7<t^0`8945528h0q~??a;296~X68h16=><511c8yv4f2909w0?<2;0b?874k3nj7p}=e;296~;6;;09h63=e;f5?x{e::k1<7850;2x 45>2=<0D<:=;I30f>"6<10?;6*:b;08L46c3-<:6><l;h0:>5<#9=21>i5G1558?l55290/=9655698k46f290/=965d898m7g=83.:854=a:9j55>=83.:854>3198m7`=83.:854>1198yg40l3:1:7>50z&27<<3;2B:8?5G12`8 42?2==0(8l52:J24a=#>808>n5f2883>!73038o7E?;7:9j6c<72-;?47?>0:9j77<72-;?47;8;:m24d<72-;?47j6;:k1e?6=,8>36?o4;h33<?6=,8>36<=?;:a62c=83<1<7>t$01:>15<@8>97E?<b:&20=<3?2.>n7<4H02g?!062:8h7d<6:18'51>=:m1C=994;h0e>5<#9=21=<>4;h11>5<#9=219:54o02b>5<#9=21h454i3c94?"6<109m65f11:94?"6<10:?=54}c04b?6=>3:1<v*>38877>N6<;1C=>l4$06;>11<,<h1>6F>0e9'24<4:j1b>44?:%37<?4c3A;?;65f2g83>!7303;:<65f3383>!7303?<76a>0`83>!7303n276g=a;29 42?2;k07d??8;29 42?289;76sm22`94?5=83:p(<=6:758L4253A;8n6*>49824c=#>808>n5+5c820>o293:1(<:7:318j4212910e8<50;&20=<5;2d:8;4>;:mg2?6=,8>36i74;|`17<<72?0;6=u+12;906=O9=80D<=m;%37<?203-?i6?5G11f8 37=;;i0e?750;&20=<5l2B:8:54i3d94?"6<10:==54i2094?"6<10>;65`11c94?"6<10o565f2`83>!73038j76g>0983>!7303;8<65rb23g>5<5290;w)?;8;07?!7413<?7E?<b:k64?6=,8>36894;nf5>5<#9=21h454}c12g?6=;3:1<v*>49824c=O9:h0D<:=;%42>64d3-;85787;%7a>63<a<;1<7*>49817>h6<?0;76g:2;29 42?2;90b<:9:098ka0=83.:854k9:9~f7cf29096=4?{%37<?433-;8578;;I30f>o283:1(<:7:458?jb1290/=965d898yg4b13:197>50z&20=<68o1C=>l4H061?!062:8h7)?<9;4a?!3e2?1b9<4?:%37<?443g;?:7>4;h71>5<#9=21>>5a15495>=n=:0;6)?;8;00?k73>3807d;;:18'51>=::1e=9853:9l`3<72-;?47j6;:a5c>=8381<7>t$06;>72<,8926;:4H01a?l37290/=9655698ka0=83.:854k9:9~f4`0290>6=4?{%37<?77n2B:?o5G1508 37=;;i0(<=6:7a8 0d=<2c>=7>5$06;>75<f8>=6=54i4094?"6<109?6`>4782?>o2;3:1(<:7:318j4212;10e8:50;&20=<5;2d:8;4<;:mg2?6=,8>36i74;|`065<72;0;6=u+15:961=#9:31:95G12`8m06=83.:854:7:9l`3<72-;?47j6;:a74`=83?1<7>t$06;>46a3A;8n6F>439'24<4:j1/=>756b9'1g<302c>=7>5$06;>75<f8>=6=54i4094?"6<109?6`>4782?>o2;3:1(<:7:318j4212;10e8:50;&20=<5;2d:8;4<;:mg2?6=,8>36i74;|`20`<7280;6=u+15:9555<@89i7E;n;%7e>a?<,?91i45`11194?"6<10:<>54}c364?6=93:1<v*>498246=O9:h0D8o4$4d9`<=#>:0n46a>0283>!7303;;?65rb071>5<6290;w)?;8;337>N6;k1C9l5+5g8g=>"1;3oh7b??3;29 42?28:876sm14794?7=83:p(<:7:020?M74j2B>m6*:f;f:?!042lh0c<><:18'51>=99907pl>5c83>4<729q/=9651118L45e3A?j7);i:e;8 35=m91d===50;&20=<68:10qo?:d;295?6=8r.:854>029K56d<@<k0(8h5569'26<a<2c:<>4?:%37<?77;21vn<;j:182>5<7s-;?47??3:J27g=O=h1/9k4:7:&57?`23`;;?7>5$06;>46432wi=8h50;394?6|,8>36<><;I30f>N2i2.>j7;8;%40>c0<a8:86=4+15:9555<3th::<4?:083>5}#9=21===4H01a?M3f3-?m6894$719b2=n9991<7*>498246=<uk;j<7>51;294~"6<10:<>5G12`8L0g<,<l1h45+628ga>i68:0;6)?;8;337>=zj8k96=4>:183!7303;;?6F>3c9K1d=#=o0o56*93;fg?j77;3:1(<:7:020?>{e::i1<7?50;2x 42?28:87E?<b:J6e>"2n3?<7)8<:ed8m464290/=9651118?xd5;l0;6<4?:1y'51>=9990D<=m;I7b?!3a2<=0(;=5e09j555=83.:854>0298yg4383:1=7>50z&20=<68:1C=>l4H4c8 0`=l01/:>4i8:m246<72-;?47??3:9~f726290:6=4?{%37<?77;2B:?o5G5`9'1c<c12.=?7hn;n337?6=,8>36<><;:a614=83;1<7>t$06;>4643A;8n6F:a:&6b?b>3-<86km4o020>5<#9=21===4;|`106<7280;6=u+15:9555<@89i7E;n;%7e>a?<,?91jk5`11194?"6<10:<>54}c070?6=93:1<v*>498246=O9:h0D8o4$4d9`<=#>:0:<?5`11194?"6<10:<>54}c071?6=93:1<v*>498246=O9:h0D8o4$4d9`<=#>:0n86a>0283>!7303;;?65rb365>5<6290;w)?;8;337>N6;k1C9l5+5g8g=>"1;3o<7b??3;29 42?28:876sm25:94?7=83:p(<:7:020?M74j2B>m6*:f;f:?!042l80c<><:18'51>=99907pl=5083>4<729q/=9651118L45e3A?j7);i:458 35=m?1b===50;&20=<68:10qo<:4;295?6=8r.:854>029K56d<@<k0(8h5d89'26<ck2e:<>4?:%37<?77;21vn?;8:182>5<7s-;?47??3:J27g=O=h1/9k4k9:&57?ca3f;;?7>5$06;>46432wi>8650;394?6|,8>36<><;I30f>N2i2.>j7j6;%40>`c<g8:86=4+15:9555<3th9944?:083>5}#9=21===4H01a?M3f3-?m6i74$719b7=h9991<7*>498246=<uk8>m7>51;294~"6<10:<>5G12`8L0g<,<l1h45+628e4>i68:0;6)?;8;337>=zj;?i6=4>:183!7303;;?6F>3c9K1d=#=o0o56*93;g0?j77;3:1(<:7:020?>{e:<i1<7?50;2x 42?28:87E?<b:J6e>"2n3n27)8<:df8k464290/=9651118?xd5=m0;6<4?:1y'51>=9990D<=m;I7b?!3a2m30(;=5f29l555=83.:854>0298yg42n3:1=7>50z&20=<68:1C=>l4H4c8 0`=l01/:>4i1:m246<72-;?47??3:9~f7>7290:6=4?{%37<?77;2B:?o5G5`9'1c<2?2.=?7h6;h337?6=,8>36<><;:a6=7=83;1<7>t$06;>4643A;8n6F:a:&6b?303-<86kl4i020>5<#9=21===4;|`1<7<7280;6=u+15:9555<@89i7E;n;%7e>01<,?91jh5f11194?"6<10:<>54}c0;7?6=93:1<v*>498246=O9:h0D8o4$4d912=#>:0:<=5f11194?"6<10:<>54}c0;0?6=93:1<v*>498246=O9:h0D8o4$4d912=#>:0:<<5f11194?"6<10:<>54}c0;1?6=93:1<v*>498246=O9:h0D8o4$4d912=#>:0n96g>0283>!7303;;?65rb3:5>5<6290;w)?;8;337>N6;k1C9l5+5g863>"1;3oj7d??3;29 42?28:876sm29:94?7=83:p(<:7:020?M74j2B>m6*:f;74?!042on0e<><:18'51>=99907pl<2`83>4<729q/=9651118L45e3A?j7);i:e;8 35=lk1d===50;&20=<68:10q~9=:1808152m<015952c9>ef<5j2wx;>4?:2y>36<c>27<97<m;<c0>7d<uz=?6=4<{<57>a0<5>91=?j4=`0925=z{>?1<7=t=679`3=:?>09n63n4;0a?xu0>3:1?v386;f5?812288o70o<:728yv102908w098:e4892?=:k16m84=b:p3=<72:q6;54k6:?43?75l27j878?;|q4=?6=;r7<57j9;<5a>7d<5h<1>o5rs6c94?5|5>k1h;5278826a=:i<0=<6s|7c83>6}:?k0o:638d;0a?8g02;h0q~9l:18081d2m<01:l513f89d0=>91v:j50;1x92b=l?16;k4=b:?b<?4e3ty<i7>53z?4a?b134=o6<<k;<c4>36<uz=m6=4<{<5e>a0<51;1>o52a881f>{t090;6>u2818g2>;0n3;9h63n8;43?xu?93:1?v371;f5?8>42;h01lo52c9~w=4=839p15<5d79><4<6:m16m4490:p<6<72:q64>4k6:?;1?4e34ki6?l4}r:7>5<4s42?6i84=91957b<5hk1:=5rs9794?5|51?1h;528881f>;fl38i7p}76;297~;?>3n=706::00g?8ge2?:0q~68:1808>02m<015h52c9>f7<5j2wx454?:2y><=<c>273;7?=d:?bg?073ty357>53z?;=?b1342i6?l4=`g96g=z{1k1<7=t=9c9`3=:000:>i52ae854>{t0k0;6>u28c8g2>;?l38i70oi:3`8yv>d2908w06l:e489=d=9;n01lk5619~w=b=838p15j5d79>f5<5j2wx4h4?:2y><`<c>273h7?=d:?bb?073ty3j7>53z?;b?b1343:6?l4=c196g=z{0:1<7=t=829`3=:0o0:>i52b3854>{t180;6>u2908g2>;>;38i70l;:3`8yv?52908w07=:e489<7=9;n01o=5619~w<5=839p14=5d79>=0<5j27i97<m;|q:0?6=;r7287j9;<;0>44c34h?6;>4}r;6>5<4s43>6i84=8596g=:j?09n6s|9783>6}:1?0o:6365;31`>;e=3<;7p}67;297~;>?3n=7076:3`89g1=:k1v4650;1x9<>=l?165:4>2e9>f3<182wx544?:2y>=<<c>272n7<m;<`;>7d<uz3j6=4<{<;b>a0<5031=?j4=c5925=z{0h1<7=t=8`9`3=:1m09n63m9;0a?xu>k3:1?v36c;f5?8?e288o70l7:728yv?c2908w07k:e48925=:k16m?4=b:p=`<72:q65h4k6:?:`?75l27i578?;|q:b?6=;r7<>7?=d:?b5?0734k;6i84}r`2>5<5s4h:6i84=c2925=z{kl1<7<t=cd955g<5;9j6><4}ra3>5<4mr7:8>4;e:?46?4e34k:6?l4=95976=:0o08?6361;10?8?42:9014;5329>=2<4;27257=<;<;a>65<50n1?>5272807>;0=3987098:21892?=;:16;o4<3:?4`?5434=m6>=4=93976=:0:08?6375;10?8>>2:9015l5329><a<4;279mk4=b:?1g7<5j279n=4<3:?1f7<4;279n94<3:?1f3<4;279n54<3:?1fd<4;279nn4<3:?1f`<4;2795<4=b:?1e1<5j2795?4<3:?1=1<4;2795;4<3:?1==<4;2795l4<3:?1=f<4;2795h4<3:?1e5<4;27:n?4=b:?2gg<5j27:n:4<3:?2f<<4;27:no4<3:?2fa<4;27:nk4<3:?2g4<4;27:o>4<3:?2g0<4;27:o:4<3:?2f6<4;27:n84<3:?061<2:27ij7?l;<`e>4c<5kl1=k5rsb394??|58>?6io4=60976=::l<1??52337977=::hl1?>52283976=:9k81?>52bg82f>;5?m08>6s|c383>7}::l?1h;522d6911=z{j91<7<t=226>a0<5::868:4}ra7>5<5s49:n7;;;<12`?b13tyh97>52z?05d<2:278>=4k6:pg3<72;q6>:o5d79>62?==81vn950;0x971e2m<01?96:408yve?2909w0<92;f5?84193?:7p}l9;296~;5>:0o:63=60866>{tkh0;6?u22609`3=::>;19<5rsb`94?4|5;=86i84=352>04<uzih6=4={<05e?b1348=57;>;|q``?6=:r79:o4k6:?12<<2:2wxoh4?:3y>620=l?16>:;5509~wf`=838p1?98:e4897122<80q~j?:181841>3n=70<95;72?xuc93:1>v3=668g2>;5><0>>6s|d383>7}::?o1h;5227f914=z{m91<7<t=34e>a0<5;<o68<4}rf7>5<5s49;=7;;;<0fe?b13ty:=<4?:3y>52c=;;16m<4k6:p544=838p1<7n:2089gg=l?1v<?<:18187?839970o=:e48yv76<3:1>v3>80806>;f;3n=7p}>1483>7}:9181??52a58g2>{t98<1<7<t=0:0>64<5h?1h;5rs034>5<5s4;387==;<c5>a0<uz;:47>52z?2<0<4:27j;7j9;|q25<<72;q6=585339>e=<c>2wx=<o50;0x94>02:801l75d79~w47e2909w0?78;11?8gf2m<0q~?>c;296~;60008>63nb;f5?xu69m0;6?u216d977=:ij0o:6s|10g94?4|583i6><4=c`9`3=z{8;m6=4={<3;f?5534ko6i84}r314?6=:r7:4n4<2:?ba?b13ty:><4?:3y>5=b=;;16mk4k6:p574=838p1<6j:2089g6=l?1v<<<:18187?i39970l=:e48yv75<3:1>v3>9b806>;ek3n=7p}>2483>7}:91l1??52b28g2>{t9;<1<7<t=0;g>64<5kn1h;5rs004>5<5s4;2<7==;<`7>a0<uz;947>52z?2=4<4:27i97j9;|q26<<72;q6=4<5339>f3<c>2wx=?o50;0x94?42:801o95d79~w44e2909w0?64;11?8d?2m<0q~?=c;296~;61<08>63m9;f5?xu6<l0;6>uQ15g894302m<01<:j:020?xu6=90;6>uQ1428943?2m<01<;?:020?xu6=;0;6>uQ1408943>2m<01<;=:020?xu6=<0;6>uQ1478943f2m<01<;::020?xu6=>0;6?u215d9`3=:9<=19=5rs07;>5<5s4;>=7j9;<36<?373ty:944?:3y>505=l?16=875519~w43f2909w0?:6;f5?872i3?;7p}>5c83>6}Y9<h01<;l:e48943e28:87p}>5b83>7}::l>1h;5214a915=z{8?o6=4<{_36`>;6>;0><63>5e8246=z{8?n6=4<{_36a>;6>:0><63>5d8246=z{8?m6=4<{_36b>;6>=0><63>5g8246=z{8<:6=4<{_355>;6><0><63>608246=z{8<86=4={<365?3534;=?7j9;|q221<72;q6=8=5539>532=l?1v<8::181872>3?970?95;f5?xu6>?0;6?u216g955g<5h:19=5rs052>5<5s4;<j7??a:?;<?363ty:::4?:3y>5=6=99k01::5509~w40?2909w0?71;33e>;0>3?:7p}>6883>7}:9181==o4=6:914=z{8<j6=4={<3;7?77i27<m7;>;|q22g<72;q6=5:511c892e==81v<8l:18187?=3;;m638e;72?xu6>m0;6?u2194955g<51:19<5rs04f>5<5s4;3;7??a:?;6?363ty::k4?:3y>5=>=99k015:5509~w4172909w0?79;33e>;?>3?:7p}>7783>7}:91k1==o4=82914=z{8=96=4={<3;f?77i273m7;>;|q236<72;q6=5m511c89=e==81v<9;:18187?l3;;m637e;72?xu6?<0;6;u219g955g<583j6?74=0;a>7?<583h6?74=0;g>7?<5k;19<5rs054>5<5s4;3j7??a:?:6?363ty:;54?:3y>5<6=99k014:5509~w41>2909w0?61;33e>;>>3?:7p}>7`83>7}:9081==o4=8:914=z{8=i6=4={<3:7?77i272m7;>;|q23f<72;q6=4:511c89<e==81v<9k:18187>=3;;m636e;72?xu61?0;6iu218c955g<5kh19?52bb867>;el3?870?6f;71?840i3??70<92;77?840:3??70<9a;77?840>3?870<96;77?841m3?870ln:428yv7>?3:1ov3>9c824d=:jk0>=63mc;71?8dc2<801<7i:418971f2<901?8=:41897152<801?8n:40897112<801?89:418970b2<;0q~?68;29f~;61j0:<l52bb865>;el3??70?6f;77?840i3?:70<92;71?840:3?:70<9a;70?840>3?:70<96;71?841m3??7p}>9883>d}:90n1==o4=cf914=:90l19<5226c917=::?819<52260916=::?k19<52264911=::?<19<5227g917=z{83n6=4:{<3:e?77k27:5o4>0b9>5<e=99i01<7k:02`?87>n3n=7p}>a183>6}Y9h:01<o>:e4894g728:87p}>a083>7}::lh1h;521`3915=z{8k96=4<{_3b6>;6i:0o:63>a38246=z{8k86=4={<136?b134;j?7;?;|q2e1<72;q6=l:511c894g>2:80q~?n5;296~;6i<0:<l521`4977=z{8k=6=4=0z?165<51279>?4=9:?166<51279>94=9:?160<51279>;4=9:?162<51279>54=9:?16<<51279><4=9:?177<51279?>4=9:?171<51279?84=9:?173<51279?:4=9:?17=<5127:ih4=9:?2ac<5127:j=4=9:?2b4<5127:j?4=9:?2b6<5127:j94=9:?2b0<5127:m54k6:?ab?4c3488m7<6;<04`?4>348<i7<6;<04b?4>348857<6;|q2e2<72;q6=l8511c894g?2<:0q~?n9;296~;6i00:<l52233977=z{8kj6=47{<3bf?b1348<n7;;;<057?36348<?7;=;<05f?33348<;7;<;<053?36348=j7;;;|q2ef<721q6=lj5d79>62d==816>;=5539>625===16>;l5509>621==816>;95529>63`==81v<oj:18;87fn3n=70<8b;71?841;3?870<83;70?841j3?870<87;77?841?3?970<9f;70?xu6j90;65u21c39`3=::>h19>52271911=::>919<5227`917=::>=19?52275911=::?l19?5rs0`1>5<4s4;i>7j9;<3a3?4e34;o<7<m;|q2f6<72:q6=o=5d79>5g3=:k16=nj52c9~w4d32908w0?m4;f5?87e;3;9h63>cb854>{t9k?1<7<t=0`6>a0<58in6?l4}r3a2?6=;r7:n;4k6:?2f0<6:m16=nj5619~w4d02908w0?m7;f5?87e138i70?k1;0a?xu6j10;6>u21c:9`3=:9k=1=?j4=0f3>36<uz;i57>53z?2f<<c>27:no4=b:?2`7<5j2wx=oo50;1x94df2m<01<l6:00g?87c93<;7p}>bc83>6}:9kh1h;521cf96g=:9m91>o5rs0``>5<4s4;io7j9;<3af?75l27:h?490:p5gb=839p1<lk:e4894da2;h01<j;:3`8yv7em3:1?v3>bd8g2>;6jm0:>i521e1925=z{8hm6=4<{<3ab?b134;h=7<m;<3g1?4e3ty:o=4?:2y>5f6=l?16=oh513f894b32?:0q~?l1;297~;6k80o:63>c281f>;6l?09n6s|1b094?5|58i96i84=0a2>44c34;o978?;|q2g6<72:q6=n=5d79>5f3=:k16=i952c9~w4e32908w0?l4;f5?87d;3;9h63>d7854>{t9j?1<7=t=0a6>a0<58i<6?l4=0f;>7d<uz;h:7>53z?2g3<c>27:o84>2e9>5a1=>91v<m8:18087d?3n=70?m3;0a?87dk38i7p}>c983>6}:9j21h;521b5957b<58n36;>4}r3`=?6=;r7:n?4>2e9>5fd=>916=no5d79~w4ea2909w0?lf;f5?87dm3<;7p}>d883>7}::931??521b`9`3=z{8nj6=4={<03f?5534;ho7j9;|q2`g<72;q6>=m5339>5fb=l?1v<jl:181847l39970?le;f5?xu6lm0;6?u221c977=:9m:1h;5rs0ff>5<5s48;i7==;<3g5?b13ty:hk4?:3y>65`=;;16=i<5d79~w4c72909w0<>0;11?87c;3n=7p}>e083>7}::8;1??521e69`3=z{8o96=4={<026?5534;o97j9;|q2a6<72;q6><=5339>5a0=l?1v<k;:181846<39970?k7;f5?xu6m<0;6?u2207977=:9m21h;5rs0g5>5<5s4;ni7??a:?2eg<2:2wx=h950;0x94ca28:j70?nd;71?xu6m10;6?u21g2955g<58km68<4}r3f=?6=:r7:j<4>0`9>5g7==;1v<kn:18187a:3;;m63>ac867>{t9lh1<7<t=0d0>46f34;jh7;<;|q2af<72;q6=k:511c894ga2<90q~?jd;296~;6n<0:<l521c3916=z{8l=6=46{<3fa?4f34;nj7<n;<3e4?4f34;m=7<n;<3e6?4f34;m?7<n;<3e0?4f34;m97<n;<3ee?b13ty:j:4?:3y>5cg==816=k65d79~w4`e2909w0?ib;f5?87ai3?97p}>fb83>7}::931==o4=0ab>06<uz8;<7>52z?14d<68h16=o65509~w4`c2909w0<?b;33e>;6j=0>=6s|1gg94?4|5;:h6<>n;<3a2?363ty:jk4?:9y>65b=99k01<;>:418942a2<801<om:43894gc2<;01<l>:43894ga2<;01<mi:438yv4793:1>v3=0d824d=:9kk19<5rs321>5<1s4;j97<6;<3b0?4>34;j:7<6;<3b=?4>348;j7??a:?2ff<292wx>==50;0x977728:j70?me;72?xu58=0;6?u2203955g<58i;68?4}r031?6=:r79=?4>0`9>5f4==81v?>9:181846;3;;m63>c5865>{t:9=1<7<t=337>46f34;h:7;>;|q14=<72;q6><;511c894e?2<;0q~<>7;297~;5:90:<l521dg977=:jo08>6s|20494?4|5;826><4=302>46f3ty9=54?:2y>676=;;16>?<511c894ca2:80q~<>9;297~;5:;08>63=22824d=:9o:1??5rs33b>5<4s489?7==;<010?77i27:j<4<2:p64d=839p1?<;:208974228:j70?i2;11?xu59j0;6>u2237977=::;<1==o4=0d0>64<uz8:h7>53z?163<4:279>:4>0`9>5c2=;;1v??j:180845?39970<=8;33e>;6n<08>6s|20d94?4|5;836><4=30:>46f3ty9>l4?:3y>664=99k01<h8:438yv45j3:1?v3=33806>;5;:0:<l521g5917=z{;8h6=4<{<007?55348887??a:?2b2<2;2wx>?j50;1x97532:801?=::02b?87a?3??7p}=2d83>6}:::?1??52224955g<58li68?4}r01b?6=;r79?;4<2:?172<68h16=kl5539~w7572908w0<<7;11?84403;;m63>fc867>{t::;1<7=t=31;>64<58li68:4=31:>46f3ty9?l4?:3y>66g=99k01?=m:438yv44j3:1>v3=3c8g2>;5;008>6s|22a94?5|V;9h70<<d;73?844k3;;?6s|22f94?4|58k>6><4=31g>a0<uz88i7>53z\17`=:::l19=5222g9555<uz88j7>52z?2e1<4:279?k4k6:p616=839pR?:?;<07=?b1348?<7??3:p617=839pR?:>;<07e?b1348?=7??3:p614=839pR?:=;<07f?b1348?>7??3:p615=839pR?:<;<07g?b1348??7??3:p612=839pR?:;;<07`?b1348?87??3:p613=839pR?::;<07a?b1348?97??3:p610=839pR?:9;<07b?b1348?:7??3:p61>=839pR?:7;<064?b1348?47??3:p61?=83np1<;9:43894342<;01<;>:438942a2<;01?66:e48972>2<:01?96:00g?84193;9h63=70826a=::?31=?j4=356>44c348=97?=d:?12a<6:m1v?:n:18184?i3n=70<;a;73?xu5<k0;6?u229`9`3=::=h19=5rs36`>5<5s483o7j9;<07g?373ty98i4?:3y>6=b=l?16>9j5519~w72b2909w0<7e;f5?843m3?;7p}=4g83>7}::1l1h;5225d915=z{;?;6=4={<0:4?b1348><7;?;|q114<72:qU>8?4=371>06<5;?:6<><;|q117<72;=p1<9j:3;8941a2;301<6n:3;894>a2;301<7?:3;894?62;301<7=:3;894?42;301<7;:3;894?22;301<6?:3;894>62;301<6=:3;894>42;301<6;:3;894>22;301<69:3;894>02;301<67:3;894>>2;301<6m:3;894>d2;301<6k:3;894>b2;301?k9:3;8976>2;301?>n:3;8976b2;301?>i:3;897772;301??>:3;897752;301??<:3;897732;301??::3;8976e2;301?>l:3;8976c2;301?;=:e48yv42<3:1?vP=559>603=l?16>8:51118yv42=3:1>v3<028g2>;5=<0><6s|24594?5|V;?<70<90;f5?842?3;;?6s|24:94?5|V;?370<94;f5?84203;;?6s|24;94?5|V;?270<98;f5?84213;;?6s|24c94?5|V;?j70<9c;f5?842i3;;?6s|24`94?5|V;?i70<80;f5?842j3;;?6s|24a94?5|V;?h70<84;f5?842k3;;?6s|24f94?5|V;?o70<88;f5?842l3;;?6s|24d94?5|V;?m70<8c;f5?842n3;;?6s|27294?4|5;<;68>4=342>a0<uz8=87>52z?121<28279:84k6:p63>=838p1?87:428970>2m<0q~<9c;296~;5>j0><63=6e8g2>{t:>:1<7<t=353>06<5;=:6i84}r040?6=:r79;94:0:?130<c>2wx>:650;0x971?2<:01?96:e48yv40k3:1>9u21`796c=:9h>1>k521`496c=:9h31>k5223296c=::;81>k5223196c=::;>1>k5223796c=::;<1>k5223596c=::;21>k5223;96c=::;;1>k5222096c=:::91>k5222696c=:::?1>k5222496c=:::=1>k5222:96c=:9lo1>k521dd96c=:9o:1>k521g396c=:9o81>k521g196c=:9o>1>k521g796c=:9=l19>521709`3=::>i19=5226f96c=::>o1>k5226d96c=:::31>k5rs35g>5<5s48<h7??a:?13`<4:2wx>:k50;0x971b28:j70<8f;11?xu5?o0;6?u226d955g<5;9i68<4}r0;4?6=;rT94=5229;915=::1:1===4}r0;5?6=;rT94<5229c915=::1;1===4}r0;6?6=;rT94?5229`915=::181===4}r0;7?6=;rT94>5229a915=::191===4}r0;0?6=;rT9495229f915=::1>1===4}r0;1?6=;rT9485229g915=::1?1===4}r0;2?6=;rT94;5229d915=::1<1===4}r0;<?6=;rT94552282915=::121===4}r0:5?6=;r795<4k6:?1=7<5j279m84=b:p6<4=839p1?7=:e4897?32;h01?o9:3`8yv4>;3:1?v3=928g2>;51;0:>i522`7925=z{;3?6=4<{<0:0?b13482:7<m;<0b3?4e3ty9584?:2y>6<3=l?16>4:513f897g12?:0q~<66;297~;51?0o:63=9981f>;5i109n6s|28594?5|5;3<6i84=3;5>44c348j;78?;|q1==<72:q6>465d79>6<g=:k16>l752c9~w7?>2908w0<69;f5?84>03;9h63=a9854>{t:0k1<7=t=3;b>a0<5;3h6?l4=3cb>7d<uz82n7>53z?1=g<c>2795l4>2e9>6d?=>91v?7l:18084>k3n=70<6e;0a?84fj38i7p}=9e83>6}::0n1h;5228a957b<5;kj6;>4}r0:a?6=;r795h4k6:?1e5<5j279mn4=b:p6<`=839p1?7i:e4897?b288o70<nb;43?xu5i90;6?u22`29`3=::hn1>o5rs3c2>5<4s48j=7j9;<0b4?75l279mn490:p6d4=839p1?7>:00g?84f<3<;70<n3;f5?xu5il0;6?u22`g9`3=::hn1:=5rs3ce>5<4s48jj7j9;<0a4?4e348h?7<m;|q1f5<72:q6>o>5d79>6g4=:k16>n:52c9~w7d62908w0<m1;f5?84e83;9h63=c2854>{t:k81<7=t=3`1>a0<5;h?6?l4=3a6>7d<uz8i?7>53z?1f6<c>279n?4>2e9>6f2=>91v?l;:18084e<3n=70<m6;0a?84d>38i7p}=b483>6}::k?1h;522c6957b<5;i>6;>4}r0a2?6=;r79n;4k6:?1f=<5j279o:4=b:p6g1=839p1?l8:e4897d1288o70<l6;43?xu5j10;6>u22c:9`3=::kk1>o522b:96g=z{;h26=4<{<0a=?b1348i47?=d:?1g2<182wx>oo50;1x97df2m<01?ll:3`897e>2;h0q~<mb;297~;5jk0o:63=b`826a=::j21:=5rs3``>5<4s48io7j9;<0aa?4e348hm7<m;|q1fa<72:q6>oj5d79>6ge=9;n01?m6:728yv4em3:1>v3=bd8g2>;5kk09n6s|2cd94?5|5;hm6i84=3`f>44c348hm78?;|q1g5<72:q6>lh513f897e52?:01?m>:e48yv4dk3:1>v3=cb8g2>;5kk0=<6s|2bf94?2|5;o?68?4=23a>a0<5:;j68:4=200>07<uz8hi7>53z?1ag<2:279i44k6:?1ad<282wx>nh50;1x96642<;01>>;:e4897c>2<80q~<k0;296~;5n?08>63=a58g2>{t:m;1<7<t=233>64<5;i96i84}r0g6?6=:r79j:4<2:?1e0<c>2wx>i=50;0x96762:801?m<:e48yv4c<3:1>v3=f9806>;5i?0o:6s|2e794?4|5:;96><4=3a7>a0<uz8o:7>52z?1b<<4:279m:4k6:p6a1=838p1>?<:20897e22m<0q~<k8;296~;5nh08>63=a98g2>{t:m31<7<t=237>64<5;i=6i84}r0ge?6=:r79jo4<2:?1e<<c>2wx>il50;0x96722:801?m8:e48yv4ck3:1>v3=fb806>;5ih0o:6s|2ef94?4|5:;=6><4=3a;>a0<uz8oi7>52z?1ba<4:279mo4k6:p6a`=838p1>?8:20897e>2m<0q~<j0;296~;5nl08>63=ab8g2>{t:l;1<7<t=23;>64<5;ij6i84}r0f6?6=:r79jk4<2:?1ea<c>2wx>h=50;0x967>2:801?mm:e48yv4b>3:1=;u233796<=::o<1>4522g596<=::o21>4522g;96<=::ok1>4522g`96<=::oi1>4522gf96<=::oo1>4522gd96<=:;8:1>45230396<=:;881>45230196<=:;8>1>45230796<=:;8<1>45230596<=:;821>45230;96<=::l21h;5rs3g4>5<4s48n:7??a:?1a=<28279i;4>0b9~w7cd290?w0<i6;33e>;48=0>863<28865>;5i:0><6s|2df94?2|5;l<6<>n;<11<?33349;87;<;<0:7?363ty9ih4?:7y>6c>=99k01>>::408964?2<901?km:43896662<801?7::438yv4bn3:19v3=f8824d=:;9?19<5233:917=::0=19<522d;916=z{;l;6=4;{<0ee?77i278>54:1:?041<2:279544:1:p6c7=83<p1?hm:02b?857=3?870==7;70?84bj3?870=?1;72?84>j3?:7p}=f383>1}::oi1==o4=204>04<5::?68?4=3;g>07<uz8m?7>56z?1ba<68h16?=<5529>755==:16??95559>6<`==816>h75559~w7`3290=w0<ie;33e>;48;0>>63<02866>;4:>0>=63=a0865>;5m00>=6s|2g794?1|5;lm6<>n;<136?36349;97;;;<0ff?33349;=7;<;<0ba?36349957;<;|q045<72kq6>k8511a897`028:h70<i8;33g>;5n00:<n522gc955e<5;li6<>l;<0eg?77k279ji4>0b9>6cc=99i01?hi:02`?85793n=7p}<0783>1}:;8:1==o4=3g7>04<5:;j68?4=3a2>06<uz9;;7>53z?054<68h16?<l5509>6g7==81v>>7:180856:3;;m63=b2865>;49j0>=6s|31;94?3|5:;86<>n;<0f1?34348i97;>;<12e?343499?7;=;|q04d<72:q6?<:511c897d02<;01>?l:408yv57j3:19v3<14824d=::l?19<522c;914=:;;919>5230d917=z{::h6=4<{<122?77i278=o4:3:?1fg<292wx?=j50;1x967028:j70=>b;71?84el3?:7p}<0d83>0}:;821==o4=3g6>04<5;hm68?4=200>02<5:;m68:4}r13b?6==r78=44>0`9>6`2==:16>nm5509>772=9;n01>?i:438yv56i3:1nv3<11824f=:;8;1==m4=231>46d349:?7??c:?051<68j16?<;511a8967128:h70=>7;33g>;4910:<n5230;955e<5:;j6i84}r116?6=:r78>>4k6:?061<292wx??;50;ax964228:j70=>0;0b?856938j70=>2;0b?856;38j70=>4;0b?856=38j70=>6;0b?856?38j70=>8;0b?856138j70=>f;70?xu4:?0;6?u2337955e<5:826i84}r113?6=:r78>:4k6:?06<<2:2wx??650;0x964?2m<01><6:468yv55i3:1?vP<2`9>77d=l?16??o51118yv55j3:1>v3<2c864>;4:=0o:6s|30g94?4|5:;h6i84=23g>06<uz;m57>52z?2b2<c>27:j54:0:p777=838p1>?i:e4896472<:0qp`71383>4}O9:h0qc6>3;295~N6;k1vb5?;:182M74j2we4<;50;3xL45e3td3=;4?:0yK56d<ug2:;7>51zJ27g=zf1;36=4>{I30f>{i0831<7?tH01a?xh?9h0;6<uG12`8yk>6j3:1=vF>3c9~j=7d290:wE?<b:m<4b=83;pD<=m;|l;5`<728qC=>l4}o:2b?6=9rB:?o5rn903>5<6sA;8n6sa83394?7|@89i7p`72383>4}O9:h0qc6=3;295~N6;k1vb5<;:182M74j2we4?;50;3xL45e3td3>;4?:0yK56d<ug29;7>51zJ27g=zf1836=4>{I30f>{i0;31<7?tH01a?xh?:h0;6<uG12`8yk>5j3:1=vF>3c9~j=4d290:wE?<b:m<7b=83;pD<=m;|l;6`<728qC=>l4}o:1b?6=9rB:?o5rn913>5<6sA;8n6sa82394?7|@89i7p`73383>4}O9:h0qc6<3;295~N6;k1vb5=;:182M74j2we4>;50;3xL45e3td3?;4?:0yK56d<ug28;7>51zJ27g=zf1936=4>{I30f>{i0:31<7?tH01a?xh?;h0;6<uG12`8yk>4j3:1=vF>3c9~j=5d290:wE?<b:m<6b=83;pD<=m;|l;7`<728qC=>l4}o:0b?6=9rB:?o5rn963>5<6sA;8n6sa85394?4|@89i7p`74383>1}O9:h0qc6;3;296~N6;k1vb5:;:182M74j2we49;50;3xL45e3td38;4?:0yK56d<ug2?;7>51zJ27g=zf1>36=4>{I30f>{i0=31<7?tH01a?xh?<h0;6<uG12`8yk>3j3:1=vF>3c9~j=2d290:wE?<b:m<1b=83;pD<=m;|l;0`<728qC=>l4}o:7b?6=9rB:?o5rn973>5<6sA;8n6sa84394?7|@89i7p`75383>4}O9:h0qc6:3;295~N6;k1vb5;;:182M74j2we48;50;3xL45e3td39;4?:0yK56d<ug2>;7>51zJ27g=zf1?36=4>{I30f>{i0<31<7?tH01a?xh?=h0;6<uG12`8yk>2j3:1=vF>3c9~j=3d290:wE?<b:m<0b=83;pD<=m;|l;1`<728qC=>l4}o:6b?6=9rB:?o5rn943>5<6sA;8n6sa87394?7|@89i7p`76383>4}O9:h0qc693;295~N6;k1vb58;:182M74j2we4;;50;3xL45e3td3:;4?:0yK56d<ug2=;7>51zJ27g=zf1<36=4>{I30f>{i0?31<7?tH01a?xh?>h0;6<uG12`8yk>1j3:1=vF>3c9~j=0d290:wE?<b:m<3b=83;pD<=m;|l;2`<728qC=>l4}o:5b?6=9rB:?o5rn953>5<6sA;8n6sa86394?7|@89i7p`77383>4}O9:h0qc683;295~N6;k1B===51z09y_2c28q=?7srn957>5<6sA;8n6G>02827<zR=n1=v8<:|m<23=83;pD<=m;H337?7|:3wQ8i4>{719yxh???0;6<uG12`8M46428q96pT;d;3x26<zug2<;7>51zJ27g=zf1=36=4>{I30f>{i0>31<7?tH01a?xh??h0;6<uG12`8yk>0j3:1=vF>3c9J555=9r81qW:k:0y57?{zf1=h6=4>{I30f>{i0>n1<7?tH01a?L77;3;p=7sU4e8235=utd3;h4?:0yK56d<A8:86<u>:|X7`?7|>:0vqc68f;295~N6;k1B===51z39y_2c28q=?7srn9:3>5<6sA;8n6G>02824<zR=n1=v8<:|m<=7=83;pD<=m;|l;<7<728qC=>l4}o:;7?6=9rB:?o5rn9:7>5<6sA;8n6sa89794?7|@89i7p`78783>4}O9:h0qc677;295~N6;k1vb567:182M74j2we45750;3xL45e3td34l4?:0yK56d<ug23n7>51zJ27g=zf12h6=4>{I30f>{i01n1<7?tH01a?xh?0l0;6<uG12`8yk>?n3:1=vF>3c9~j=?7290:wE?<b:m<<7=83;pD<=m;|l;=7<728qC=>l4}o::7?6=9rB:?o5rn9;7>5<6sA;8n6sa88794?7|@89i7p`79783>4}O9:h0qc667;295~N6;k1vb577:182M74j2we44750;3xL45e3td35l4?:0yK56d<ug22n7>51zJ27g=zf13h6=4>{I30f>{i00n1<7?tH01a?xh?1l0;6<uG12`8yk>>n3:1=vF>3c9~j=g7290:wE?<b:m<d7=83;pD<=m;H337?7|:3wQ8i4>{719yxh?i;0;6<uG12`8yk>f;3:1=vF>3c9J555=9r81qW:k:0y57?{zf1k?6=4>{I30f>{i0h?1<7?tH01a?xh?i?0;6<uG12`8yk>f?3:1>vF>3c9~j=g?290:wE?<b:m<d?=83;pD<=m;|l;ed<728qC=>l4}o:bf?6=9rB:?o5rn9c`>5<6sA;8n6sa8`f94?7|@89i7p`7ad83>4}O9:h0qc6nf;295~N6;k1vb5l?:182M74j2we4o?50;3xL45e3td3n?4?:0yK56d<ug2i?7>51zJ27g=zf1h?6=4>{I30f>{i0k?1<7?tH01a?xh?j?0;6<uG12`8yk>e?3:1=vF>3c9~j=d?290:wE?<b:m<g?=83;pD<=m;|l;fd<728qC=>l4}o:af?6=9rB:?o5rn9``>5<6sA;8n6sa8cf94?7|@89i7p`7bd83>4}O9:h0qc6mf;295~N6;k1vb5m?:182M74j2we4n?50;3xL45e3td3o?4?:0yK56d<ug2h?7>51zJ27g=zf1i?6=4>{I30f>{i0j?1<7?tH01a?xh?k?0;6<uG12`8yk>d?3:1=vF>3c9~j=e?290:wE?<b:m<f?=83;pD<=m;|l;gd<728qC=>l4}o:`f?6=9rB:?o5rn9a`>5<6sA;8n6sa8bf94?7|@89i7p`7cd83>4}O9:h0qc6lf;295~N6;k1vb5j?:182M74j2we4i?50;3xL45e3td3h?4?:0yK56d<ug2o?7>51zJ27g=zf1n?6=4>{I30f>{i0m?1<7?tH01a?xh?l?0;6<uG12`8yk>c?3:1=vF>3c9~j=b?290:wE?<b:m<a?=83;pD<=m;|l;`d<728qC=>l4}o:gf?6=9rB:?o5rn9f`>5<6sA;8n6sa8ef94?7|@89i7p`7dd83>4}O9:h0qc6kf;295~N6;k1vb5k?:182M74j2we4h?50;3xL45e3td3i?4?:0yK56d<ug2n?7>51zJ27g=zf1o?6=4>{I30f>{i0l?1<7?tH01a?xh?m?0;6<uG12`8yk>b?3:1=vF>3c9~j=c?290:wE?<b:m<`?=83;pD<=m;|l;ad<728qC=>l4}o:ff?6=9rB:?o5rn9g`>5<5sA;8n6sa8df94?7|@89i7p`7ed83>4}O9:h0qc6jf;295~N6;k1vb5h?:182M74j2we4k?50;3xL45e3td3j?4?:0yK56d<ug2m?7>51zJ27g=zf1l?6=4>{I30f>{i0o?1<7?tH01a?xh?n?0;6<uG12`8yk>a?3:1=vF>3c9~j=`?290:wE?<b:m<c?=83;pD<=m;|l;bd<728qC=>l4}o:ef?6=9rB:?o5rn9d`>5<6sA;8n6sa8gf94?7|@89i7p`7fd83>4}O9:h0qc6if;295~N6;k1vb4>?:182M74j2C:<>4>{08~^1b=9r<86psa91394?7|@89i7p`60383>4}O9:h0E<><:0y2>x\3l3;p:>4r}o;37?6=9rB:?o5rn827>5<6sA;8n6G>02827<zR=n1=v8<:|m=53=83;pD<=m;H337?7|:3wQ8i4>{719yxh>8?0;6<uG12`8M46428q96pT;d;3x26<zug3;;7>51zJ27g=N9991=v<5}[6g>4}1;3wvb4>7:182M74j2C:<>4>{38~^1b=9r<86psa91;94?7|@89i7D??3;3x6?{]<m0:w;=5}|l:4d<728qC=>l4I020>4}52tP?h7?t628~yk?7j3:1=vF>3c9J555=9r81qW:k:0y57?{zf0:h6=4>{I30f>{i19n1<7?tH01a?xh>8l0;6<uG12`8yk?7n3:1=vF>3c9~j<77290:wE?<b:m=47=83;pD<=m;|l:57<728qC=>l4}o;27?6=9rB:?o5rn837>5<5sA;8n6G>02824<zR=n1=v8<:|m=43=838pD<=m;|l:53<728qC=>l4I020>4}52tP?h7?t628~yk?6?3:1=vF>3c9~j<7?290:wE?<b:K246<6s;0vV9j51z40>x{i1831<7?tH01a?L77;3;p>7sU4e8235=utd2=l4?:0yK56d<A8:86<u=:|X7`?7|>:0vqc7>b;295~N6;k1B===51z09y_2c28q=?7srn83`>5<6sA;8n6G>02827<zR=n1=v8<:|m=4b=83;pD<=m;H337?7|:3wQ8i4>{719yxh>9l0;6<uG12`8M46428q96pT;d;3x26<zug3:j7>51zJ27g=N9991=v<5}[6g>4}1;3wvb4<?:182M74j2we5??50;3xL45e3td2>?4?:0yK56d<ug39?7>51zJ27g=zf08?6=4>{I30f>{i1;?1<7?tH01a?xh>:?0;6<uG12`8yk?5?3:1=vF>3c9~j<4?290:wE?<b:m=7?=83;pD<=m;|l:6d<728qC=>l4}o;1f?6=9rB:?o5F11195~7=uS>o6<u93;~j<4d290:wE?<b:K246<6s80vV9j51z40>x{i1;n1<7?tH01a?L77;3;p=7sU4e8235=utd2>h4?:0yK56d<A8:86<u>:|X7`?7|>:0vqc7=f;295~N6;k1B===51z39y_2c28q=?7srn813>5<6sA;8n6G>02824<zR=n1=v8<:|m=67=83;pD<=m;H337?7|93wQ8i4>{719yxh>;;0;6<uG12`8M46428q:6pT;d;3x26<zug38?7>51zJ27g=zf09?6=4>{I30f>{i1:?1<7?tH01a?xh>;?0;6<uG12`8yk?4?3:1=vF>3c9~j<5?290:wE?<b:m=6?=83;pD<=m;|l:7d<728qC=>l4}o;0f?6=9rB:?o5rn81`>5<6sA;8n6sa92f94?7|@89i7p`63d83>4}O9:h0qc7<f;295~N6;k1vb4:?:182M74j2we59?50;3xL45e3td28?4?:0yK56d<ug3??7>51zJ27g=zf0>?6=4>{I30f>{i1=?1<7?tH01a?xh><?0;6<uG12`8yk?3?3:1=vF>3c9~j<2?290:wE?<b:m=1?=83;pD<=m;|l:0d<728qC=>l4}o;7f?6=9rB:?o5rn86`>5<6sA;8n6sa95f94?7|@89i7p`64d83>4}O9:h0qc7;f;295~N6;k1vb4;?:182M74j2we58?50;3xL45e3td29?4?:0yK56d<ug3>?7>51zJ27g=zf0??6=4>{I30f>{i1<?1<7?tH01a?xh>=?0;6<uG12`8yk?2?3:1=vF>3c9~j<3?290:wE?<b:m=0?=83;pD<=m;|l:1d<728qC=>l4}o;6f?6=9rB:?o5rn87`>5<6sA;8n6sa94f94?7|@89i7p`65d83>4}O9:h0qc7:f;295~N6;k1vb48?:182M74j2we5;?50;3xL45e3td2:?4?:0yK56d<ug3=?7>51zJ27g=zf0<?6=4>{I30f>{i1??1<7?tH01a?xh>>?0;6<uG12`8yk?1?3:1=vF>3c9~j<0?290:wE?<b:m=3?=83;pD<=m;|l:2d<728qC=>l4}o;5f?6=9rB:?o5rn84`>5<6sA;8n6sa97f94?7|@89i7p`66d83>4}O9:h0qc79f;295~N6;k1vb49?:182M74j2we5:?50;3xL45e3td2;?4?:0yK56d<ug3<?7>51zJ27g=zf0=?6=4>{I30f>{i1>?1<7?tH01a?xh>??0;6<uG12`8yk?0?3:1=vF>3c9~j<1?290:wE?<b:m=2?=83;pD<=m;|l:3d<728qC=>l4}o;4f?6=9rB:?o5rn85`>5<6sA;8n6sa96f94?7|@89i7p`67d83>4}O9:h0qc78f;295~N6;k1vb46?:182M74j2we55?50;3xL45e3td24?4?:0yK56d<ug33?7>51zJ27g=zf02?6=4>{I30f>{i11?1<7?tH01a?xh>0?0;6<uG12`8yk???3:1=vF>3c9~j<>?290:wE?<b:m==?=83;pD<=m;|l:<d<728qC=>l4}o;;f?6=9rB:?o5rn8:`>5<6sA;8n6sa99f94?7|@89i7D??3;3x6?{]<m0:w;=5}|l:<`<728qC=>l4}o50<?6=9rwe55h50;3xyk?>83:1=vsa98394?7|ug=<;7>51zm32>=83;pqpsr@AAxf43=9ml8;;ok}ABA5{GHYqvLM
$5:55=6>2.Yi{g|inl9$4(5<8$9"9.+1:27?5678=1;<=?;;12361=7899?7=>?839357=70=1;59?>;008552<:9:;86<713f87v478h|z==>"50970>23=J;;794FNQWW>gri4:0;2<>44;MVPUSS2hd7?7>11596>LHW]]0ixcQjxu>7>5863?>0:===4:4:=34<>J>0:NML2:515>>531:?75>?059;4F468221EC^ZT;qtp91=87;:754@UURVP?jbl5=1<3?:;98LQQVR\3fnhRkwt=594;76310DYY^ZT;qpc91=87>04L6I4:;52<7<I;1J<?5N159B54>53H897L==;@:7?D>FK=1J5LM;;@AF<6=FL[90NXH;;CWEC0=E]OM[==5MUHNG[QGUOL^J^NF7;CWP[LHAG81H86M?4168G022<2I3ON<4CD08GL3<KBH^J>5LLJ48GIMIG]90OA\6;BMNILRSMM=0O_KNTDF2?A4<L@o0H_MPSTA@AVUA]E;0I?5J459F01>33L3H595JD8:7?@CC<11NT]OADDF25>C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD68B133:2L=>6H84:D;E=4<NM90JIM;;GF@A0=ALJU:?6HKE29E@W2<NMXN86HKRR68BAY6:2LM86HIDG68BCC5<2LMIK:4FGDF7>@A[11M^BCPUBD2?B4<OL90KCJ>;H08M54<A880E?<4I268MGSA=2CIYKI=;HL0?LHF;2CEN55FNGGQV@B>3@DBX^ZNTD18MKPb3@DTBJJPAOF\FFB13@EHC@^9;HMW]UC23EK_OE;4LEG\51=KLV;97AG8;MKAVDT^>2FDMIKK3:NLG==KGJKGNXH8;MMDMFGK<2F^X<:4LTV12>JR\;UG86BZT248HPR4WM>0@XZ;6:NVP1YC>2F^X9QC7:O-35bXD:1FYU;4MTZ@]0=J]QL>o6CnjnpUawungg;87@m`uov\gimcaUhu1>1159Ngjsi|Viggigy_b{?5586?2Ghcx`{_bnh`lpXkp6:<3Q}t068Ifirf}Uh`fjfv^az84799>1Fob{at^aooaoqWjs7=<0Pru37?Heh}g~Toaekiw]`}97568=0Anaznu]`hnbn~Vir0<<1_sv20>Kdg|dSnbddht\g|:6;7;<7@m`uov\gimcaUhu1?<>^pw51=JkfexRmckeku[f;9=4:;6Clotlw[fjll`|Tot2>4?]qp42<Eje~byQlljfjrZe~48?5=:5BcnwmpZekcmc}Snw314<\vq733DidyczPcmigmsYdq5;=2<94MbmvjqYddbnbzRmv<04=[wr6<2Ghcx`{_bnh`lpXkp6:;3?8;LalqkrXkeaoe{Qly=34:Zts9=1Fob{at^aooaoqWjs7=50>7:O`kphsWjf`hdxPcx>2<;Yu|8>0Anaznu]`hnbn~Vir0<71169Ngjsi|Viggigy_b{?5<8Xz};87@m`uov\gimcaUhu1?1179Ngjsi|Viggigy_b{?5;Yu|8>0Anaznu]`hnbn~Vir0?>1169Ngjsi|Viggigy_b{?658Xz};?7@m`uov\gimcaUhu1<>>058Ifirf}Uh`fjfv^az8779W{~:86Clotlw[fjll`|Tot2=2?34?Heh}g~Toaekiw]`}9456Vx=>5BcnwmpZekcmc}Snw32?35?Heh}g~Toaekiw]`}949W{~:?6Clotlw[fjll`|Tot2<>048Ifirf}Uh`fjfv^az868Xz};87@m`uov\gimcaUhu1:1179Ngjsi|Viggigy_b{?0;Yu|890Anaznu]`hnbn~Vir080>6:O`kphsWjf`hdxPcx>6:Zts9:1Fob{at^aooaoqWjs7:3?9;LalqkrXkeaoe{Qly=4=[wr6;2Ghcx`{_bnh`lpXkp6<2<84MbmvjqYddbnbzRmv<6<\vq743DidyczPcmigmsYdq525=;5BcnwmpZekcmc}Snw38?]qp45<Eje~byQlljfjrZe~404::6Clotlw[fjll`|Tot26>^pw51=JkfexRmckeku[iss494:m6Clotlw[fjll`|T`xz30?]JJSY79=1Fob{at^aooaoqWqey0=0>5:O`kphsWjf`hdxPxnp?5586=2Ghcx`{_bnh`lpXpfx7=<0>5:O`kphsWjf`hdxPxnp?5786=2Ghcx`{_bnh`lpXpfx7=>0>5:O`kphsWjf`hdxPxnp?5186=2Ghcx`{_bnh`lpXpfx7=80>5:O`kphsWjf`hdxPxnp?5386=2Ghcx`{_bnh`lpXpfx7=:0>5:O`kphsWjf`hdxPxnp?5=86=2Ghcx`{_bnh`lpXpfx7=40>4:O`kphsWjf`hdxPxnp?5;723DidyczPcmigmsYg{69<3?:;LalqkrXkeaoe{Qwos>15;723DidyczPcmigmsYg{69>3?:;LalqkrXkeaoe{Qwos>17;7?3DidyczPcmigmsYg{69?3Q}t068Ifirf}Uh`fjfv^zlv9499=1Fob{at^aooaoqWqey0>0>4:O`kphsWjf`hdxPxnp?0;733DidyczPcmigmsYg{6>2<:4MbmvjqYddbnbzRv`r=4=51=JkfexRmckeku[}iu4>4:86Clotlw[fjll`|Ttb|38?37?Heh}g~Toaekiw]{kw:>68k0Anaznu]`jqtXpfx7<3?>_HLU[5733DidyczPcovq[}iu484:=<:4MbmvjqYdf}xTtb|32?3251=JkfexRmats]{kw:468;h7@gaosTfvvohf8o0A~f}ef]QW755X{eaSXO]T^pgWWc`W>;27@}grde\og7X{acmx_khShe1[=4<F980B<=4N010?K72;2D:5>5A2018J7143G>8?6@;429M005<F=<87C:83:L7<6=I<090B8><;O727>H2::1E9>=4N460?K32;2D>:>5A5618J0>03GO_[B\D6:LLGIOW92E?7BLZF29LJ@5<G\^:7]=4P000?U74;2Z:9>5_1718T4?43Y8;?6^=129S625<X;287]<62:R07>V48:1[?<=4P200?U54;2Z88>5_3418T6043Y9<?6^<939S06=W<:90\98<;Q6:6>V2;2Z><>5_5318T0043Y?<?6^:939S26=W>990\;?<;Q417>V1<:1[:8=4P620?U16;2Z<>>5_7218T2143Y=3?6^7229S<65<X1>87]6:3:R;26=W0190\57=;Q;0?U?7;2Z2?>5_9518T<143Y33?6^ND59SEWRf3YCESO[\IEZa?UOIWK_XBLCJb:RJJZOTKEEHG>5_RDc8TWCCMOCEII?4Q29R507<Zj1YI]BNCD]LOD_682XN^H]@LWG\TDTFEZ>0^AAL3:PLI47<ZZ88>]|`j^WBVQYDM820^^<<2QplnZSFZ]UMIRa}01235<=U[;99\ae_TCQPZ@BWfx;<=>>239QW755X{eaSXO]T^O`kphsWjf`HdxPcx>3:74<ZZ88>]|`j^WBVQYJkfexRmckEku[f;978>7_]=33RqkoYRI[^TAnaznu]`hnBn~Vir0<0Pru01?WU5;;ZycgQZASV\Ifirf}Uh`fJfv^az8785=2XX>><_rnh\QDTSWDidyczPcmiGmsYdq585Sz=2:PP664Wzf`TYL\[_LalqkrXkeaOe{Qly=1=60=U[;99\ae_TCQPZKdg|dSnbdDht\g|:46Vx>?5]S311TwimW\KYXRClotlw[fjlL`|Tot2;>378VV44:YxdfR[NRU]Ngjsi|ViggIgy_b{?0;Yu|;80^^<<2QplnZSFZ]UFob{at^aooAoqWjs793<:;SQ177VugcU^M_ZPMbmvjqYddbNbzRmv<4<\vq453[Y9??^}ok]VEWRXEje~byQlljFjrZe~4?4996\\220SvjlX]HX_S@m`uov\gimCaUhu181_sv16>TT::8[~bdPU@PW[Heh}g~ToaeKiw]`}919:<1Y_?==Psmi[PGU\VGhcx`{_bnh@lpXkp6<2R|{229QW755X{eaSXO]T^O`kphsWjf`HdxPltv?4;4>3[Y9??^}ok]VEWRXEje~byQlljFjrZjr|5:5SD@Y_100?WU5;;ZycgQZASV\Ifirf}Uh`fJfv^zlv969::1Y_?==Psmi[PGU\VGhcx`{_bnh@lpXpfx7=3<<;SQ177VugcU^M_ZPMbmvjqYddbNbzRv`r=0=66=U[;99\ae_TCQPZKdg|dSnbdDht\|jt;;7887_]=33RqkoYRI[^TAnaznu]`hnBn~Vrd~1:1229QW755X{eaSXO]T^O`kphsWjf`HdxPxnp?1;443[Y9??^}ok]VEWRXEje~byQlljFjrZ~hz5<5>>5]S311TwimW\KYXRClotlw[fjlL`|Ttb|37?00?WU5;;ZycgQZASV\Ifirf}Uh`fJfv^zlv9>9:?1Y_?==Psmi[PGU\VGhcx`{_bnh@lpXpfx743Q}t3:8VV44:YxdfR[NRU]Ngjsi|Vi~Rv`r=2=54YNF_U;>?5]S311TwimW\KYXRClotlw[fruWqey0<0>1308VV44:YxdfR[NRU]Ngjsi|Vi~Rv`r=0=54453[Y9??^}ok]VEWRXEje~byQlts]{kw:468;9o6\\220SvjlX]HX_S@m`uov\`drfK}xTtb|30?32[LHQW98=7_]=33RqkoYRI[^TAnaznu]geqgD|{Usc2>>0312>TT::8[~bdPU@PW[Heh}g~ThlznCup\|jt;:7;:>;5]S311TwimW\KYXRClotlw[agsiJ~ySua}<2<2570<ZZ88>]|`j^WBVQYJkfexR|LljFjrZ~hz5;5=<<9;SQ177VugcU^M_ZPMbmvjqYuKeaOe{Qwos>1:475>2XX>><_rnh\QDTSWDidyczPrBnh@lpXpfx7?3?>2`9QW755X{eaSXO]T^O`kphsW}lHxQwos>3:47XAG\T<?:4RR006UthbV_J^YQBcnwmpZraK}xTtb|31?3261=U[;99\ae_TCQPZKdg|dSyhLts]{kw:568;986\\220SvjlX]HX_S@m`uov\pcEszVrd~1=11031?WU5;;ZycgQZASV\VAG602XX>><_rnh\QDTSW[oxyaz30?3:?WU5;;ZycgQZASV\V`urd}6;2<?6;SQ177VugcU^M_ZPRdqvhq:76:;27_]=33RqkoYRI[^T^h}zlu>3:17?3[Y9??^}ok]VEWRXZly~`y2>>0;8VV44:YxdfR[NRU]Qavsk|5;5=<74RR006UthbV_J^YQ]erwop979:830^^<<2QplnZSFZ]UYi~{ct=3=74?<ZZ88>]|`j^WBVQYUmzgx1?140:8VV44:YxdfR[NRU]Qavsk|585=45]S311TwimW\KYXR\jstnw8786901Y_?==Psmi[PGU\VXnxb{<3<15<=U[;99\ae_TCQPZTb{|f0?0<189QW755X{eaSXO]T^Pfwpjs4;4?=55]S311TwimW\KYXR\jstnw868612XX>><_rnh\QDTSW[oxyaz33?32=>TT::8[~bdPU@PW[Wct}e~7?3<>9:PP664Wzf`TYL\[_Sgpqir;;79:56\\220SvjlX]HX_S_k|umv?7;2602XX>><_rnh\QDTSW[oxyaz34?3;?WU5;;ZycgQZASV\V`urd}6>2<64RR006UthbV_J^YQ]erwop909911Y_?==Psmi[PGU\VXnxb{<6<2<>TT::8[~bdPU@PW[Wct}e~743?=;SQ177VugcU^M_ZPTCG2<>TT::8[~bdPU@PW[fjlL`|7<3?7;SQ177VugcU^M_ZPcmiGms:66820^^<<2QplnZSFZ]Uh`fJfv=0=5==U[;99\ae_TCQPZekcMc}0>0>8:PP664Wzf`TYL\[_bnh@lp;<7;37_]=33RqkoYRI[^ToaeKiw>6:4><ZZ88>]|`j^WBVQYddbNbz181199QW755X{eaSXO]T^aooAoq4>4:46\\220SvjlX]HX_SnbdDht?<;703[Y9??^}ok]VEWRXkeaOe{Q?169QW755X{eaSXO]T^aooAoqW8;<7_]=33RqkoYRI[^ToaeKiw]152=U[;99\ae_TCQPZekcMc}S>?8;SQ177VugcU^M_ZPcmiGmsY39>1Y_?==Psmi[PGU\ViggIgy_434?WU5;;ZycgQZASV\gimCaU==:5]S311TwimW\KYXRmckEku[2703[Y9??^}ok]VEWRXkeaOe{Q7219QW755X{eaSXO]T^aooAoqWjg{Sh?01216>TT::8[~bdPU@PW[fjlL`|To`~Pep234572:;1Y_?==Psmi[PGU\ViggIgy_bos[`w789:94??4RR006UthbV_J^YQlljFjrZejxVoz<=>?7068VV44:YxdfR[NRU]`pWus9<1Y_?==Psmi[PGU\Vi^~z>169QW755X{eaSXO]T^awVvr698897_]=33RqkoYRI[^Toy\|t032+ISS;VNTNXH>5:PP664Wzf`TYL\[_bvQwq46?2XX>><_rnh\QDTSWj~YyQi5078VV44:YxdfR[NRU]`pw:768?0^^<<2QplnZSFZ]Uhx2>>078VV44:YxdfR[NRU]`pw:568?0^^<<2QplnZSFZ]Uhx2<>068VV44:YxdfR[NRU]`pwY79=1Y_?==Psmi[PGU\Vi~R?>4:PP664Wzf`TYL\[_bvq[7733[Y9??^}ok]VEWRXk}xT?<74RR006UthbV_J^YQkauc@pw:76830^^<<2QplnZSFZ]UomyoLts>2:4?<ZZ88>]|`j^WBVQYci}kHx2=>0;8VV44:YxdfR[NRU]geqgD|{682<64RR006UthbV_J^YQkauc@pwY7911Y_?==Psmi[PGU\VnjxlM{r^32<>TT::8[~bdPU@PW[agsiJ~yS??7;SQ177VugcU^M_ZPd`vbGqtX;8=0^^<<2QplnZSFZ]UomyoFnbp2<>TT::8[~bdPU@PW[agsi@dh~<?9;SQ177VugcU^M_ZPd`vbVVR6?2XX>><_rnh\QDTSWmkm_][1018VV44:YxdfR[NRU]qGim6<2XX>><_rnh\QDTSW{Igg<?6;SQ177VugcU^M_ZPrBnh@lp;87;27_]=33RqkoYRI[^T~NbdDht?5;7>3[Y9??^}ok]VEWRXzJf`Hdx32?3:?WU5;;ZycgQZASV\vFjlL`|7?3?7;SQ177VugcU^M_ZPrBnh@lpX8820^^<<2QplnZSFZ]UyOaeKiw]25==U[;99\ae_TCQPZtDdbNbzR<>8:PP664Wzf`TYL\[_sAooAoqW:;37_]=33RqkoYRI[^T~Nbd_CWEC4d<ZZ88>]|`j^WBVQYuKeaTbbz?01316>TT::8[~bdPU@PW[wEkcVddx=>?10]JJSY79>1Y_?==Psmi[PGU\Vxo^hi30?34?WU5;;ZycgQZASV\vaTbo5;5=:5]S311TwimW\KYXR|kRde?6;703[Y9??^}ok]VEWRXzmXnk1=1169QW755X{eaSXO]T^pgV`a;<7;<7_]=33RqkoYRI[^T~i\jg=7=52=U[;99\ae_TCQPZtcZlm7:3?8;SQ177VugcU^M_ZPrePfc9199?1Y_?==Psmi[PGU\Vxo^hiP0048VV44:YxdfR[NRU]q`Wc`W8;=7_]=33RqkoYRI[^T~i\jg^022>TT::8[~bdPU@PW[wbUmnU8=;5]S311TwimW\KYXR|kRde\040<ZZ88>]|`j^WBVQYul[olS8?9;SQ177VugcU^M_ZPrePfcZ06>2XX>><_rnh\QDTSW{nYijQ81b9QW755X{eaSXO]T^pgV`aXign;<=>>d:PP664Wzf`TYL\[_sfQabYffm:;<=?>8:PP664Wzf`TYL\[_sfPV`a;87;37_]=33RqkoYRI[^T~i]]ef>2:4><ZZ88>]|`j^WBVQYulZXnk1<1199QW755X{eaSXO]T^pgWWc`4:4:46\\220SvjlX]HX_Sj\Rde?0;7?3[Y9??^}ok]VEWRXzmYYij2:>0:8VV44:YxdfR[NRU]q`VTbo5<5=55]S311TwimW\KYXR|kSSgd8286?2XX>><_rnh\QDTSW{nX^hiP0058VV44:YxdfR[NRU]q`VTboV;:;6\\220SvjlX]HX_Sj\Rde\641<ZZ88>]|`j^WBVQYulZXnkR=>7:PP664Wzf`TYL\[_sfPV`aX<8=0^^<<2QplnZSFZ]Uyh^\jg^723>TT::8[~bdPU@PW[wbTZlmT:<94RR006UthbV_J^YQ}dRPfcZ16n2XX>><_rnh\QDTSWz~iiN{}_GQN[C@c9;80^^<<2QplnZSFZ]UxxokLus]EWHYANm;&Ec<<;SQ177VugcU^M_ZPsu`fGptXNZGTJKj>-Hl25c=U[;99\ae_TCQPZusjlI~~RH\M^DE`7453[Y9??^}ok]VEWRX{}hnOx|PFRO\BCb5%@d9?6\\220SvjlX]HX_S~zmeBwq[CUJWOLo> Ga1328VV44:YxdfR[NRU]ppgcD}{UM_@Q@uu2264=U[;99\ae_TCQPZusjlI~~Rmbp^gr45679l1Y_?==Psmi[PGU\Vy~N{}_GQN[C@c9;;0^^<<2QplnZSFZ]UxxMzr^DPIZ@Al8'Bb?<4RR006UthbV_J^YQ|tsAvvZ@TEVLMh<#Fn03f?WU5;;ZycgQZASV\wqtD}{UM_@QIFe015>TT::8[~bdPU@PW[vruK|xTJ^CPFGf1)Lh5=2XX>><_rnh\QDTSWz~yOx|PFRO\BCb5%@dT_Z>>f:PP664Wzf`TYL\[_rvqGptXNZGTCxz<1328VV44:YxdfR[NRU]ppwErzVif|Rk~01235`=U[;99\ae_TCQPZus|JySK]B_GDg574<ZZ88>]|`j^WBVQYt|}I~~RH\M^DE`4+Nf8887_]=33RqkoYRI[^TyzLus]EWHYANm;&Ec?>249QW755X{eaSXO]T^qwpFsuWOYFSKHk1,Km5Z`29l1Y_?==Psmi[PGU\VyxN{}_GQN[C@c:;;0^^<<2QplnZSFZ]UxxyMzr^DPIZ@Al;'Bb?<4RR006UthbV_J^YQ|tuAvvZ@TEVLMh?#Fn034?WU5;;ZycgQZASV\pcEsz5:5=:5]S311TwimW\KYXRziCup?5;703[Y9??^}ok]VEWRX|oI~1<1169QW755X{eaSXO]T^veGqt;;7;=7_]=33RqkoYRI[^TxkM{r^222>TT::8[~bdPU@PW[q`D|{U:=;5]S311TwimW\KYXRziCup\640<ZZ88>]|`j^WBVQYsnJ~yS>?7;SQ177VugcU^M_ZPtgQQab:76820^^<<2QplnZSFZ]Uj^\jg=3=5==U[;99\ae_TCQPZra[[ol0?0>8:PP664Wzf`TYL\[_udPV`a;;7;37_]=33RqkoYRI[^Txk]]ef>7:4><ZZ88>]|`j^WBVQYsnZXnk1;1199QW755X{eaSXO]T^veWWc`4?4:46\\220SvjlX]HX_Syh\Rde?3;7?3[Y9??^}ok]VEWRX|oYYij27>0:8VV44:YxdfR[NRU]wbVTbo535=:5]S311TwimW\KYXRziSSgd[5703[Y9??^}ok]VEWRX|oYYijQ>169QW755X{eaSXO]T^veWWc`W;;<7_]=33RqkoYRI[^Txk]]ef]052=U[;99\ae_TCQPZra[[olS9?8;SQ177VugcU^M_ZPtgQQabY29>1Y_?==Psmi[PGU\V~m__kh_734?WU5;;ZycgQZASV\pcUUmnU<=:5]S311TwimW\KYXRziSSgd[=703[Y9??^}ok]VEWRX|oYYijQ6219QW755X{eaSXO]T^veWWc`Wds<=>?<1<15>TT::8[~bdPU@PW[q`TZlmTaxv?012?4;7582XX>><_rnh\QDTSW}lX^hiPmtz3456;978:7_]=33RqkoYRI[^Txk]]ef]nq}67896:2<<?;SQ177VugcU^M_ZPtgQQabYj}q:;<=2=>338VV44:YxdfR[NRU]wbVTboVg~t=>?0=0=576<ZZ88>]|`j^WBVQYsnZXnkRczx1234959:81Y_?==Psmi[PGU\V~m__kh_lw{45674:4:>=5]S311TwimW\KYXRziSSgd[hs89:;090=1:PP664Wzf`TYL\[_udPV`aXe|r;<=>34?314>TT::8[~bdPU@PW[q`TZlmTaxv?012?1;463[Y9??^}ok]VEWRX|oYYijQbuy2345:2688;7_]=33RqkoYRI[^Txk]]ef]nq}67896=2??4RR006UthbV_J^YQ{fRPfcZkrp9:;<1811328VV44:YxdfR[NRU]wbVTboVg~t=>?0=5=64=U[;99\ae_TCQPZra[[olS`{w01238286:91Y_?==Psmi[PGU\V~m__kh_lw{45674149=6\\220SvjlX]HX_Syh\Rde\ip~789:743?=0:PP664Wzf`TYL\[_udPV`aXe|r;<=>39?01?WU5;;ZycgQZASV\pcUUmnUfyu>?01>::445:2XX>><_rnh\QDTSW}lX^hiPmtz3456;1789><5]S311TwimW\KYXRziSSgd[hs89:;040;1e9QW755X{eaSXO]T^veWWc`Wge<=>>1d9QW755X{eaSXO]T^veWWc`Wge<=>>1068VV44:YxdfRjmIoQjc9699=1Y_?==Psmi[adNfZcl0<0>4:PP664Wzf`ThoGaShe?6;733[Y9??^}ok]gfLhTan682<:4RR006UthbVniEc]fg=6=51=U[;99\ae_e`JjVo`4<4:86\\220SvjlXlkCe_di36?37?WU5;;ZycgQkbHlPmb:06890^^<<2QplnZbeAgYbkR>>3:PP664Wzf`ThoGaShe\545<ZZ88>]|`j^faMkUnoV8:?6\\220SvjlXlkCe_diP3018VV44:YxdfRjmIoQjcZ26;2XX>><_rnh\`gOi[`mT9<=4RR006UthbVniEc]fg^427>TT::8[~bdPdcKmWlaX?880^^<<2QplnZusK|x7<3?>;SQ177VugcUxxN{}_133?WU5;;ZycgQ|tOg{p4d<ZZ88>]|`j^qwJ`~sW9Ufyu>?0130?WUS12Xnxb{<1<b?Wct}e~7<3?n;Sgpqir;994j7_k|umv?548f3[oxyaz313<b?Wct}e~7=>0n;Sgpqir;9=4j7_k|umv?508f3[oxyaz317<b?Wct}e~7=:0n;Sgpqir;914j7_k|umv?5<8>3[oxyaz31?c8V`urd}6:2<o4Rdqvhq:587k0^h}zlu>15;g<Zly~`y2=2?c8V`urd}69?374Rdqvhq:56h1Yi~{ct=0=5<=Umzgx1=1a:Pfwpjs4:4:56\jstnw818>3[oxyaz35?;8V`urd}6=245]erwop91912Xnxb{<9<:?Wct}e~753?4S79P7acjg:1XIA=4SDV0?V@A>2YY@<8J7:QQH40X9>1X^AM>6D68WWUS<2YXIY64TDPNMKGK>2^BIBHI4:VQQ@2<\PZN:6[AURGGg>STM[U]E^GMLD18RFE>3_CN[RZVPD68\5_79m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON;2RD^85WOSAZ2>^T\ECI;6V\T^EM@2=_[]U]ON84Xe`\Mad<PmbT\gbIeza?]boWYxbaD`yc:ZglZVuad^r|hh4Xej\Twoj^lxxeb`>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}>0VH\@5:cm85813hd7<3?:;`l?5;0<ig6:2<;4ao>1:3=ff585=:5nn=194;3<ig682;5nn=1=5<=ffV:TCO[I9:cm[4YHJ\L27l`P2^MAQC?<igU8SBLZF29ahp><jeTCO[I6:`wj969>2hb1?16:`wj94902hb1=50?48fqh;;7k0ny`P0^KAQCg<j}dT=RGMUGc8fqhX:VCIYKo4bul\7ZOE]Ok0ny`Peyv?4;g<j}dTiuz31?c8fqhXmq~7>3o4bul\a}r;;7i0ny`Peyv?0?69i2hbRkwt=6=a>dsfVosxR>PICWEa>dsfVosxR?PICWEa>dsfVosxR<PICWEa>dsfVosxR=PICWEa>dsfVosxR:PICWE=>ekcmc}0=0n;bnh`lp;994j7nbddht?548f3jf`hdx313<b?fjll`|7=>0n;bnh`lp;9=4j7nbddht?508f3jf`hdx317<b?fjll`|7=:0n;bnh`lp;914j7nbddht?5<8>3jf`hdx31?c8gimca69<3o4cmigms:597k0oaekiw>16;g<keaoe{2=3?;8gimca69245lljfjr95912iggigy<5<:?fjll`|79374cmigms:1601h`fjfv=5==>ekcmc}0506;bnh`lp;1720oaekiw]3<>ekcmc}S<74cmigmsY6801h`fjfv^32=>ekcmc}S<<6;bnh`lpX9:30oaekiw]20<=ddbnbzR?:9:aooaoqW8<27nbddht\52?<keaoe{Q>889`hnbn~V;246mckeku[7?<keaoe{Q=089`hnbn~V8:56mckeku[74>3jf`hdxP22:8gimcaU846mckeku[1><keaoe{Q:8:aooaoqW?20oaekiw]4<>ekcmc}S564cmigmsY>?2iex2?>69`jqt;97=0ocz}<3<4?fhsz595:6mats]32>ei|{U::6mats]12>ei|{U8j6mats]`iuYby9:;<<>4covq[fkwWl{;<=>>3:eqj==`zgUDNXH=;iq4?muXGK_M46em1^FEB4><ck;THKH=7:ia5ZMDA11`n<QDCH3`?nd6WBIBSO[IG69hf4YLL@n0go?PMTZLQQ:76l1`n<QBUYMVP9699m1`n<QBUYMVP979m2ai=RCZXNWW8486l2ai=RCZXNWW878b3bh:S@[WOTV?6;7c3bh:S@[WOTV?7;c<ck;TAXV@UU>0:4703bh:S@m`uov\gimCaUhu1>1199hf4YJkfexRmckEku[f;994:n6em1^O`kphsWjf`HdxPcx>24;Yu|820go?PMbmvjqYddbNbzRmv<03=5g=lj8UFob{at^aooAoqWjs7=<0Pru34?nd6WDidyczPcmiGmsYdq5;5=l5db0]Ngjsi|ViggIgy_b{?5;Yu|8=0go?PMbmvjqYddbNbzRmv<3<2e>me9VGhcx`{_bnh@lpXkp692R|{169hf4YJkfexRmckEku[f;;7;j7fl>_LalqkrXkeaOe{Qly=1=[wr6?2ai=RClotlw[fjlL`|Tot2;>0c8og7XEje~byQlljFjrZe~4=4T~y?8;j`2[Heh}g~ToaeKiw]`}9399h1`n<QBcnwmpZekcMc}Snw35?]qp41<ck;TAnaznu]`hnBn~Vir0;0>a:ia5ZKdg|dSnbdDht\g|:16Vx=:5db0]Ngjsi|ViggIgy_b{?3;7f3bh:S@m`uov\gimCaUhu191_sv23>me9VGhcx`{_bnh@lpXkp632<o4kc3\Ifirf}Uh`fJfv^az8=8Xz};<7fl>_LalqkrXkeaOe{Qly=;=5d=lj8UFob{at^aooAoqWjs753Q}t0:8og7XEje~byQlljFjrZjr|5:5=h5db0]Ngjsi|ViggIgy_mww858XAG\T<<64kc3\Ifirf}Uh`fJfv^zlv969901`n<QBcnwmpZekcMc}Sua}<02=5<=lj8UFob{at^aooAoqWqey0<?1189hf4YJkfexRmckEku[}iu4885=n5db0]Ngjsi|ViggIgy_ymq8449W{~:46em1^O`kphsWjf`HdxPxnp?5;7?3bh:S@m`uov\gimCaUsc2=>0:8og7XEje~byQlljFjrZ~hz595=55db0]Ngjsi|ViggIgy_ymq818602ai=RClotlw[fjlL`|Ttb|35?3;?nd6WDidyczPcmiGmsYg{6=2<64kc3\Ifirf}Uh`fJfv^zlv919911`n<QBcnwmpZekcMc}Sua}<9<2<>me9VGhcx`{_bnh@lpXpfx753j4kc3\V`urd}6;2h5db0]Qavsk|5;;2h5db0]Qavsk|5;:2h5db0]Qavsk|5;92i5db0]Qavsk|5;5h6em1^Pfwpjs4;4o7fl>_Sgpqir;;7n0go?PRdqvhq:36m1`n<Q]erwop939l2ai=R\jstnw838c3bh:S_k|umv?3;b<ck;T^h}zlu>;:a=lj8UYi~{ct=;=a>me9V]jey\jg=2=a>me9V]jey\jg=3=a>me9V]jey\jg=0=a>me9V]jey\jg=1=a>me9V]jey\jg=6=a>me9V]jey\jg=7=a>me9V]jey\jg=4=a>me9V]jey\jg=5=`>me9V]jey\jg^2g?nd6W^kbx_kh_0f8og7X_hc^hiP2e9hf4YPi`~YijQ<d:ia5ZQfa}XnkR:k;j`2[Rgn|[olS8j4kc3\SdosZlmT:i5db0]TelrUmnU<=;5db0]TelrUmnUha}Qjq12344><ck;T[lg{Rde\ghvXmx:;<=?8229hf4YPi`~YijQlmq]fu56788=$@XZ;_M]AQC7f3bh:SZoftSgd[fkwWl{;<=>>7.M2<>me9V]jey\jg^antZcv89:;=5?8;j`2[Rgn|[olSnc_ds34563l2ai=RmckEku858b3bh:SnbdDht?558b3bh:SnbdDht?548b3bh:SnbdDht?578c3bh:SnbdDht?5;b<ck;ToaeKiw>1:a=lj8Uh`fJfv=1=`>me9ViggIgy<5<g?nd6Wjf`Hdx35?f8og7XkeaOe{29>e9hf4YddbNbz191d:ia5ZekcMc}050k;j`2[fjlL`|753m4kc3\gimCaU;o6em1^aooAoqW8n0go?PcmiGmsY68m1`n<QlljFjrZ76l2ai=RmckEku[44d3bh:SnbdDht\6f=lj8Uh`fJfv^1`?nd6Wjf`HdxP4b9hf4YddbNbzR;l;j`2[fjlL`|T:n5db0]`hnBn~V=h7fl>_bnh@lpX0j1`n<QlljFjrZ?6<2ai=R}gigvQabUno86:<3?<;j`2[vnnn}Xnk^gh1=3=56=lj8Uxddh{RdePmb7;:7;87fl>_rjjbqTboZcl=1=1129hf4Yt``l^hi\if3?0;743bh:S~fffuPfcVo`95?5=>5db0]pll`sZlmXej?36?30?nd6Wzbbjy\jgRkd59199:1`n<Q|hhdwV`aTan;743?<;j`2[vnnn}Xnk^gh1=;=57=lj8Uxddh{RdePmb7X9890go?PsikepWc`[`m:S<>>2:ia5Zuoao~Yij]fg0]157=lj8Uxddh{RdePmb7X;880go?PsikepWc`[`m:S9?=;j`2[vnnn}Xnk^gh1^726>me9Vycekz]efQjc4Y19;1`n<Q|hhdwV`aTan;T;<<4kc3\wmoa|[ol_di>_931?nd6Wzbbjy\jgRkd5Z?6;2ai=R}gigvQabUno;6:2<=4kc3\wmoa|[ol_di=<3<27>me9Vycekz]efQjc7:46890go?PsikepWc`[`m9090>3:ia5Zuoao~Yij]fg3>6:45<ck;TegitSgdWla54?4:?6em1^qkmcrUmnYbk?28>018og7X{acmx_khShe18=86:2ai=R}gigvQabUno;U:=?5db0]pll`sZlmXej<P2008og7X{acmx_khShe1[6753bh:S~fffuPfcVo`:V>:>6em1^qkmcrUmnYbk?Q:139hf4Yt``l^hi\if0\244<ck;TegitSgdWla5W>;97fl>_rjjbqTboZcl>R6>3:ia5Zuoao~Yij]fg3];542<ck;TegitSgdWla5W1;:>6el7:i`[LDRN;1`h:5dd^KAQC0<dln7<384ldf?5;0<dln7>384ldf?7;0<dln78384ldf?1;0<dln7:364ldf?3?69>2fnh191a:nf`Z6XGK_Mm6bjd^3\KGSAi2fnhR<POCWEe>jblV9TCO[Ia:nf`Z2XGK_Mm6bjd^7\KGSAi2fnhR8POCWEe>jblV=TCO[Ia:nf`Zc|5:5m6bjd^g{p979981giiQjxu>2:4YNF_U;m6bjd^g{p949i2fnhRkwt=1=54=kmmUnty2<>0]JJSY7i2fnhRkwt=6=e>jblVosx1;1109oaaYbp}6>2<QFNW]3e>jblVosx181c:nf`Zc|5=1<3o4ldf\a}r;?7o0`hjPeyv\4ZIE]Oo0`hjPeyv\5ZIE]Oo0`hjPeyv\6ZIE]Oo0`hjPeyv\7ZIE]Oo0`hjPeyv\0ZIE]Oo0`hjPeyv\1ZIE]Oo0`hjPeyv\2ZIE]O;37akk_dzw[3Yig}:;<=?PIOT\4`=kmmUntyQ8_N@VB4><dlnTiuzP7^llp56788UBB[Q?4:o`hng<ejf`SO[IGQ:8jbbe}`fo?6|jd99qaaYHJ\L87vk8:p{`ZOE]O30}o}t`l0e3=t{n6;2;5|sf>2:3=t{n692;5|sf>0:3=t{n6?2;5|sf>6:3=t{n6=255|sf>4>5813zyl0:0n;rqd[5YHJ\L:<6}|g^2\ip~789::8>?=;rqd[5Yj}q:;<=?;3^D26>utoV:Taxv?012206Y@i2yxkR?POCWE55=t{nU:S`{w01235566:2yxkR?Pmtz3456689UM=?5|sf]2[hs89:;==>PG`9pwbY5WFH^Jk5|sf]1[hs89:;:4?>;rqd[7Yj}q:;<=86_G32?vu`W;Ufyu>?014:[Bg<{zmT?RAMUG33?vu`W:Ufyu>?0137244<{zmT?Rczx1234421WO;97~}h_2]nq}6789;?:RIn;rqd[1YHJ\Lm7~}h_5]nq}6789<?=<5|sf]7[hs89:;:9QI109pwbY3Wds<=>?65]De>utoV?TCO[I119pwbY2Wds<=>?11:26>utoV?Taxv?01224=YA9;1xjQ:_lw{4567992TKl5|sf]5[JDRN8:0~iP6^ov|56788:>=?5|sf]5[hs89:;==;PF008wvaX>Vg~t=>?0026[Bg<{zmT;RAMUG32?vu`W>UDNXHPsikep4763zylS:Q@BTD\wmoa|;;:7~}h_6]LFP@X{acmx>84svv?4;0<{~~7=3l4svv?5;YNJ\L=7~y{<3<a?vqs4;4TEO[I6:qtp959j2y|x1=1_H@VB3=t}6?2o5|wu>7:ZOE]O<0zz35?`8wrr;=7UBNXH9;ruw838e3z}0;0PICWE<>up|5=1<384svv?3;d<{~~7;3QFBTDb?vqsW9UBNXH<;uzg<>rlVEIYK?;;web5ZKdg|dSemPcx>3:42<~nk:S@m`uov\lfYdq5;5=:5yg`3\Ifirf}UcoRmv<0<\vq733mj=RClotlw[meXkp692<94vfc2[Heh}g~TdnQly=0=[wr6<2|lm<QBcnwmpZndWjs7?3?8;web5ZKdg|dSemPcx>0:Zts9=1}kl?PMbmvjqYokVir090>7:tde4YJkfexRfl_b{?0;Yu|8>0zjo>_LalqkrX`jUhu1;1169ucd7XEje~byQgc^az808Xz};?7{in1^O`kphsWaiTot29>058rbg6WDidyczPhb]`}909W{~:86xha0]Ngjsi|VbhSnw37?34?saf9VGhcx`{_ia\g|:06Vx=95yg`3\Ifirf}UcoRmv<9<23>p`i8UFob{at^j`[f;07Uyx<;4vfc2[Heh}g~TdnQcuu>3:4d<~nk:S@m`uov\lfYk}}6;2RGAV^221>p`i8UFob{at^j`[}iu494:96xha0]Ngjsi|VbhSua}<0<21>p`i8UFob{at^j`[}iu4;4:96xha0]Ngjsi|VbhSua}<2<21>p`i8UFob{at^j`[}iu4=4:96xha0]Ngjsi|VbhSua}<4<21>p`i8UFob{at^j`[}iu4?4:96xha0]Ngjsi|VbhSua}<6<21>p`i8UFob{at^j`[}iu414:96xha0]Ngjsi|VbhSua}<8<2<>p`i8UFob{at^j`[}iu404T~y?;;web5ZKdg|dS{mPcx>3:42<~nk:S@m`uov\rfYdq5;5=:5yg`3\Ifirf}U}oRmv<0<\vq733mj=RClotlw[seXkp692<94vfc2[Heh}g~TznQly=0=[wr6<2|lm<QBcnwmpZpdWjs7?3?8;web5ZKdg|dS{mPcx>0:Zts9=1}kl?PMbmvjqYqkVir090>7:tde4YJkfexRxl_b{?0;Yu|8>0zjo>_LalqkrX~jUhu1;1169ucd7XEje~byQyc^az808Xz};?7{in1^O`kphsWiTot29>058rbg6WDidyczPvb]`}909W{~:86xha0]Ngjsi|V|hSnw37?34?saf9VGhcx`{_wa\g|:06Vx=95yg`3\Ifirf}U}oRmv<9<23>p`i8UFob{at^t`[f;07Uyx<;4vfc2[Heh}g~TznQcuu>3:4d<~nk:S@m`uov\rfYk}}6;2RGAV^221>p`i8UFob{at^t`[}iu494:96xha0]Ngjsi|V|hSua}<0<21>p`i8UFob{at^t`[}iu4;4:96xha0]Ngjsi|V|hSua}<2<21>p`i8UFob{at^t`[}iu4=4:96xha0]Ngjsi|V|hSua}<4<21>p`i8UFob{at^t`[}iu4?4:96xha0]Ngjsi|V|hSua}<6<21>p`i8UFob{at^t`[}iu414:96xha0]Ngjsi|V|hSua}<8<2<>p`i8UFob{at^t`[}iu404T~y64vfc2[K66?2|lm<QA169ucd7XF;o0zjo>_Sgpqir;87l0zjo>_Sgpqir;87;n7{in1^Pfwpjs484m7{in1^Pfwpjs484:i6xha0]Qavsk|585j6xha0]Qavsk|585=h5yg`3\V`urd}682k5yg`3\V`urd}682<k4vfc2[Wct}e~783h4vfc2[Wct}e~783?j;web5ZTb{|f080i;web5ZTb{|f080>e:tde4YUmzgx181f:tde4YUmzgx1811d9ucd7XZly~`y28>g9ucd7XZly~`y28>0g8rbg6W[oxyaz38?d8rbg6W[oxyaz38?3f?saf9VXnxb{<8<e?saf9VXnxb{<8<255=qoh;Tna{Paof34566<2|lm<Qmlt]bja6789UX[=l4vfc2[fjll`|h7{in1^aooaoq98:0zjo>_bnh`lpXJ\LL=?5yg`3\cwhXign;<=>>10f8rbg6WnxeSl`k012354)K]]>THRLZF038rbg6WnxeSl`k01236d=qoh;Tdn2?>`9ucd7X`j6:2l5yg`3\lf:56h1}kl?Phb>0:d=qoh;Tdn2;>`9ucd7X`j6>2l5yg`3\lf:16h1}kl?Phb>4:d=qoh;Tdn27>`9ucd7X`j62245yg`3\lfY712|lm<Qgc^3:?saf9VbhS?74vfc2[meX;01}kl?Phb]7=>p`i8UcoR;6;web5ZndW?30zjo>_ia\3<=qoh;TdnQ79:tde4YokV3:>6xha0]kgZejxVoz<=>?129ucd7X`jUha}Qjq12344743mj=Rf|_bos[`w789::==5yg`3\v`bXign;<=>>2:tde4YummUjbi>?013251=qoh;T~hjPaof3456X[^:j7{in1^t`858f3mj=Rxl<0<b?saf9V|h0?0n;web5Zpd4:4j7{in1^t`818f3mj=Rxl<4<b?saf9V|h0;0n;web5Zpd4>4j7{in1^t`8=8f3mj=Rxl<8<:?saf9V|hS=74vfc2[seX901}kl?Pvb]1=>p`i8U}oR=6;web5ZpdW=30zjo>_wa\1<=qoh;TznQ99:tde4YqkV=27{in1^t`[=?<~nk:S{mP9g9ucd7X~jUjbi>?0133?saf9V|hSl`k0123542<~nk:S{mPaof34566WZ];=k5yg`3\rfYffm:;<=?PSV2,HPR5WEUIYK?9;web5ZpdWhdo<=>?1^QT4*I6?2|lm<Qyc^cm`5678VY\<R]X0308rbg6WiTmcj?012\WR6X[^:$@XZ;_M]AQC7>3mj=Rxl_`lg4567WZ];S^Y?/N31?saf9V|xSnc_ds34566;2|lm<Qys^antZcv89:;=<;4vfc2[suXkdzTi|>?01]e1a=qoh;Tz~kaacnf50=qoh;Tz~kaacnf[kis89::=:5yg`3\rvciikfnSca{01225<703mj=Rx|eocah`Yig}:;<<<7179ucd7X~zoemobj_omw4566?;1}:5ys^MAQC><p`fbbu{a199{hk~Xi|~dS=Qwdc-`wq(jjnvLM~>0648DE~5>90M694>{R63>71a21<1=>=:1e`95043sg8<h7?4n35f>3=#:>21>:<4}R1e>71a21<1=>=:1e`950403Z=j6>o8:0827636lk09m>j4S2d97d1=93;8?8?kb;0b7`=c:?:1<7?51zQ74?40n32=6<=<50fa>435<2.8?7=nc:J77>pS<h0;6<4>:311V272;=m658512165ad=9<8?7)<80;5g?S40k38py5o51:wg3?6<u-;8<7h4$5f94>"3j3>396*;c;51?g4183:1?:4=50816c}O:?o0(?8l:343?_532>q:?7<=:33965<6:3;?6<h5}h1be?6=3`9<m7>5;n0f4?6=3`9<o7>5;n1f3?6=3f>3>7>5;n0ga?6=3f9j47>5;h1b1?6=3f83i7>5;n0;<?6=,8996?68;o305?6<3f8397>5$011>7>03g;8=7?4;n0;7?6=,8996?68;o305?4<3f83=7>5$011>7>03g;8=7=4;n1a1?6=,8996>l;;o305?6<3f9i?7>5$011>6d33g;8=7?4;n1a6?6=,8996>l;;o305?4<3f9i=7>5$011>6d33g;8=7=4;n1a4?6=,8996>l;;o305?2<3f9jj7>5$011>6d33g;8=7;4;n1ba?6=,8996>l;;o305?0<3f9jh7>5$011>6d33g;8=794;n1:e?6=,8996>76;o305?6<3f9247>5$011>6?>3g;8=7?4;n1:2?6=,8996>76;o305?4<3f9297>5$011>6?>3g;8=7=4;n1:7?6=,8996>76;o305?2<3f92>7>5$011>6?>3g;8=7;4;n1:4?6=,8996>76;o305?0<3f93j7>5$011>6?>3g;8=794;n1;2?6=,8996>6:;o305?6<3f9387>5$011>6>23g;8=7?4;n1;7?6=,8996>6:;o305?4<3f93>7>5$011>6>23g;8=7=4;n1;5?6=,8996>6:;o305?2<3f93<7>5$011>6>23g;8=7;4;n14b?6=,8996>6:;o305?0<3f9<i7>5$011>6>23g;8=794;h0:0?6=,8996?7<;o305?6<3`82>7>5$011>7?43g;8=7?4;h0:5?6=,8996?7<;o305?4<3`82<7>5$011>7?43g;8=7=4;h0:a?6=,8996?7k;o305?6<3`82o7>5$011>7?c3g;8=7?4;h0:f?6=,8996?7k;o305?4<3`82m7>5$011>7?c3g;8=7=4;h0:=?6=,8996?7k;o305?2<3`9n87>5$011>6c43g;8=7>4;h1f5?6=,8996>k<;o305?7<3`9oj7>5$011>6c43g;8=7<4;h1g`?6=,8996>k<;o305?5<3`9on7>5$011>6c43g;8=7:4;h1g=?6=,8996>k<;o305?3<3`9o;7>5$011>6c43g;8=784;h1g2?6=,8996>k<;o305?1<3k8<:7>51;294~"5>j0=86F=749K63c<,;=i6?6?;%5:>1>33f<96=4+26`9b3=<uk8<;7>51;294~"5>j09:k5G2678L70b3-8<n7h:;%5:>1>33fl<6=4+26`9b3=<uk88j7>58;294~"5>j0>;6F=749K63c<,>:1>6*=7c8126=O9:k0(:754968m63=83.9;o4<c:9j04<72-8<n78l;:k27=<72-8<n7<97:9l560=83.9;o4i6:9j7<<72-8<n7=6;:k127<72-8<n7<92:J12a=<a;>96=4+26`9614<@;<o76g=5g83>!40j38=465rb3``>5<1290;w)<9c;71?M40=2B9:h5+26`91g=#?9097E?<a:&4=?2?<2c897>5$35a>6e<3`>:6=4+26`92f=<g89=6=4+26`9b3=<a:31<7*=7c80=>=n9:>1<7*=7c8127=<a:o1<7*=7c8107=<uk8ih7>56;294~"5>j0>>6F=749K63c<,;=i68l4$6296>N6;h1/;44;859j70<72-8<n7=l;:k75?6=,;=i6;m4;n302?6=,;=i6k84;h1:>5<#:>h1?454i017>5<#:>h1>;<4;h1f>5<#:>h1>9<4;|`1g=<72?0;6=u+27a917=O:>?0D?8j;%04f?3e3-=;6?5G12c8 2?=<1>0e>;50;&13g<4k21b8<4?:%04f?0d32e:?;4?:%04f?`132c857>5$35a>6?<3`;887>5$35a>70532c8i7>5$35a>72532wi>nj50;494?6|,;<h68<4H356?M41m2.9;o4:b:&44?4<@89j7)96:5:7?l52290/>:l53b98m17=83.9;o49c:9l560=83.9;o4i6:9j7<<72-8<n7=6;:k271<72-8<n7<92:9j7`<72-8<n7<;2:9~f7eb290=6=4?{%05g?353A8<96F=6d9'62d==k1/;=4=;I30e>"013>386g<5;29 71e2:i07d:>:18'62d=>j10c<=9:18'62d=n?10e>750;&13g<4121b=>:50;&13g<5>;10e>k50;&13g<5<;10qo<lf;292?6=8r.9:n4:2:J130=O:?o0(?9m:4`8 26=:2B:?l5+7887<1=n;<0;6)<8b;1`?>o393:1(?9m:7a8?j74>3:1(?9m:g48?l5>290/>:l53898m453290/>:l52708?l5b290/>:l52508?xd5l90;6;4?:1y'63e==;1C>:;4H34f?!40j3?i7)9?:39K56g<,>3185:4i2794?"5?k08o65f4083>!40j3<h76a>3783>!40j3l=76g<9;29 71e2:307d?<4;29 71e2;<976g<e;29 71e2;>976sm2e394?0=83:p(?8l:408L7123A8=i6*=7c86f>"08380D<=n;%5:>1>33`9>6=4+26`97f=<a=;1<7*=7c85g>=h9:<1<7*=7c8e2>=n;00;6)<8b;1:?>o6;=0;6)<8b;056>=n;l0;6)<8b;076>=zj;n96=49:183!41k3?97E<85:J12`=#:>h19o5+7181?M74i2.<57:74:k01?6=,;=i6>m4;h62>5<#:>h1:n54o015>5<#:>h1j;54i2;94?"5?k08565f12694?"5?k09:?54i2g94?"5?k098?54}c0g7?6=>3:1<v*=6b866>N5?<1C>;k4$35a>0d<,>:1>6F>3`9'3<<30=1b?84?:%04f?5d32c?=7>5$35a>3e<3f;8:7>5$35a>c0<3`926=4+26`97<=<a89?6=4+26`9634<3`9n6=4+26`9614<3th9nh4?:783>5}#:?i19?5G2678L70b3-8<n7;m;%53>7=O9:k0(:754968m63=83.9;o4<c:9j04<72-8<n78l;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h300?6=,;=i6?8=;:k0a?6=,;=i6?:=;:a6g`=83<1<7>t$34`>04<@;=>7E<9e:&13g<2j2.<<7<4H01b?!1>2=2?7d=::18'62d=;j10e9?50;&13g<1k21d=>850;&13g<a>21b?44?:%04f?5>32c:?94?:%04f?41:21b?h4?:%04f?43:21vn?m?:185>5<7s-8=o7;=;I041>N5>l1/>:l55c9'35<53A;8m6*89;6;0>o4=3:1(?9m:2a8?l26290/>:l56b98k451290/>:l5f798m6?=83.9;o4<9:9j562=83.9;o4=6398m6c=83.9;o4=4398yg4d93:1:7>50z&12f<2:2B9;85G27g8 71e2<h0(:>52:J27d=#?00?495f3483>!40j39h76g;1;29 71e2?i07b?<6;29 71e2o<07d=6:18'62d=;010e<=;:18'62d=:?807d=j:18'62d=:=807pl=c383>3<729q/>;m5539K623<@;<n7)<8b;7a?!172;1C=>o4$6;90=2<a:?1<7*=7c80g>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o413:1(?9m:2;8?l74<3:1(?9m:341?>o4m3:1(?9m:361?>{e:j91<7850;2x 70d2<80D?9:;I05a>"5?k0>n6*80;08L45f3-=2696;;h16>5<#:>h1?n54i5394?"5?k0=o65`12494?"5?k0m:65f3883>!40j39276g>3583>!40j38=>65f3d83>!40j38?>65rb3a7>5<1290;w)<9c;71?M40=2B9:h5+26`91g=#?9097E?<a:&4=?2?<2c897>5$35a>6e<3`>:6=4+26`92f=<g89=6=4+26`9b3=<a:31<7*=7c80=>=n9:>1<7*=7c8127=<a:o1<7*=7c8107=<uk8h97>56;294~"5>j0>>6F=749K63c<,;=i68l4$6296>N6;h1/;44;859j70<72-8<n7=l;:k75?6=,;=i6;m4;n302?6=,;=i6k84;h1:>5<#:>h1?454i017>5<#:>h1>;<4;h1f>5<#:>h1>9<4;|`1g3<72?0;6=u+27a917=O:>?0D?8j;%04f?3e3-=;6?5G12c8 2?=<1>0e>;50;&13g<4k21b8<4?:%04f?0d32e:?;4?:%04f?`132c857>5$35a>6?<3`;887>5$35a>70532c8i7>5$35a>72532wi>n950;494?6|,;<h68<4H356?M41m2.9;o4:b:&44?4<@89j7)96:5:7?l52290/>:l53b98m17=83.9;o49c:9l560=83.9;o4i6:9j7<<72-8<n7=6;:k271<72-8<n7<92:9j7`<72-8<n7<;2:9~f7e>290=6=4?{%05g?353A8<96F=6d9'62d==k1/;=4=;I30e>"013>386g<5;29 71e2:i07d:>:18'62d=>j10c<=9:18'62d=n?10e>750;&13g<4121b=>:50;&13g<5>;10e>k50;&13g<5<;10qo<la;292?6=8r.9:n4:2:J130=O:?o0(?9m:4`8 26=:2B:?l5+7887<1=n;<0;6)<8b;1`?>o393:1(?9m:7a8?j74>3:1(?9m:g48?l5>290/>:l53898m453290/>:l52708?l5b290/>:l52508?xd5kk0;6;4?:1y'63e==;1C>:;4H34f?!40j3?i7)9?:39K56g<,>3185:4i2794?"5?k08o65f4083>!40j3<h76a>3783>!40j3l=76g<9;29 71e2:307d?<4;29 71e2;<976g<e;29 71e2;>976sm2ba94?0=83:p(?8l:408L7123A8=i6*=7c86f>"08380D<=n;%5:>1>33`9>6=4+26`97f=<a=;1<7*=7c85g>=h9:<1<7*=7c8e2>=n;00;6)<8b;1:?>o6;=0;6)<8b;056>=n;l0;6)<8b;076>=zj;n36=47:183!41k3?<7E<85:J12`=#:>h1>;=4$6296>N6;h1/;44;859j70<72-8<n7=l;:k75?6=,;=i6;m4;h30<?6=,;=i6?88;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h056?6=,;=i6?8=;I05`>=n:=81<7*=7c8107=O:?n07d<:f;29 71e2;<376sm2e;94?>=83:p(?8l:458L7123A8=i6*=7c8126=#?9097E?<a:&4=?2?<2c897>5$35a>6e<3`>:6=4+26`92f=<a8936=4+26`9631<3f;8:7>5$35a>c0<3`926=4+26`97<=<a;<96=4+26`9634<@;<o76g=4383>!40j38?>6F=6e98m73a290/>:l527:8?xd5lh0;654?:1y'63e==>1C>:;4H34f?!40j38=?6*80;08L45f3-=2696;;h16>5<#:>h1?n54i5394?"5?k0=o65f12:94?"5?k09::54o015>5<#:>h1j;54i2;94?"5?k08565f27094?"5?k09:?5G27f8?l43:3:1(?9m:361?M41l21b>8h50;&13g<5>110qo<kb;29<?6=8r.9:n4:7:J130=O:?o0(?9m:340?!172;1C=>o4$6;90=2<a:?1<7*=7c80g>=n<80;6)<8b;4`?>o6;10;6)<8b;053>=h9:<1<7*=7c8e2>=n;00;6)<8b;1:?>o5>;0;6)<8b;056>N5>m10e?:=:18'62d=:=80D?8k;:k11c<72-8<n7<98:9~f=d=83>1<7>t$34`>=><@;=>7E<9e:&13g<??2.<57:74:k0e?6=,;=i6;k4;h61>5<#:>h1:i54i37e>5<#:>h1>;?4;nd0>5<#:>h1j;54}c`b>5<4290;w)<9c;040>N5?<1C>;k4$35a>7143-=2696;;h1b>5<#:>h1:n54i6:94?"5?k0=o65`f283>!40j3l=76sma183>1<729q/>;m5899K623<@;<n7)<8b;:4?!1>2=2?7d=n:18'62d=>l10e9<50;&13g<1l21b>8h50;&13g<5>810ck=50;&13g<a>21vnn;50;194?6|,;<h6?9;;I041>N5>l1/>:l52618 2?=<1>0e>o50;&13g<1k21b;54?:%04f?0d32em?7>5$35a>c0<3thj47>54;294~"5>j0346F=749K63c<,;=i6594$6;90=2<a:k1<7*=7c85a>=n<;0;6)<8b;4g?>o5=o0;6)<8b;055>=hn:0;6)<8b;d5?>{ekk0;6>4?:1y'63e=:>>0D?9:;I05a>"5?k09;>5+7887<1=n;h0;6)<8b;4`?>o003:1(?9m:7a8?j`4290/>:l5f798yggf290?6=4?{%05g?>?3A8<96F=6d9'62d=0>1/;44;859j7d<72-8<n78j;:k76?6=,;=i6;j4;h06b?6=,;=i6?8>;:me7?6=,;=i6k84;|``g?6=;3:1<v*=6b8131=O:>?0D?8j;%04f?40;2.<57:74:k0e?6=,;=i6;m4;h5;>5<#:>h1:n54og194?"5?k0m:65rb`a94?2=83:p(?8l:9:8L7123A8=i6*=7c8;3>"013>386g<a;29 71e2?o07d:=:18'62d=>m10e?;i:18'62d=:?;07bh<:18'62d=n?10qomk:180>5<7s-8=o7<84:J130=O:?o0(?9m:350?!1>2=2?7d=n:18'62d=>j10e:650;&13g<1k21dj>4?:%04f?`132wimh4?:583>5}#:?i1455G2678L70b3-8<n768;%5:>1>33`9j6=4+26`92`=<a=81<7*=7c85`>=n:<l1<7*=7c8124=<go91<7*=7c8e2>=zjjo1<7=50;2x 70d2;=?7E<85:J12`=#:>h1>:=4$6;90=2<a:k1<7*=7c85g>=n?10;6)<8b;4`?>ia;3:1(?9m:g48?xde83:187>50z&12f<?02B9;85G27g8 71e21=0(:754968m6g=83.9;o49e:9j07<72-8<n78k;:k11c<72-8<n7<91:9lb6<72-8<n7h9;:agc<72:0;6=u+27a9622<@;=>7E<9e:&13g<5?:1/;44;859j7d<72-8<n78l;:k4<?6=,;=i6;m4;nd0>5<#:>h1j;54}c`1>5<3290;w)<9c;:;?M40=2B9:h5+26`9<2=#?00?495f3`83>!40j3<n76g;2;29 71e2?n07d<:f;29 71e2;<:76ai3;29 71e2o<07plk0;297?6=8r.9:n4=759K623<@;<n7)<8b;047>"013>386g<a;29 71e2?i07d97:18'62d=>j10ck=50;&13g<a>21vno:50;694?6|,;<h6564H356?M41m2.9;o477:&4=?2?<2c8m7>5$35a>3c<3`>96=4+26`92a=<a;?m6=4+26`9637<3fl86=4+26`9b3=<ukn:6=4<:183!41k38<86F=749K63c<,;=i6?9<;%5:>1>33`9j6=4+26`92f=<a>21<7*=7c85g>=hn:0;6)<8b;d5?>{ej?0;694?:1y'63e=011C>:;4H34f?!40j32<7)96:5:7?l5f290/>:l56d98m14=83.9;o49d:9j60`=83.9;o4=6098kc5=83.9;o4i6:9~fa4=8391<7>t$34`>7133A8<96F=6d9'62d=:>90(:754968m6g=83.9;o49c:9j3=<72-8<n78l;:me7?6=,;=i6k84;|`;g?6=<3:1<v*=6b8;<>N5?<1C>;k4$35a>=1<,>3185:4i2c94?"5?k0=i65f4383>!40j3<o76g=5g83>!40j38==65`f283>!40j3l=76smbc83>6<729q/>;m52668L7123A8=i6*=7c8136=#?00?495f3`83>!40j3<h76g88;29 71e2?i07bh<:18'62d=n?10qo6j:187>5<7s-8=o767;I041>N5>l1/>:l5869'3<<30=1b?l4?:%04f?0b32c?>7>5$35a>3b<3`8>j7>5$35a>70632em?7>5$35a>c0<3thio7>53;294~"5>j09;95G2678L70b3-8<n7<83:&4=?2?<2c8m7>5$35a>3e<3`=36=4+26`92f=<go91<7*=7c8e2>=zj0:1<7:50;2x 70d2120D?9:;I05a>"5?k03;6*89;6;0>o4i3:1(?9m:7g8?l25290/>:l56e98m73a290/>:l52738?j`4290/>:l5f798ygdc29086=4?{%05g?40<2B9;85G27g8 71e2;=87)96:5:7?l5f290/>:l56b98m2>=83.9;o49c:9lb6<72-8<n7h9;:a=7<72=0;6=u+27a9<==O:>?0D?8j;%04f?>03-=2696;;h1b>5<#:>h1:h54i5094?"5?k0=h65f24d94?"5?k09:<54og194?"5?k0m:65rbcg94?5=83:p(?8l:357?M40=2B9:h5+26`9625<,>3185:4i2c94?"5?k0=o65f7983>!40j3<h76ai3;29 71e2o<07pl64;290?6=8r.9:n478:J130=O:?o0(?9m:958 2?=<1>0e>o50;&13g<1m21b8?4?:%04f?0c32c99k4?:%04f?41921dj>4?:%04f?`132wink4?:283>5}#:?i1>::4H356?M41m2.9;o4=729'3<<30=1b?l4?:%04f?0d32c<47>5$35a>3e<3fl86=4+26`9b3=<uk3=6=4;:183!41k3237E<85:J12`=#:>h14:5+7887<1=n;h0;6)<8b;4f?>o3:3:1(?9m:7f8?l42n3:1(?9m:342?>ia;3:1(?9m:g48?xdd83:1?7>50z&12f<5?=1C>:;4H34f?!40j38<?6*89;6;0>o4i3:1(?9m:7a8?l1?290/>:l56b98kc5=83.9;o4i6:9~f<>=83>1<7>t$34`>=><@;=>7E<9e:&13g<??2.<57:74:k0e?6=,;=i6;k4;h61>5<#:>h1:i54i37e>5<#:>h1>;?4;nd0>5<#:>h1j;54}ca2>5<4290;w)<9c;040>N5?<1C>;k4$35a>7143-=2696;;h1b>5<#:>h1:n54i6:94?"5?k0=o65`f283>!40j3l=76sm9`83>1<729q/>;m5899K623<@;<n7)<8b;:4?!1>2=2?7d=n:18'62d=>l10e9<50;&13g<1l21b>8h50;&13g<5>810ck=50;&13g<a>21vnn<50;194?6|,;<h6?9;;I041>N5>l1/>:l52618 2?=<1>0e>o50;&13g<1k21b;54?:%04f?0d32em?7>5$35a>c0<3th2o7>54;294~"5>j0346F=749K63c<,;=i6594$6;90=2<a:k1<7*=7c85a>=n<;0;6)<8b;4g?>o5=o0;6)<8b;055>=hn:0;6)<8b;d5?>{ek:0;6>4?:1y'63e=:>>0D?9:;I05a>"5?k09;>5+7887<1=n;h0;6)<8b;4`?>o003:1(?9m:7a8?j`4290/>:l5f798yg?b290?6=4?{%05g?>?3A8<96F=6d9'62d=0>1/;44;859j7d<72-8<n78j;:k76?6=,;=i6;j4;h06b?6=,;=i6?8>;:me7?6=,;=i6k84;|``0?6=;3:1<v*=6b8131=O:>?0D?8j;%04f?40;2.<57:74:k0e?6=,;=i6;m4;h5;>5<#:>h1:n54og194?"5?k0m:65rb`094?2=83:p(?8l:9:8L7123A8=i6*=7c8;3>"013>386g<a;29 71e2?o07d:=:18'62d=>m10e?;i:18'62d=:?;07bh<:18'62d=n?10qom9:180>5<7s-8=o7<84:J130=O:?o0(?9m:350?!1>2=2?7d=n:18'62d=>j10e:650;&13g<1k21dj>4?:%04f?`132wim94?:583>5}#:?i1455G2678L70b3-8<n768;%5:>1>33`9j6=4+26`92`=<a=81<7*=7c85`>=n:<l1<7*=7c8124=<go91<7*=7c8e2>=zjj=1<7=50;2x 70d2;=?7E<85:J12`=#:>h1>:=4$6;90=2<a:k1<7*=7c85g>=n?10;6)<8b;4`?>ia;3:1(?9m:g48?xdf>3:187>50z&12f<?02B9;85G27g8 71e21=0(:754968m6g=83.9;o49e:9j07<72-8<n78k;:k11c<72-8<n7<91:9lb6<72-8<n7h9;:ag=<72:0;6=u+27a9622<@;=>7E<9e:&13g<5?:1/;44;859j7d<72-8<n78l;:k4<?6=,;=i6;m4;nd0>5<#:>h1j;54}ca:>5<4290;w)<9c;040>N5?<1C>;k4$35a>7143-=2696;;h1b>5<#:>h1:n54i6:94?"5?k0=o65`f283>!40j3l=76sm22494?0=83:p(?8l:648L7123A8=i6*=7c841>"08380(:754968m17=83.9;o49c:9j27<72-8<n7=l;I04e>=n>:0;6)<8b;1:?>i6;?0;6)<8b;d5?>o6;=0;6)<8b;056>=n;l0;6)<8b;076>=zj;9<6=49:183!41k3==7E<85:J12`=#:>h1;85+7181?!1>2=2?7d:>:18'62d=>j10e;<50;&13g<4k2B9;l54i7194?"5?k08565`12494?"5?k0m:65f12694?"5?k09:?54i2g94?"5?k098?54}c00<?6=>3:1<v*=6b842>N5?<1C>;k4$35a>23<,>:1>6*89;6;0>o393:1(?9m:7a8?l05290/>:l53b9K62g<3`<86=4+26`97<=<g89=6=4+26`9b3=<a89?6=4+26`9634<3`9n6=4+26`9614<3th9?44?:783>5}#:?i1;;5G2678L70b3-8<n79:;%53>7=#?00?495f4083>!40j3<h76g92;29 71e2:i0D?9n;:k57?6=,;=i6>74;n302?6=,;=i6k84;h300?6=,;=i6?8=;:k0a?6=,;=i6?:=;:a66g=83<1<7>t$34`>20<@;=>7E<9e:&13g<0=2.<<7<4$6;90=2<a=;1<7*=7c85g>=n>;0;6)<8b;1`?M40i21b:>4?:%04f?5>32e:?;4?:%04f?`132c:?94?:%04f?41:21b?h4?:%04f?43:21vn?=m:185>5<7s-8=o799;I041>N5>l1/>:l5749'35<53-=2696;;h62>5<#:>h1:n54i7094?"5?k08o6F=7`98m35=83.9;o4<9:9l560=83.9;o4i6:9j562=83.9;o4=6398m6c=83.9;o4=4398yg44k3:1:7>50z&12f<0>2B9;85G27g8 71e2>?0(:>52:&4=?2?<2c?=7>5$35a>3e<3`<96=4+26`97f=O:>k07d8<:18'62d=;010c<=9:18'62d=n?10e<=;:18'62d=:?807d=j:18'62d=:=807pl=3e83>3<729q/>;m5779K623<@;<n7)<8b;56?!172;1/;44;859j04<72-8<n78l;:k56?6=,;=i6>m4H35b?>o1;3:1(?9m:2;8?j74>3:1(?9m:g48?l74<3:1(?9m:341?>o4m3:1(?9m:361?>{e<9?1<7=50;2x 70d2;=?7E<85:J12`=#:>h1>:=4$6;90=2<a:k1<7*=7c85g>=n?10;6)<8b;4`?>ia;3:1(?9m:g48?xd38=0;6>4?:1y'63e=:>>0D?9:;I05a>"5?k09;>5+7887<1=n;h0;6)<8b;4`?>o003:1(?9m:7a8?j`4290/>:l5f798yg5a03:187>50z&12f<?02B9;85G27g8 71e21=0(:754968m6g=83.9;o49e:9j07<72-8<n78k;:k11c<72-8<n7<91:9lb6<72-8<n7h9;:a055=8391<7>t$34`>7133A8<96F=6d9'62d=:>90(:754968m6g=83.9;o49c:9j3=<72-8<n78l;:me7?6=,;=i6k84;|`0b3<72=0;6=u+27a9<==O:>?0D?8j;%04f?>03-=2696;;h1b>5<#:>h1:h54i5094?"5?k0=h65f24d94?"5?k09:<54og194?"5?k0m:65rb521>5<4290;w)<9c;040>N5?<1C>;k4$35a>7143-=2696;;h1b>5<#:>h1:n54i6:94?"5?k0=o65`f283>!40j3l=76sm3g694?2=83:p(?8l:9:8L7123A8=i6*=7c8;3>"013>386g<a;29 71e2?o07d:=:18'62d=>m10e?;i:18'62d=:?;07bh<:18'62d=n?10qo:?1;297?6=8r.9:n4=759K623<@;<n7)<8b;047>"013>386g<a;29 71e2?i07d97:18'62d=>j10ck=50;&13g<a>21vn>h=:187>5<7s-8=o767;I041>N5>l1/>:l5869'3<<30=1b?l4?:%04f?0b32c?>7>5$35a>3b<3`8>j7>5$35a>70632em?7>5$35a>c0<3th?<=4?:283>5}#:?i1>::4H356?M41m2.9;o4=729'3<<30=1b?l4?:%04f?0d32c<47>5$35a>3e<3fl86=4+26`9b3=<uk9m<7>54;294~"5>j0346F=749K63c<,;=i6594$6;90=2<a:k1<7*=7c85a>=n<;0;6)<8b;4g?>o5=o0;6)<8b;055>=hn:0;6)<8b;d5?>{e;ol1<7=50;2x 70d2;=?7E<85:J12`=#:>h1>:=4$6;90=2<a:k1<7*=7c85g>=n?10;6)<8b;4`?>ia;3:1(?9m:g48?xd4ml0;694?:1y'63e=011C>:;4H34f?!40j32<7)96:5:7?l5f290/>:l56d98m14=83.9;o49d:9j60`=83.9;o4=6098kc5=83.9;o4i6:9~f6`b29086=4?{%05g?40<2B9;85G27g8 71e2;=87)96:5:7?l5f290/>:l56b98m2>=83.9;o49c:9lb6<72-8<n7h9;:a7`e=83>1<7>t$34`>=><@;=>7E<9e:&13g<??2.<57:74:k0e?6=,;=i6;k4;h61>5<#:>h1:i54i37e>5<#:>h1>;?4;nd0>5<#:>h1j;54}c1e`?6=;3:1<v*=6b8131=O:>?0D?8j;%04f?40;2.<57:74:k0e?6=,;=i6;m4;h5;>5<#:>h1:n54og194?"5?k0m:65rb2gb>5<3290;w)<9c;:;?M40=2B9:h5+26`9<2=#?00?495f3`83>!40j3<n76g;2;29 71e2?n07d<:f;29 71e2;<:76ai3;29 71e2o<07pl<fb83>6<729q/>;m52668L7123A8=i6*=7c8136=#?00?495f3`83>!40j3<h76g88;29 71e2?i07bh<:18'62d=n?10qo=j9;290?6=8r.9:n478:J130=O:?o0(?9m:958 2?=<1>0e>o50;&13g<1m21b8?4?:%04f?0c32c99k4?:%04f?41921dj>4?:%04f?`132wi8?=50;194?6|,;<h6?9;;I041>N5>l1/>:l52618 2?=<1>0e>o50;&13g<1k21b;54?:%04f?0d32em?7>5$35a>c0<3th?>?4?:283>5}#:?i1>::4H356?M41m2.9;o4=729'3<<30=1b?l4?:%04f?0d32c<47>5$35a>3e<3fl86=4+26`9b3=<uk>::7>54;294~"5>j0346F=749K63c<,;=i6594$6;90=2<a:k1<7*=7c85a>=n<;0;6)<8b;4g?>o5=o0;6)<8b;055>=hn:0;6)<8b;d5?>{e<;;1<7=50;2x 70d2;=?7E<85:J12`=#:>h1>:=4$6;90=2<a:k1<7*=7c85g>=n?10;6)<8b;4`?>ia;3:1(?9m:g48?xd39=0;694?:1y'63e=011C>:;4H34f?!40j32<7)96:5:7?l5f290/>:l56d98m14=83.9;o49d:9j60`=83.9;o4=6098kc5=83.9;o4i6:9~f14729086=4?{%05g?40<2B9;85G27g8 71e2;=87)96:5:7?l5f290/>:l56b98m2>=83.9;o49c:9lb6<72-8<n7h9;:a044=83>1<7>t$34`>=><@;=>7E<9e:&13g<??2.<57:74:k0e?6=,;=i6;k4;h61>5<#:>h1:i54i37e>5<#:>h1>;?4;nd0>5<#:>h1j;54}c62b?6=;3:1<v*=6b8131=O:>?0D?8j;%04f?40;2.<57:74:k0e?6=,;=i6;m4;h5;>5<#:>h1:n54og194?"5?k0m:65rb533>5<3290;w)<9c;:;?M40=2B9:h5+26`9<2=#?00?495f3`83>!40j3<n76g;2;29 71e2?n07d<:f;29 71e2;<:76ai3;29 71e2o<07pl;1d83>6<729q/>;m52668L7123A8=i6*=7c8136=#?00?495f3`83>!40j3<h76g88;29 71e2?i07bh<:18'62d=n?10qo:?e;290?6=8r.9:n478:J130=O:?o0(?9m:958 2?=<1>0e>o50;&13g<1m21b8?4?:%04f?0c32c99k4?:%04f?41921dj>4?:%04f?`132wi8<j50;194?6|,;<h6?9;;I041>N5>l1/>:l52618 2?=<1>0e>o50;&13g<1k21b;54?:%04f?0d32em?7>5$35a>c0<3th?<n4?:583>5}#:?i1455G2678L70b3-8<n768;%5:>1>33`9j6=4+26`92`=<a=81<7*=7c85`>=n:<l1<7*=7c8124=<go91<7*=7c8e2>=zj=;h6=4<:183!41k38<86F=749K63c<,;=i6?9<;%5:>1>33`9j6=4+26`92f=<a>21<7*=7c85g>=hn:0;6)<8b;d5?>{e<9k1<7:50;2x 70d2120D?9:;I05a>"5?k03;6*89;6;0>o4i3:1(?9m:7g8?l25290/>:l56e98m73a290/>:l52738?j`4290/>:l5f798yg26j3:1?7>50z&12f<5?=1C>:;4H34f?!40j38<?6*89;6;0>o4i3:1(?9m:7a8?l1?290/>:l56b98kc5=83.9;o4i6:9~f16?290?6=4?{%05g?>?3A8<96F=6d9'62d=0>1/;44;859j7d<72-8<n78j;:k76?6=,;=i6;j4;h06b?6=,;=i6?8>;:me7?6=,;=i6k84;|`75d<72:0;6=u+27a9622<@;=>7E<9e:&13g<5?:1/;44;859j7d<72-8<n78l;:k4<?6=,;=i6;m4;nd0>5<#:>h1j;54}c633?6=<3:1<v*=6b8;<>N5?<1C>;k4$35a>=1<,>3185:4i2c94?"5?k0=i65f4383>!40j3<o76g=5g83>!40j38==65`f283>!40j3l=76sm46394?>=83:p(?8l:4:8L7123A8=i6*=7c8126=#?9097E?<a:&4=?2?<2c897>5$35a>6e<3`926=4+26`97<=<a=;1<7*=7c85g>=n9:21<7*=7c8122=<g89=6=4+26`9b3=<a;<96=4+26`9634<@;<o76g=4383>!40j38?>6F=6e98m73a290/>:l527:8?xd3?90;654?:1y'63e==11C>:;4H34f?!40j38=?6*80;08L45f3-=2696;;h16>5<#:>h1?n54i2;94?"5?k08565f4083>!40j3<h76g>3983>!40j38=;65`12494?"5?k0m:65f27094?"5?k09:?5G27f8?l43:3:1(?9m:361?M41l21b>8h50;&13g<5>110qo:9f;29<?6=8r.9:n4:8:J130=O:?o0(?9m:340?!172;1C=>o4$6;90=2<a:?1<7*=7c80g>=n;00;6)<8b;1:?>o393:1(?9m:7a8?l7403:1(?9m:344?>i6;?0;6)<8b;d5?>o5>;0;6)<8b;056>N5>m10e?:=:18'62d=:=80D?8k;:k11c<72-8<n7<98:9~f10b29036=4?{%05g?3?3A8<96F=6d9'62d=:?90(:>52:J27d=#?00?495f3483>!40j39h76g<9;29 71e2:307d:>:18'62d=>j10e<=7:18'62d=:?=07b?<6;29 71e2o<07d<92;29 71e2;<97E<9d:9j614=83.9;o4=439K63b<3`8>j7>5$35a>70?32wi8;j50;:94?6|,;<h6864H356?M41m2.9;o4=629'35<53A;8m6*89;6;0>o4=3:1(?9m:2a8?l5>290/>:l53898m17=83.9;o49c:9j56>=83.9;o4=6698k451290/>:l5f798m705290/>:l52708L70c32c98?4?:%04f?43:2B9:i54i37e>5<#:>h1>;64;|`72f<7210;6=u+27a91==O:>?0D?8j;%04f?41;2.<<7<4H01b?!1>2=2?7d=::18'62d=;j10e>750;&13g<4121b8<4?:%04f?0d32c:?54?:%04f?41?21d=>850;&13g<a>21b>;<50;&13g<5>;1C>;j4;h076?6=,;=i6?:=;I05`>=n:<l1<7*=7c812==<uk>=n7>58;294~"5>j0>46F=749K63c<,;=i6?8<;%53>7=O9:k0(:754968m63=83.9;o4<c:9j7<<72-8<n7=6;:k75?6=,;=i6;m4;h30<?6=,;=i6?88;:m273<72-8<n7h9;:k127<72-8<n7<92:J12a=<a;>96=4+26`9614<@;<o76g=5g83>!40j38=465rb54b>5<?290;w)<9c;7;?M40=2B9:h5+26`9635<,>:1>6F>3`9'3<<30=1b?84?:%04f?5d32c857>5$35a>6?<3`>:6=4+26`92f=<a8936=4+26`9631<3f;8:7>5$35a>c0<3`8=>7>5$35a>7053A8=h65f25094?"5?k098?5G27f8?l42n3:1(?9m:34;?>{e<?31<7650;2x 70d2<20D?9:;I05a>"5?k09:>5+7181?M74i2.<57:74:k01?6=,;=i6>m4;h1:>5<#:>h1?454i5394?"5?k0=o65f12:94?"5?k09::54o015>5<#:>h1j;54i341>5<#:>h1>;<4H34g?>o5<;0;6)<8b;076>N5>m10e?;i:18'62d=:?207pl;6983>=<729q/>;m5599K623<@;<n7)<8b;057>"08380D<=n;%5:>1>33`9>6=4+26`97f=<a:31<7*=7c80=>=n<80;6)<8b;4`?>o6;10;6)<8b;053>=h9:<1<7*=7c8e2>=n:?81<7*=7c8127=O:?n07d<;2;29 71e2;>97E<9d:9j60`=83.9;o4=6998yg22?3:147>50z&12f<2?2B9;85G27g8 71e2;<87)9?:39K56g<,>3185:4i2794?"5?k08o65f4083>!40j3<h76g>3983>!40j38=;65`12494?"5?k0m:65f3883>!40j39276g=6383>!40j38=>6F=6e98m725290/>:l52508L70c32c99k4?:%04f?41021vn9;9:18;>5<7s-8=o7;8;I041>N5>l1/>:l52718 26=:2B:?l5+7887<1=n;<0;6)<8b;1`?>o393:1(?9m:7a8?l7403:1(?9m:344?>i6;?0;6)<8b;d5?>o413:1(?9m:2;8?l41:3:1(?9m:341?M41l21b>9<50;&13g<5<;1C>;j4;h06b?6=,;=i6?87;:a003=8321<7>t$34`>01<@;=>7E<9e:&13g<5>:1/;=4=;I30e>"013>386g<5;29 71e2:i07d:>:18'62d=>j10e<=7:18'62d=:?=07b?<6;29 71e2o<07d=6:18'62d=;010e?8=:18'62d=:?80D?8k;:k107<72-8<n7<;2:J12a=<a;?m6=4+26`963><3th?994?:983>5}#:?i19:5G2678L70b3-8<n7<93:&44?4<@89j7)96:5:7?l52290/>:l53b98m17=83.9;o49c:9j56>=83.9;o4=6698k451290/>:l5f798m6?=83.9;o4<9:9j634=83.9;o4=639K63b<3`8?>7>5$35a>7253A8=h65f24d94?"5?k09:554}c667?6=03:1<v*=6b863>N5?<1C>;k4$35a>7043-=;6?5G12c8 2?=<1>0e>;50;&13g<4k21b8<4?:%04f?0d32c:?54?:%04f?41?21d=>850;&13g<a>21b?44?:%04f?5>32c9:?4?:%04f?41:2B9:i54i361>5<#:>h1>9<4H34g?>o5=o0;6)<8b;05<>=zj=?96=47:183!41k3?<7E<85:J12`=#:>h1>;=4$6296>N6;h1/;44;859j70<72-8<n7=l;:k75?6=,;=i6;m4;h30<?6=,;=i6?88;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h056?6=,;=i6?8=;I05`>=n:=81<7*=7c8107=O:?n07d<:f;29 71e2;<376sm44394?>=83:p(?8l:458L7123A8=i6*=7c8126=#?9097E?<a:&4=?2?<2c897>5$35a>6e<3`>:6=4+26`92f=<a8936=4+26`9631<3f;8:7>5$35a>c0<3`926=4+26`97<=<a;<96=4+26`9634<@;<o76g=4383>!40j38?>6F=6e98m73a290/>:l527:8?xd3=90;654?:1y'63e==>1C>:;4H34f?!40j38=?6*80;08L45f3-=2696;;h16>5<#:>h1?n54i5394?"5?k0=o65f12:94?"5?k09::54o015>5<#:>h1j;54i2;94?"5?k08565f27094?"5?k09:?5G27f8?l43:3:1(?9m:361?M41l21b>8h50;&13g<5>110qo:;f;29<?6=8r.9:n4:7:J130=O:?o0(?9m:340?!172;1C=>o4$6;90=2<a:?1<7*=7c80g>=n<80;6)<8b;4`?>o6;10;6)<8b;053>=h9:<1<7*=7c8e2>=n;00;6)<8b;1:?>o5>;0;6)<8b;056>N5>m10e?:=:18'62d=:=80D?8k;:k11c<72-8<n7<98:9~f12b29036=4?{%05g?303A8<96F=6d9'62d=:?90(:>52:J27d=#?00?495f3483>!40j39h76g;1;29 71e2?i07d?<8;29 71e2;<<76a>3783>!40j3l=76g<9;29 71e2:307d<92;29 71e2;<97E<9d:9j614=83.9;o4=439K63b<3`8>j7>5$35a>70?32wi8:j50;:94?6|,;<h6894H356?M41m2.9;o4=629'35<53A;8m6*89;6;0>o4=3:1(?9m:2a8?l26290/>:l56b98m45?290/>:l52758?j74>3:1(?9m:g48?l5>290/>:l53898m705290/>:l52708L70c32c98?4?:%04f?43:2B9:i54i37e>5<#:>h1>;64;|`77`<7210;6=u+27a912=O:>?0D?8j;%04f?41;2.<<7<4H01b?!1>2=2?7d=::18'62d=;j10e9?50;&13g<1k21b=>650;&13g<5>>10c<=9:18'62d=n?10e>750;&13g<4121b>;<50;&13g<5>;1C>;j4;h076?6=,;=i6?:=;I05`>=n:<l1<7*=7c812==<uk9;o7>53;294~"5>j09;95G2678L70b3-8<n7<83:&4=?2?<2c8m7>5$35a>3e<3`=36=4+26`92f=<go91<7*=7c8e2>=zj::i6=4<:183!41k38<86F=749K63c<,;=i6?9<;%5:>1>33`9j6=4+26`92f=<a>21<7*=7c85g>=hn:0;6)<8b;d5?>{e:o91<7:50;2x 70d2120D?9:;I05a>"5?k03;6*89;6;0>o4i3:1(?9m:7g8?l25290/>:l56e98m73a290/>:l52738?j`4290/>:l5f798yg57i3:1?7>50z&12f<5?=1C>:;4H34f?!40j38<?6*89;6;0>o4i3:1(?9m:7a8?l1?290/>:l56b98kc5=83.9;o4i6:9~f7`6290?6=4?{%05g?>?3A8<96F=6d9'62d=0>1/;44;859j7d<72-8<n78j;:k76?6=,;=i6;j4;h06b?6=,;=i6?8>;:me7?6=,;=i6k84;|`053<72:0;6=u+27a9622<@;=>7E<9e:&13g<5?:1/;44;859j7d<72-8<n78l;:k4<?6=,;=i6;m4;nd0>5<#:>h1j;54}c131?6=<3:1<v*=6b8;<>N5?<1C>;k4$35a>=1<,>3185:4i2c94?"5?k0=i65f4383>!40j3<o76g=5g83>!40j38==65`f283>!40j3l=76sm30794?5=83:p(?8l:357?M40=2B9:h5+26`9625<,>3185:4i2c94?"5?k0=o65f7983>!40j3<h76ai3;29 71e2o<07pl<0283>1<729q/>;m5899K623<@;<n7)<8b;:4?!1>2=2?7d=n:18'62d=>l10e9<50;&13g<1l21b>8h50;&13g<5>810ck=50;&13g<a>21vn>?;:180>5<7s-8=o7<84:J130=O:?o0(?9m:350?!1>2=2?7d=n:18'62d=>j10e:650;&13g<1k21dj>4?:%04f?`132wi?=?50;694?6|,;<h6564H356?M41m2.9;o477:&4=?2?<2c8m7>5$35a>3c<3`>96=4+26`92a=<a;?m6=4+26`9637<3fl86=4+26`9b3=<uk9:?7>53;294~"5>j09;95G2678L70b3-8<n7<83:&4=?2?<2c8m7>5$35a>3e<3`=36=4+26`92f=<go91<7*=7c8e2>=zj;lm6=4;:183!41k3237E<85:J12`=#:>h14:5+7887<1=n;h0;6)<8b;4f?>o3:3:1(?9m:7f8?l42n3:1(?9m:342?>ia;3:1(?9m:g48?xd49;0;6>4?:1y'63e=:>>0D?9:;I05a>"5?k09;>5+7887<1=n;h0;6)<8b;4`?>o003:1(?9m:7a8?j`4290/>:l5f798yg4al3:187>50z&12f<?02B9;85G27g8 71e21=0(:754968m6g=83.9;o49e:9j07<72-8<n78k;:k11c<72-8<n7<91:9lb6<72-8<n7h9;:a747=8391<7>t$34`>7133A8<96F=6d9'62d=:>90(:754968m6g=83.9;o49c:9j3=<72-8<n78l;:me7?6=,;=i6k84;|`1bg<72=0;6=u+27a9<==O:>?0D?8j;%04f?>03-=2696;;h1b>5<#:>h1:h54i5094?"5?k0=h65f24d94?"5?k09:<54og194?"5?k0m:65rb233>5<4290;w)<9c;040>N5?<1C>;k4$35a>7143-=2696;;h1b>5<#:>h1:n54i6:94?"5?k0=o65`f283>!40j3l=76sm2g;94?2=83:p(?8l:9:8L7123A8=i6*=7c8;3>"013>386g<a;29 71e2?o07d:=:18'62d=>m10e?;i:18'62d=:?;07bh<:18'62d=n?10qo=?f;297?6=8r.9:n4=759K623<@;<n7)<8b;047>"013>386g<a;29 71e2?i07d97:18'62d=>j10ck=50;&13g<a>21vn?h8:187>5<7s-8=o767;I041>N5>l1/>:l5869'3<<30=1b?l4?:%04f?0b32c?>7>5$35a>3b<3`8>j7>5$35a>70632em?7>5$35a>c0<3th8<h4?:283>5}#:?i1>::4H356?M41m2.9;o4=729'3<<30=1b?l4?:%04f?0d32c<47>5$35a>3e<3fl86=4+26`9b3=<uk8m97>54;294~"5>j0346F=749K63c<,;=i6594$6;90=2<a:k1<7*=7c85a>=n<;0;6)<8b;4g?>o5=o0;6)<8b;055>=hn:0;6)<8b;d5?>{e;931<7=50;2x 70d2;=?7E<85:J12`=#:>h1>:=4$6;90=2<a:k1<7*=7c85g>=n?10;6)<8b;4`?>ia;3:1(?9m:g48?xd5n90;694?:1y'63e=011C>:;4H34f?!40j32<7)96:5:7?l5f290/>:l56d98m14=83.9;o49d:9j60`=83.9;o4=6098kc5=83.9;o4i6:9~f62e290=6=4?{%05g?353A8<96F=6d9'62d==k1/;=4=;I30e>"013>386g<5;29 71e2:i07d:>:18'62d=>j10c<=9:18'62d=n?10e>750;&13g<4121b=>:50;&13g<5>;10e>k50;&13g<5<;10qo=;a;292?6=8r.9:n4:2:J130=O:?o0(?9m:4`8 26=:2B:?l5+7887<1=n;<0;6)<8b;1`?>o393:1(?9m:7a8?j74>3:1(?9m:g48?l5>290/>:l53898m453290/>:l52708?l5b290/>:l52508?xd4<00;6;4?:1y'63e==;1C>:;4H34f?!40j3?i7)9?:39K56g<,>3185:4i2794?"5?k08o65f4083>!40j3<h76a>3783>!40j3l=76g<9;29 71e2:307d?<4;29 71e2;<976g<e;29 71e2;>976sm34194?0=83:p(?8l:408L7123A8=i6*=7c86f>"08380D<=n;%5:>1>33`9>6=4+26`97f=<a=;1<7*=7c85g>=h9:<1<7*=7c8e2>=n;00;6)<8b;1:?>o6;=0;6)<8b;056>=n;l0;6)<8b;076>=zj:?96=49:183!41k3?97E<85:J12`=#:>h19o5+7181?M74i2.<57:74:k01?6=,;=i6>m4;h62>5<#:>h1:n54o015>5<#:>h1j;54i2;94?"5?k08565f12694?"5?k09:?54i2g94?"5?k098?54}c165?6=>3:1<v*=6b866>N5?<1C>;k4$35a>0d<,>:1>6F>3`9'3<<30=1b?84?:%04f?5d32c?=7>5$35a>3e<3f;8:7>5$35a>c0<3`926=4+26`97<=<a89?6=4+26`9634<3`9n6=4+26`9614<3th89=4?:783>5}#:?i19?5G2678L70b3-8<n7;m;%53>7=O9:k0(:754968m63=83.9;o4<c:9j04<72-8<n78l;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h300?6=,;=i6?8=;:k0a?6=,;=i6?:=;:a71`=83<1<7>t$34`>04<@;=>7E<9e:&13g<2j2.<<7<4H01b?!1>2=2?7d=::18'62d=;j10e9?50;&13g<1k21d=>850;&13g<a>21b?44?:%04f?5>32c:?94?:%04f?41:21b?h4?:%04f?43:21vn>:j:185>5<7s-8=o7;=;I041>N5>l1/>:l55c9'35<53A;8m6*89;6;0>o4=3:1(?9m:2a8?l26290/>:l56b98k451290/>:l5f798m6?=83.9;o4<9:9j562=83.9;o4=6398m6c=83.9;o4=4398yg53l3:1:7>50z&12f<2:2B9;85G27g8 71e2<h0(:>52:J27d=#?00?495f3483>!40j39h76g;1;29 71e2?i07b?<6;29 71e2o<07d=6:18'62d=;010e<=;:18'62d=:?807d=j:18'62d=:=807pl<4b83>3<729q/>;m5539K623<@;<n7)<8b;7a?!172;1C=>o4$6;90=2<a:?1<7*=7c80g>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o413:1(?9m:2;8?l74<3:1(?9m:341?>o4m3:1(?9m:361?>{e;=21<7850;2x 70d2<80D?9:;I05a>"5?k0>n6*80;08L45f3-=2696;;h16>5<#:>h1?n54i5394?"5?k0=o65`12494?"5?k0m:65f3883>!40j39276g>3583>!40j38=>65f3d83>!40j38?>65rb264>5<1290;w)<9c;71?M40=2B9:h5+26`91g=#?9097E?<a:&4=?2?<2c897>5$35a>6e<3`>:6=4+26`92f=<g89=6=4+26`9b3=<a:31<7*=7c80=>=n9:>1<7*=7c8127=<a:o1<7*=7c8107=<uk98?7>56;294~"5>j0>86F=749K63c<,;=i68l4$6296>"013>386g<5;29 71e2:i07d=6:18'62d=;010e>k50;&13g<5<;10e9?50;&13g<1k21d=>850;&13g<a>21b>;<50;&13g<5>;1C>;j4;|`077<72?0;6=u+27a911=O:>?0D?8j;%04f?3e3-=;6?5+7887<1=n;<0;6)<8b;1`?>o413:1(?9m:2;8?l5b290/>:l52508?l26290/>:l56b98k451290/>:l5f798m705290/>:l52708L70c32wi?>?50;494?6|,;<h68:4H356?M41m2.9;o4:b:&44?4<,>3185:4i2794?"5?k08o65f3883>!40j39276g<e;29 71e2;>976g;1;29 71e2?i07b?<6;29 71e2o<07d<92;29 71e2;<97E<9d:9~f657290=6=4?{%05g?333A8<96F=6d9'62d==k1/;=4=;%5:>1>33`9>6=4+26`97f=<a:31<7*=7c80=>=n;l0;6)<8b;076>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o5>;0;6)<8b;056>N5>m10qo==f;292?6=8r.9:n4:4:J130=O:?o0(?9m:4`8 26=:2.<57:74:k01?6=,;=i6>m4;h1:>5<#:>h1?454i2g94?"5?k098?54i5394?"5?k0=o65`12494?"5?k0m:65f27094?"5?k09:?5G27f8?xd4:l0;6;4?:1y'63e===1C>:;4H34f?!40j3?i7)9?:39'3<<30=1b?84?:%04f?5d32c857>5$35a>6?<3`9n6=4+26`9614<3`>:6=4+26`92f=<g89=6=4+26`9b3=<a;<96=4+26`9634<@;<o76sm33f94?0=83:p(?8l:468L7123A8=i6*=7c86f>"08380(:754968m63=83.9;o4<c:9j7<<72-8<n7=6;:k0a?6=,;=i6?:=;:k75?6=,;=i6;m4;n302?6=,;=i6k84;h056?6=,;=i6?8=;I05`>=zj:8h6=49:183!41k3??7E<85:J12`=#:>h19o5+7181?!1>2=2?7d=::18'62d=;j10e>750;&13g<4121b?h4?:%04f?43:21b8<4?:%04f?0d32e:?;4?:%04f?`132c9:?4?:%04f?41:2B9:i54}c142?6=>3:1<v*=6b861>N5?<1C>;k4$35a>0d<,>:1>6F>3`9'3<<30=1b?84?:%04f?5d3A8<m65f3d83>!40j38?>65f4083>!40j3<h76a>3783>!40j3l=76g<9;29 71e2:307d?<4;29 71e2;<976sm36794?0=83:p(?8l:478L7123A8=i6*=7c86f>"08380D<=n;%5:>1>33`9>6=4+26`97f=O:>k07d=j:18'62d=:=807d:>:18'62d=>j10c<=9:18'62d=n?10e>750;&13g<4121b=>:50;&13g<5>;10qo=84;292?6=8r.9:n4:5:J130=O:?o0(?9m:4`8 26=:2B:?l5+7887<1=n;<0;6)<8b;1`?M40i21b?h4?:%04f?43:21b8<4?:%04f?0d32e:?;4?:%04f?`132c857>5$35a>6?<3`;887>5$35a>70532wi?:=50;494?6|,;<h68;4H356?M41m2.9;o4:b:&44?4<@89j7)96:5:7?l52290/>:l53b9K62g<3`9n6=4+26`9614<3`>:6=4+26`92f=<g89=6=4+26`9b3=<a:31<7*=7c80=>=n9:>1<7*=7c8127=<uk9<>7>56;294~"5>j0>96F=749K63c<,;=i68l4$6296>N6;h1/;44;859j70<72-8<n7=l;I04e>=n;l0;6)<8b;076>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o413:1(?9m:2;8?l74<3:1(?9m:341?>{e;>;1<7850;2x 70d2<?0D?9:;I05a>"5?k0>n6*80;08L45f3-=2696;;h16>5<#:>h1?n5G26c8?l5b290/>:l52508?l26290/>:l56b98k451290/>:l5f798m6?=83.9;o4<9:9j562=83.9;o4=6398yg5083:1:7>50z&12f<2=2B9;85G27g8 71e2<h0(:>52:J27d=#?00?495f3483>!40j39h7E<8a:9j7`<72-8<n7<;2:9j04<72-8<n78l;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h300?6=,;=i6?8=;:a70`=83<1<7>t$34`>03<@;=>7E<9e:&13g<2j2.<<7<4H01b?!1>2=2?7d=::18'62d=;j1C>:o4;h1f>5<#:>h1>9<4;h62>5<#:>h1:n54o015>5<#:>h1j;54i2;94?"5?k08565f12694?"5?k09:?54}c153?6=>3:1<v*=6b861>N5?<1C>;k4$35a>0d<,>:1>6F>3`9'3<<30=1b?84?:%04f?5d3A8<m65f3d83>!40j38?>65f4083>!40j3<h76a>3783>!40j3l=76g<9;29 71e2:307d?<4;29 71e2;<976sm37494?0=83:p(?8l:478L7123A8=i6*=7c86f>"08380D<=n;%5:>1>33`9>6=4+26`97f=O:>k07d=j:18'62d=:=807d:>:18'62d=>j10c<=9:18'62d=n?10e>750;&13g<4121b=>:50;&13g<5>;10qo=95;292?6=8r.9:n4:5:J130=O:?o0(?9m:4`8 26=:2B:?l5+7887<1=n;<0;6)<8b;1`?M40i21b?h4?:%04f?43:21b8<4?:%04f?0d32e:?;4?:%04f?`132c857>5$35a>6?<3`;887>5$35a>70532wi?;:50;494?6|,;<h68;4H356?M41m2.9;o4:b:&44?4<@89j7)96:5:7?l52290/>:l53b9K62g<3`9n6=4+26`9614<3`>:6=4+26`92f=<g89=6=4+26`9b3=<a:31<7*=7c80=>=n9:>1<7*=7c8127=<uk9=?7>56;294~"5>j0>96F=749K63c<,;=i68l4$6296>N6;h1/;44;859j70<72-8<n7=l;I04e>=n;l0;6)<8b;076>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o413:1(?9m:2;8?l74<3:1(?9m:341?>{e;?81<7850;2x 70d2<?0D?9:;I05a>"5?k0>n6*80;08L45f3-=2696;;h16>5<#:>h1?n5G26c8?l5b290/>:l52508?l26290/>:l56b98k451290/>:l5f798m6?=83.9;o4<9:9j562=83.9;o4=6398yg5193:1:7>50z&12f<2=2B9;85G27g8 71e2<h0(:>52:J27d=#?00?495f3483>!40j39h7E<8a:9j7`<72-8<n7<;2:9j04<72-8<n78l;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h300?6=,;=i6?8=;:a736=83<1<7>t$34`>03<@;=>7E<9e:&13g<2j2.<<7<4H01b?!1>2=2?7d=::18'62d=;j1C>:o4;h1f>5<#:>h1>9<4;h62>5<#:>h1:n54o015>5<#:>h1j;54i2;94?"5?k08565f12694?"5?k09:?54}c16a?6=>3:1<v*=6b861>N5?<1C>;k4$35a>0d<,>:1>6F>3`9'3<<30=1b?84?:%04f?5d3A8<m65f3d83>!40j38?>65f4083>!40j3<h76a>3783>!40j3l=76g<9;29 71e2:307d?<4;29 71e2;<976sm2d594?0=83:p(?8l:418L7123A8=i6*=7c86f>"08380D<=n;%5:>1>33`9>6=4+26`97f=<a:o1<7*=7c8107=<a=;1<7*=7c85g>=h9:<1<7*=7c8e2>=n;00;6)<8b;1:?>o6;=0;6)<8b;056>=zj;o?6=49:183!41k3?87E<85:J12`=#:>h19o5+7181?M74i2.<57:74:k01?6=,;=i6>m4;h1f>5<#:>h1>9<4;h62>5<#:>h1:n54o015>5<#:>h1j;54i2;94?"5?k08565f12694?"5?k09:?54}c0f6?6=>3:1<v*=6b867>N5?<1C>;k4$35a>0d<,>:1>6F>3`9'3<<30=1b?84?:%04f?5d32c8i7>5$35a>72532c?=7>5$35a>3e<3f;8:7>5$35a>c0<3`926=4+26`97<=<a89?6=4+26`9634<3th9i>4?:783>5}#:?i19>5G2678L70b3-8<n7;m;%53>7=O9:k0(:754968m63=83.9;o4<c:9j7`<72-8<n7<;2:9j04<72-8<n78l;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h300?6=,;=i6?8=;:a5`0=8321<7>t$34`>01<@;=>7E<9e:&44?4<,;=i6?8<;I30e>"013>386g<5;29 71e2:i07d:>:18'62d=>j10e<=7:18'62d=:?=07b?<6;29 71e2o<07d=6:18'62d=;010e?8=:18'62d=:?80D?8k;:k107<72-8<n7<;2:J12a=<a;?m6=4+26`963><3th:i>4?:983>5}#:?i19:5G2678L70b3-=;6?5+26`9635<@89j7)96:5:7?l52290/>:l53b98m17=83.9;o49c:9j56>=83.9;o4=6698k451290/>:l5f798m6?=83.9;o4<9:9j634=83.9;o4=639K63b<3`8?>7>5$35a>7253A8=h65f24d94?"5?k09:554}c3fa?6=03:1<v*=6b863>N5?<1C>;k4$6296>"5?k09:>5G12c8 2?=<1>0e>;50;&13g<4k21b8<4?:%04f?0d32c:?54?:%04f?41?21d=>850;&13g<a>21b?44?:%04f?5>32c9:?4?:%04f?41:2B9:i54i361>5<#:>h1>9<4H34g?>o5=o0;6)<8b;05<>=zj8oi6=47:183!41k3?<7E<85:J12`=#?9097)<8b;057>N6;h1/;44;859j70<72-8<n7=l;:k75?6=,;=i6;m4;h30<?6=,;=i6?88;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h056?6=,;=i6?8=;I05`>=n:=81<7*=7c8107=O:?n07d<:f;29 71e2;<376sm14;94?5=83:p(?8l:357?M40=2B9:h5+26`9625<,>3185:4i2c94?"5?k0=o65f7983>!40j3<h76ai3;29 71e2o<07pl>5983>6<729q/>;m52668L7123A8=i6*=7c8136=#?00?495f3`83>!40j3<h76g88;29 71e2?i07bh<:18'62d=n?10qo?;d;290?6=8r.9:n478:J130=O:?o0(?9m:958 2?=<1>0e>o50;&13g<1m21b8?4?:%04f?0c32c99k4?:%04f?41921dj>4?:%04f?`132wi=8950;194?6|,;<h6?9;;I041>N5>l1/>:l52618 2?=<1>0e>o50;&13g<1k21b;54?:%04f?0d32em?7>5$35a>c0<3th:8o4?:583>5}#:?i1455G2678L70b3-8<n768;%5:>1>33`9j6=4+26`92`=<a=81<7*=7c85`>=n:<l1<7*=7c8124=<go91<7*=7c8e2>=zj8?=6=4<:183!41k38<86F=749K63c<,;=i6?9<;%5:>1>33`9j6=4+26`92f=<a>21<7*=7c85g>=hn:0;6)<8b;d5?>{e9=31<7:50;2x 70d2120D?9:;I05a>"5?k03;6*89;6;0>o4i3:1(?9m:7g8?l25290/>:l56e98m73a290/>:l52738?j`4290/>:l5f798yg72=3:1?7>50z&12f<5?=1C>:;4H34f?!40j38<?6*89;6;0>o4i3:1(?9m:7a8?l1?290/>:l56b98kc5=83.9;o4i6:9~f420290?6=4?{%05g?>?3A8<96F=6d9'62d=0>1/;44;859j7d<72-8<n78j;:k76?6=,;=i6;j4;h06b?6=,;=i6?8>;:me7?6=,;=i6k84;|`211<72:0;6=u+27a9622<@;=>7E<9e:&13g<5?:1/;44;859j7d<72-8<n78l;:k4<?6=,;=i6;m4;nd0>5<#:>h1j;54}c371?6=<3:1<v*=6b8;<>N5?<1C>;k4$35a>=1<,>3185:4i2c94?"5?k0=i65f4383>!40j3<o76g=5g83>!40j38==65`f283>!40j3l=76sm14194?5=83:p(?8l:357?M40=2B9:h5+26`9625<,>3185:4i2c94?"5?k0=o65f7983>!40j3<h76ai3;29 71e2o<07pl>4283>1<729q/>;m5899K623<@;<n7)<8b;:4?!1>2=2?7d=n:18'62d=>l10e9<50;&13g<1l21b>8h50;&13g<5>810ck=50;&13g<a>21vn<;=:180>5<7s-8=o7<84:J130=O:?o0(?9m:350?!1>2=2?7d=n:18'62d=>j10e:650;&13g<1k21dj>4?:%04f?`132wi=9?50;694?6|,;<h6564H356?M41m2.9;o477:&4=?2?<2c8m7>5$35a>3c<3`>96=4+26`92a=<a;?m6=4+26`9637<3fl86=4+26`9b3=<uk;>=7>53;294~"5>j09;95G2678L70b3-8<n7<83:&4=?2?<2c8m7>5$35a>3e<3`=36=4+26`92f=<go91<7*=7c8e2>=zj8>;6=4;:183!41k3237E<85:J12`=#:>h14:5+7887<1=n;h0;6)<8b;4f?>o3:3:1(?9m:7f8?l42n3:1(?9m:342?>ia;3:1(?9m:g48?xd5880;654?:1y'63e==>1C>:;4H34f?!172;1/>:l52718L45f3-=2696;;h16>5<#:>h1?n54i5394?"5?k0=o65f12:94?"5?k09::54o015>5<#:>h1j;54i2;94?"5?k08565f27094?"5?k09:?5G27f8?l43:3:1(?9m:361?M41l21b>8h50;&13g<5>110qo<?0;29<?6=8r.9:n4:7:J130=O:?o0(:>52:&13g<5>:1C=>o4$6;90=2<a:?1<7*=7c80g>=n<80;6)<8b;4`?>o6;10;6)<8b;053>=h9:<1<7*=7c8e2>=n;00;6)<8b;1:?>o5>;0;6)<8b;056>N5>m10e?:=:18'62d=:=80D?8k;:k11c<72-8<n7<98:9~f4`a29036=4?{%05g?303A8<96F=6d9'35<53-8<n7<93:J27d=#?00?495f3483>!40j39h76g;1;29 71e2?i07d?<8;29 71e2;<<76a>3783>!40j3l=76g<9;29 71e2:307d<92;29 71e2;<97E<9d:9j614=83.9;o4=439K63b<3`8>j7>5$35a>70?32wi=kk50;:94?6|,;<h6894H356?M41m2.<<7<4$35a>7043A;8m6*89;6;0>o4=3:1(?9m:2a8?l26290/>:l56b98m45?290/>:l52758?j74>3:1(?9m:g48?l5>290/>:l53898m705290/>:l52708L70c32c98?4?:%04f?43:2B9:i54i37e>5<#:>h1>;64;|`2e2<7210;6=u+27a912=O:>?0D?8j;%53>7=#:>h1>;=4H01b?!1>2=2?7d=::18'62d=;j10e9?50;&13g<1k21b=>650;&13g<5>>10c<=9:18'62d=n?10e>750;&13g<4121b>;<50;&13g<5>;1C>;j4;h076?6=,;=i6?:=;I05`>=n:<l1<7*=7c812==<uk;j:7>58;294~"5>j0>;6F=749K63c<,>:1>6*=7c8126=O9:k0(:754968m63=83.9;o4<c:9j04<72-8<n78l;:k27=<72-8<n7<97:9l560=83.9;o4i6:9j7<<72-8<n7=6;:k127<72-8<n7<92:J12a=<a;>96=4+26`9614<@;<o76g=5g83>!40j38=465rb0c6>5<?290;w)<9c;74?M40=2B9:h5+7181?!40j38=?6F>3`9'3<<30=1b?84?:%04f?5d32c?=7>5$35a>3e<3`;847>5$35a>70032e:?;4?:%04f?`132c857>5$35a>6?<3`8=>7>5$35a>7053A8=h65f25094?"5?k098?5G27f8?l42n3:1(?9m:34;?>{e9h>1<7650;2x 70d2<=0D?9:;I05a>"08380(?9m:340?M74i2.<57:74:k01?6=,;=i6>m4;h62>5<#:>h1:n54i01;>5<#:>h1>;94;n302?6=,;=i6k84;h1:>5<#:>h1?454i341>5<#:>h1>;<4H34g?>o5<;0;6)<8b;076>N5>m10e?;i:18'62d=:?207pl>be83>3<729q/>;m5539K623<@;<n7)9?:39'62d==k1C=>o4$6;90=2<a:?1<7*=7c80g>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o413:1(?9m:2;8?l74<3:1(?9m:341?>o4m3:1(?9m:361?>{e9ki1<7850;2x 70d2<80D?9:;I05a>"08380(?9m:4`8L45f3-=2696;;h16>5<#:>h1?n54i5394?"5?k0=o65`12494?"5?k0m:65f3883>!40j39276g>3583>!40j38=>65f3d83>!40j38?>65rb0`a>5<1290;w)<9c;71?M40=2B9:h5+7181?!40j3?i7E?<a:&4=?2?<2c897>5$35a>6e<3`>:6=4+26`92f=<g89=6=4+26`9b3=<a:31<7*=7c80=>=n9:>1<7*=7c8127=<a:o1<7*=7c8107=<uk;jj7>58;294~"5>j0>46F=749K63c<,>:1>6*=7c8126=O9:k0(:754968m63=83.9;o4<c:9j7<<72-8<n7=6;:k75?6=,;=i6;m4;h30<?6=,;=i6?88;:m273<72-8<n7h9;:k127<72-8<n7<92:J12a=<a;>96=4+26`9614<@;<o76g=5g83>!40j38=465rb0cf>5<?290;w)<9c;7;?M40=2B9:h5+7181?!40j38=?6F>3`9'3<<30=1b?84?:%04f?5d32c857>5$35a>6?<3`>:6=4+26`92f=<a8936=4+26`9631<3f;8:7>5$35a>c0<3`8=>7>5$35a>7053A8=h65f25094?"5?k098?5G27f8?l42n3:1(?9m:34;?>{e9hn1<7650;2x 70d2<20D?9:;I05a>"08380(?9m:340?M74i2.<57:74:k01?6=,;=i6>m4;h1:>5<#:>h1?454i5394?"5?k0=o65f12:94?"5?k09::54o015>5<#:>h1j;54i341>5<#:>h1>;<4H34g?>o5<;0;6)<8b;076>N5>m10e?;i:18'62d=:?207pl>ab83>=<729q/>;m5599K623<@;<n7)9?:39'62d=:?90D<=n;%5:>1>33`9>6=4+26`97f=<a:31<7*=7c80=>=n<80;6)<8b;4`?>o6;10;6)<8b;053>=h9:<1<7*=7c8e2>=n:?81<7*=7c8127=O:?n07d<;2;29 71e2;>97E<9d:9j60`=83.9;o4=6998yg7>=3:147>50z&12f<2?2B9;85G27g8 26=:2.9;o4=629K56g<,>3185:4i2794?"5?k08o65f4083>!40j3<h76g>3983>!40j38=;65`12494?"5?k0m:65f3883>!40j39276g=6383>!40j38=>6F=6e98m725290/>:l52508L70c32c99k4?:%04f?41021vn<7;:18;>5<7s-8=o7;8;I041>N5>l1/;=4=;%04f?41;2B:?l5+7887<1=n;<0;6)<8b;1`?>o393:1(?9m:7a8?l7403:1(?9m:344?>i6;?0;6)<8b;d5?>o413:1(?9m:2;8?l41:3:1(?9m:341?M41l21b>9<50;&13g<5<;1C>;j4;h06b?6=,;=i6?87;:a5<5=8321<7>t$34`>01<@;=>7E<9e:&44?4<,;=i6?8<;I30e>"013>386g<5;29 71e2:i07d:>:18'62d=>j10e<=7:18'62d=:?=07b?<6;29 71e2o<07d=6:18'62d=;010e?8=:18'62d=:?80D?8k;:k107<72-8<n7<;2:J12a=<a;?m6=4+26`963><3th:5?4?:983>5}#:?i19:5G2678L70b3-=;6?5+26`9635<@89j7)96:5:7?l52290/>:l53b98m17=83.9;o49c:9j56>=83.9;o4=6698k451290/>:l5f798m6?=83.9;o4<9:9j634=83.9;o4=639K63b<3`8?>7>5$35a>7253A8=h65f24d94?"5?k09:554}c3:5?6=03:1<v*=6b863>N5?<1C>;k4$6296>"5?k09:>5G12c8 2?=<1>0e>;50;&13g<4k21b8<4?:%04f?0d32c:?54?:%04f?41?21d=>850;&13g<a>21b?44?:%04f?5>32c9:?4?:%04f?41:2B9:i54i361>5<#:>h1>9<4H34g?>o5=o0;6)<8b;05<>=zj83;6=47:183!41k3?<7E<85:J12`=#?9097)<8b;057>N6;h1/;44;859j70<72-8<n7=l;:k75?6=,;=i6;m4;h30<?6=,;=i6?88;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h056?6=,;=i6?8=;I05`>=n:=81<7*=7c8107=O:?n07d<:f;29 71e2;<376sm19d94?>=83:p(?8l:458L7123A8=i6*80;08 71e2;<87E?<a:&4=?2?<2c897>5$35a>6e<3`>:6=4+26`92f=<a8936=4+26`9631<3f;8:7>5$35a>c0<3`926=4+26`97<=<a;<96=4+26`9634<@;<o76g=4383>!40j38?>6F=6e98m73a290/>:l527:8?xd60l0;654?:1y'63e==>1C>:;4H34f?!172;1/>:l52718L45f3-=2696;;h16>5<#:>h1?n54i5394?"5?k0=o65f12:94?"5?k09::54o015>5<#:>h1j;54i2;94?"5?k08565f27094?"5?k09:?5G27f8?l43:3:1(?9m:361?M41l21b>8h50;&13g<5>110qo?7d;29<?6=8r.9:n4:7:J130=O:?o0(:>52:&13g<5>:1C=>o4$6;90=2<a:?1<7*=7c80g>=n<80;6)<8b;4`?>o6;10;6)<8b;053>=h9:<1<7*=7c8e2>=n;00;6)<8b;1:?>o5>;0;6)<8b;056>N5>m10e?:=:18'62d=:=80D?8k;:k11c<72-8<n7<98:9~f4`029036=4?{%05g?303A8<96F=6d9'35<53-8<n7<93:J27d=#?00?495f3483>!40j39h76g;1;29 71e2?i07d?<8;29 71e2;<<76a>3783>!40j3l=76g<9;29 71e2:307d<92;29 71e2;<97E<9d:9j614=83.9;o4=439K63b<3`8>j7>5$35a>70?32wi=5=50;494?6|,;<h6:94H356?M41m2.<<7l4$35a>23<,>3185:4i5394?"5?k0=o65f6383>!40j39h7E<8a:9l560=83.9;o4i6:9j26<72-8<n7=6;:k271<72-8<n7<92:9j7`<72-8<n7<;2:9~f40f290=6=4?{%05g?333A8<96F=6d9'62d==k1/;=4=;%5:>1>33`9>6=4+26`97f=<a:31<7*=7c80=>=n;l0;6)<8b;076>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o5>;0;6)<8b;056>N5>m10qo?k0;292?6=8r.9:n4:6:J130=O:?o0(:>52:&13g<2j2B:?l5+7887<1=n;<0;6)<8b;1`?>o413:1(?9m:2;8?l26290/>:l56b98k451290/>:l5f798m453290/>:l52708?l5b290/>:l52508?xd6ko0;6;4?:1y'63e==?1C>:;4H34f?!172;1/>:l55c9K56g<,>3185:4i2794?"5?k08o65f3883>!40j39276g;1;29 71e2?i07b?<6;29 71e2o<07d?<4;29 71e2;<976g<e;29 71e2;>976sm1bg94?0=83:p(?8l:448L7123A8=i6*80;08 71e2<h0D<=n;%5:>1>33`9>6=4+26`97f=<a:31<7*=7c80=>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o6;=0;6)<8b;056>=n;l0;6)<8b;076>=zj8io6=49:183!41k3?=7E<85:J12`=#?9097)<8b;7a?M74i2.<57:74:k01?6=,;=i6>m4;h1:>5<#:>h1?454i5394?"5?k0=o65`12494?"5?k0m:65f12694?"5?k09:?54i2g94?"5?k098?54}c3`g?6=>3:1<v*=6b862>N5?<1C>;k4$6296>"5?k0>n6F>3`9'3<<30=1b?84?:%04f?5d32c857>5$35a>6?<3`>:6=4+26`92f=<g89=6=4+26`9b3=<a89?6=4+26`9634<3`9n6=4+26`9614<3th:oo4?:783>5}#:?i19;5G2678L70b3-=;6?5+26`91g=O9:k0(:754968m63=83.9;o4<c:9j7<<72-8<n7=6;:k75?6=,;=i6;m4;n302?6=,;=i6k84;h300?6=,;=i6?8=;:k0a?6=,;=i6?:=;:a5fg=83<1<7>t$34`>00<@;=>7E<9e:&44?4<,;=i68l4H01b?!1>2=2?7d=::18'62d=;j10e>750;&13g<4121b8<4?:%04f?0d32e:?;4?:%04f?`132c:?94?:%04f?41:21b?h4?:%04f?43:21vn<m6:185>5<7s-8=o7;9;I041>N5>l1/;=4=;%04f?3e3A;8m6*89;6;0>o4=3:1(?9m:2a8?l5>290/>:l53898m17=83.9;o49c:9l560=83.9;o4i6:9j562=83.9;o4=6398m6c=83.9;o4=4398yg46=3:1:7>50z&12f<2>2B9;85G27g8 26=j2.9;o4:b:J27d=#?00?495f3483>!40j39h76g<9;29 71e2:307d:>:18'62d=>j10c<=9:18'62d=n?10e<=;:18'62d=:?807d=j:18'62d=:=807pl=1583>3<729q/>;m5579K623<@;<n7)9?:c9'62d==k1C=>o4$6;90=2<a:?1<7*=7c80g>=n;00;6)<8b;1:?>o393:1(?9m:7a8?j74>3:1(?9m:g48?l74<3:1(?9m:341?>o4m3:1(?9m:361?>{e:891<7850;2x 70d2<<0D?9:;I05a>"083h0(?9m:4`8L45f3-=2696;;h16>5<#:>h1?n54i2;94?"5?k08565f4083>!40j3<h76a>3783>!40j3l=76g>3583>!40j38=>65f3d83>!40j38?>65rb331>5<1290;w)<9c;75?M40=2B9:h5+718a?!40j3?i7E?<a:&4=?2?<2c897>5$35a>6e<3`926=4+26`97<=<a=;1<7*=7c85g>=h9:<1<7*=7c8e2>=n9:>1<7*=7c8127=<a:o1<7*=7c8107=<uk8:=7>56;294~"5>j0>:6F=749K63c<,>:1n6*=7c86f>N6;h1/;44;859j70<72-8<n7=l;:k0=?6=,;=i6>74;h62>5<#:>h1:n54o015>5<#:>h1j;54i017>5<#:>h1>;<4;h1f>5<#:>h1>9<4;|`155<72?0;6=u+27a913=O:>?0D?8j;%53>g=#:>h19o5G12c8 2?=<1>0e>;50;&13g<4k21b?44?:%04f?5>32c?=7>5$35a>3e<3f;8:7>5$35a>c0<3`;887>5$35a>70532c8i7>5$35a>72532wi>=h50;494?6|,;<h6884H356?M41m2.<<7l4$35a>0d<@89j7)96:5:7?l52290/>:l53b98m6?=83.9;o4<9:9j04<72-8<n78l;:m273<72-8<n7h9;:k271<72-8<n7<92:9j7`<72-8<n7<;2:9~f76b290=6=4?{%05g?313A8<96F=6d9'35<e3-8<n7;m;I30e>"013>386g<5;29 71e2:i07d=6:18'62d=;010e9?50;&13g<1k21d=>850;&13g<a>21b=>:50;&13g<5>;10e>k50;&13g<5<;10qo<?d;292?6=8r.9:n4:6:J130=O:?o0(:>5b:&13g<2j2B:?l5+7887<1=n;<0;6)<8b;1`?>o413:1(?9m:2;8?l26290/>:l56b98k451290/>:l5f798m453290/>:l52708?l5b290/>:l52508?xd58j0;6;4?:1y'63e==?1C>:;4H34f?!172k1/>:l55c9K56g<,>3185:4i2794?"5?k08o65f3883>!40j39276g;1;29 71e2?i07b?<6;29 71e2o<07d?<4;29 71e2;<976g<e;29 71e2;>976sm1d394?0=83:p(?8l:448L7123A8=i6*80;`8 71e2<h0D<=n;%5:>1>33`9>6=4+26`97f=<a:31<7*=7c80=>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o6;=0;6)<8b;056>=n;l0;6)<8b;076>=zj8o;6=49:183!41k3?=7E<85:J12`=#?90i7)<8b;7a?M74i2.<57:74:k01?6=,;=i6>m4;h1:>5<#:>h1?454i5394?"5?k0=o65`12494?"5?k0m:65f12694?"5?k09:?54i2g94?"5?k098?54}c3gb?6=>3:1<v*=6b862>N5?<1C>;k4$629f>"5?k0>n6F>3`9'3<<30=1b?84?:%04f?5d32c857>5$35a>6?<3`>:6=4+26`92f=<g89=6=4+26`9b3=<a89?6=4+26`9634<3`9n6=4+26`9614<3th:hh4?:783>5}#:?i19;5G2678L70b3-=;6o5+26`91g=O9:k0(:754968m63=83.9;o4<c:9j7<<72-8<n7=6;:k75?6=,;=i6;m4;n302?6=,;=i6k84;h300?6=,;=i6?8=;:k0a?6=,;=i6?:=;:a5ab=83<1<7>t$34`>00<@;=>7E<9e:&44?d<,;=i68l4H01b?!1>2=2?7d=::18'62d=;j10e>750;&13g<4121b8<4?:%04f?0d32e:?;4?:%04f?`132c:?94?:%04f?41:21b?h4?:%04f?43:21vn<jl:185>5<7s-8=o7;9;I041>N5>l1/;=4m;%04f?3e3A;8m6*89;6;0>o4=3:1(?9m:2a8?l5>290/>:l53898m17=83.9;o49c:9l560=83.9;o4i6:9j562=83.9;o4=6398m6c=83.9;o4=4398yg7cj3:1:7>50z&12f<2>2B9;85G27g8 26=j2.9;o4:b:J27d=#?00?495f3483>!40j39h76g<9;29 71e2:307d:>:18'62d=>j10c<=9:18'62d=n?10e<=;:18'62d=:?807d=j:18'62d=:=807pl>b583>3<729q/>;m5579K623<@;<n7)9?:39'62d==k1C=>o4$6;90=2<a:?1<7*=7c80g>=n;00;6)<8b;1:?>o393:1(?9m:7a8?j74>3:1(?9m:g48?l74<3:1(?9m:341?>o4m3:1(?9m:361?>{e9l31<7=50;2x 70d2>l0D?9:;I05a>"5?k0:?n5+7887<1=#?90i7d89:18'62d=:o1e>:750:9j22<72-8<n7<i;o04=?7<3fl86=4+26`9b3=<uk8357>53;294~"5>j0<j6F=749K63c<,;=i6<=l;%5:>1>33-=;69:4i7494?"5?k09j6`=7883?>o1?3:1(?9m:3d8j71>2810ck=50;&13g<a>21vn?69:180>5<7s-8=o79i;I041>N5>l1/>:l512a8 2?=<1>0(:>5459j23<72-8<n7<i;o04=?6<3`<<6=4+26`96c=i:>31=65`f283>!40j3l=76sm23`94?3=83:p(?8l:918L7123A8=i6*=7c827f=#?00?495+71823>o1>3:1(?9m:3d8j71>2910e;950;&13g<5n2d9;44>;:k5<?6=,;=i6?h4n35:>7=<a?31<7*=7c81b>h5?00876ai3;29 71e2o<07pl=2883>0<729q/>;m5829K623<@;<n7)<8b;30g>"013>386*80;07?l01290/>:l52g9m62?=821b::4?:%04f?4a3g8<57?4;h4;>5<#:>h1>k5a26;96>=n>00;6)<8b;0e?k4013907bh<:18'62d=n?10qo<=a;290?6=8r.9:n471:J130=O:?o0(?9m:01`?!1>2=2?7)9?:5d8m30=83.9;o4=f:l13<<732c=;7>5$35a>7`<f;=26<54i7:94?"5?k09j6`=7881?>ia;3:1(?9m:g48?xd3=h0;694?:1y'63e=081C>:;4H34f?!40j3;8o6*89;6;0>"08320e;850;&13g<5n2d9;44?;:k53?6=,;=i6?h4n35:>4=<a?21<7*=7c81b>h5?00976ai3;29 71e2o<07pl=8583>1<729q/>;m5809K623<@;<n7)<8b;30g>"013>386*80;66?l01290/>:l52g9m62?=821b::4?:%04f?4a3g8<57?4;h4;>5<#:>h1>k5a26;96>=hn:0;6)<8b;d5?>{e:181<7:50;2x 70d21;0D?9:;I05a>"5?k0:?n5+7887<1=#?90:m6g96;29 71e2;l0b?96:198m31=83.9;o4=f:l13<<632c=47>5$35a>7`<f;=26?54og194?"5?k0m:65rb30g>5<4290;w)<9c;5e?M40=2B9:h5+26`956e<,>3185:4$62901=n>?0;6)<8b;0e?k4013:07d88:18'62d=:o1e>:751:9lb6<72-8<n7h9;:a617=8391<7>t$34`>2`<@;=>7E<9e:&13g<6;j1/;44;859'35<682c=:7>5$35a>7`<f;=26=54i7594?"5?k09j6`=7882?>ia;3:1(?9m:g48?xd59>0;6>4?:1y'63e=?o1C>:;4H34f?!40j3;8o6*89;6;0>"083;i7d89:18'62d=:o1e>:750:9j22<72-8<n7<i;o04=?7<3fl86=4+26`9b3=<uk;=;7>53;294~"5>j0<j6F=749K63c<,;=i6<=l;%5:>1>33-=;6<;4i7494?"5?k09j6`=7883?>o1?3:1(?9m:3d8j71>2810ck=50;&13g<a>21vn<8<:180>5<7s-8=o79i;I041>N5>l1/>:l512a8 2?=<1>0(:>5149j23<72-8<n7<i;o04=?6<3`<<6=4+26`96c=i:>31=65`f283>!40j3l=76sm17294?5=83:p(?8l:6d8L7123A8=i6*=7c827f=#?00?495+71821>o1>3:1(?9m:3d8j71>2910e;950;&13g<5n2d9;44>;:me7?6=,;=i6k84;|`21f<72:0;6=u+27a93c=O:>?0D?8j;%04f?74k2.<57:74:&44?723`<=6=4+26`96c=i:>31<65f6683>!40j38m7c<89;38?j`4290/>:l5f798ygb329086=4?{%05g?1a3A8<96F=6d9'62d=9:i0(:754968 26=9<1b:;4?:%04f?4a3g8<57>4;h44>5<#:>h1>k5a26;95>=hn:0;6)<8b;d5?>{e9o31<7:50;2x 70d21;0D?9:;I05a>"5?k0:?n5+7887<1=#?9037d89:18'62d=:o1e>:750:9j22<72-8<n7<i;o04=?7<3`<36=4+26`96c=i:>31>65`f283>!40j3l=76sm23594?2=83:p(?8l:938L7123A8=i6*=7c827f=#?00?495+71871>o1>3:1(?9m:3d8j71>2910e;950;&13g<5n2d9;44>;:k5<?6=,;=i6?h4n35:>7=<go91<7*=7c8e2>=zj;8>6=4;:183!41k32:7E<85:J12`=#:>h1=>m4$6;90=2<,>:1885f6783>!40j38m7c<89;28?l00290/>:l52g9m62?=921b:54?:%04f?4a3g8<57<4;nd0>5<#:>h1j;54}c017?6=<3:1<v*=6b8;5>N5?<1C>;k4$35a>45d3-=2696;;%53>13<a?<1<7*=7c81b>h5?00;76g97;29 71e2;l0b?96:098m3>=83.9;o4=f:l13<<532em?7>5$35a>c0<3th9><4?:583>5}#:?i14<5G2678L70b3-8<n7?<c:&4=?2?<2.<<7::;h45>5<#:>h1>k5a26;94>=n>>0;6)<8b;0e?k4013;07d87:18'62d=:o1e>:752:9lb6<72-8<n7h9;:a64`=83>1<7>t$34`>=7<@;=>7E<9e:&13g<6;j1/;44;859'35<3=2c=:7>5$35a>7`<f;=26=54i7594?"5?k09j6`=7882?>o103:1(?9m:3d8j71>2;10ck=50;&13g<a>21vn??k:187>5<7s-8=o76>;I041>N5>l1/>:l512a8 2?=<1>0(:>5449j23<72-8<n7<i;o04=?6<3`<<6=4+26`96c=i:>31=65f6983>!40j38m7c<89;08?j`4290/>:l5f798yg46j3:187>50z&12f<?92B9;85G27g8 71e289h7)96:5:7?!172=?0e;850;&13g<5n2d9;44?;:k53?6=,;=i6?h4n35:>4=<a?21<7*=7c81b>h5?00976ai3;29 71e2o<07pl=1883>1<729q/>;m5809K623<@;<n7)<8b;30g>"013>386*80;66?l01290/>:l52g9m62?=821b::4?:%04f?4a3g8<57?4;h4;>5<#:>h1>k5a26;96>=hn:0;6)<8b;d5?>{e9?21<7:50;2x 70d21;0D?9:;I05a>"5?k0:?n5+7887<1=#?90:46g96;29 71e2;l0b?96:198m31=83.9;o4=f:l13<<632c=47>5$35a>7`<f;=26?54og194?"5?k0m:65rb047>5<3290;w)<9c;:2?M40=2B9:h5+26`956e<,>3185:4$6295==n>?0;6)<8b;0e?k4013:07d88:18'62d=:o1e>:751:9j2=<72-8<n7<i;o04=?4<3fl86=4+26`9b3=<uk;==7>54;294~"5>j03=6F=749K63c<,;=i6<=l;%5:>1>33-=;6<64i7494?"5?k09j6`=7883?>o1?3:1(?9m:3d8j71>2810e;650;&13g<5n2d9;44=;:me7?6=,;=i6k84;|`21a<72=0;6=u+27a9<4=O:>?0D?8j;%04f?74k2.<57:74:&44?7?3`<=6=4+26`96c=i:>31<65f6683>!40j38m7c<89;38?l0?290/>:l52g9m62?=:21dj>4?:%04f?`132wih84?:583>5}#:?i14<5G2678L70b3-8<n7?<c:&4=?2?<2.<<7?7;h45>5<#:>h1>k5a26;94>=n>>0;6)<8b;0e?k4013;07d87:18'62d=:o1e>:752:9lb6<72-8<n7h9;:a5`>=83?1<7>t$34`>=5<@;=>7E<9e:&13g<6;j1/;44;859'35<3m2c=:7>5$35a>7`<f;=26=54i7594?"5?k09j6`=7882?>o103:1(?9m:3d8j71>2;10e;750;&13g<5n2d9;44<;:me7?6=,;=i6k84;|`2a0<72<0;6=u+27a9<6=O:>?0D?8j;%04f?74k2.<57:74:&44?363`<=6=4+26`96c=i:>31<65f6683>!40j38m7c<89;38?l0?290/>:l52g9m62?=:21b:44?:%04f?4a3g8<57=4;nd0>5<#:>h1j;54}c35=?6==3:1<v*=6b8;7>N5?<1C>;k4$35a>45d3-=2696;;%53>4?<a?<1<7*=7c81b>h5?00;76g97;29 71e2;l0b?96:098m3>=83.9;o4=f:l13<<532c=57>5$35a>7`<f;=26>54og194?"5?k0m:65rb046>5<2290;w)<9c;:0?M40=2B9:h5+26`956e<,>3185:4$6295<=n>?0;6)<8b;0e?k4013:07d88:18'62d=:o1e>:751:9j2=<72-8<n7<i;o04=?4<3`<26=4+26`96c=i:>31?65`f283>!40j3l=76sm17094?3=83:p(?8l:918L7123A8=i6*=7c827f=#?00?495+7182=>o1>3:1(?9m:3d8j71>2910e;950;&13g<5n2d9;44>;:k5<?6=,;=i6?h4n35:>7=<a?31<7*=7c81b>h5?00876ai3;29 71e2o<07pl>5d83>0<729q/>;m5829K623<@;<n7)<8b;30g>"013>386*80;3:?l01290/>:l52g9m62?=821b::4?:%04f?4a3g8<57?4;h4;>5<#:>h1>k5a26;96>=n>00;6)<8b;0e?k4013907bh<:18'62d=n?10qoj9:186>5<7s-8=o76<;I041>N5>l1/>:l512a8 2?=<1>0(:>5189j23<72-8<n7<i;o04=?6<3`<<6=4+26`96c=i:>31=65f6983>!40j38m7c<89;08?l0>290/>:l52g9m62?=;21dj>4?:%04f?`132wi=>h50;194?6|,;<h6:h4H356?M41m2.9;o4>3b9'3<<30=1/;=4;4:k52?6=,;=i6?h4n35:>5=<a?=1<7*=7c81b>h5?00:76ai3;29 71e2o<07pl=de83>0<729q/>;m5829K623<@;<n7)<8b;30g>"013>386*80;58m30=83.9;o4=f:l13<<732c=;7>5$35a>7`<f;=26<54i7:94?"5?k09j6`=7881?>o113:1(?9m:3d8j71>2:10ck=50;&13g<a>21vn9=k:187>5<7s-8=o76>;I041>N5>l1/>:l512a8 2?=<1>0(:>5519j23<72-8<n7<i;o04=?6<3`<<6=4+26`96c=i:>31=65f6983>!40j38m7c<89;08?j`4290/>:l5f798yg24k3:197>50z&12f<?;2B9;85G27g8 71e289h7)96:5:7?!172>1b:;4?:%04f?4a3g8<57>4;h44>5<#:>h1>k5a26;95>=n>10;6)<8b;0e?k4013807d86:18'62d=:o1e>:753:9lb6<72-8<n7h9;:a00b=83?1<7>t$34`>=5<@;=>7E<9e:&13g<6;j1/;44;859'35<6l2c=:7>5$35a>7`<f;=26=54i7594?"5?k09j6`=7882?>o103:1(?9m:3d8j71>2;10e;750;&13g<5n2d9;44<;:me7?6=,;=i6k84;|`71g<72<0;6=u+27a9<6=O:>?0D?8j;%04f?74k2.<57:74:&44?b<a?<1<7*=7c81b>h5?00;76g97;29 71e2;l0b?96:098m3>=83.9;o4=f:l13<<532c=57>5$35a>7`<f;=26>54og194?"5?k0m:65rb0d2>5<4290;w)<9c;5e?M40=2B9:h5+26`956e<,>3185:4$62955=n>?0;6)<8b;0e?k4013:07d88:18'62d=:o1e>:751:9lb6<72-8<n7h9;:a5g7=83?1<7>t$34`>=5<@;=>7E<9e:&13g<6;j1/;44;859'35<6l2c=:7>5$35a>7`<f;=26=54i7594?"5?k09j6`=7882?>o103:1(?9m:3d8j71>2;10e;750;&13g<5n2d9;44<;:me7?6=,;=i6k84;|`2aa<72=0;6=u+27a9<4=O:>?0D?8j;%04f?74k2.<57:74:&44?4e3`<=6=4+26`96c=i:>31<65f6683>!40j38m7c<89;38?l0?290/>:l52g9m62?=:21dj>4?:%04f?`132wi=k>50;794?6|,;<h65=4H356?M41m2.9;o4>3b9'3<<30=1/;=4:c:k52?6=,;=i6?h4n35:>5=<a?=1<7*=7c81b>h5?00:76g98;29 71e2;l0b?96:398m3?=83.9;o4=f:l13<<432em?7>5$35a>c0<3th:ik4?:483>5}#:?i14>5G2678L70b3-8<n7?<c:&4=?2?<2.<<7<<;h45>5<#:>h1>k5a26;94>=n>>0;6)<8b;0e?k4013;07d87:18'62d=:o1e>:752:9j2<<72-8<n7<i;o04=?5<3fl86=4+26`9b3=<uk;i?7>54;294~"5>j03=6F=749K63c<,;=i6<=l;%5:>1>33-=;645f6783>!40j38m7c<89;28?l00290/>:l52g9m62?=921b:54?:%04f?4a3g8<57<4;nd0>5<#:>h1j;54}c647?6==3:1<v*=6b8;7>N5?<1C>;k4$35a>45d3-=2696;;%53>1=n>?0;6)<8b;0e?k4013:07d88:18'62d=:o1e>:751:9j2=<72-8<n7<i;o04=?4<3`<26=4+26`96c=i:>31?65`f283>!40j3l=76sm18;94?3=83:p(?8l:918L7123A8=i6*=7c827f=#?00?495+718g?l01290/>:l52g9m62?=821b::4?:%04f?4a3g8<57?4;h4;>5<#:>h1>k5a26;96>=n>00;6)<8b;0e?k4013907bh<:18'62d=n?10qo?67;290?6=8r.9:n471:J130=O:?o0(?9m:01`?!1>2=2?7)9?:b9j23<72-8<n7<i;o04=?6<3`<<6=4+26`96c=i:>31=65f6983>!40j38m7c<89;08?j`4290/>:l5f798yg2?93:197>50z&12f<?;2B9;85G27g8 71e289h7)96:5:7?!172<o0e;850;&13g<5n2d9;44?;:k53?6=,;=i6?h4n35:>4=<a?21<7*=7c81b>h5?00976g99;29 71e2;l0b?96:298kc5=83.9;o4i6:9~f11a290>6=4?{%05g?>43A8<96F=6d9'62d=9:i0(:754968 26==j1b:;4?:%04f?4a3g8<57>4;h44>5<#:>h1>k5a26;95>=n>10;6)<8b;0e?k4013807d86:18'62d=:o1e>:753:9lb6<72-8<n7h9;:a015=83?1<7>t$34`>=5<@;=>7E<9e:&13g<6;j1/;44;859'35<03`<=6=4+26`96c=i:>31<65f6683>!40j38m7c<89;38?l0?290/>:l52g9m62?=:21b:44?:%04f?4a3g8<57=4;nd0>5<#:>h1j;54}c66g?6==3:1<v*=6b8;7>N5?<1C>;k4$35a>45d3-=2696;;%53>1=n>?0;6)<8b;0e?k4013:07d88:18'62d=:o1e>:751:9j2=<72-8<n7<i;o04=?4<3`<26=4+26`96c=i:>31?65`f283>!40j3l=76sm44;94?3=83:p(?8l:918L7123A8=i6*=7c827f=#?00?495+718g?l01290/>:l52g9m62?=821b::4?:%04f?4a3g8<57?4;h4;>5<#:>h1>k5a26;96>=n>00;6)<8b;0e?k4013907bh<:18'62d=n?10qo<j9;290?6=8r.9:n471:J130=O:?o0(?9m:01`?!1>2=2?7)9?:578m30=83.9;o4=f:l13<<732c=;7>5$35a>7`<f;=26<54i7:94?"5?k09j6`=7881?>ia;3:1(?9m:g48?xd4;00;684?:1y'63e=0:1C>:;4H34f?!40j3;8o6*89;6;0>"083;o7d89:18'62d=:o1e>:750:9j22<72-8<n7<i;o04=?7<3`<36=4+26`96c=i:>31>65f6883>!40j38m7c<89;18?j`4290/>:l5f798yg5403:1?7>50z&12f<0n2B9;85G27g8 71e289h7)96:5:7?!1728h0e;850;&13g<5n2d9;44?;:k53?6=,;=i6?h4n35:>4=<go91<7*=7c8e2>=zj;oi6=4;:183!41k32:7E<85:J12`=#:>h1=>m4$6;90=2<,>:1885f6783>!40j38m7c<89;28?l00290/>:l52g9m62?=921b:54?:%04f?4a3g8<57<4;nd0>5<#:>h1j;54}c0fb?6=<3:1<v*=6b8;5>N5?<1C>;k4$35a>45d3-=2696;;%53>13<a?<1<7*=7c81b>h5?00;76g97;29 71e2;l0b?96:098m3>=83.9;o4=f:l13<<532em?7>5$35a>c0<3th9ii4?:583>5}#:?i14<5G2678L70b3-8<n7?<c:&4=?2?<2.<<7::;h45>5<#:>h1>k5a26;94>=n>>0;6)<8b;0e?k4013;07d87:18'62d=:o1e>:752:9lb6<72-8<n7h9;:a7dd=8381<7>t$34`>3g<@;=>7E<9e:&13g<482B<?6*84;4`?!1>2=2?7d8::18'62d=>j10ck=50;&13g<a>21vn>9m:181>5<7s-8=o78n;I041>N5>l1/>:l5319K36=#?=0=o6*89;6;0>o1=3:1(?9m:7a8?j`4290/>:l5f798yg50l3:1>7>50z&12f<1i2B9;85G27g8 71e2::0D:=4$6692f=#?00?495f6483>!40j3<h76ai3;29 71e2o<07pl=9983>7<729q/>;m56`9K623<@;<n7)<8b;13?M143-=?6;m4$6;90=2<a??1<7*=7c85g>=hn:0;6)<8b;d5?>{e:0=1<7<50;2x 70d2?k0D?9:;I05a>"5?k08<6F83:&40?0d3-=2696;;h46>5<#:>h1:n54og194?"5?k0m:65rb3;5>5<5290;w)<9c;4b?M40=2B9:h5+26`975=O?:1/;949c:&4=?2?<2c=97>5$35a>3e<3fl86=4+26`9b3=<uk8297>52;294~"5>j0=m6F=749K63c<,;=i6>>4H618 22=>j1/;44;859j20<72-8<n78l;:me7?6=,;=i6k84;|`1e6<72;0;6=u+27a92d=O:>?0D?8j;%04f?573A=87)9;:7a8 2?=<1>0e;;50;&13g<1k21dj>4?:%04f?`132wi>l<50;094?6|,;<h6;o4H356?M41m2.9;o4<0:J47>"0<3<h7)96:5:7?l02290/>:l56b98kc5=83.9;o4i6:9~f7g629096=4?{%05g?0f3A8<96F=6d9'62d=;91C;>5+7585g>"013>386g95;29 71e2?i07bh<:18'62d=n?10qo<n0;296?6=8r.9:n49a:J130=O:?o0(?9m:228L25<,>>1:n5+7887<1=n><0;6)<8b;4`?>ia;3:1(?9m:g48?xd51o0;6?4?:1y'63e=>h1C>:;4H34f?!40j39;7E9<;%57>3e<,>3185:4i7794?"5?k0=o65`f283>!40j3l=76sm3d494?4=83:p(?8l:7c8L7123A8=i6*=7c804>N0;2.<878l;%5:>1>33`<>6=4+26`92f=<go91<7*=7c8e2>=zj;o:6=4=:183!41k3l?7E<85:J12`=#:>h1?=5G729'31<a>2.<57:74:k51?6=,;=i6;m4;nd0>5<#:>h1j;54}c1f<?6=:3:1<v*=6b8e0>N5?<1C>;k4$35a>66<@>90(::5f79'3<<30=1b:84?:%04f?0d32em?7>5$35a>c0<3th?4>4?:383>5}#:?i1j95G2678L70b3-8<n7=?;I50?!132o<0(:754968m33=83.9;o49c:9lb6<72-8<n7h9;:a6a`=8381<7>t$34`>c2<@;=>7E<9e:&13g<482B<?6*84;d5?!1>2=2?7d8::18'62d=>j10ck=50;&13g<a>21vn>o6:181>5<7s-8=o7h;;I041>N5>l1/>:l5319K36=#?=0m:6*89;6;0>o1=3:1(?9m:7a8?j`4290/>:l5f798yg4?n3:1>7>50z&12f<a<2B9;85G27g8 71e2::0D:=4$669b3=#?00?495f6483>!40j3<h76ai3;29 71e2o<07pl=8e83>7<729q/>;m5f59K623<@;<n7)<8b;13?M143-=?6k84$6;90=2<a??1<7*=7c85g>=hn:0;6)<8b;d5?>{e:1i1<7<50;2x 70d2o>0D?9:;I05a>"5?k08<6F83:&40?`13-=2696;;h46>5<#:>h1:n54og194?"5?k0m:65rb3:a>5<5290;w)<9c;d7?M40=2B9:h5+26`975=O?:1/;94i6:&4=?2?<2c=97>5$35a>3e<3fl86=4+26`9b3=<uk83m7>52;294~"5>j0m86F=749K63c<,;=i6>>4H618 22=n?1/;44;859j20<72-8<n78l;:me7?6=,;=i6k84;|`0`7<72;0;6=u+27a9b1=O:>?0D?8j;%04f?573A=87)9;:g48 2?=<1>0e;;50;&13g<1k21dj>4?:%04f?`132wi?nk50;094?6|,;<h6k:4H356?M41m2.9;o4<0:J47>"0<3l=7)96:5:7?l02290/>:l56b98kc5=83.9;o4i6:9~f6ef29096=4?{%05g?`33A8<96F=6d9'62d=;91C;>5+758e2>"013>386g95;29 71e2?i07bh<:18'62d=n?10qo=l6;296?6=8r.9:n4i4:J130=O:?o0(?9m:228L25<,>>1j;5+7887<1=n><0;6)<8b;4`?>ia;3:1(?9m:g48?xd4k;0;6?4?:1y'63e=n=1C>:;4H34f?!40j39;7E9<;%57>c0<,>3185:4i7794?"5?k0=o65`f283>!40j3l=76sm3cg94?4=83:p(?8l:g68L7123A8=i6*=7c804>N0;2.<87h9;%5:>1>33`<>6=4+26`92f=<go91<7*=7c8e2>=zj:hj6=4=:183!41k3l?7E<85:J12`=#:>h1?=5G729'31<a>2.<57:74:k51?6=,;=i6;m4;nd0>5<#:>h1j;54}c1a2?6=:3:1<v*=6b8e0>N5?<1C>;k4$35a>66<@>90(::5f79'3<<30=1b:84?:%04f?0d32em?7>5$35a>c0<3th8m>4?:383>5}#:?i1j95G2678L70b3-8<n7=?;I50?!132o<0(:754968m33=83.9;o49c:9lb6<72-8<n7h9;:a7d7=8381<7>t$34`>c2<@;=>7E<9e:&13g<482B<?6*84;d5?!1>2=2?7d8::18'62d=>j10ck=50;&13g<a>21vn>o?:181>5<7s-8=o7h;;I041>N5>l1/>:l5319K36=#?=0m:6*89;6;0>o1=3:1(?9m:7a8?j`4290/>:l5f798yg5>n3:1>7>50z&12f<a<2B9;85G27g8 71e2::0D:=4$669b3=#?00?495f6483>!40j3<h76ai3;29 71e2o<07pl<9d83>7<729q/>;m5f59K623<@;<n7)<8b;13?M143-=?6k84$6;90=2<a??1<7*=7c85g>=hn:0;6)<8b;d5?>{e;0n1<7<50;2x 70d2o>0D?9:;I05a>"5?k08<6F83:&40?`13-=2696;;h46>5<#:>h1:n54og194?"5?k0m:65rb2;`>5<5290;w)<9c;d7?M40=2B9:h5+26`975=O?:1/;94i6:&4=?2?<2c=97>5$35a>3e<3fl86=4+26`9b3=<uk92n7>52;294~"5>j0m86F=749K63c<,;=i6>>4H618 22=n?1/;44;859j20<72-8<n78l;:me7?6=,;=i6k84;|`0<`<72;0;6=u+27a9b1=O:>?0D?8j;%04f?573A=87)9;:g48 2?=<1>0e;;50;&13g<1k21dj>4?:%04f?`132wi?5j50;094?6|,;<h6k:4H356?M41m2.9;o4<0:J47>"0<3l=7)96:5:7?l02290/>:l56b98kc5=83.9;o4i6:9~f6>d29096=4?{%05g?`33A8<96F=6d9'62d=;91C;>5+758e2>"013>386g95;29 71e2?i07bh<:18'62d=n?10qo=7b;296?6=8r.9:n4i4:J130=O:?o0(?9m:228L25<,>>1j;5+7887<1=n><0;6)<8b;4`?>ia;3:1(?9m:g48?xd40h0;6?4?:1y'63e=n=1C>:;4H34f?!40j39;7E9<;%57>c0<,>3185:4i7794?"5?k0=o65`f283>!40j3l=76sm39;94?4=83:p(?8l:g68L7123A8=i6*=7c804>N0;2.<87h9;%5:>1>33`<>6=4+26`92f=<go91<7*=7c8e2>=zj:236=4=:183!41k3l?7E<85:J12`=#:>h1?=5G729'31<a>2.<57:74:k51?6=,;=i6;m4;nd0>5<#:>h1j;54}c1;3?6=:3:1<v*=6b8e0>N5?<1C>;k4$35a>66<@>90(::5f79'3<<30=1b:84?:%04f?0d32em?7>5$35a>c0<3th:nl4?:983>5}#:?i19:5G2678L70b3-=;6?5+26`9635<@89j7)96:5:7?l52290/>:l53b98m17=83.9;o49c:9j56>=83.9;o4=6698k451290/>:l5f798m6?=83.9;o4<9:9j634=83.9;o4=639K63b<3`8?>7>5$35a>7253A8=h65f24d94?"5?k09:554}c3e7?6=03:1<v*=6b86=>N5?<1C>;k4$6296>"5?k09:>5G12c8 2?=<1>0e>;50;&13g<4k21b8<4?:%04f?0d32c:?54?:%04f?41?21b>8h50;&13g<5>110c<=9:18'62d=n?10e>750;&13g<4121b>;<50;&13g<5>;1C>;j4;h076?6=,;=i6?:=;I05`>=zjh;1<7=50;2x 70d2>o0D?9:;I05a>"5?k0:?n5+7887<1=#?90996g96;29 71e2;l0b?96:198kc5=83.9;o4i6:9j22<72-8<n7<i;o04=?7<f;<j6=54}cc:>5<4290;w)<9c;5f?M40=2B9:h5+26`956e<,>3185:4$62960=n>?0;6)<8b;0e?k4013:07bh<:18'62d=n?10e;950;&13g<5n2d9;44>;o05e?6<3thjn7>53;294~"5>j0<i6F=749K63c<,;=i6<=l;%5:>1>33-=;6?;4i7494?"5?k09j6`=7883?>ia;3:1(?9m:g48?l00290/>:l52g9m62?=92d9:l4?;:aea<72:0;6=u+27a93`=O:>?0D?8j;%04f?74k2.<57:74:&44?423`<=6=4+26`96c=i:>31<65`f283>!40j3l=76g97;29 71e2;l0b?96:09m63g=821vnlh50;194?6|,;<h6:k4H356?M41m2.9;o4>3b9'3<<30=1/;=4=5:k52?6=,;=i6?h4n35:>5=<go91<7*=7c8e2>=n>>0;6)<8b;0e?k4013;0b?8n:198ygd629086=4?{%05g?1b3A8<96F=6d9'62d=9:i0(:754968 26=:<1b:;4?:%04f?4a3g8<57>4;nd0>5<#:>h1j;54i7594?"5?k09j6`=7882?k41i3:07plm3;297?6=8r.9:n48e:J130=O:?o0(?9m:01`?!1>2=2?7)9?:378m30=83.9;o4=f:l13<<732em?7>5$35a>c0<3`<<6=4+26`96c=i:>31=6`=6`83?>{ej<0;6>4?:1y'63e=?l1C>:;4H34f?!40j3;8o6*89;6;0>"0838>7d89:18'62d=:o1e>:750:9lb6<72-8<n7h9;:k53?6=,;=i6?h4n35:>4=i:?k1<65rbc594?5=83:p(?8l:6g8L7123A8=i6*=7c827f=#?00?495+71811>o1>3:1(?9m:3d8j71>2910ck=50;&13g<a>21b::4?:%04f?4a3g8<57?4n34b>5=<uk2o6=4<:183!41k3=n7E<85:J12`=#:>h1=>m4$6;90=2<,>:1>85f6783>!40j38m7c<89;28?j`4290/>:l5f798m31=83.9;o4=f:l13<<63g8=m7>4;|`;b?6=;3:1<v*=6b84a>N5?<1C>;k4$35a>45d3-=2696;;%53>73<a?<1<7*=7c81b>h5?00;76ai3;29 71e2o<07d88:18'62d=:o1e>:751:l12d<732wi5<4?:283>5}#:?i1;h5G2678L70b3-8<n7?<c:&4=?2?<2.<<7<:;h45>5<#:>h1>k5a26;94>=hn:0;6)<8b;d5?>o1?3:1(?9m:3d8j71>281e>;o50:9~f<5=8391<7>t$34`>2c<@;=>7E<9e:&13g<6;j1/;44;859'35<5=2c=:7>5$35a>7`<f;=26=54og194?"5?k0m:65f6683>!40j38m7c<89;38j70f2910qo7::180>5<7s-8=o79j;I041>N5>l1/>:l512a8 2?=<1>0(:>5249j23<72-8<n7<i;o04=?6<3fl86=4+26`9b3=<a?=1<7*=7c81b>h5?00:7c<9a;28?xd>?3:1?7>50z&12f<0m2B9;85G27g8 71e289h7)96:5:7?!172;?0e;850;&13g<5n2d9;44?;:me7?6=,;=i6k84;h44>5<#:>h1>k5a26;95>h5>h0;76sm9883>6<729q/>;m57d9K623<@;<n7)<8b;30g>"013>386*80;06?l01290/>:l52g9m62?=821dj>4?:%04f?`132c=;7>5$35a>7`<f;=26<5a27c94>=zj0h1<7=50;2x 70d2>o0D?9:;I05a>"5?k0:?n5+7887<1=#?90996g96;29 71e2;l0b?96:198kc5=83.9;o4i6:9j22<72-8<n7<i;o04=?7<f;<j6=54}c;g>5<4290;w)<9c;5f?M40=2B9:h5+26`956e<,>3185:4$62960=n>?0;6)<8b;0e?k4013:07bh<:18'62d=n?10e;950;&13g<5n2d9;44>;o05e?6<3th2j7>53;294~"5>j0<i6F=749K63c<,;=i6<=l;%5:>1>33-=;6?;4i7494?"5?k09j6`=7883?>ia;3:1(?9m:g48?l00290/>:l52g9m62?=92d9:l4?;:ae6<72:0;6=u+27a93`=O:>?0D?8j;%04f?74k2.<57:74:&44?423`<=6=4+26`96c=i:>31<65`f283>!40j3l=76g97;29 71e2;l0b?96:09m63g=821vnl;50;194?6|,;<h6:k4H356?M41m2.9;o4>3b9'3<<30=1/;=4=5:k52?6=,;=i6?h4n35:>5=<go91<7*=7c8e2>=n>>0;6)<8b;0e?k4013;0b?8n:198ygg029086=4?{%05g?1b3A8<96F=6d9'62d=9:i0(:754968 26=:<1b:;4?:%04f?4a3g8<57>4;nd0>5<#:>h1j;54i7594?"5?k09j6`=7882?k41i3:07pl<f883>6<729q/>;m57d9K623<@;<n7)<8b;30g>"013>386*80;06?l01290/>:l52g9m62?=821dj>4?:%04f?`132c=;7>5$35a>7`<f;=26<5a27c94>=zj:l<6=4<:183!41k3=n7E<85:J12`=#:>h1=>m4$6;90=2<,>:1>85f6783>!40j38m7c<89;28?j`4290/>:l5f798m31=83.9;o4=f:l13<<63g8=m7>4;|`0b0<72:0;6=u+27a93`=O:>?0D?8j;%04f?74k2.<57:74:&44?423`<=6=4+26`96c=i:>31<65`f283>!40j3l=76g97;29 71e2;l0b?96:09m63g=821vn>h<:180>5<7s-8=o79j;I041>N5>l1/>:l512a8 2?=<1>0(:>5249j23<72-8<n7<i;o04=?6<3fl86=4+26`9b3=<a?=1<7*=7c81b>h5?00:7c<9a;28?xd4n80;6>4?:1y'63e=?l1C>:;4H34f?!40j3;8o6*89;6;0>"0838>7d89:18'62d=:o1e>:750:9lb6<72-8<n7h9;:k53?6=,;=i6?h4n35:>4=i:?k1<65rb2ge>5<4290;w)<9c;5f?M40=2B9:h5+26`956e<,>3185:4$62960=n>?0;6)<8b;0e?k4013:07bh<:18'62d=n?10e;950;&13g<5n2d9;44>;o05e?6<3th8ii4?:283>5}#:?i1;h5G2678L70b3-8<n7?<c:&4=?2?<2.<<7<:;h45>5<#:>h1>k5a26;94>=hn:0;6)<8b;d5?>o1?3:1(?9m:3d8j71>281e>;o50:9~f6ce29086=4?{%05g?1b3A8<96F=6d9'62d=9:i0(:754968 26=:<1b:;4?:%04f?4a3g8<57>4;nd0>5<#:>h1j;54i7594?"5?k09j6`=7882?k41i3:07pl;1683>6<729q/>;m57d9K623<@;<n7)<8b;30g>"013>386*80;06?l01290/>:l52g9m62?=821dj>4?:%04f?`132c=;7>5$35a>7`<f;=26<5a27c94>=zj=;>6=4<:183!41k3=n7E<85:J12`=#:>h1=>m4$6;90=2<,>:1>85f6783>!40j38m7c<89;28?j`4290/>:l5f798m31=83.9;o4=f:l13<<63g8=m7>4;|`756<72:0;6=u+27a93`=O:>?0D?8j;%04f?74k2.<57:74:&44?423`<=6=4+26`96c=i:>31<65`f283>!40j3l=76g97;29 71e2;l0b?96:09m63g=821vn9?>:180>5<7s-8=o79j;I041>N5>l1/>:l512a8 2?=<1>0(:>5249j23<72-8<n7<i;o04=?6<3fl86=4+26`9b3=<a?=1<7*=7c81b>h5?00:7c<9a;28?xd38o0;6>4?:1y'63e=?l1C>:;4H34f?!40j3;8o6*89;6;0>"0838>7d89:18'62d=:o1e>:750:9lb6<72-8<n7h9;:k53?6=,;=i6?h4n35:>4=i:?k1<65rb52g>5<4290;w)<9c;5f?M40=2B9:h5+26`956e<,>3185:4$62960=n>?0;6)<8b;0e?k4013:07bh<:18'62d=n?10e;950;&13g<5n2d9;44>;o05e?6<3th?<o4?:283>5}#:?i1;h5G2678L70b3-8<n7?<c:&4=?2?<2.<<7<:;h45>5<#:>h1>k5a26;94>=hn:0;6)<8b;d5?>o1?3:1(?9m:3d8j71>281e>;o50:9~f16>29086=4?{%05g?1b3A8<96F=6d9'62d=9:i0(:754968 26=:<1b:;4?:%04f?4a3g8<57>4;nd0>5<#:>h1j;54i7594?"5?k09j6`=7882?k41i3:07pl=f583>6<729q/>;m57d9K623<@;<n7)<8b;30g>"013>386*80;06?l01290/>:l52g9m62?=821dj>4?:%04f?`132c=;7>5$35a>7`<f;=26<5a27c94>=zj;l96=4<:183!41k3=n7E<85:J12`=#:>h1=>m4$6;90=2<,>:1>85f6783>!40j38m7c<89;28?j`4290/>:l5f798m31=83.9;o4=f:l13<<63g8=m7>4;|`043<72:0;6=u+27a93`=O:>?0D?8j;%04f?74k2.<57:74:&44?423`<=6=4+26`96c=i:>31<65`f283>!40j3l=76g97;29 71e2;l0b?96:09m63g=821vn>>;:180>5<7s-8=o79j;I041>N5>l1/>:l512a8 2?=<1>0(:>5249j23<72-8<n7<i;o04=?6<3fl86=4+26`9b3=<a?=1<7*=7c81b>h5?00:7c<9a;28?xd48;0;6>4?:1y'63e=?l1C>:;4H34f?!40j3;8o6*89;6;0>"0838>7d89:18'62d=:o1e>:750:9lb6<72-8<n7h9;:k53?6=,;=i6?h4n35:>4=i:?k1<65rb223>5<4290;w)<9c;5f?M40=2B9:h5+26`956e<,>3185:4$62960=n>?0;6)<8b;0e?k4013:07bh<:18'62d=n?10e;950;&13g<5n2d9;44>;o05e?6<3th9jh4?:283>5}#:?i1;h5G2678L70b3-8<n7?<c:&4=?2?<2.<<7<:;h45>5<#:>h1>k5a26;94>=hn:0;6)<8b;d5?>o1?3:1(?9m:3d8j71>281e>;o50:9~f7`d29086=4?{%05g?1b3A8<96F=6d9'62d=9:i0(:754968 26=:<1b:;4?:%04f?4a3g8<57>4;nd0>5<#:>h1j;54i7594?"5?k09j6`=7882?k41i3:07pl=f`83>6<729q/>;m57d9K623<@;<n7)<8b;30g>"013>386*80;06?l01290/>:l52g9m62?=821dj>4?:%04f?`132c=;7>5$35a>7`<f;=26<5a27c94>=zj;l36=4<:183!41k3=n7E<85:J12`=#:>h1=>m4$6;90=2<,>:1>85f6783>!40j38m7c<89;28?j`4290/>:l5f798m31=83.9;o4=f:l13<<63g8=m7>4;|`1b3<72:0;6=u+27a93`=O:>?0D?8j;%04f?74k2.<57:74:&44?423`<=6=4+26`96c=i:>31<65`f283>!40j3l=76g97;29 71e2;l0b?96:09m63g=821vn<:j:180>5<7s-8=o79j;I041>N5>l1/>:l512a8 2?=<1>0(:>5249j23<72-8<n7<i;o04=?6<3fl86=4+26`9b3=<a?=1<7*=7c81b>h5?00:7c<9a;28?xd6<j0;6>4?:1y'63e=?l1C>:;4H34f?!40j3;8o6*89;6;0>"0838>7d89:18'62d=:o1e>:750:9lb6<72-8<n7h9;:k53?6=,;=i6?h4n35:>4=i:?k1<65rb06b>5<4290;w)<9c;5f?M40=2B9:h5+26`956e<,>3185:4$62960=n>?0;6)<8b;0e?k4013:07bh<:18'62d=n?10e;950;&13g<5n2d9;44>;o05e?6<3th:854?:283>5}#:?i1;h5G2678L70b3-8<n7?<c:&4=?2?<2.<<7<:;h45>5<#:>h1>k5a26;94>=hn:0;6)<8b;d5?>o1?3:1(?9m:3d8j71>281e>;o50:9~f42129086=4?{%05g?1b3A8<96F=6d9'62d=9:i0(:754968 26=:<1b:;4?:%04f?4a3g8<57>4;nd0>5<#:>h1j;54i7594?"5?k09j6`=7882?k41i3:07pl>4583>6<729q/>;m57d9K623<@;<n7)<8b;30g>"013>386*80;06?l01290/>:l52g9m62?=821dj>4?:%04f?`132c=;7>5$35a>7`<f;=26<5a27c94>=zj8>96=4<:183!41k3=n7E<85:J12`=#:>h1=>m4$6;90=2<,>:1>85f6783>!40j38m7c<89;28?j`4290/>:l5f798m31=83.9;o4=f:l13<<63g8=m7>4;|``e?6=;3:1<v*=6b84a>N5?<1C>;k4$35a>45d3-=2696;;%53>73<a?<1<7*=7c81b>h5?00;76ai3;29 71e2o<07d88:18'62d=:o1e>:751:l12d<732wi8=850;194?6|,;<h6:k4H356?M41m2.9;o4>3b9'3<<30=1/;=4=5:k52?6=,;=i6?h4n35:>5=<go91<7*=7c8e2>=n>>0;6)<8b;0e?k4013;0b?8n:198yg25<3:1?7>50z&12f<0m2B9;85G27g8 71e289h7)96:5:7?!172;?0e;850;&13g<5n2d9;44?;:me7?6=,;=i6k84;h44>5<#:>h1>k5a26;95>h5>h0;76sm31f94?5=83:p(?8l:6g8L7123A8=i6*=7c827f=#?00?495+71811>o1>3:1(?9m:3d8j71>2910ck=50;&13g<a>21b::4?:%04f?4a3g8<57?4n34b>5=<uk;>m7>53;294~"5>j0<i6F=749K63c<,;=i6<=l;%5:>1>33-=;6?;4i7494?"5?k09j6`=7883?>ia;3:1(?9m:g48?l00290/>:l52g9m62?=92d9:l4?;:a024=83?1<7>t$34`>=5<@;=>7E<9e:&13g<6;j1/;44;859'35<c3`<=6=4+26`96c=i:>31<65f6683>!40j38m7c<89;38?l0?290/>:l52g9m62?=:21b:44?:%04f?4a3g8<57=4;nd0>5<#:>h1j;54}c3:<?6==3:1<v*=6b8;7>N5?<1C>;k4$35a>45d3-=2696;;%53>2=n>?0;6)<8b;0e?k4013:07d88:18'62d=:o1e>:751:9j2=<72-8<n7<i;o04=?4<3`<26=4+26`96c=i:>31?65`f283>!40j3l=76sm49294?3=83:p(?8l:918L7123A8=i6*=7c827f=#?00?495+7186b>o1>3:1(?9m:3d8j71>2910e;950;&13g<5n2d9;44>;:k5<?6=,;=i6?h4n35:>7=<a?31<7*=7c81b>h5?00876ai3;29 71e2o<07pl>d383>0<729q/>;m5829K623<@;<n7)<8b;30g>"013>386*80;78m30=83.9;o4=f:l13<<732c=;7>5$35a>7`<f;=26<54i7:94?"5?k09j6`=7881?>o113:1(?9m:3d8j71>2:10ck=50;&13g<a>21vn>li:187>5<7s-8=o766;I041>N5>l1/>:l5869'3<<30=1b:;4?:%04f?0c32c=;7>5$35a>3c<3`8>j7>5$35a>70632em?7>5$35a>c0<3th8o=4?:483>5}#:?i14>5G2678L70b3-8<n7?<c:&4=?2?<2.<<7<l;h45>5<#:>h1>k5a26;94>=n>>0;6)<8b;0e?k4013;07d87:18'62d=:o1e>:752:9j2<<72-8<n7<i;o04=?5<3fl86=4+26`9b3=<uk9h=7>55;294~"5>j03?6F=749K63c<,;=i6<=l;%5:>1>33-=;6<k4i7494?"5?k09j6`=7883?>o1?3:1(?9m:3d8j71>2810e;650;&13g<5n2d9;44=;:k5=?6=,;=i6?h4n35:>6=<go91<7*=7c8e2>=zj:i<6=4;:183!41k3227E<85:J12`=#:>h14:5+7887<1=n>?0;6)<8b;4g?>o1?3:1(?9m:7g8?l42n3:1(?9m:342?>ia;3:1(?9m:g48?xd4k10;684?:1y'63e=0:1C>:;4H34f?!40j3;8o6*89;6;0>"083?o7d89:18'62d=:o1e>:750:9j22<72-8<n7<i;o04=?7<3`<36=4+26`96c=i:>31>65f6883>!40j38m7c<89;18?j`4290/>:l5f798yg5d13:197>50z&12f<?;2B9;85G27g8 71e289h7)96:5:7?!1728;0e;850;&13g<5n2d9;44?;:k53?6=,;=i6?h4n35:>4=<a?21<7*=7c81b>h5?00976g99;29 71e2;l0b?96:298kc5=83.9;o4i6:9~f6ee290?6=4?{%05g?>>3A8<96F=6d9'62d=0>1/;44;859j23<72-8<n78k;:k53?6=,;=i6;k4;h06b?6=,;=i6?8>;:me7?6=,;=i6k84;|`0gf<72<0;6=u+27a9<6=O:>?0D?8j;%04f?74k2.<57:74:&44?2>3`<=6=4+26`96c=i:>31<65f6683>!40j38m7c<89;38?l0?290/>:l52g9m62?=:21b:44?:%04f?4a3g8<57=4;nd0>5<#:>h1j;54}c1``?6==3:1<v*=6b8;7>N5?<1C>;k4$35a>45d3-=2696;;%53>40<a?<1<7*=7c81b>h5?00;76g97;29 71e2;l0b?96:098m3>=83.9;o4=f:l13<<532c=57>5$35a>7`<f;=26>54og194?"5?k0m:65rb2`a>5<3290;w)<9c;::?M40=2B9:h5+26`9<2=#?00?495f6783>!40j3<o76g97;29 71e2?o07d<:f;29 71e2;<:76ai3;29 71e2o<07pl<bb83>0<729q/>;m5829K623<@;<n7)<8b;30g>"013>386*80;0f?l01290/>:l52g9m62?=821b::4?:%04f?4a3g8<57?4;h4;>5<#:>h1>k5a26;96>=n>00;6)<8b;0e?k4013907bh<:18'62d=n?10qo=md;291?6=8r.9:n473:J130=O:?o0(?9m:01`?!1>2=2?7)9?:548m30=83.9;o4=f:l13<<732c=;7>5$35a>7`<f;=26<54i7:94?"5?k09j6`=7881?>o113:1(?9m:3d8j71>2:10ck=50;&13g<a>21vn>mi:187>5<7s-8=o766;I041>N5>l1/>:l5869'3<<30=1b:;4?:%04f?0c32c=;7>5$35a>3c<3`8>j7>5$35a>70632em?7>5$35a>c0<3th8h=4?:483>5}#:?i14>5G2678L70b3-8<n7?<c:&4=?2?<2.<<7:8;h45>5<#:>h1>k5a26;94>=n>>0;6)<8b;0e?k4013;07d87:18'62d=:o1e>:752:9j2<<72-8<n7<i;o04=?5<3fl86=4+26`9b3=<uk9o=7>55;294~"5>j03?6F=749K63c<,;=i6<=l;%5:>1>33-=;6l5f6783>!40j38m7c<89;28?l00290/>:l52g9m62?=921b:54?:%04f?4a3g8<57<4;h4:>5<#:>h1>k5a26;97>=hn:0;6)<8b;d5?>{e;j91<7:50;2x 70d2130D?9:;I05a>"5?k03;6*89;6;0>o1>3:1(?9m:7f8?l00290/>:l56d98m73a290/>:l52738?j`4290/>:l5f798yg5d<3:197>50z&12f<?;2B9;85G27g8 71e289h7)96:5:7?!172=20e;850;&13g<5n2d9;44?;:k53?6=,;=i6?h4n35:>4=<a?21<7*=7c81b>h5?00976g99;29 71e2;l0b?96:298kc5=83.9;o4i6:9~f6e2290>6=4?{%05g?>43A8<96F=6d9'62d=9:i0(:754968 26=:11b:;4?:%04f?4a3g8<57>4;h44>5<#:>h1>k5a26;95>=n>10;6)<8b;0e?k4013807d86:18'62d=:o1e>:753:9lb6<72-8<n7h9;:a7g1=83>1<7>t$34`>=?<@;=>7E<9e:&13g<??2.<57:74:k52?6=,;=i6;j4;h44>5<#:>h1:h54i37e>5<#:>h1>;?4;nd0>5<#:>h1j;54}c1a<?6==3:1<v*=6b8;7>N5?<1C>;k4$35a>45d3-=2696;;%53>7b<a?<1<7*=7c81b>h5?00;76g97;29 71e2;l0b?96:098m3>=83.9;o4=f:l13<<532c=57>5$35a>7`<f;=26>54og194?"5?k0m:65rb2`:>5<2290;w)<9c;:0?M40=2B9:h5+26`956e<,>3185:4$629a>o1>3:1(?9m:3d8j71>2910e;950;&13g<5n2d9;44>;:k5<?6=,;=i6?h4n35:>7=<a?31<7*=7c81b>h5?00876ai3;29 71e2o<07pl<a783>7<52:q/>;m5339K623<@;<n7)96:5:7?l022900ck=50;9a2g<72;0;6=u+27a92g=#:>h1?o5+7585g>N0;2.<57:74:k51?6=,;=i6;m4;nd0>5<#:>h1j;54}c12>5<5290;w)<9c;12?!40j39i7)96:5:7?l02290/>:l56b98kc5=83.9;o4i6:9~w33=838pR;;4=7`920=z{o91<7<t^g18967=n:1v;l50;0x93d=n:16?<495:~f4db29096=4?{%05g?563A8<96F=6d9'62d=;k1/;44;859j20<72-8<n78l;:me7?6=,;=i6k84;|`1a3<72;0;6=u+27a974=O:>?0D?8j;%04f?5e3-=2696;;h46>5<#:>h1:n54og194?"5?k0m:65rb563>5<5290;w)<9c;12?M40=2B9:h5+26`97g=#?00?495f6483>!40j3<h76ai3;29 71e2o<07plm9;296?6=8r.9:n481:J130=O:?o0(?9m:228 2?=<1>0e;;50;&13g<1k21dj>4?:%04f?`13A8<m65rb2da>5<5290;w)<9c;52?M40=2B9:h5+26`975=#?00?495f6483>!40j3<h76ai3;29 71e2o<0D?9n;:a04?=8381<7>t$34`>27<@;=>7E<9e:&13g<482.<57:74:k51?6=,;=i6;m4;nd0>5<#:>h1j;5G26c8?xd4810;6?4?:1y'63e=?81C>:;4H34f?!40j39;7)96:5:7?l02290/>:l56b98kc5=83.9;o4i6:J13d=<uk;><7>52;294~"5>j0<=6F=749K63c<,;=i6>>4$6;90=2<a??1<7*=7c85g>=hn:0;6)<8b;d5?M40i21vn>o;:181>5<7s-8=o79>;I041>N5>l1/>:l5319'3<<30=1b:84?:%04f?0d32em?7>5$35a>c0<@;=j76sm3`094?4=83:p(?8l:638L7123A8=i6*=7c804>"013>386g95;29 71e2?i07bh<:18'62d=n?1C>:o4;|`0=2<72;0;6=u+27a934=O:>?0D?8j;%04f?573-=2696;;h46>5<#:>h1:n54og194?"5?k0m:6F=7`98yg5><3:1>7>50z&12f<092B9;85G27g8 71e2::0(:754968m33=83.9;o49c:9lb6<72-8<n7h9;I04e>=zj:3:6=4=:183!41k3=:7E<85:J12`=#:>h1?=5+7887<1=n><0;6)<8b;4`?>ia;3:1(?9m:g48L71f32wi=n>50;094?6|,;<h6:?4H356?M41m2.9;o4<0:&4=?2?<2c=97>5$35a>3e<3fl86=4+26`9b3=O:>k07pl>6783>7<729q/>;m5709K623<@;<n7)<8b;13?!1>2=2?7d8::18'62d=>j10ck=50;&13g<a>2B9;l54}c36b?6=:3:1<v*=6b845>N5?<1C>;k4$35a>66<,>3185:4i7794?"5?k0=o65`f283>!40j3l=7E<8a:9~f43e29096=4?{%05g?163A8<96F=6d9'62d=;91/;44;859j20<72-8<n78l;:me7?6=,;=i6k84H35b?>{el:0;6?4?:1y'63e=?81C>:;4H34f?!40j39;7)96:5:7?l02290/>:l56b98kc5=83.9;o4i6:J13d=<uk><n7>55;294~"5>j03?6F=749K63c<,;=i6<=l;%5:>1>33-=;685f6783>!40j38m7c<89;28?l00290/>:l52g9m62?=921b:54?:%04f?4a3g8<57<4;h4:>5<#:>h1>k5a26;97>=hn:0;6)<8b;d5?>{e<>i1<7:50;2x 70d2130D?9:;I05a>"5?k03;6*89;6;0>o1>3:1(?9m:7f8?l00290/>:l56d98m73a290/>:l52738?j`4290/>:l5f798yg7>j3:197>50z&12f<?;2B9;85G27g8 71e289h7)96:5:7?!172:<0e;850;&13g<5n2d9;44?;:k53?6=,;=i6?h4n35:>4=<a?21<7*=7c81b>h5?00976g99;29 71e2;l0b?96:298kc5=83.9;o4i6:9~f4?b290>6=4?{%05g?>43A8<96F=6d9'62d=9:i0(:754968 26=;>1b:;4?:%04f?4a3g8<57>4;h44>5<#:>h1>k5a26;95>=n>10;6)<8b;0e?k4013807d86:18'62d=:o1e>:753:9lb6<72-8<n7h9;:a5<`=83>1<7>t$34`>=?<@;=>7E<9e:&13g<??2.<57:74:k52?6=,;=i6;j4;h44>5<#:>h1:h54i37e>5<#:>h1>;?4;nd0>5<#:>h1j;54}c3e1?6==3:1<v*=6b8;7>N5?<1C>;k4$35a>45d3-=2696;;%53>6><a?<1<7*=7c81b>h5?00;76g97;29 71e2;l0b?96:098m3>=83.9;o4=f:l13<<532c=57>5$35a>7`<f;=26>54og194?"5?k0m:65rb0d5>5<3290;w)<9c;::?M40=2B9:h5+26`9<2=#?00?495f6783>!40j3<o76g97;29 71e2?o07d<:f;29 71e2;<:76ai3;29 71e2o<07plk9;293?5=1r.9:n4=649K623<@;<n7)9?:29K56g<,>3185:4i3494?=n:>0;66g=9;29?l4f2900e>m50;9j04<722e:?;4?::`121<7200;6=u+27a9630<,;=i6?89;%301?073A8=56F>3`9'3<<30=1/;=4<;%30f?4092c?=7>5;h1:>5<i:?k1=65f3b83>M74;21b>l4?::k1=?6=3`8<6=44i3494?=h9:<1<75`12594?=zj:n1<7<50;2x 71e2::0e;;50;&13g<1k21dj>4?:%04f?`13A8<m65rb34e>5<6290;w)<8b;d6?j`0290/>:l5f798yv262909wS:>;<050?263ty8o7>52z\0g>;4l3<>7p}=a;296~X5i279:94=a:p6<<72;qU>45227696<=z{;=1<7<t^35897032;=0q~<9:181[41348=87<9;|q273<72;qU=>84=347>4513ty857>52z?121<41279:k4i7:p7a<72;q6>;:53b9>7a<a;2wvn>97:185>5<7s-8=o7;n;I041>N5>l1/>:l55c9'35<53A;8m6*89;6;0>o4=3:1(?9m:2a8L71f32c?=7>5$35a>3e<3f;8:7>5$35a>c0<3`926=4+26`97<=<a89?6=4+26`9634<3`9n6=4+26`9614<3tho47>59;294~N5?<1C>;k4$6292>"5>j09:;5+26`9630<,89>6;>4H34:?M74i2.<57:74:k12?6=3`8<6=44i3;94?=n:h0;66g<9;29j70f2810e>m50;J276=<a=;1<75`12494?=h9:=1<75rb0g1>5<1290;w)<9c;75?M40=2B9:h5+718a?!40j3?i7E?<a:&4=?2?<2c897>5$35a>6e<3`926=4+26`97<=<a=;1<7*=7c85g>=h9:<1<7*=7c8e2>=n9:>1<7*=7c8127=<a:o1<7*=7c8107=<uk9o?7>56;294~"5>j0>96F=749K63c<,;=i68l4$6296>N6;h1/;44;859j70<72-8<n7=l;I04e>=n;l0;6)<8b;076>=n<80;6)<8b;4`?>i6;?0;6)<8b;d5?>o413:1(?9m:2;8?l74<3:1(?9m:341?>{e;m>1<7850;2x 70d2<?0D?9:;I05a>"5?k0>n6*80;08L45f3-=2696;;h16>5<#:>h1?n5G26c8?l5b290/>:l52508?l26290/>:l56b98k451290/>:l5f798m6?=83.9;o4<9:9j562=83.9;o4=6398yg5c=3:1:7>50z&12f<2=2B9;85G27g8 71e2<h0(:>52:J27d=#?00?495f3483>!40j39h7E<8a:9j7`<72-8<n7<;2:9j04<72-8<n78l;:m273<72-8<n7h9;:k0=?6=,;=i6>74;h300?6=,;=i6?8=;:a72?=8391<7>t$34`>2`<@;=>7E<9e:&13g<6;j1/;44;859'35<6j2c=:7>5$35a>7`<f;=26=54i7594?"5?k09j6`=7882?>ia;3:1(?9m:g48?xd4?>0;6;4?:1y'63e==<1C>:;4H34f?!40j3?i7)9?:39K56g<,>3185:4i2794?"5?k08o6F=7`98m6c=83.9;o4=4398m17=83.9;o49c:9l560=83.9;o4i6:9j7<<72-8<n7=6;:k271<72-8<n7<92:9~f11229096=4?{%04f?573-8=o79l;I05a>o1=3:1(?9m:7a8?j`4290/>:l5f798yg20<3:1?7>50z&13g<6;j1C>;k4H356?!1>2=2?7)<9c;:3?!172=>0e;850;&13g<5n2d9;44?;:k53?6=,;=i6?h4n35:>4=<go91<7*=7c8e2>=zj83o6=4=:183!40j39;7)<9c;5`?M41m2c=97>5$35a>3e<3fl86=4+26`9b3=<uk;2o7>54;294~"5?k0:?n5G27g8L7123-=2696;;%05g?>53-=;6<m4i7494?"5?k09j6`=7883?>o1?3:1(?9m:3d8j71>2810e;650;&13g<5n2d9;44=;:me7?6=,;=i6k84;|`707<72;0;6=u+26`975=#:?i1;n5G27g8m33=83.9;o49c:9lb6<72-8<n7h9;:a017=83?1<7>t$35a>45d3A8=i6F=749'3<<30=1/>;m5859'35<03`<=6=4+26`96c=i:>31<65f6683>!40j38m7c<89;38?l0?290/>:l52g9m62?=:21b:44?:%04f?4a3g8<57=4;nd0>5<#:>h1j;54}c102?6=:3:1<v*=7c804>"5>j0<o6F=6d9j20<72-8<n78l;:me7?6=,;=i6k84;|`070<72<0;6=u+26`956e<@;<n7E<85:&4=?2?<2.9:n475:&44?2<a?<1<7*=7c81b>h5?00;76g97;29 71e2;l0b?96:098m3>=83.9;o4=f:l13<<532c=57>5$35a>7`<f;=26>54og194?"5?k0m:65rb55;>5<5290;w)<8b;13?!41k3=h7E<9e:k51?6=,;=i6;m4;nd0>5<#:>h1j;54}c643?6==3:1<v*=7c827f=O:?o0D?9:;%5:>1>33-8=o76:;%53>0e<a?<1<7*=7c81b>h5?00;76g97;29 71e2;l0b?96:098m3>=83.9;o4=f:l13<<532c=57>5$35a>7`<f;=26>54og194?"5?k0m:65rb3:2>5<6290;w)<8b;31b>N5>l1C:k5+758e2>"0j3;:96a>2g83>!40j3;9j65rb3:0>5<6290;w)<8b;31b>N5>l1C:k5+758e2>"0j3;:86a>2g83>!40j3;9j65rb3:6>5<6290;w)<8b;31b>N5>l1C:k5+758e2>"0j3;:46a>2g83>!40j3;9j65rb3:;>5<6290;w)<8b;31b>N5>l1C:k5+758e2>"0j3;:;6a>2g83>!40j3;9j65rb3:f>5<6290;w)<8b;31b>N5>l1C:k5+758e2>"0j3lo7b?=f;29 71e288m76sm28294?7=83:p(?9m:00e?M41m2B=j6*84;4`?!1e288;7d?=f;29 71e288m76sm28394?7=83:p(?9m:00e?M41m2B=j6*84;4`?!1e288:7d?=f;29 71e288m76sm28094?7=83:p(?9m:00e?M41m2B=j6*84;4`?!1e28897d?=f;29 71e288m76sm28694?7=83:p(?9m:00e?M41m2B=j6*84;4`?!1e28887d?=f;29 71e288m76sm28;94?7=83:p(?9m:00e?M41m2B=j6*84;4`?!1e28:i7d?=f;29 71e288m76sm28c94?7=83:p(?9m:00e?M41m2B=j6*84;4`?!1e28;:7d?=f;29 71e288m76sm28`94?7=83:p(?9m:00e?M41m2B=j6*84;4`?!1e28:j7d?=f;29 71e288m76sm28a94?7=83:p(?9m:00e?M41m2B=j6*84;4`?!1e28:m7d?=f;29 71e288m76sm28g94?7=83:p(?9m:00e?M41m2B=j6*84;4`?!1e28:87d?=f;29 71e288m76sm2eg94?7=83:p(?9m:00e?M41m2B=j6*84;d5?!1e2oh0c<<i:18'62d=9;l07pl=e183>4<729q/>:l513d8L70b3A<m7)9;:g48 2d=nh1d=?h50;&13g<6:o10qo=8a;295?6=8r.9;o4>2g9K63c<@?l0(::56b9'3g<ak2c:>k4?:%04f?75n21vn>9l:182>5<7s-8<n7?=f:J12`=O>o1/;949c:&4f?`b3`;9j7>5$35a>44a32wi?:k50;394?6|,;=i6<<i;I05a>N1n2.<87h9;%5a>4433f;9j7>5$35a>44a32wi?:h50;394?6|,;=i6<<i;I05a>N1n2.<87h9;%5a>4413f;9j7>5$35a>44a32wi?5>50;394?6|,;=i6<<i;I05a>N1n2.<87h9;%5a>44?3f;9j7>5$35a>44a32wi?5?50;394?6|,;=i6<<i;I05a>N1n2.<87h9;%5a>44e3f;9j7>5$35a>44a32wi?5<50;394?6|,;=i6<<i;I05a>N1n2.<87h9;%5a>44b3f;9j7>5$35a>44a32wi?5=50;394?6|,;=i6<<i;I05a>N1n2.<87h9;%5a>4653f;9j7>5$35a>44a32wi?5:50;394?6|,;=i6<<i;I05a>N1n2.<87h9;%5a>4773f;9j7>5$35a>44a32wi?5850;394?6|,;=i6<<i;I05a>N1n2.<87h9;%5a>c`<g88m6=4+26`957`<3th84k4?:083>5}#:>h1=?h4H34f?M0a3-=?6k84$6`955?<g88m6=4+26`957`<3th85=4?:083>5}#:>h1=?h4H34f?M0a3-=?6k84$6`955c<g88m6=4+26`957`<3th85?4?:083>5}#:>h1=?h4H34f?M0a3-=?6k84$6`955><g88m6=4+26`957`<3th85>4?:083>5}#:>h1=?h4H34f?M0a3-=?6k84$6`9551<g88m6=4+26`957`<3th8584?:083>5}#:>h1=?h4H34f?M0a3-=?6k84$6`9550<g88m6=4+26`957`<3th85;4?:083>5}#:>h1=?h4H34f?M0a3-=?6k84$6`9553<g88m6=4+26`957`<3th8554?:083>5}#:>h1=?h4H34f?M0a3-=?6k84$6`9552<g88m6=4+26`957`<3th85l4?:083>5}#:>h1=?h4H34f?M0a3-=?6k84$6`9557<g88m6=4+26`957`<3th8m84?:083>5}#:>h1=?h4H34f?M0a3-=?6;m4$6`955b<a88m6=4+26`957`<3th8m54?:083>5}#:>h1=?h4H34f?M0a3-=?6k84$6`9b<=h9;l1<7*=7c826c=<uk9jm7>51;294~"5?k0:>k5G27g8L3`<,>>1:n5+7c8257=n9;l1<7*=7c826c=<uk9jh7>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c825g=h9;l1<7*=7c826c=<uk9ji7>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c825d=h9;l1<7*=7c826c=<uk9jj7>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c825`=h9;l1<7*=7c826c=<uk9i<7>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c825f=h9;l1<7*=7c826c=<uk9i=7>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c8245=h9;l1<7*=7c826c=<uk9i>7>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c825<=h9;l1<7*=7c826c=<uk9i?7>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c825c=h9;l1<7*=7c826c=<uk9i97>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c825a=h9;l1<7*=7c826c=<uk9o:7>51;294~"5?k0:>k5G27g8L3`<,>>1:n5+7c8260=n9;l1<7*=7c826c=<uk9n;7>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c8256=h9;l1<7*=7c826c=<uk>3>7>51;294~"5?k0:>k5G27g8L3`<,>>1j;5+7c8e<>i6:o0;6)<8b;31b>=zj:o?6=4>:183!40j3;9j6F=6d9K2c=#:?n1>;l4$6692f=#?k0:>45f13d94?"5?k0:>k54}c1f1?6=:3:1<v*=7c804>"5>j0=m6*84;4`?!41l38=n6*>38812g=O:?o0D:=4$6;90=2<a??1<7*=7c85g>=hn:0;6)<8b;d5?>{e;l;1<7?50;2x 71e288m7E<9e:J5b>"5>m09:o5+7585g>"0j3;::6g>2g83>!40j3;9j65rb2g1>5<5290;w)<8b;13?!41k3<j7)9;:7a8 70c2;<i7)?<9;05f>N5>l1C;>5+7887<1=n><0;6)<8b;4`?>ia;3:1(?9m:g48?xd4lo0;6<4?:1y'62d=9;l0D?8j;I4e?!41l38=n6*84;4`?!1e28:h7d?=f;29 71e288m76sm3d294?4=83:p(?9m:228 70d2?k0(::56b9'63b=:?h0(<=6:34a?M41m2B<?6*89;6;0>o1=3:1(?9m:7a8?j`4290/>:l5f798yg5cl3:1=7>50z&13g<6:o1C>;k4H7d8 70c2;<i7)9;:7a8 2d=9;n0e<<i:18'62d=9;l07pl<dd83>7<729q/>:l5319'63e=>h1/;949c:&12a<5>k1/=>7527`8L70b3A=87)96:5:7?l02290/>:l56b98kc5=83.9;o4i6:9~f6be290:6=4?{%04f?75n2B9:h5G6g9'63b=:?h0(::56b9'3g<6:j1b=?h50;&13g<6:o10qo=kc;296?6=8r.9;o4<0:&12f<1i2.<878l;%05`?41j2.:?44=6c9K63c<@>90(:754968m33=83.9;o49c:9lb6<72-8<n7h9;:a7a?=83;1<7>t$35a>44a3A8=i6F9f:&12a<5>k1/;949c:&4f?75i2c:>k4?:%04f?75n21vn>jn:181>5<7s-8<n7=?;%05g?0f3-=?6;m4$34g>70e3-;857<9b:J12`=O?:1/;44;859j20<72-8<n78l;:me7?6=,;=i6k84;|`0`2<7280;6=u+26`957`<@;<n7E8i;%05`?41j2.<878l;%5a>4403`;9j7>5$35a>44a32wi?i650;094?6|,;=i6>>4$34`>3g<,>>1:n5+27f963d<,8926?8m;I05a>N0;2.<57:74:k51?6=,;=i6;m4;nd0>5<#:>h1j;54}r:a>5<4s42i6k=4=`297d=:k<08m6s|8b83>6}:0j0m?637e;1b?8dd2:k0q~6k:1808>c2o9015m524d89gd=?11v5k50;1x9=c=n:165=4<a:?a`?5f3ty3j7>53z?;b?`4342n6?;i;<``>2><uz3;6=4<{<;3>c5<5081?l52bd80e>{t180;6>u2908e7>;>838>j63md;5;?xu>:3:1?v362;d0?8?32:k01oh53`9~w<5=839p14=5f29>=7<5=o16nh488:p=1<72:q6594i3:?:2?5f34i;6>o4}r;6>5<4s43>6k=4=86960`<5kl1;55rs8494?5|50<1j>529980e>;d939j7p}67;297~;>?3l87079:37e?8e72>20q~77:1808??2o9014o53`9>g7<4i2wx544?:2y>=<<a;27247<:f:?`5?1?3ty2m7>53z?:e?`4343h6>o4=b197d=z{0h1<7=t=8`9b6=:1h099k52c384<>{t1j0;6>u29b8e7>;>m39j70m;:2c8yv?c2908w07k:g189<e=:<l01n=5799~w<c=839p14k5f29>e7<4i27h:7=n;|q:b?6=;r72j7h<;<;f>73a34i?6:64}rc3>5<4s4k;6k=4=`:97d=:kk08m6s|a083>6}:i80m?63n0;06b>;d=3=37p}n2;297~;f:3l870o;:2c89f1=;h1vl=50;1x9d5=n:16m?4=5g9>g3<002wxm94?:2y>e1<a;27j:7=n;<a;>6g<uzk>6=4<{<c6>c5<5h>1>8h4=b593==z{h<1<7<t=`49b6=:k008m6s|a683>6}:i>0m?63n6;06b>;d03=37p}n8;297~;f03l870on:2c89fe=;h1vl750;1x9d?=n:16m54=5g9>gg<002wxml4?:2y>ed<a;27jo7=n;<ag>6g<uzki6=4<{<ca>c5<5hk1>8h4=ba93==z{hi1<7=t=`a9b6=:il08m63le;1b?xufl3:1?v3nd;d0?8gd2;?m70mk:6:8yvgb2908w0oj:g189g6=;h16ok4<a:pec<72:q6mk4i3:?ba?42n27hi797;|qa4?6=;r7i<7h<;<`1>6g<5m:1?l5rsc394?5|5k;1j>52b1811c=:ko0<46s|b383>6}:j;0m?63m4;1b?8b62:k0q~l<:1808d42o901o<524d89a6=?11vo:50;1x9g2=n:16n;4<a:?g6?5f3tyi97>53z?a1?`434h?6?;i;<f2>2><uzh=6=4<{<`5>c5<51i1?l52bc80e>{tj>0;6>u2b68e7>;e>38>j63k2;5;?xue03:1?v37b;06b>;ei3=370l6:g18yvef2909w0mn:g189f?=?11vi650;0x9a>=9:<01<k=:538yvb>2909w0j6:015?85003>:7p}ka;290d}::><1:?528c80e>;ei39j70o?:5089d>=<;16ml4;2:?bg?2534kn69<4=c2907=:j;0?>63m4;61?8d12=8015m5439><`<3:272<7:=;<;1>14<50>18?5297876>;>03>9707n:5089<e=<;165h4;2:?b6?2534k?69<4=`4907=:<9=1?l5240c97d=:<9218?5241c907=:<9i18?5241g907=:<8:18?52400907=:<8>18?52404907=:;l31?l523ga97d=:;lk18?523da907=:;lo18?523g2907=:;o818?523g6907=:;o<18?523g:907=::o:1?l5231;97d=::o?18?522g5907=::o318?522g`907=::on18?522gd907=:;9;18?52311907=:;9?18?522g3907=::o918?5215297d=:9<;1?l52153907=:9=918?52157907=:9==18?5215;907=:9=h18?5215f907=:<>i1::52d8813>;c138270j6:3c89a>=:>16h54=9:?g<?4f3tyon7>5dz?132<a?273n7:=;<60a?2634><h7:>;<633?25349n57:=;<0e4?2534;=m7:>;<374?2534;im7:>;<f:>70<5m21>;523e1904=z{mi1<7<t=51g>c5<5=9h6;74}rfg>5<5s4>>h7h<;<66f?0>3tyoi7>52z?2b5<a;27:ik497:p`c<72;q68:=5689>023=n:1vh>50;0x91152?=01997:g18yvc62909w0=l0;d0?85en3<=7p}j2;296~;4k80m?63<bg853>{tm:0;6?u23b:9b6=:;j=1:;5rsd694?4|5:i26k=4=2a4>31<uzo>6=4={<1`g?`4349hn789;|qf2?6=:r78oi4i3:?0gg<1?2wxi:4?:3y>7ge=n:16?ol5679~w`>=838p1>lk:g1896de2?=0q~k6:18185c83l870=lf;45?xubi3:1>v3<d08e7>;4ko0=;6s|ec83>7}:;j>1j>523b1923=z{li1<7<t=2a6>c5<5:i86;94}rgg>5<5s49i47h<;<1a3?013tyni7>52z?0f<<a;278n:497:pac<72;q6=o=5679>5<b=n:1vk>50;0x913>2?3019:=:g18yv74l3:1>v3>6`80=>;6n80m?6s|12g94?4|58<j6>k4=01e>c5<uz;?<7>53z?205<a;27:8<4<a:?217<4i2wx=9?50;1x94262o901<:<:2c894342:k0q~?;2;297~;6<;0m?63>40811c=:9<81;55rs060>5<4s4;??7h<;<371?5f34;>87=n;|q201<72:q6=9:5f29>515=:<l01<;<:6:8yv73=3:1?v3>448e7>;6<>08m63>5480e>{t9=<1<7=t=065>c5<58>>6?;i;<360?1?3ty:8:4?:2y>511=n:16=9753`9>500=;h1v<:7:18087303l870?;7;06b>;6=<0<46s|15;94?5|58>26k=4=06a>6g<58?<6>o4}r37e?6=;r7:8l4i3:?20<<5=o16=885799~w42e2908w0?;b;d0?873l39j70?:8;1b?xu6<j0;6>u215a9b6=:9=h1>8h4=074>2><uz;?h7>52z?20a<a;27:944<a:p51c=839p1<:j:g18942c2;?m70?:8;5;?xu6<o0;6>u2152960`<58?:6:64=073>c5<uz;>m7>52z?21d<a;27:94488:p53g=83kp1?=k:718975d2?901?=m:718975f2?901?=6:718975?2?901?=8:71897512?901<8n:015?84393<<7p}>6c83>7}:91n18<521439b6=z{8<h6=4={<3bg?2634;>j7h<;|q22a<72;q6=l:5409>50d=n:1v<8j:18187am3>:70?96;d0?xu6>o0;6?u219g904=:9<81j>5rs053>5<5s4;jh7:>;<354?`43ty:;<4?:3y>5gd=<816=;=5f29~w4152909w0?n5;62?872k3l87p}>7283>7}:9ol18<521759b6=z{8=?6=4={<3;b?2634;>?7h<;|q230<72;q6=lk5409>537=n:1v<99:18187ek3>:70?94;d0?xu6?>0;6?u21`4904=:9<n1j>5rs05;>5<5s48;<7:>;<35<?`43ty:;44?:3y>5<6=<816=8:5f29~w41f2909w0?nf;62?871:3l87p}>7c83>7}:9kn18<521779b6=z{8=h6=4={<3b3?2634;>i7h<;|q23a<72;q6>=?5409>53?=n:1v<9j:18187>93>:70?:5;d0?xu6?o0;6?u2180904=:9<<1j>5rs0:3>5<5s4;2?7:>;<363?`43ty:4<4?:3y>5<2=<816=865f29~w4>52909w0?65;62?87213l87p}>8283>7}:9191=>84=0d:>3><uz;387>53z?2<a<6;?16=475679>506=><1v<6::18087?m3;8:63>9885<>;6<;0=:6s|19494?5|582m6<=9;<3:3?0034;?8789;|q2<2<72:q6=4>5124894212?<01<77:7:8yv7?03:1?v3>908273=:9=21:;5218:92<=z{8226=4<{<3:6?74>27:54499:?20d<1>2wx=5o50;1x94?4289=70?67;45?873k3<=7p}>8c83>6}:90>1=>84=0;4>3><58>n6;84}r3;g?6=;r7:584>379>5<?=>>16=8o5679~w4?1290iw0?m4;1:?87?l3;8463>8d827==:91l1=>64=0;3>45?34;2=7?<8:?2=7<6;116=4=512:894?3289370?65;30<>;6110m?6s|18594?4|583<6k=4=0;;>31<uz;257>52z?2=<<a;27:55496:p5<g=83?p1<o;:01;?87f=3;8463>a7827==:9h=1=>64=0;e>c5<uz;2n7>52z?2=g<a;27:5k497:p5<c=838p1<7j:g1894?a2?<0q~?n0;292~;6i=0:?;5214a922=:9<n1:55214g923=:9<h1:85218a923=z{8k:6=4:{<3b1?74>27:9n496:?21a<1>27:9h498:?2=f<1?2wx=l<50;6x94g1289=70?:d;44?872m3<270?6c;4;?xu6i:0;68u21`59560<58?n6;94=0`2>3><583i6;74=0;f>3?<uz;j47>56z?2ef<6;?16=;>5669>537=>116=;<5679>5c6=>016=8h5649~w4g>290>w0?nd;302>;6>90=:63>60852>;6>;0=463>f185<>{t9hk1<7:t=0cf>45134;==788;<356?0>34;m<788;|q2eg<72:q6=lh5124894052?=01<h?:748yv7e83:1iv3>dc80=>;6lj08563>de80=>;6ll08563>dg80=>;6m908563>e080=>;6ij08563>ae80=>;6il08563>ag80=>;6j80m?63k8;1:?87b:3927p}>b383>0}:9hi1=>64=0cg>45?34;ji7?<8:?2ec<6;116=o=5f29~w4d32909=v3>dc801>;6lj08963>de801>;6ll08963>dg801>;6m908963>e0801>;6k008963>c`801>;6kk08963>cb801>;6km08963>cd801>;6ko08963>d1801>;6>h08963>ab801>;6im08963>ad801>;6io08963>bc801>;6jj08963>be801>;6i=08963>a4801>;6i?08963>a6801>;6mk08963>ed801>;6jh08963>bd8e7>;c039h70?j2;16?xu6j<0;6>u21c69560<58hn6;;4=0a3>33<uz;i:7>55z?226<1?27::9498:?220<1>27:nl4>399>5gg=9:<0q~?m7;290~;6jk0:?;52171923=:9?>1:;5217792==z{8h36=4<{<3ag?74>27::9497:?220<112wx=o750;31847k39>70<?d;16?847m39>70<?f;16?846839>70<>1;16?846:39>70<>3;16?846<39>70<>5;16?87a?39>70?md;302>;6nl08963>fg801>;58908963=00801>;6><0=;63>f2801>{t9kl1<7<t=0`7>17<58i;6k=4}r3`5?6=;r79?;4;1:?2g<<6;?16?5k5649~w4e52908w0<<7;62?87di3;8:63<8e851>{t9j91<7=t=31;>17<58ii6<=9;<1;g?023ty:o94?:2y>66?=<816=nm5124896>e2??0q~?l5;297~;5;h0?=63>ce8273=:;1k1:85rs0a5>5<4s488n7:>;<3`a?74>27844495:p5f1=839p1?=l:53894ea289=70=78;46?xu6k10;6>u222f904=:9m:1=>84=2:4>33<uz;o=7>59z?2g<<4127:ol4<9:?2gg<4127:on4<9:?2ga<4127:oh4<9:?2gc<4127:h=4<9:?2`7<a;2wx=i=50;0x94be289=70?l9;62?xu6l=0;6>u21e`904=:9mi1=>84=0ab>17<uz;o97>53z?2`f<3927:hi4>379>5fd=<81v<j9:18087cl3>:70?ke;302>;6kj0?=6s|1e594?5|58nn69?4=0fe>45134;hh7:>;|q2`=<72:q6=ih5409>5`6=9:<01<mj:538yv7c13:1?v3>e1875>;6m80:?;521bd904=z{8nj6=4<{<3f5?2634;o<7:>;<3f6?74>2wx=h=50;7x94c4289=70?73;41?87b13<<70?j8;45?87b=3<37p}>e583>7}:9l918<521d79b6=z{8o=6=4;{<3f2?74>27:i4496:?2a=<1027:i8496:p5`1=838p1<k9:53894c?2o90q~?ja;296~;60:0?=63>e88e7>{t9lh1<77t=0ga>45134;m=788;<3a5?0034;nh787;<3fb?0?34;i?787;<3g6?0134;2n789;<3:a?013ty:in4?:3y>5`d=<816=hj5f29~w4cb2902w0?je;302>;6n80=:63>b0852>;6mm0=:63>eg852>;6j:0=;63>d385=>;61k0=463>9d85<>{t9ll1<7<t=0gf>17<58om6k=4}r3e6?6=>r7:n<499:?2aa<1?27:5o497:?2=`<1?27:5n4i3:?2=a<1=2wx=k=50;dx974f2?201?<k:75897702?<01<h6:74897402?<01?<::74897442?<01?<>:748977a2?<01??k:748977e2?<01??6:74894`4289=70?i5;44?87a>3<=7p}>f583>7}:9o918<521g49b6=z{8l>6=4={<3e1?`434;m:788;|q2b2<72hq6=k95124894`b289370?if;30<>;5890:?552213956><5;8o6;84=0d:>31<58o36;74=0g6>31<58l86?;i;|q2b=<72;q6=k95409>5c?=n:1v<hn:18587am3;8:63>66853>;6>10=463>68852>;6>?0=963>f4852>{t9oh1<7;t=0de>45134;=;789;<35<?0134;=5787;<3e1?0?3ty:jn4?:5y>656=9:<01<87:758940>2?301<h::7;8yv7al3:1?v3=008273=:9?31::521g4960`<uz8;>7>52z?14f<6;?16?h65649~w7642909w0<?d;302>;59>0=;6s|21694?4|5;:n6<=9;<02=?0?3ty9<84?:3y>65`=9:<01??m:7:8yv47>3:1>v3=118273=::8n1:55rs324>5<5s48:=7?<6:?15c<102wx>=650;0x9775289=70<=1;4;?xu5800;6?u22019560<5;886;64}r03e?6=:r79=94>379>673=>11v?>m:181846=3;8:63=2685<>{t:8<1<7<t=32`>17<5;;<6k=4}r02<?6=:r79<i4;1:?15<<a;2wx><o50;0x976b2=;01??m:g18yv46k3:1>v3=0g875>;59m0m?6s|20g94?4|5;;;69?4=33e>c5<uz89<7>52z?154<39279><4i3:p674=838p1??=:53897442o90q~<=4;296~;59:0?=63=248e7>{t:;<1<7<t=337>17<5;8<6k=4}r01<?6=:r79=84;1:?16d<a;2wx>?750;0x974>2o901?<n:758yv45j3:1>v3=2c8e7>;5:h0=:6s|23a94?d|5;:h6>74=32g>6?<5;:n6>74=32e>6?<5;;;6>74=332>6?<5;;96>74=330>6?<5;;?6>74=336>6?<5;8o6k=4}r01a?6=;r79?;4>379>67?=>016><75669~w74a2908w0<<7;302>;5:00=463=1c853>{t:::1<7=t=31;>45134895788;<02`?003ty9?<4?:2y>66?=9:<01?<6:748977a2?=0q~<<2;297~;5;h0:?;5223`92<=::;;1::5rs310>5<4s488n7?<6:?16g<10279>>497:p662=839p1?=l:015?845j3<<70<=5;44?xu5;<0;6>u222f9560<5;8i6;84=304>31<uz88i7>5ez?17c<6;?16>>j5639>66e=>;16>>l5639>66g=>;16>>75639>66>=>;16>>95639>660=>;16>9?5679>5`>=>>16=h;5689>56`=>?16=i<5669~w7272909w0<<f;62?84393l87p}=4283>7}::ki18<52b`8e7>{t:=>1<7<t=3f;>17<5m91j>5rs366>5<5s48ii7:>;<`a>c5<uz8?:7>52z?1fc<3927io7h<;|q102<72;q6>n>5409>fa<a;2wx>9650;0x97e62=;01ok5f29~w72>2909w0<l2;62?8da2o90q~<;a;296~;5k:0?=63l0;d0?xu5<k0;6?u22b6904=:k80m?6s|25a94?4|5;i>69?4=b09b6=z{;>o6=4={<0`2?2634i86k=4}r07a?6=:r79o:4;1:?`0?`43ty98k4?:3y>6gb=<816o84i3:p606=838p1?j6:5389a2=n:1v?;>:18184d13>:70m9:g18yv42:3:1>v3=c`875>;d?3l87p}=5283>7}::jh18<52c98e7>{t:<>1<7<t=3a`>17<5j31j>5rs376>5<5s48h47:>;<aa>c5<uz8>:7>52z?1`d<3927o97h<;|q112<72;q6>nj5409>gf<a;2wx>8650;0x97be2=;01i85f29~w73>2909w0<le;62?8ec2o90q~<:a;296~;5ko0?=63le;d0?xu5=k0;6?u22e2904=:ko0m?6s|24a94?4|5;n:69?4=e29b6=z{;?o6=4={<0g6?2634n:6k=4}r06a?6=:r79h>4;1:?g6?`43ty94<4?:2y]6=7<5;2j6k=4=3:2>44a3ty94>4?:2y]6=5<5;2i6k=4=3:0>44a3ty9484?:2y]6=3<5;2h6k=4=3:6>44a3ty9454?:2y]6=><5;2o6k=4=3:;>44a3ty94l4?:3y>6=4=n:16>5o5649~w7>e2909w0<74;d0?84?j3<>7p}=8b83>7}::1<1j>5229a920=z{;2o6=4={<0;=?`43483h78:;|q1<`<72:qU>5k4=3:e>c5<5;2n6<<i;|q1<c<72;q68>m5f29>6=`=><1v?7?:180[4>827958495:?1=5<6:o1v?7>:180[4>92795;495:?1=4<6:o1v?7=:180[4>:2795:495:?1=7<6:o1v?7;:180[4><27955495:?1=1<6:o1v?79:18184?<3<<70<66;d0?xu51>0;6?u2294922=::0=1j>5rs3;;>5<es488j7?<8:?2b2<6;116=hl512:894cb289370?j3;30<>;6m?0:?55229;922=:9:l1::5228:9b6=:9o91=>64=0f1>3><uz8257>53z\1=<=::0l1:85228;957`<uz82m7>53z\1=d=::h:1:85228c957`<uz82n7>53z\1=g=::h;1:85228`957`<uz82o7>53z\1=f=::h81:85228a957`<uz82i7>53z\1=`=::h91:85228g957`<uz82j7>52z?1=c<a;2785<495:p6d6=838p1?o?:g1896?32??0q~<n1;296~;5i80m?63<96851>{t:h81<7<t=3c1>c5<5:k96;;4}r0b7?6=:r79m>4i3:?0e1<1=2wx>l:50;0x97dd289=70l6:778yv4fn3:1>v3=be8273=:i80=:6s|2`794?4|5;hn6<=9;<:g>30<uz8j:7>52z?1fc<6;?164k496:p6d1=838p1?m?:015?8?62?<0q~<n8;296~;5k80:?;5292852>{t:h31<7<t=3a1>451343>6;84}r0be?6=:r79o>4>379>=2<1>2wx>ll50;0x97e3289=7076:748yv4fk3:1>v3=c48273=:1k0=:6s|2`f94?4|5;i=6<=9;<;g>30<uz8ji7>52z?1g2<6;?165k496:p6g2=838p1?m7:015?8g>2?<0q~<m0;296~;5k00:?;52a2852>{t:k;1<7<t=3ab>45134k>6;84}r0a6?6=:r79oo4>379>e2<1>2wx>o=50;4x97ed289=70<k8;16?84c139>70<ka;16?84cj39>70mn:748yv4e=3:1>v3=ce8273=:ik0=:6s|2c494?4|5;in6<=9;<cg>30<uz8i;7>52z?1gc<6;?16mk496:p6g>=838p1?j?:015?8d62?<0q~<m9;296~;5l80:?;52b2852>{t:kk1<7<t=3f1>45134h>6;84}r0af?6=:r79h>4>379>f2<1>2wx>i:50;fx97b?289=70j;:7589a3=>116h;496:?1`a<1>278o=499:?0g=<11278on498:?0ff<11278h=499:?0g1<10278n5499:?g7?023ty9h84?:by>6a?=9:<01i:5679>`0<1>27o:787;<0g`?0?349h<788;<1`<?00349ho788;<1ag?0?349o<787;<1`0?01349i4787;|q1`3<72kq6>io512489a3=>>16h;499:?1`a<11278o=498:?0g=<1>278on496:?0ff<1?278h=496:?0g1<11278n5497:p6a1=83kp1?jm:015?8b12?=01?jk:75896e72?<01>m7:7:896ed2?301>ll:74896b72?=01>m;:75896d?2?<0q~<kc;291~;5l10:?5522e;956><5;nj6<=7;<0gf?740279hi4i3:p6ac=839pR?jj;<0gb?`4348oi7?=f:p6a`=838p19:<:g1897ba2??0q~<j0;297~X5m916>h?5f29>6`6=9;l0q~<j1;296~;3=h0m?63=e0851>{t:l81<7<t=3g1>451348n;7:>;|q1a6<72;q6>h=5124897c32=;0q~<j4;2965}:;<o1?852372970=:;?;1?852370970=:;?91?852376970=:;??1?852374970=:;?=1?85234d970=:;>:1?852363970=:;>81?852361970=:;>>1?852367970=:;><1?85233a970=:;;n1?85233g970=:;;l1?852322970=:;:;1?852320970=:;:91?8522d49b6=:l008o63<79801>;4l:08963<d5801>;4l<08963<76801>{t:l?1<7<t=3g7>451348n:78:;|q1a2<72;q6>h951248963a2=;0q~<j8;29<~;5m00m?63<c085=>;4k00=;63<ce85<>;4jm0=:63<d085=>;4k<0=563<b8852>{t:lk1<76t=3ga>c5<5:i:6;84=2a:>3?<5:io6;84=2`g>3><5:n:6;84=2a6>30<5:h26;94}r0fg?6=0r79ii4i3:?0g4<10278o4498:?0ga<11278ni497:?0`4<1?278o8498:?0f<<102wx>hk50;:x97ca2o901>m>:75896e>2?<01>mk:75896dc2?301>j>:7:896e22?=01>l6:7;8yv4a83:1?v3=f18e7>;5n<08m63<0d80e>{t:o;1<7=t=3d2>c5<5;l86>o4=22a>6g<uz8m>7>53z?1b7<a;279j<4=5g9>75g=?11v?h<:18184a;3l870=?c;1b?xu5n=0;6>u22g69b6=::o91>8h4=22a>2><uz8m97>53z?1b0<a;279j:4<a:?04c<4i2wx>k850;1x97`12o901?h::37e?857m3=37p}=f683>6}::o=1j>522g;97d=:;8:1?l5rs3d;>5<4s48m47h<;<0e3?42n278<k488:p6c?=839p1?h6:g1897`e2:k01>?>:2c8yv4ai3:1?v3=f`8e7>;5n0099k5230293==z{;li6=4<{<0ef?`4348mh7=n;<126?5f3ty9jn4?:2y>6ce=n:16>kl524d896762>20q~<id;297~;5nm0m?63=fg80e>;49:08m6s|2gg94?5|5;ln6k=4=3dg>73a349:>797;|q1bc<72:q6>kh5f29>757=;h16?<:53`9~w6672908w0=?0;d0?84an38>j63<1284<>{t;9;1<7=t=222>c5<5::86>o4=236>6g<uz9;>7>53z?047<a;278<<4=5g9>742=?11v>><:180857;3l870=?5;1b?856>39j7p}<0583>6}:;9>1j>52311960`<5:;>6:64}r131?6=;r78<84i3:?1b4<4i278<l4<a:p750=839p1>>9:g1896622;?m70=>6;5;?xu48>0;6>u22g2960`<5::26:64=22;>c5<uz9;h7>52z?04a<a;278<n488:p741=838p1>:8:538966>2o90q~=>8;296~;4<00?=63<0`8e7>{t;831<7<t=26b>17<5::i6k=4}r12e?6=:r788o4;1:?04f<a;2wx?<l50;0x962?2=;01>>j:g18yv56k3:1>v3<4b875>;48o0m?6s|30f94?4|5:>o69?4=233>c5<uz9:i7>52z?00`<39278=<4i3:p74`=838p1>:i:53896752o90q~==0;296~;4=90?=63<128e7>{t;;;1<7<t=272>17<5:;?6k=4}r116?6=:r789?4;1:?050<a;2wx??=50;0x96342=;01>?9:g18yv55<3:1>v3<2b8273=::l31::5rs206>5<5s499h7?<6:?1ag<1?2wx??850;0x964b289=70<jd;44?xu4:>0;6?u233d9560<5;om6;94}r11<?6=:r78?=4>379>6`?=>11v><6:18185493;8:63=ec85<>{t;;k1<7<t=211>451348nh787;|q06g<72;q6?>=5124897ca2?20q~=<4;29=~;4:j08563<2e80=>;4:l08563<2g80=>;4;908563<3080=>;4;;08563<3280=>;4;10m?6s|32794?4|5:936;84=215>c5<uz9857>52z?07<<a;278?5497:p76g=838p1>:8:015?85703<>7p}<3d83>7}:;=21=>84=3d5>30<uz98n7>52z?00<<6;?16>k<5679~w65d2909w0=;a;302>;5n=0=:6s|32f94?>|5:>i6<=9;<0;0?0?3483>788;<0f=?01348nn789;<0fb?01348nh789;<13`?013ty8?k4?:3y>71e=9:<01?h7:748yv5383:1:v3=e2801>;5m;08963=e5801>;5m>08963<4e8273=::ok1:;5rs262>5<5s49?i7?<6:?1bf<1>2wx?9<50;0x962a289=70<ie;45?xu4<:0;6?u23429560<5::;6;84}r170?6=:r789<4>379>754=>?1v>:::181852:3;8:63<05852>{t;=<1<7<t=270>451349;:789;|q010<72:q6?8k51248964d2=;01i75409~w6332909w0=97;62?852n3;8:6s|34494?5|5:?n69?4=243>4513499h7:>;|q012<72:q6?;>5409>737=9:<01><j:538yv5203:1?v3<60875>;4>;0:?;5233d904=z{:?26=4<{<156?26349=?7?<6:?075<392wx?8o50;1x96042=;01>8;:015?85493>:7p}<5c83>6}:;?>18<523779560<5:9969?4}r16g?6=;r78:84;1:?023<6;?16?>=5409~w63c2909w0=96;62?851?3;8:6s|37:94?4|5:=;6<=9;<101?013ty8:44?:2y>726=<816?:?5124896522?=0q~=9a;297~;4?80?=63<738273=:;:?1:55rs24a>5<4s49<>7:>;<147?74>278?8499:p73e=839p1>9<:5389613289=70=<9;45?xu4>m0;6>u2366904=:;>?1=>84=21:>31<uz9=i7>53z?030<39278;;4>379>76?=>11v>8i:180850>3>:70=<9;4:?850?3;8:6s|36:94?4|5:=36<=9;<14=?013ty8;44?:3y>72?=n:16?:95409~w61f2908wS=8a:?03g<1=278;l4>2g9~w61e2909w0<j3;62?850j3l87p}<7b83>6}Y;>i01>9k:778961d288m7p}<7e83>7}::l818<5236f9b6=z{:=n6=4<{_14a>;40>0m?63<7d826c=z{:=m6=4<{_14b>;4010m?63<7g826c=z{:2;6=4<{_1;4>;4000m?63<81826c=z{:2:6=4<{_1;5>;40h0m?63<80826c=z{:296=4<{_1;6>;40k0m?63<83826c=z{:286=4<{_1;7>;40j0m?63<82826c=z{:2?6=4<{_1;0>;40m0m?63<85826c=z{:2=6=4<{_1;2>;40l0m?63<87826c=z{:2m6=4<{_1;b>;41k0m?63<8g826c=z{:3;6=4<{_1:4>;41j0m?63<91826c=z{:396=4<{_1:6>;41m0m?63<93826c=z{:386=4<{_1:7>;41l0m?63<92826c=z{:3>6=4<{_1:1>;41o0m?63<94826c=z{:3=6=4<{_1:2>;4i90m?63<97826c=z{:336=4<{_1:<>;4i80m?63<99826c=z{:3j6=4<{_1:e>;4i:0m?63<9`826c=z{:3h6=4<{<1:g?023492n78:;<1:5?`43ty85h4?:2y>7<c=><16?4j5649>7<2=n:1v>o?:18085f83<>70=6f;46?85>?3l87p}<a083>7}:;h;1:8523`09b6=z{:k86=4={<1b7?02349j87h<;|q0e0<72:qU?l;4=2c5>33<5:k>6<<i;|q0e3<72:>p1?=i:27897dd2:?01?lk:27897e?2:?01?mk:27897eb2:?01?mi:27897b72:?01?j>:27897b52:?01?j<:27897db2:?01?li:27897e72:?01?m>:27897e52:?01?m<:27897e32:?01?m::27897e12:?01?m8:27897e>2:?01?mn:27897ee2:?01?ml:278915b2:?01>:8:278962?2:?01>:l:278962c2:?01>:j:278962a2:?01>;?:27896362:?01>;=:27896342:?01>:6:278962f2:?01>:m:27894d32:?01<6k:27894>b2:?01<6i:27894?72:?01<7>:27894?52:?01<7<:27894?32:?01<7::27894c42:?01<k9:27896g12o90q~=n8;297~X4i116?l75f29>7d>=9;l0q~=n9;296~;3=k0m?63<a8851>{t;hk1<7=t^2cb?85fj3<>70=na;31b>{t;hh1<7:t=0ge>3?<5:ki6k=4=0;e>73a34n369?4}r1b`?6=;rT8mi523c49b6=:;hn1=?h4}r1ba?6=;rT8mh523cc9b6=:;ho1=?h4}r1bb?6=;rT8mk523cg9b6=:;hl1=?h4}r1a4?6=;rT8n=523b09b6=:;k:1=?h4}r1a5?6=;rT8n<523b49b6=:;k;1=?h4}r1a6?6=;rT8n?523bc9b6=:;k81=?h4}r1a7?6=;rT8n>523bg9b6=:;k91=?h4}r1a1?6=;rT8n8523e09b6=:;k?1=?h4}r1a2?6=:r78n;495:?0f2<a;2wx?oo50;0x96df2??01>lm:g18yv5em3:1>v3<bd851>;4jo0m?6s|3b094?4|5:i96;;4=2a0>c5<uz9h:7>52z?0g3<1=278o:4i3:p7fg=838p1>mn:77896ee2o90q~=le;296~;4kl0=963<cg8e7>{t;m81<7<;{<0f7?5b348n>7=j;<0f0?5b348n;7=j;<16a?5b349=<7=j;<155?5b349=>7=j;<157?5b349=87=j;<151?5b349=:7=j;<153?5b349>j7=j;<144?5b349<=7=j;<146?5b349<?7=j;<140?5b349<97=j;<142?5b3499o7=j;<11`?5b3499i7=j;<11b?5b3498<7=j;<105?5b3498>7=j;<107?5b3483>787;<0:1?`4349o>78:;<1g7?5b349o87=j;<1g1?5b349<;7=j;|q0`6<72;q6?i=5124896b32=;0q~=k4;296~;4l=0:?;523e7904=z{:n>6=4={<1g1?74>278;4497:p7a0=839pR>j9;<1f2?02349o:7?=f:p7`0=83ip1?66:74897>12?<01?6;:74897>52?<01>k9:g1896da2;?m70=l7;06b>;4kk099k523c`960`<5:im6?;i;<1`7?42n278n:4=5g9~w6c02908wS=j7:?0a=<a;278i:4>2g9~w6c>2908w0=j9;d0?85bi39j70=id;1b?xu4mh0;6>u23dc9b6=:;li1?l523gg97d=z{:oi6=4<{<1ff?`4349nm7<:f:?0ba<002wx?hm50;1x96cd2o901>kj:2c896`a2:k0q~=jd;297~;4mm0m?63<eb811c=:;oo1;55rs2gf>5<4s49ni7h<;<1e4?5f34>;<7=n;|q0ac<72:q6?hh5f29>7`c=:<l01>hi:6:8yv5a83:1?v3<f18e7>;4n;08m63;0080e>{t;o;1<7=t=2d2>c5<5:l;6?;i;<634?1?3ty8j?4?:2y>7c4=n:16?k:53`9>054=;h1v>h<:18085a;3l870=i2;06b>;3880<46s|3g694?5|5:l?6k=4=2d5>6g<5=:86>o4}r1e1?6=;r78j84i3:?0b1<5=o168=<5799~w6`12908w0=i6;d0?85a039j70:?4;1b?xu4n>0;6>u23g59b6=:;o<1>8h4=520>2><uz9m47>52z?0b=<a;27?<84<a:p7c?=839p1>h6:g1896`?2;?m70:?4;5;?xu4nh0;6>u23d;960`<5:lh6:64=2da>c5<uz>;:7>52z?743<a;27?<8488:p051=839p19>8:g18916?2:k019?m:2c8yv2703:1?v3;098e7>;38h08m63;1b80e>{t<931<7=t=52:>c5<5=:36?;i;<62f?1?3ty?<l4?:2y>05g=n:168=m53`9>04b=;h1v9>m:180827j3l870:?a;06b>;39j0<46s|41a94?5|5=:h6k=4=52f>6g<5=;n6>o4}r63`?6=;r7?<i4i3:?74f<5=o168<j5799~w16b2908w0:?e;d0?826839j70:>f;1b?xu38o0;6>u241d9b6=:<9o1>8h4=53f>2><uz>:<7>53z?755<a;27?=?4<a:?765<4i2wx8<?50;1x91762o9019??:37e?826n3=37p};1383>6}:<881j>5240697d=:<;;1?l5rs530>5<4s4>:?7h<;<626?42n27?>=488:p042=839p19?;:g1891712:k019<=:2c8yv26=3:1?v3;148e7>;39=099k5243393==z{=;=6=4={<622?`434>9?7=n;|q752<72:q68<95f29>040=:<l019<=:6:8yv2603:1?v3;06811c=:<8k1;55240;9b6=z{=8?6=4={<610?`434>9?797;|q760<72=q68>m5679>025=n:168:<5689>02d=>?1v9<9:180823;3<<70:;1;d0?823:3<>7p};2683>6}:<<h1:;5244a9b6=:<=;1::5rs50;>5<5s4>?i7:>;<1eg?`43ty?>44?:3y>03>=<8168<o5f29~w14f2909w0:;f;62?85al3l87p};2c83>7}:<?318<5240`9b6=z{=8h6=4={<664?26349mi7h<;|q76a<72;q68;o5409>04e=n:1v9<j:18182293>:70=if;d0?xu3:o0;6?u247`904=:<8n1j>5rs513>5<5s4>>>7:>;<634?`43ty??<4?:3y>03e=<8168<k5f29~w1552909w0::3;62?82793l87p};3283>7}:<?n18<5240d9b6=z{=9?6=4={<660?2634>;>7h<;|q770<72;q68;k5409>076=n:1v9=9:181822=3>:70:?3;d0?xu3;>0;6?u247d904=:<;;1j>5rs51;>5<5s4>>:7:>;<630?`43ty??44?:3y>026=<8168?<5f29~w15f2909w0::7;62?827=3l87p};3c83>7}:<>;18<524319b6=z{=9n6=4>6z?73a<4=27?8h4<5:?70c<4=27?9=4<5:?714<4=27?9?4<5:?716<4=27?994<5:?710<4=27?9;4<5:?712<4=27?:54<5:?72<<4=27?:l4<5:?72g<4=27?:n4<5:?72a<4=27?:h4<5:?72c<4=27?;=4<5:?734<4=27?8=4i3:p06`=839p19=j:015?82383<>70:<e;30<>{t<=>1<7:t=56f>45134>3=789;<66g?0>349mn78:;|q700<72=q689h51248913d2?201>km:74891>72?=0q~:;6;292~;3=90:?;5244f92==:<=91:;5244;922=:;ln1:;5249292==z{=><6=4:{<665?74>27?9i497:?7<4<11278ik496:?704<102wx89650;6x9135289=70:71;44?822k3<<70=i1;45?xu3<00;6;u24419560<5=?o6;84=55e>30<5=>86;64=57:>30<5:l86;84}r67e?6=<r7?994>379>02`=>01688m5679>7c3=>?1v9:m:185822=3;8:63;5`85<>;3=k0=463;7g853>;4n>0=:63;4085=>{t<=i1<78t=575>45134>>m788;<66f?0034><j787;<1e=?0134>?=789;|q70a<72>q688951248913f2?<019;k:7;891>62?2019:<:7;8913>2?2019>9:748yv2203:1nv3;4d827==:<=l1=>64=573>45?34>>=7?<8:?717<6;11688=512:89133289370::5;30<>;3=?0:?552445956><5=?26k=4}r66a?6=<r7?:54>379>06e=>>168:<5679>04?=><1v9;i:18082113;8:63;72852>;3800=:6s|47294?5|5=<j6<=9;<647?0?34>;n789;|q724<72<q68;l51248915c2?2019>k:74891152?20199m:758yv21:3:1?v3;6b8273=:<9l1:;52466923=z{=<86=4:{<65`?74>27??i496:?754<1>27?;o498:?732<1?2wx8;:50;1x910b289=70:83;44?826;3<=7p};6483>6}:<?l1=>84=536>30<5==?6;94}r652?6==r7?;=4>379>06b=>>168<95679>02d=>0168:95689~w100290>w0:81;302>;3;j0=463;25852>;3?j099k52465923=z{==96=4m{<65<?74027?:44>399>03g=9:20198m:01;?821k3;8463;6e827==:<?o1=>64=54e>45?34><<7?<8:?734<6;1168:<5f29~w11f2909w0:8b;d0?820k3<=7p};7e83>f}:<>n1=>84=54;>6?<5=<26>74=54b>6?<5=<i6>74=54`>6?<5=<o6>74=54f>6?<5=<m6>74=553>6?<5==:6>74=554>3><uz><i7>52z?73a<6;11685>5f29~w11a2909w0:8f;d0?82?83<27p};8083>7}:<1;1j>52492923=z{=296=4<{_6;6>;30:0m?63;83826c=z{=286=4={<6;7?0234><o7h<;|q733<72;q68::5f29>023=><1v>=8:181854=3l870=<6;46?xu3?00;6?u24659b6=:<>21:85rs2g7>5<4sW9n863<e5826c=:;l?1:85rs2g2>5<4sW9n=63<e0826c=:;l81:85rs2fe>5<4sW9oj63<dg826c=:;l:1:85rs2fg>5<4sW9oh63<de826c=:;mo1:85rs2fa>5<4sW9on63<dc826c=:;mi1:85rs2f:>5<4sW9o563<d8826c=:;mk1:85rs2f4>5<4sW9o;63<d6826c=:;m21:85rs2g6>5<6s49n97h<;|q0a7<728q6?h<5f29~w6c7290:w0=j0;d0?xu4ll0;6<u23eg9b6=z{:nh6=4>{<1gg?`43ty8hl4?:0y>7ag=n:1v>j7:18285c03l87psac0794?7|@;<n7p`l1783>4}O:?o0qcm>7;295~N5>l1vbn?7:182M41m2weo<750;3xL70b3tdh=l4?:0yK63c<ugi:n7>51zJ12`=zfj;h6=4>{I05a>{ik8n1<7?tH34f?xhd9l0;6<uG27g8yke6n3:1=vF=6d9~jf47290:wE<9e:mg77=83;pD?8j;|l`67<728qC>;k4}oa17?6=9rB9:h5rnb07>5<6sA8=i6sac3794?7|@;<n7p`l2783>4}O:?o0qcm=7;295~N5>l1vbn<7:182M41m2weo?750;3xL70b3tdh>l4?:0yK63c<ugi9n7>51zJ12`=zfj8h6=4>{I05a>{ik;n1<7?tH34f?xhd:l0;6<uG27g8yke5n3:1=vF=6d9~jf57290:wE<9e:mg67=83;pD?8j;|l`77<728qC>;k4}oa07?6=9rB9:h5rnb17>5<6sA8=i6sac2794?7|@;<n7p`l3783>4}O:?o0qcm<7;295~N5>l1vbn=7:182M41m2weo>750;3xL70b3tdh?l4?:0yK63c<ugi8n7>51zJ12`=zfj9h6=4>{I05a>{ik:n1<7?tH34f?xhd;l0;6<uG27g8yke4n3:1=vF=6d9~jf27290:wE<9e:mg17=83;pD?8j;|l`07<728qC>;k4}oa77?6=9rB9:h5rnb67>5<6sA8=i6sac5794?4|@;<n7p`l4783>1}O:?o0qcm;7;296~N5>l1vbn:7:182M41m2weo9750;3xL70b3tdh8l4?:0yK63c<ugi?n7>51zJ12`=zfj>h6=4>{I05a>{ik=n1<7?tH34f?xhd<l0;6<uG27g8yke3n3:1=vF=6d9~jf37290:wE<9e:mg07=83;pD?8j;|l`17<728qC>;k4}oa67?6=9rB9:h5rnb77>5<6sA8=i6sac4794?7|@;<n7p`l5783>4}O:?o0qcm:7;295~N5>l1vbn;7:182M41m2weo8750;3xL70b3tdh9l4?:0yK63c<ugi>n7>51zJ12`=zfj?h6=4>{I05a>{ik<n1<7?tH34f?xhd=l0;6<uG27g8yke2n3:1=vF=6d9~jf07290:wE<9e:mg37=83;pD?8j;|l`27<728qC>;k4}oa57?6=9rB9:h5rnb47>5<6sA8=i6sac7794?7|@;<n7p`l6783>4}O:?o0qcm97;295~N5>l1vbn87:182M41m2weo;750;3xL70b3tdh:l4?:0yK63c<ugi=n7>51zJ12`=zfj<h6=4>{I05a>{ik?n1<7?tH34f?xhd>l0;6<uG27g8yke1n3:1=vF=6d9~jf17290:wE<9e:mg27=83;pD?8j;|l`37<728qC>;k4}oa47?6=9rB9:h5rnb57>5<6sA8=i6sac6794?7|@;<n7p`l7783>4}O:?o0qcm87;295~N5>l1vbn97:182M41m2weo:750;3xL70b3tdh;l4?:0yK63c<ugi<n7>51zJ12`=zfj=h6=4>{I05a>{ik>n1<7?tH34f?xhd?l0;6<uG27g8yke0n3:1=vF=6d9~jf>7290:wE<9e:mg=7=83;pD?8j;|l`<7<728qC>;k4}oa;7?6=9rB9:h5rnb:7>5<6sA8=i6sac9794?7|@;<n7p`l8783>4}O:?o0qcm77;295~N5>l1vbn67:182M41m2weo5750;3xL70b3tdh4l4?:0yK63c<ugi3n7>51zJ12`=zfj2h6=4>{I05a>{ik1n1<7?tH34f?xhd0l0;6<uG27g8yke?n3:1=vF=6d9~jf?7290:wE<9e:mg<7=83;pD?8j;|l`=7<728qC>;k4}oa:7?6=9rB9:h5rnb;7>5<6sA8=i6sac8794?7|@;<n7p`l9783>4}O:?o0qcm67;295~N5>l1vbn77:182M41m2weo4750;3xL70b3tdh5l4?:0yK63c<ugi2n7>51zJ12`=zfj3h6=4>{I05a>{ik0n1<7?tH34f?xhd1l0;6<uG27g8yke>n3:1=vF=6d9~jfg7290:wE<9e:mgd7=83;pD?8j;|l`e7<728qC>;k4}oab7?6=9rB9:h5rnbc7>5<6sA8=i6sac`794?7|@;<n7p`la783>4}O:?o0qcmn7;295~N5>l1vbno7:182M41m2weol750;3xL70b3tdhml4?:0yK63c<ugijn7>51zJ12`=zfjkh6=4>{I05a>{ikhn1<7?tH34f?xhdil0;6<uG27g8ykefn3:1=vF=6d9~jfd7290:wE<9e:mgg7=83;pD?8j;|l`f7<728qC>;k4}oaa7?6=9rB9:h5rnb`7>5<6sA8=i6sacc794?7|@;<n7p`lb783>4}O:?o0qcmm7;295~N5>l1vbnl7:182M41m2weoo750;3xL70b3tdhnl4?:0yK63c<ugiin7>51zJ12`=zfjhh6=4>{I05a>{ikkn1<7?tH34f?xhdjl0;6<uG27g8ykeen3:1=vF=6d9~jfe7290:wE<9e:mgf7=83;pD?8j;|l`g7<728qC>;k4}oa`7?6=9rB9:h5rnba7>5<6sA8=i6sacb794?7|@;<n7p`lc783>4}O:?o0qcml7;295~N5>l1vbnm7:182M41m2weon750;3xL70b3tdhol4?:0yK63c<ugihn7>51zJ12`=zfjih6=4>{I05a>{ikjn1<7?tH34f?xhdkl0;6<uG27g8ykedn3:1=vF=6d9~jfb7290:wE<9e:mga7=83;pD?8j;|l``7<728qC>;k4}oag7?6=9rB9:h5rnbf7>5<6sA8=i6sace794?7|@;<n7p`ld783>4}O:?o0qcmk7;295~N5>l1vbnj7:182M41m2weoi750;3xL70b3tdhhl4?:0yK63c<ugion7>51zJ12`=zfjnh6=4>{I05a>{ikmn1<7?tH34f?xhdll0;6<uG27g8ykecn3:1=vF=6d9~jfc7290:wE<9e:mg`7=83;pD?8j;|l`a7<728qC>;k4}oaf7?6=9rB9:h5rnbg7>5<6sA8=i6sacd794?7|@;<n7p`le783>4}O:?o0qcmj7;295~N5>l1vbnk7:182M41m2weoh750;3xL70b3tdhil4?:0yK63c<uginn7>51zJ12`=zfjoh6=4>{I05a>{ikln1<7?tH34f?xhdml0;6<uG27g8ykebn3:1=vF=6d9~jf`7290:wE<9e:mgc7=83;pD?8j;|l`b7<728qC>;k4}oae7?6=9rB9:h5rnbd7>5<6sA8=i6sacg794?7|@;<n7p`lf783>4}O:?o0qcmi7;295~N5>l1vbnh7:182M41m2weok750;3xL70b3tdhjl4?:0yK63c<ugimn7>51zJ12`=zfjlh6=4>{I05a>{ikon1<7?tH34f?xhdnl0;6<uG27g8ykean3:1=vF=6d9~ja67290:wE<9e:m`57=83;pD?8j;|lg47<728qC>;k4}of37?6=9rB9:h5rne27>5<6sA8=i6sad1794?7|@;<n7p`k0783>4}O:?o0qcj?7;295~N5>l1vbi>7:182M41m2weh=750;3xL70b3tdo<l4?:0yK63c<ugn;n7>51zJ12`=N9;l1=v<5}[42>4}0j3wvbi>l:182M41m2C:>k4>{38~^37=9r=i6psad1f94?7|@;<n7D?=f;3x6?{]>80:w:l5}|lg4`<728qC>;k4I00e>4}52tP==7?t7c8~ykb7n3:1=vF=6d9~ja77290:wE<9e:m`47=83;pD?8j;|lg57<728qC>;k4}of27?6=9rB9:h5F13d95~4=uS<:6<u8b;~ja73290:wE<9e:m`43=83;pD?8j;H31b?7|93wQ:<4>{6`9yxhc9?0;6<uG27g8M44a28q:6pT91;3x3g<zugn:;7>51zJ12`=N9;l1=v?5}[42>4}0j3wvbi?7:182M41m2C:>k4>{08~^37=9r=i6psad0;94?7|@;<n7p`k1`83>4}O:?o0qcj>b;295~N5>l1vbi?l:182M41m2C:>k4>{08~^37=9r=i6psad0f94?7|@;<n7D?=f;3x5?{]>80:w:l5}|lg5`<728qC>;k4I00e>4}62tP==7?t7c8~ykb6n3:1=vF=6d9J57`=9r;1qW8>:0y4f?{zfm8;6=4>{I05a>O6:o0:w<4rZ7395~1e2tweh??50;3xL70b3tdo>?4?:0yK63c<ugn9?7>51zJ12`=zfm8?6=4>{I05a>{il;?1<7?tH34f?xhc:?0;6<uG27g8ykb5?3:1=vF=6d9~ja4?290:wE<9e:m`7?=83;pD?8j;|lg6d<728qC>;k4}of1f?6=9rB9:h5rne0`>5<6sA8=i6sad3f94?7|@;<n7p`k2d83>4}O:?o0qcj=f;295~N5>l1vbi=?:182M41m2weh>?50;3xL70b3tdo??4?:0yK63c<ugn8?7>51zJ12`=zfm9?6=4>{I05a>{il:?1<7?tH34f?xhc;?0;6<uG27g8ykb4?3:1=vF=6d9~ja5?290:wE<9e:m`6?=83;pD?8j;|lg7d<728qC>;k4}of0f?6=9rB9:h5rne1`>5<6sA8=i6sad2f94?7|@;<n7p`k3d83>4}O:?o0qcj<f;295~N5>l1vbi:?:182M41m2weh9?50;3xL70b3tdo8?4?:0yK63c<ugn??7>51zJ12`=N9;l1=v<5}[42>4}0j3wvbi:;:182M41m2weh9;50;3xL70b3@;9j7?t2;Y24<6s>h1qp`k4783>4}O:?o0qcj;7;295~N5>l1vbi:7:182M41m2weh9750;0xL70b3tdo8l4?:0yK63c<ugn?n7>51zJ12`=zfm>h6=4>{I05a>{il=n1<7?tH34f?xhc<l0;6<uG27g8ykb3n3:1=vF=6d9~ja37290:wE<9e:m`07=83;pD?8j;|lg17<728qC>;k4}of67?6=9rB9:h5rne77>5<6sA8=i6sad4794?7|@;<n7p`k5783>4}O:?o0qcj:7;295~N5>l1vbi;7:182M41m2weh8750;3xL70b3tdo9l4?:0yK63c<ugn>n7>51zJ12`=zfm?h6=4>{I05a>{il<n1<7?tH34f?xhc=l0;6<uG27g8ykb2n3:1=vF=6d9~ja07290:wE<9e:m`37=83;pD?8j;|lg27<728qC>;k4}of57?6=9rB9:h5rne47>5<6sA8=i6sad7794?7|@;<n7p`k6783>4}O:?o0qcj97;295~N5>l1vbi87:182M41m2weh;750;3xL70b3tdo:l4?:0yK63c<ugn=n7>51zJ12`=zfm<h6=4>{I05a>{il?n1<7?tH34f?xhc>l0;6<uG27g8ykb1n3:1=vF=6d9~ja17290:wE<9e:m`27=83;pD?8j;|lg37<728qC>;k4}of47?6=9rB9:h5rne57>5<6sA8=i6sad6794?7|@;<n7p`k7783>4}O:?o0qcj87;295~N5>l1vbi97:182M41m2weh:750;3xL70b3tdo;l4?:0yK63c<ugn<n7>51zJ12`=zfm=h6=4>{I05a>{il>n1<7?tH34f?xhc?l0;6<uG27g8ykb0n3:1=vF=6d9~ja>7290:wE<9e:m`=7=83;pD?8j;|lg<7<728qC>;k4}of;7?6=9rB9:h5rne:7>5<6sA8=i6sad9794?7|@;<n7p`k8783>4}O:?o0qcj77;295~N5>l1vbi67:182M41m2weh5750;3xL70b3tdo4l4?:0yK63c<ugn3n7>51zJ12`=zfm2h6=4>{I05a>{il1n1<7?tH34f?xhc0l0;6?uG27g8ykb?n3:1=vF=6d9~ja?7290:wE<9e:m`<7=83;pD?8j;|lg=7<728qC>;k4}of:7?6=9rB9:h5rne;7>5<6sA8=i6sad8794?7|@;<n7p`k9783>4}O:?o0qcj67;295~N5>l1vbi77:182M41m2weh4750;3xL70b3tdo5l4?:0yK63c<ugn2n7>51zJ12`=zfm3h6=4>{I05a>{il0n1<7?tH34f?xhc1l0;6<uG27g8ykb>n3:1=vF=6d9~jag7290:wE<9e:m`d7=83;pD?8j;|lge7<728qC>;k4I00e>4}62tP==7?t7c8~ykbf;3:1=vF=6d9~jag3290:wE<9e:K26c<6s80vV;?51z5a>x{ilh?1<7?tH34f?xhci?0;6<uG27g8M44a28q96pT91;3x3g<zugnj;7>51zJ12`=N9;l1=v<5}[42>4}0j3wvbio7:182M41m2C:>k4>{38~^37=9r=i6psad`;94?7|@;<n7D?=f;3x6?{]>80:w:l5}|lged<728qC>;k4I00e>4}52tP==7?t7c8~ykbfj3:1=vF=6d9J57`=9r81qW8>:0y4f?{zfmkh6=4>{I05a>O6:o0:w?4rZ7395~1e2twehlj50;3xL70b3@;9j7?t2;Y24<6s>h1qp`kad83>4}O:?o0E<<i:0y1>x\193;p;o4r}ofbb?6=9rB9:h5F13d95~4=uS<:6<u8b;~jad7290:wE<9e:K26c<6s;0vV;?51z5a>x{ilk;1<7?tH34f?L75n3;p>7sU60822d=utdon?4?:0yK63c<A88m6<u=:|X55?7|?k0vqcjm3;295~N5>l1B=?h51z09y_0628q<n7srne`7>5<6sA8=i6G>2g827<zR?;1=v9m:|m`g3=83;pD?8j;H31b?7|:3wQ:<4>{6`9yxhcj?0;6<uG27g8ykbe?3:1=vF=6d9~jad?290:wE<9e:m`g?=83;pD?8j;|lgfd<728qC>;k4}ofaf?6=:rB9:h5F13d95~7=uS<:6<u8b;~jadd2909wE<9e:m`gb=83;pD?8j;H31b?7|:3wQ:<4>{6`9yxhcjl0;6<uG27g8ykben3:1=vF=6d9J57`=9r;1qW8>:0y4f?{zfmi;6=4>{I05a>{ilj;1<7?tH34f?L75n3;p>7sU60822d=utdoo?4?:0yK63c<A88m6<u=:|X55?7|?k0vqcjl3;295~N5>l1B=?h51z09y_0628q<n7srnea7>5<6sA8=i6G>2g827<zR?;1=v9m:|m`f3=83;pD?8j;H31b?7|:3wQ:<4>{6`9yxhck?0;6<uG27g8M44a28q96pT91;3x3g<zugnh;7>51zJ12`=N9;l1=v<5}[42>4}0j3wvbim7:182M41m2C:>k4>{38~^37=9r=i6psadb;94?7|@;<n7p`kc`83>4}O:?o0qcjlb;295~N5>l1vbiml:182M41m2wehnj50;3xL70b3tdooh4?:0yK63c<ugnhj7>51zJ12`=zfmn;6=4>{I05a>{ilm;1<7?tH34f?xhcl;0;6<uG27g8ykbc;3:1=vF=6d9~jab3290:wE<9e:K26c<6s80vV;?51z5a>x{ilm?1<7?tH34f?xhcl?0;6<uG27g8M44a28q96pT91;3x3g<zugno;7>51zJ12`=zfmn36=4>{I05a>{ilm31<7?tH34f?xhclh0;6<uG27g8ykbcj3:1=vF=6d9~jabd290:wE<9e:m`ab=83;pD?8j;|lg``<728qC>;k4}ofgb?6=9rB9:h5rneg3>5<6sA8=i6sadd394?7|@;<n7p`ke383>4}O:?o0qcjj3;295~N5>l1vbik;:182M41m2wehh;50;3xL70b3tdoi;4?:0yK63c<ugnn;7>51zJ12`=zfmo36=4>{I05a>{ill31<7?tH34f?xhcmh0;6<uG27g8ykbbj3:1=vF=6d9~jacd290:wE<9e:m``b=83;pD?8j;|lga`<728qC>;k4}offb?6=9rB9:h5rned3>5<6sA8=i6sadg394?7|@;<n7p`kf383>4}O:?o0qcji3;295~N5>l1vbih;:182M41m2wehk;50;3xL70b3tdoj;4?:0yK63c<ugnm;7>51zJ12`=zfml36=4>{I05a>{ilo31<7?tH34f?xhcnh0;6<uG27g8ykbaj3:1=vF=6d9~ja`d290:wE<9e:m`cb=83;pD?8j;|lgb`<728qC>;k4}ofeb?6=9rB9:h5rnd23>5<6sA8=i6sae1394?7|@;<n7p`j0383>4}O:?o0qck?3;295~N5>l1vbh>;:182M41m2wei=;50;3xL70b3tdn<;4?:0yK63c<ugo;;7>51zJ12`=zfl:36=4>{I05a>{im931<7?tH34f?xhb8h0;6<uG27g8ykc7j3:1=vF=6d9~j`6d290:wE<9e:ma5b=83;pD?8j;|lf4`<728qC>;k4}og3b?6=9rB9:h5rnd33>5<6sA8=i6sae0394?7|@;<n7p`j1383>4}O:?o0qck>3;295~N5>l1vbh?;:182M41m2wei<;50;3xL70b3tdn=;4?:0yK63c<ugo:;7>51zJ12`=zfl;36=4>{I05a>{im831<7?tH34f?xhb9h0;6<uG27g8ykc6j3:1=vF=6d9~j`7d290:wE<9e:ma4b=83;pD?8j;|lf5`<728qC>;k4}og2b?6=9rB9:h5rnd03>5<6sA8=i6sae3394?7|@;<n7p`j2383>4}O:?o0qck=3;295~N5>l1vbh<;:182M41m2wei?;50;3xL70b3tdn>;4?:0yK63c<ugo9;7>51zJ12`=zfl836=4>{I05a>{im;31<7?tH34f?xhb:h0;6<uG27g8ykc5j3:1=vF=6d9~j`4d290:wE<9e:ma7b=83;pD?8j;|lf6`<728qC>;k4}og1b?6=9rB9:h5rnd13>5<6sA8=i6sae2394?7|@;<n7D?=f;3x6?{]>80:w:l5}|lf77<728qC>;k4}oca6?6=9rwei>=50;3xykc4<3:1=vsae2794?7|ugh9i7>51zmf7`=83;pqck<6;295~\193;p;o4rHg08ykc4?3:1=vT91;3x3g<z@o80qck<8;295~\193;p;o4rHg08ykc413:1=vT91;3x3g<z@o80qck<a;295~\193;p;o4rHg08ykc4j3:1=vT91;3x3g<z@o80qck<c;295~\193;p;o4rHg08ykc4l3:1=vsae2g94?7|ugo8j7>51zma16=83;pqck;1;295~{im=81<7?t}og77?6=9rwvqpNOCz3333<5i1238h8r@A@x4xFGXrwKL
/projects/S3Demo/S3demo.pcf
1,18 → 1,30
//! **************************************************************************
// Written by: Map M.70d on Fri May 02 20:23:52 2014
// Written by: Map M.70d on Tue May 06 22:08:18 2014
//! **************************************************************************
 
SCHEMATIC START;
COMP "blu" LOCATE = SITE "P20" LEVEL 1;
COMP "grn" LOCATE = SITE "P16" LEVEL 1;
COMP "red" LOCATE = SITE "P13" LEVEL 1;
COMP "rxd" LOCATE = SITE "P52" LEVEL 1;
COMP "txd" LOCATE = SITE "P56" LEVEL 1;
COMP "an<0>" LOCATE = SITE "P59" LEVEL 1;
COMP "an<1>" LOCATE = SITE "P57" LEVEL 1;
COMP "an<2>" LOCATE = SITE "P61" LEVEL 1;
COMP "an<3>" LOCATE = SITE "P60" LEVEL 1;
COMP "swt<0>" LOCATE = SITE "P85" LEVEL 1;
COMP "swt<1>" LOCATE = SITE "P88" LEVEL 1;
COMP "swt<2>" LOCATE = SITE "P90" LEVEL 1;
COMP "swt<3>" LOCATE = SITE "P94" LEVEL 1;
COMP "swt<4>" LOCATE = SITE "P97" LEVEL 1;
COMP "swt<5>" LOCATE = SITE "P4" LEVEL 1;
COMP "swt<6>" LOCATE = SITE "P6" LEVEL 1;
COMP "swt<7>" LOCATE = SITE "P9" LEVEL 1;
NET "mclk_BUFGP/IBUFG" BEL "mclk_BUFGP/BUFG.GCLKMUX" USELOCALCONNECT;
COMP "led<0>" LOCATE = SITE "P84" LEVEL 1;
COMP "btn<0>" LOCATE = SITE "P77" LEVEL 1;
COMP "an<3>" LOCATE = SITE "P60" LEVEL 1;
COMP "led<1>" LOCATE = SITE "P86" LEVEL 1;
COMP "kc" LOCATE = SITE "P19" LEVEL 1;
COMP "btn<1>" LOCATE = SITE "P78" LEVEL 1;
COMP "kd" LOCATE = SITE "P21" LEVEL 1;
COMP "led<2>" LOCATE = SITE "P89" LEVEL 1;
COMP "btn<2>" LOCATE = SITE "P82" LEVEL 1;
COMP "led<3>" LOCATE = SITE "P93" LEVEL 1;
19,23 → 31,27
COMP "btn<3>" LOCATE = SITE "P83" LEVEL 1;
COMP "led<4>" LOCATE = SITE "P98" LEVEL 1;
COMP "led<5>" LOCATE = SITE "P3" LEVEL 1;
COMP "blu" LOCATE = SITE "P20" LEVEL 1;
COMP "led<6>" LOCATE = SITE "P5" LEVEL 1;
COMP "led<7>" LOCATE = SITE "P27" LEVEL 1;
COMP "kc" LOCATE = SITE "P19" LEVEL 1;
COMP "kd" LOCATE = SITE "P21" LEVEL 1;
COMP "hs" LOCATE = SITE "P15" LEVEL 1;
COMP "led<7>" LOCATE = SITE "P27" LEVEL 1;
COMP "swt<0>" LOCATE = SITE "P85" LEVEL 1;
COMP "grn" LOCATE = SITE "P16" LEVEL 1;
COMP "swt<1>" LOCATE = SITE "P88" LEVEL 1;
COMP "swt<2>" LOCATE = SITE "P90" LEVEL 1;
COMP "vs" LOCATE = SITE "P12" LEVEL 1;
COMP "swt<3>" LOCATE = SITE "P94" LEVEL 1;
COMP "swt<4>" LOCATE = SITE "P97" LEVEL 1;
COMP "red" LOCATE = SITE "P13" LEVEL 1;
COMP "swt<5>" LOCATE = SITE "P4" LEVEL 1;
COMP "swt<6>" LOCATE = SITE "P6" LEVEL 1;
COMP "swt<7>" LOCATE = SITE "P9" LEVEL 1;
COMP "led_ext<0>" LOCATE = SITE "P36" LEVEL 1;
COMP "btn_ext<0>" LOCATE = SITE "P39" LEVEL 1;
COMP "led_ext<1>" LOCATE = SITE "P46" LEVEL 1;
COMP "btn_ext<1>" LOCATE = SITE "P50" LEVEL 1;
COMP "led_ext<2>" LOCATE = SITE "P35" LEVEL 1;
COMP "btn_ext<2>" LOCATE = SITE "P37" LEVEL 1;
COMP "led_ext<3>" LOCATE = SITE "P34" LEVEL 1;
COMP "btn_ext<3>" LOCATE = SITE "P49" LEVEL 1;
COMP "led_ext<4>" LOCATE = SITE "P33" LEVEL 1;
COMP "btn_ext<4>" LOCATE = SITE "P30" LEVEL 1;
COMP "led_ext<5>" LOCATE = SITE "P32" LEVEL 1;
COMP "led_ext<6>" LOCATE = SITE "P31" LEVEL 1;
COMP "led_ext<7>" LOCATE = SITE "P29" LEVEL 1;
COMP "mclk" LOCATE = SITE "P43" LEVEL 1;
COMP "ssg<0>" LOCATE = SITE "P65" LEVEL 1;
COMP "mclk" LOCATE = SITE "P43" LEVEL 1;
COMP "ssg<1>" LOCATE = SITE "P64" LEVEL 1;
COMP "ssg<2>" LOCATE = SITE "P72" LEVEL 1;
COMP "ssg<3>" LOCATE = SITE "P70" LEVEL 1;
/projects/S3Demo/S3DemoPHR.cfi
0,0 → 1,11
# PROMGEN: Xilinx Prom Generator M.70d
# Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved.
 
SOFTWARE_VERSION M.70d
DATE 5/ 6/2014 - 22: 8
SOURCE /home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3DemoPHR.mcs
DEVICE XCF02S
DATA_WIDTH 8
FILL_DATA 0xFF
SIGNATURE 0x01BA5F8F
START_ADDRESS 0x00000000 END_ADDRESS 0x00024831 DIRECTION_UP "/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/S3demo_cclktemp.bit" 3s200avq100
/projects/S3Demo/output.txt
0,0 → 1,9
Storage Target: Xilinx Flash/PROM
Storage Family: Platform Flash
xcf02s [2 M]
FF
S3DemoPHR
/home/lguanuco/opencores/phr/trunk/codigo/demos/projects/S3Demo/
 
MCS
No

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.