OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /phr/trunk/codigo/demos
    from Rev 427 to Rev 428
    Reverse comparison

Rev 427 → Rev 428

/pong/ps2_cntrl.v File deleted
pong/ps2_cntrl.v Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/pongPHR.cfi =================================================================== --- pong/pongPHR.cfi (revision 427) +++ pong/pongPHR.cfi (nonexistent) @@ -1,11 +0,0 @@ -# PROMGEN: Xilinx Prom Generator M.70d -# Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -SOFTWARE_VERSION M.70d -DATE 5/ 7/2014 - 20:16 -SOURCE /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pongPHR.mcs -DEVICE XCF02S -DATA_WIDTH 8 -FILL_DATA 0xFF -SIGNATURE 0x01BE497E -START_ADDRESS 0x00000000 END_ADDRESS 0x00024831 DIRECTION_UP "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.bit" 3s200avq100 Index: pong/vga_interface.vhd =================================================================== --- pong/vga_interface.vhd (revision 427) +++ pong/vga_interface.vhd (nonexistent) @@ -1,82 +0,0 @@ ------------------------------------------------------------------- --- Copyright (c) 1995-2005 Xilinx, Inc. --- All Right Reserved. ------------------------------------------------------------------- --- ____ ____ --- / /\/ / --- /___/ \ / Vendor: Xilinx --- \ \ \/ Version : 8.1i --- \ \ Application : --- / / Filename : vga_interface.vhd --- /___/ /\ Timestamp : 9/20/2005 11:15:08 --- \ \ / \ --- \___\/\___\ --- --- --- This Entity interprets the color output to the VGA monitor - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity vga_int is Port ( - CLK : in std_logic; - COLOR: in std_logic_vector ( 1 downto 0 ); - VSYNCH_IN: in std_logic; - HSYNCH_IN: in std_logic; - RED: out std_logic; - BLUE: out std_logic; - GREEN: out std_logic; - VSYNCH_OUT: out std_logic; - HSYNCH_OUT: out std_logic - ); -end vga_int; - -architecture behavioral of vga_int is - -signal VSYNCH_PIPE: std_logic; -signal HSYNCH_PIPE: std_logic; - -begin - -PIPELINE: process (CLK) -begin - if ( CLK = '1' and CLK'event ) then - VSYNCH_OUT <= VSYNCH_PIPE; - HSYNCH_OUT <= HSYNCH_PIPE; - HSYNCH_PIPE <= HSYNCH_IN; - VSYNCH_PIPE <= VSYNCH_IN; - end if; -end process; - -COLOR_LUT: process (CLK) -begin - if ( CLK = '1' and CLK'event ) then - RED <= '0'; - GREEN <= '0'; - BLUE <= '0'; - - case COLOR is - when "00" => - RED <= '0'; - GREEN <= '0'; - BLUE <= '0'; - when "01" => - RED <= '1'; - GREEN <= '0'; - BLUE <= '0'; - when "10" => - RED <= '0'; - GREEN <= '0'; - BLUE <= '1'; - when "11" => - RED <= '1'; - GREEN <= '1'; - BLUE <= '1'; - when others => NULL; - end case; - end if; -end process; - -end behavioral; \ No newline at end of file
pong/vga_interface.vhd Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/xst/work/sub00/vhpl06.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/sub00/vhpl06.vho =================================================================== --- pong/xst/work/sub00/vhpl06.vho (revision 427) +++ pong/xst/work/sub00/vhpl06.vho (nonexistent)
pong/xst/work/sub00/vhpl06.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/sub00/vhpl07.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/sub00/vhpl07.vho =================================================================== --- pong/xst/work/sub00/vhpl07.vho (revision 427) +++ pong/xst/work/sub00/vhpl07.vho (nonexistent)
pong/xst/work/sub00/vhpl07.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/sub00/vhpl00.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/sub00/vhpl00.vho =================================================================== --- pong/xst/work/sub00/vhpl00.vho (revision 427) +++ pong/xst/work/sub00/vhpl00.vho (nonexistent)
pong/xst/work/sub00/vhpl00.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/sub00/vhpl01.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/sub00/vhpl01.vho =================================================================== --- pong/xst/work/sub00/vhpl01.vho (revision 427) +++ pong/xst/work/sub00/vhpl01.vho (nonexistent)
pong/xst/work/sub00/vhpl01.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/sub00/vhpl02.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/sub00/vhpl02.vho =================================================================== --- pong/xst/work/sub00/vhpl02.vho (revision 427) +++ pong/xst/work/sub00/vhpl02.vho (nonexistent)
pong/xst/work/sub00/vhpl02.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/sub00/vhpl03.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/sub00/vhpl03.vho =================================================================== --- pong/xst/work/sub00/vhpl03.vho (revision 427) +++ pong/xst/work/sub00/vhpl03.vho (nonexistent)
pong/xst/work/sub00/vhpl03.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/sub00/vhpl04.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/sub00/vhpl04.vho =================================================================== --- pong/xst/work/sub00/vhpl04.vho (revision 427) +++ pong/xst/work/sub00/vhpl04.vho (nonexistent)
pong/xst/work/sub00/vhpl04.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/sub00/vhpl05.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/sub00/vhpl05.vho =================================================================== --- pong/xst/work/sub00/vhpl05.vho (revision 427) +++ pong/xst/work/sub00/vhpl05.vho (nonexistent)
pong/xst/work/sub00/vhpl05.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/hdllib.ref =================================================================== --- pong/xst/work/hdllib.ref (revision 427) +++ pong/xst/work/hdllib.ref (nonexistent) @@ -1,12 +0,0 @@ -MO PS2_CTRL NULL ps2_cntrl.v vlg15/_p_s2___c_t_r_l.bin 1399504478 -AR testram behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd sub00/vhpl03 1399504481 -AR vga_int behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vga_interface.vhd sub00/vhpl07 1399504485 -MO game_title NULL game_title.v vlg13/game__title.bin 1399504478 -EN cntrl NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd sub00/vhpl04 1399504482 -AR cntrl static_display /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd sub00/vhpl05 1399504483 -EN vga_int NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vga_interface.vhd sub00/vhpl06 1399504484 -AR vgacore behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vgacore_multi.vhd sub00/vhpl01 1399504479 -MO pong_top NULL pong_top.vf vlg26/pong__top.bin 1399504478 -MO read_ps2 NULL read_ps2.v vlg14/read__ps2.bin 1399504478 -EN testram NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd sub00/vhpl02 1399504480 -EN vgacore NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vgacore_multi.vhd sub00/vhpl00 1399504478 Index: pong/xst/work/vlg13/game__title.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/vlg13/game__title.bin =================================================================== --- pong/xst/work/vlg13/game__title.bin (revision 427) +++ pong/xst/work/vlg13/game__title.bin (nonexistent)
pong/xst/work/vlg13/game__title.bin Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/vlg14/read__ps2.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/vlg14/read__ps2.bin =================================================================== --- pong/xst/work/vlg14/read__ps2.bin (revision 427) +++ pong/xst/work/vlg14/read__ps2.bin (nonexistent)
pong/xst/work/vlg14/read__ps2.bin Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/vlg15/_p_s2___c_t_r_l.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/vlg15/_p_s2___c_t_r_l.bin =================================================================== --- pong/xst/work/vlg15/_p_s2___c_t_r_l.bin (revision 427) +++ pong/xst/work/vlg15/_p_s2___c_t_r_l.bin (nonexistent)
pong/xst/work/vlg15/_p_s2___c_t_r_l.bin Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/vlg26/pong__top.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xst/work/vlg26/pong__top.bin =================================================================== --- pong/xst/work/vlg26/pong__top.bin (revision 427) +++ pong/xst/work/vlg26/pong__top.bin (nonexistent)
pong/xst/work/vlg26/pong__top.bin Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/xst/work/hdpdeps.ref =================================================================== --- pong/xst/work/hdpdeps.ref (revision 427) +++ pong/xst/work/hdpdeps.ref (nonexistent) @@ -1,33 +0,0 @@ -V3 14 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd 2005/09/23.19:47:51 M.70d -EN work/cntrl 1399504482 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd \ - PB ieee/std_logic_1164 1284609568 PB ieee/std_logic_arith 1284609569 \ - PB ieee/STD_LOGIC_UNSIGNED 1284609570 -AR work/cntrl/static_display 1399504483 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd \ - EN work/cntrl 1399504482 CP vgacore CP testram -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd 2005/09/23.19:47:51 M.70d -EN work/testram 1399504480 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd \ - PB ieee/std_logic_1164 1284609568 PB ieee/std_logic_arith 1284609569 \ - PB ieee/STD_LOGIC_UNSIGNED 1284609570 -AR work/testram/behavioral 1399504481 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd \ - EN work/testram 1399504480 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vgacore_multi.vhd 2005/09/23.19:47:51 M.70d -EN work/vgacore 1399504478 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vgacore_multi.vhd \ - PB ieee/std_logic_1164 1284609568 PB ieee/std_logic_arith 1284609569 \ - PB ieee/STD_LOGIC_UNSIGNED 1284609570 -AR work/vgacore/behavioral 1399504479 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vgacore_multi.vhd \ - EN work/vgacore 1399504478 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vga_interface.vhd 2005/09/23.19:47:51 M.70d -EN work/vga_int 1399504484 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vga_interface.vhd \ - PB ieee/std_logic_1164 1284609568 PB ieee/std_logic_arith 1284609569 \ - PB ieee/STD_LOGIC_UNSIGNED 1284609570 -AR work/vga_int/behavioral 1399504485 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vga_interface.vhd \ - EN work/vga_int 1399504484 Index: pong/pong_top.prj =================================================================== --- pong/pong_top.prj (revision 427) +++ pong/pong_top.prj (nonexistent) @@ -1,8 +0,0 @@ -vhdl work "vgacore_multi.vhd" -vhdl work "testram.vhd" -verilog work "ps2_cntrl.v" -vhdl work "vga_interface.vhd" -verilog work "read_ps2.v" -vhdl work "pong_cntrl.vhd" -verilog work "game_title.v" -verilog work "pong_top.vf" Index: pong/webtalk_pn.xml =================================================================== --- pong/webtalk_pn.xml (revision 427) +++ pong/webtalk_pn.xml (nonexistent) @@ -1,47 +0,0 @@ - - - - -
- - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
Index: pong/pong_top.ptwx =================================================================== --- pong/pong_top.ptwx (revision 427) +++ pong/pong_top.ptwx (nonexistent) @@ -1,332 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -]> -Autotimespec constraint for clock net clk_ic4_BUFGPAutotimespec constraint for clock net cntrl_inst/VGA1/VCLKAutotimespec constraint for clock net cntrl_inst/VCLKAutotimespec constraint for clock net read_ps2_inst/ps2_ctrl/filter_clk0INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. Index: pong/pong_top.ncd =================================================================== --- pong/pong_top.ncd (revision 427) +++ pong/pong_top.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###4508:XlxV32DM 3fd7 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###2200:XlxV32DM 3ff8 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###2732:XlxV32DM 3fe1 a94eNqdm21v3DYMxz/RFpOU/IAVAbogyYKlTdGkXffKuAdnKHZNu/QK9L79ZPvssyyKotNXhf4/kdQjJfmyedo/7+rPT9/3Zx+vX8PZw+Wbd/XtzdvL2sL6t01UzUW1ENUSQVBXT9vM/UNMMwBbHnp38+ny9hWdy7pJ6Dah5wm9SOhlQq/OYSPoNcmykWUry7ksF7JcynIVG91eHqYAKSAAE1AfL27/BMsXQ8WW179/uLpm5uWo/Xrt/vfmwyemYR3z5cfPLpo1L39bbf6tefXuw9uHy/evsnOmUybq0YQGQskPiH5gNNGI0PNe8oGiD9S0BTWBYCoQEgMhjQ9K+TCiDzM0VvJhUj6s6MNq2mFTPnLRR65pR57yUYg+Ck07ipSPUvRRatpRpnxUoo9K046q87GKAnUmiSCJKIkkiUYSrSTmklhIYimJFeahePn+4ebi9W09QO8v7y8fsFGCw1ZN2cIKiIurkCVcXKW+ekml68XBlZbM4ir1/V8Z2RdVAypeVK9+tC+p2S/zxb1SETBT7v7vtxd/cMu5E8bs/5gCkGlI87z/vFnt6vv9at/UV/dv6qurLeBKS/5y84TrBTBwS4Wnh0StjRrVUWMbdbMAbmeCvsIQ+MIKpG4pqVtKS8aHFo0PLR0fo47aLInaLIraLI3aqslc3b58yfzLj/OP20zECmd3VCyucjw86KNb2p8FVily8+Vb3fzX3cJWelYxByZwt6IXWMf0fJzAsKCRsCAMgPQsOMHDWOpjoQWtJJdQtnpaN4Un/OLgzYKONEs60gwnai8316tt9wjQPtVwArRvPEVMYKtg+2zECyYmRLxTTDCxsEw0LBsLy8bCsqH37z/WXW85UyUrtN79a89EqtfOrn/tmavDIHnHyvVqt6t/vsrOfctjMftmMVOJNQm8SRBNDqphTSJvEkWTKJok3iSds49CM9WIqmUdGt6hEdtgxJ62vEkrmrRilDlvMh9NUqi6OzFXCmwpb4HYUsOWWrY0958Qj6VPX/fha2SvbT8/+6+GY/H4iNmIMlUJwKD/dBkARZdrMc2ABkI0acjd2uRWk39bOsntpv9P0yX8PEUMRx8liEmfYlS7phutSLP6O150MAeZMEsAZ3f+UY5F2GesgDQUG9AR6IZ9zUNfn6UGH9WYh14WdogRQtEDyh5Q2EdbSKGiqHJb4oHPZ4cxY1m2kstYXDHytk5Zh6tEfCUprxwmeYUzaXiTUuY4iJnjwGeOw5gbQFS5bfnAJoEDmwQObBI4sEngEPHG7WKHYfW0s2Arywmd25GmOr+NngiQA3Ays0P4umgfZftOFhvg9PoqSVyLIZAcgpPF6kau7mQmeXp6t0NKLqzswiYGodVTADJZwwPaiVIxSH8wmX0SmGncyuVPLQf51OLJ7MzwgIpr1IRwkUHCi8tvmAAiZ58AArQpKJpzp5w1CW/WdDNKtlLahJWyVDSsgwCNAnKnjrUKG3ohMrrdUWrfDV+WIqKRTZCulbkKc03YKsH4wXHGD48gStBI3bJLdssu3S07XbfstN2yW9gtu0lrCSJTJp7cJ4euMlSfV/umzZqPUUmYfR5BUfMQNw+j+YIn3OEjpgA3rp3S79Im+IkHi0CmgQBJARG3okOqu66102m7hD67I7OMHyaXJvSCm4IB1UWtMFewOT4YnHTHI7s0A4jfSxls2F3Snk2maEKenIOQnoOgmYPOjlFAhArIGIjNvOOzSnSMh2eX/l3Caig3Nhsdl9hqxuBKLpVPiTLaCQPQhW8UkDCzfGwI3uu5bbNbdVfXFVc67MuCaDh7wNqDcSNmqiBbZfxlmCQSYCC6bZkpBK7QnSmnhc3Tar1r/JNzX3Y89sx+njLT/CPyUZx849rKsj+vA53dMCMUyqHMslMgh2dJFnHTr1JAqsCHXxewnT+8dD0KWh80pAgXc5lm2AdCBoWkQ3fjVTDX/uHIZ7Bix2JU2fOVD5GRVGPZXh82wHVcI2In9ai63jayzm6rc6y7IaUIMVBbAjfsR+dejLvmcd8/6XHF889MYzE7CjMVWZPIm0TRJIomiTdJokniX/ZmKrEODe/QiA6N2AbLmzw9FlKozp8Dj6U8i2wpsaWGLZ29xh1L+1WFwWtZKIvVSa5OiepGrm4S1a1cvX2l8gft++brcxM8hI/F/DzwVRRVYh0C7xBEkyCaRN4kim1A/qn/qBJvkviDVK8Gc7kvBbYU2VLy9/Vj6bCvrwTN3y2fmp/7+uLu9q79swJ/2vgSIMoy+afjQHYJwyaA4TiRiVx3Gkgis7H0kXx2E5mr9VVCv5asl7mf1efqMNni3YmQWaE7W9mFmACkGNHMfgLuq4UQW9e3VUSG+YcQXwtf2D09fC4NZGEWDcDQvZE4xmfpR1mn2YsDR4S30Rg1v43GOfbxOcRL//gVAqpugEIOv78ih7dWhjuuXRWGsfV7+kAPaUS2slMiFFusJ8RAPJo+bRbtqqEkAoai/e1RwnSZcewVjMeLTEG5rUNDmVxDFfHOnVCzP/6IQN2dLO2TskxFzX4LGqP03UuurQrKWg2VW0XHGRtdbVNo/pQUxdg/12PpHBSec1B5zmGJ50IDVZrwKl14FUS+lkToLj0GR6zTzfMxKrH3ZYbAJGGiAUA8AEgGcDp5x8zjeVSiuGfiP+VMCBOvbJJB2Xhlm2zz6aePBU/MvxRNFIgqcWsUVUxUsS45/Q8xWRt0###2720:XlxV32DM 3fff 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###4636:XlxV32DM 3fff 1204eNqtWWlzFEcS/TN8EJqIddfRt02MjEZIGwLtSgKDP3iiT5tYr7AREGww6t++WWfXOTMaGwdMTx4vs7JeZlWPUVd/ev/p92H9/u7+03cvuw+f7z6tO/j79cPH74tnaJu6fIZGS/3xw3/Xz69ena7JGh4T+IMw2mUBf3ahEJzsstgNUuwEKRCipsn1cP/590/fJ8+CYhQW47CYhMU0LE7D4iwszsPiIiyGXbMK0dyxFboi5IuwLyLPRluyTlwBcgXYFcDemRJgF0uo8GXrP5ruP2vkm6OAOZLm2C6CVH385KPgAArWQWlAFUIhARQSz4WEUWgAhcZzoWGUNICSxnNJwyhZACWL55KFUfIASh7PJQ+jFAGUIp5LEUYpAyhlPJeSoySOeJ34IuSLsC8ivoj6otQXZb4o90WFLypRboruhy/D3fp++JV1TkRDohoa1QDfsqAGChNRkJiCxhRpUX/5tVm3v38eev20vvrx9VnJv/76cRjuhvmRqzL+/bf7Vn5yoXDn8D9evl4N89cX16vVK0RmwfnNu1fPz9dXr2/hRNRSeYZcXl3Pp9mwXY1QYxjc//YRVjSDw2kZV353c32JshWmu23+8XwViPNmW5w3e8R5E4hjlON6dWrWbLbOufDj0HfqwdiUL3JTvohNSesvfyI4i+uvHbnHSdLQtN6cri5P3q1PTv+5Prm9vf7x6m11dvF2dVpdgMNaKN+cwBZWp5fvkuri7NQTbjZw7mxOry/erBQEwtXFlfpy+eb5y6sbnFY3l6uf4J+rnzYbWtSbs7O364tXF7c8cMWekorJbq6F5Ob6/OLFeXV5csuWer0+OwN1xVYu9CfsEaDyfaAg6m6k7C8jSaDg6tDftzp0yOp4Uu8Cq3t3WFK7oPYv+V9C2rY69Pet7nFQj0E6vE7o8XXCTb15IQXnF2e36+vVi+ry9S3zeLl6WV2fvNyc/pB8ZSN1g2A++H282cCxv7l5ffOv1avTitzcntyuQIZB5qaNSb0BuKOjo+kEHbN/8fEJebo4wU/hH/R0OZ3QpxsYh57VZJodC7MyZEal2RHI2LenLMAG55atCCuMVBIMmqGiqKUIH7ahlg3ZbgMPS2YDJ5hpA2tc8JT5GgFpg3rTACTanxdkAyeko2eeR4DDbDYYW2oiF6zCyBiFayQq7IenqqpEuY6mflL5TUaCvW1BjBUwBHurJxVC76Usps7R3C++CF/F0+PbBNcarRK5ER55g3JHMWkNsjU8uGE92TjY0Ti5LkHGt1WsxUxoKYEWbkLLiTvpEIWvWU5udKFyo09qbSIIUbUW7WI238xNo9tE/TcE+26qzYwukgxf8k4KxtJOk917aq2MsKPlJhOc5uzAfjH3CfNoHQ+WgLkcIVmItVAbX0VXAfCxZoJVDl1DYTOHh4WSEOIiCsj2JJaH4zUF8zCTlS48j8FHVKE15MKCZKXUCMGUvHCB0rhpz6XZC3GxG/F4a7G3IkaLPY/abSs7jqyMpB6imFyhMjPKTqIrsJ/JpMjp8DvcEHZvWtuoGsJpVw4oB+axE8cIY3WRWZEQVfCw3dprUjsns+mMgi/UGXksi0V8p4XhJDoJd67V5KZ+bKaeO+bOAJvHnj6/fUvIVaaIozaSE6F1WEaaGplrRZ3JughNVn3eObPbLnIoC8NN221w4VlZaUxzHttM7eAeu2YuWeYRdrnW/hHgLG1hLk0u1LiJ+Fb2ESz1S32NwJ6GRjiDbDIS+1o4X/cm8xZmkJlYNZ38zvI3WO/v4LlOTpPZZSZop4Nf6dL1sdpnyTLTVNrDVvcajttG+sgzmkJTw7Va7mE1ze3tLWGy12Au162/WbnQECVuCgunj/UuT8Yxj7wwk/V6Yo9adSGPHWjBc3WXkx4pFtu1l2KY3VD61YW4o3V+K7HKY7WrtuFlot4g168N1lHMNxGQzF003lFYJoi4On6Hpq6Uv8tgW0ydo1Q1ibVFtplPPret2R7yc9qCcV/bJuu9TRnwI16o8oCKvXGYdyF8HBpE9n1V20zmMDuK3PJM64VpbVxljajHdlTNRuNkwfJ1B80XtaV4s5G1lqemOYutae4dXBp9N8P1WApfTSf7HNx9I9UN47W4fXqEiawJ4Z8D6vQximHOeqsY1tEccJHtpd6DjSuLft80B66DsDAQclsxRbAXAZgl2+eQ8VIYG9CTvdLC16illo5qMnTY1lmzwZr9bgRrXTaKWNi8p6z9ev1N9Kle9SJG38XRkfmWYCxj4b4OGARaBG7UVrQIPxYuPwpbM4Wos4htvFIsJ3cvF/PGG9aTjVM4mlClF4FKL6xKL6xfsmB2zKWZ1A9xZmrLmWbYkk12lKUVZSmiKA8sp5QFLWmNnjqG6vecTsvAxvxG5kCYD0Ndtcl9P/A0+scPfZuZ9ph8qvZTYGS4qmnmEbZVVhUnq4PNXKYtASZ7KgXc9N27cXUmWUNK7Ukdpdi3fpayvR3mr2KvZ8hAJ+aG0u610tEExpDSWWNICheTW0C/O6ijEy7zAkRLiP5N5CfaiJRP0EbUH+w2LPTmYbn8A3dpVy+XX/M0qc6qrELfblA+VKhp4S98JSRFfVuRokD9tztcJBWq4QNVWf0EgyHOu/oBXLqgy1DfoCatcjpWianASc19PEWKYgoaU7R1z5IH4dbkId2sqXDW1k9QWlZFntV3qCmrlGRNO2QdiJuCi+GhYf7gkZRVDil9uUUjqsR/JN8RJQcrMtT/xgmFhB7gE7PPJ2gcQTECfE65BSQ0iIA466tiaNlDBw8Nexh5oZNGJdjX/8NJVpGhYA8pf7hETV5l357gEszACWAhA1LhAj5olRNYVQYlg1gF/3g4xwmpf8Y5rvA3lEOMtK8eztHYAtZIKiKwIIEcvNv6roQsHlpZ375CGFzG4dufaCxr+Cetwbepf0ZpwwApGFFUPXwo+hwcznGe1J9xgirY7/p9nuKQ0Lf8UGRZSFgEhENICBsdEHYBYZ4EhD0JWeKQkPorwmXIHbY6kHxomX0awCwC7rhgaweSFrDhOSMLlLL2jDLPCPlGqWeEfSPqGRHXKKcaKac0klNOiWeEfCPsGWHfCHlGgZwSz4h6RmT0jFLfaPCMMt+o94xyx6jFIzQrjFtaldByGbRZQWAyjFnVNfV7lLYAUX9AOYOC74X6lPGTClPGC1TiWZBxAZkFBQ/EKsR2LvnG8TFpYPCNQzWyUTTmVdniWqSQZQBAGhGKyBTGhH/HhPUpzAKWuQPYSsDeA8z3AmQPxATsBCBKEolYZgqxYNVBAjGdF5+yyiNOYw5ZOJBsI3iOg4fYKUSJhGsHmhrQ9xlmpvjbDywGmNW/QMlBnhYlC8nkbAw3tTRAzACDgcgJCwNYvDQoUg6aKF0uQYuBg+YKFCnQXILCiGeguXJECjSXoGKjZECoOvjkwgfNPkwuU25Bh8rG0IHoF6Bi/cAqSmN8baFcebmFr7tomnOakhhN2wNpSmM0LQ+kaRmh6djtZqlFziZGzkYBJXuTk9jk1HRobHJ2ikdU8aixyan3nSoeNZqcVOlKm5yNAiUKtLTJWSpHokBLTU46B7TISWYfSU4qyWkQmkhy5iUjZ5qTGDm7GDlF+eAWZk9VuJ8pugaHaRpjaX4gS7MYS9MDWdrGWFrEWSqXODjkoqM9VLsYb7P4UN0+Swe1oZ1FV15/zqxMMauz6dopx0wxq9N0bZWutek6KtBUgbY2XbVjqkBbCcoYpgNadE1nH0nXTNOVGjqDrgQVEbq2JHb2P5qubKgSlEfo2pCD6MoyD9O1QQfRlaA+QteGerPwcXQlaIjQtcHxMbttuoojHjaUQRt0FVcCwuSFYJYw0HQVqWBhwJnFDQSzilzpepOu4krAQXMF2pt0FaXDwkCC9hJU7JMMaNBVEEL6cLqKlK2jXwScj36C4CSo4MpcNS5d6b5Hvz9LQcB4xEhaRkhajgeStImQtBwOJOkYI2kSJ2ng5CdiTwOUxKm6lJSpxUl7dIKAWJDzic+wQcsoR/WJr+XzaKWZ2uWG00pRVN1AmVywiTlKimpmjDZFqaJoqSg62hQdlWOpKDpqijZGIiZFy9lHUrSRFDVoXToXAKBs1cKmDy5FU1a0TuzHoy4CPReM7vtWEpq9sZttqnluET91x3Qb64D+wA7oYh3QHdYB2HuJ7BRx1cUH6GW2gFGWXhN3flcDAY0Nbsx802CXqIlQdHtfkJEbnTrR3Su04h+kAWasQVJ9J9Fy3WgZ7ky5cSgMpnw+C7DCgU2SjYb11UU3M1KxE3110XIWuxjNq46Qz1cdqsd8K5tc9KN6d2VyGRvpG04+52X1Y2tgiX7sdD82ZhzVjxC/MLB4/LQTb5FD5OZTpI+9+fT7dB9E7GO9hQ68sQ+x3koO662ERE6XEj/+CtRbnZTQ6HmjruxApEd0UuB3EBYk/DvIIA8FbjA3QKKvxYMkITOwfwdhRQn/DtIrUGKdNIm+n/cKlOhXzWEOaN3d+9lH3t0H/3eQ3r4MJez/M5RAgM5lcLbHZYg38v6nhjosGI/HCI+LA29JSRLhcXHgLSlJo2eEepct+vg1ybvbAGIWPQjU2wFODzgI1Py36EwU8zJz7Gc4NeXG2M9n+f8BwiPyYQ==###3992:XlxV32DM 3fff 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###4024:XlxV32DM 3fff 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###4260:XlxV32DM 3fff 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###4240:XlxV32DM 3fff 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###3976:XlxV32DM 3fff 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###4060:XlxV32DM 3fff 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###4220:XlxV32DM 3fff 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###4060:XlxV32DM 3fff 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###4112:XlxV32DM 3fff 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###4116:XlxV32DM 3fff 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###4228:XlxV32DM 3fff 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###6532:XlxV32DM 3fff 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###6788:XlxV32DM 3fff 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###4444:XlxV32DM 3fff 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###4676:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 1184eNqVW0u25KYS3BIIic/1OrwASaChZ2/Ux3s3pcygIArVPc8euMMZxSf/gNpav131H2v8Zuo/RxyxVflp/NrLgffoywvHI9gXTjYstzyG5RtOMdzjJ/29v7x74Xz584WdCesM4/fGRPMNWxNdj4vOl2NwPeb1QA5sc4mK7/25Let6tjTDZ8zmhfN2//wDL+kML7yZtXzDe1z3F75e/976DmG2Hsbephu7NZjZeNmM+LT2uO1qyj2PiTb3+LTO3/OY6xC5iz2Gf1yqry1632PId5IDr3ZRvcl8MS57jzH/bsrZzw98iZlNVfMq+xnXC7nZlls/zN/icq9jd0vp5eBX5dzr84fImV/Xcc9zqJz5axb/OIyb8tl/mH9FK3rcxM5sr83IerwVP2H+x36Jz5j1vaXlHmA1+Y5TttfHeMSH/Zco8fvhD0ncp+Yb38vBZ3/+jf/hj8TnfPORf5Lkm1ItPeSTuOY+PwBD7knuSX4YiTencmDOV2184If14PesH87Hv+7nQc7r5/yN/Pnvv/8svpw/5sduf/6xV/lZ/V//s1f+Wf76217nz2rcH+fd6nb8FKkOOBiZ6rSyFKOh8FbNd3ldavkmX822S6oRV3DkOjb67Zv8jH4oPYfOB1MB83o6Vxjku64HcmBeL+TAvN5WqiOV6kilWnFU19jdWKoROk8YofqkX7YnY5QauOqursrrYZydzBdqTZqNh1ACZn+C/oDZn96hNsqXOIYiMFJjiWMpAkZqtLHYvpQBoxSfZizFwBjfU6kFRuqvM9q+VAPD/olKbaJSXEgO7KVCmD1fW18K2vxmEcJxrbdfE9+9sujNm/OPm/LyD5EzP+s4hxH7Mx+t0K6tEPOhnzXma6avJjejvPGzlM5NSxfzfTS3nfag+iE+74f5JjlpIbUFYH9ifTJ/1dbDheJm/sbrYb7TlmA3Y6uIeGT9/sbnfHloq2vSmvrWE/5d9XzvM+U1zvheW6FFx2P+x3qY/5C/U2s15/LyIA8opUFaA8ZP+XCno8ROR41i6ChiRvkWo53mo4d8yPxsqFTr+E/5H/yn/XB9i2Y7ZvXs6ffgS6uQa6vgpFOw6zq0Cnb546zbg+Y9Ey/Zr+Ks8STjlL7liMM4Lt8tRx3qMH5woVaitUQ9yeGycJGaKkOPs55+a+m1EvqbH0+TopKn8Q+d/4re9C71NB/jRU/np91s77I8Hs+X391nmemjtSyKeT60FAvdDmS6HQBmfbxP19rSGNFfyqNLXuqyT/L9Qb5J5qzrj1OMbv8MoUwxQk677yf7bdodP8mNytk/fvM3tDQnnQ42Ol1s1J0bkhuSL3S6AGb/4fWyfpm/aUhaZ4KkbCk5KVy5L0ENZzkdRpQ0xlrScPp0tqT7v9FdUgoPKfnO7TMcwmm1JTxmGCXJN31rqUx2HUrOZqb41HViv4xZH59Y9PGE2+1FNEePr1hy3xICs77QcgK307ah2xcztoQXyYH36qg67iYtQt56zOttt0Nt/dJCxdYyS8sKzPZASwy8aUtidT0+FjncZ196+/tDWhL21/+Xz/p6kkM/H/5J/vQxP/FxW7NuaLlHfbH/ML8cZ5EWQVptthevh/mcT99H0rEluUjecJLbpUAtbfNPin/mSym/ainftZLvQyVfQq3kziW37+lIEsle7v8U454a91D1/4qFjWQW3I8ybvdYRizyhAvuGbOsPOv9HvCqO2EMTVRcZr/n9cLTL73nXqwcJpLxeYZxuPLOLzO8Jsl48DTGmtAMPIPx036Bsb/qofEb9pTJoA9kCmDmt8Ov4pYp6B4bGJ6fzJipgD/95dh7DDneNSAHZn9ApgVG5BYzZjrgVSPRbV4PnafrMdv3g5+lklltrlkfPB7zn+KnveMoPswoB5/H/+SL/qsf+pk9sjtxKF1nfLyT1AbjnPFXmw89DFxTvh4OI/RHfI4v5sN+4cGewEuL75F/6eF7JTn4HO/MvzPhZbrDkSvfDkf2FfL3oVSwUyzj2C6jnuMw151R60g5Ha7v5VqE6HWig+WsrDhk3OzLihkjQpZfMGoDIj5EWTkiHrhZoPU+GlH0+zNeqc8owLyfFvGKl1SWvhZmM2JE2EG9CTDvBxkBuEVYpIyiuGxOr13GjIr9l+gWIYiHM39Ly6IecE35Vl6wdn0ZZD70iZdF5pfN6rXg6NHQP/sH83m9zI+4psp4qRj9cc1SiXDdw3xeP/N3zRBRX/LY3mlDhljPGZ/nY35S/mLH6672svML/yn+4B8uSkU+j/E6Fv79oV/iSyZYukwwJpTF3JlgcbvfJJI9RaqLpfSnNGukG4Wn1e1muUAbcfcGu48rHyP9pEjHfLAsMI/XvUHvs/UiEoFbraJIBIbcUKYwLVOoZan3AIZnOTNmEmCsX56H37jtX/V31WIy47P+me+yRHZEr0d8p5GP3oL50He7+CU+j8f+4rQ3WC31Bs2T8Xbs1c4j36lHv/HILxrZqNXM5/V/8ufra/ZOcspfDTL/aL/aS0gPoG/yzId8wRs+8Xk/zI/IDJkyCXq7X/jFWLlN2PCGP8YT24v5kilc33uMTUOsvUd89x6148t9HG/mAeuZIdVz8wynS/xsM+KHjIMROx/WHTOMHjHr7Q5j9Kz49oYx/MDrAyDjS/MAsOhp7fS0uXmPpv6oDzPAq57qZZytvwjP38aJRipuG1exjOP7cY653azeDo759TQPWHvTqgE3w1e7/RL/Z4z4xMPOJ5Z4eMZipyf8Prvg7Dfi99ltfT8YXKH37+1bb/3Wd/vWqNN37Mex38aBvkPrbEUPMk7qxzHf4u2gupfiA9b48kYP84QvJ/F1GXlYZJw0vnKSAGFcNomvqtdjhq3aCR0O4xZfkeItUrzFPt7210OP1xbGPbQwe1zuUmrTrheFLuiF1SWhOJe7mKSER3v1crQQhwn+mzzWP3yTF71ofxw/xuub3JqkpinyrYfK8VaL9bEcx12jx909SsqF/P2Z33x/JaKlEv2w/uB62H9ITlu7Pcj/X7d+/VZTB35fC1ju1/ck3/WzI16/j3LIwe9ry6IpVi5amb9GedDYgx6XiX9mI2/ttabP+NaI3IvbfPAhP+qhYcbPl5RszMf7tXGX/ep8zP+Yj/if+x/txXK2F8vZH3g97G9P/gH7P8lLTOfX+NSHuyd5Mun9tnwdNVUEyRTb+LR8uldmrokC9zCn1Re/Ay9QcYqz9trliEd/D7pHkRejOImhGWO+ZPWUS+Mh0BdV1JP81ETB62+3DpponuRJA5XX08bXRMD74/HqWUBf4lKa8WGYaMSwzBdDvb4XPMRQYRlL6OvGf6lJfT/Tom8k4RhvlOXrgCf5qS7zfguTUwHzWW6Q8mw4Z7juRF19t1PcTqEpD/dDqtm3pYKf8d+nVsFnXvQNK6Rv47X9EZ/1AcsAv99q4tWHJHC7sY/J9HJgyLOREtFKruJOXvrfA7/fDsWe+D0w2xty4HKI550aqbwftjfzn36P/T3Jsb8v8vLNP7E/iYTX5zD6FUsYU1aqTWByu3HSnO08TUxT3NSsuAvwoIf3S5rCkGaY53uaf32dQicYZgJ+u3kIo5sLDjo/vuJ74mP9zBc1lnczneKsJ79aQxb0JVUbOCN5UYbpXiLD+Bmz3e+85OtIwenVjGY8zZAl72aG2z044rrd7ox+Hj78fjRwUb/i+Z/4jE/EqRUF1tZ4Ot7T+DUv2dHhxjzB8pPywsl5gfIOjxco7lk/1lDeIH0WyjuF8s6lR4NmjxjXb/jQz+xYf0/2ZMzztfF0/cDwl6yeiQAAZn9qHQTVjWy0Yj+MF/BZM/FZ/rRffHb4YY9f+K9IqxuukaaHOj/mvXK3aut9LS3XQib6QXHqmF1r4/pMBj7LD73YP3VhHxgPK9cc+/oH6YHDOhsfmamoIhm373UN1juOtyQ58ywPGGciYB7vfWYcv1dviQqORoEdqHJ02OoxPc/4rG/mi6Ftl5n3b5n5UDUCZ8UyzNL5SxiHMbfD1MzcRcrad3DeakknjHv085LPUj5w+2aBSp2hUqeY5687kY4JHWT7YDsODgTcDG7I4IpXvT+KW7AzPu51IWc+r4f5IcrZZ7fBzNbLv2c+y/cg8kAOd5LDAePsY4+UZnwej/niKa7zlDTPLGjNlhiHiVqPQ/Kkh7psxbMZ4/eRSkykEgQMw2XcvrzxMsNwBGAYJprRkYCReWKM/hv/euAj8x0hpNl6vT4g7draMp/HZz7rG4G0U2bH79keYujuIj6d3zJLTYhbn1lqArzew2ydv4zX59beDhPemWXXRIIaV1d6znDriShzMN6piY5aw9/Xa2ONb/OrQmuOjDMMg5QwGhTYGy09WUsbYRgcf+uPMRwSGA6m07T1Nqx/K9RTjwP5a4ZbnmSDzOf9M5/12RyK9HvEdMz0DQfbYvIzPs/PfPEk33kSP+jcnvS609dQqz45eMpCK2W8k+fUVJIpteTxvkhWerS/9yz3SYT/A9TITK8=###4760:XlxV32DM 3fff 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###4584:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 1184eNqFW0va5CgOvBIPY0TNOeYAxtjL3s2qv777OC2FbSJxdtUqfgkh9EIG0rkkW92Pf3N14o5/wJN32wdH2ZYPdhJFcZtO3OIHusU1GeHJh/LBq2vraPye4vrBx8A0wquP8wdv0iLps32wyMH6wKzvJj1medDnlt/ru0mPMX6SNZz2kpifePLT9MEi26r6TemJlxxPfdxl355/w/pF18/8//zzV8gx/nF/4t9/+X37E0r9z//83v6E//zX7+ufKfwdp7hA7+xaO81awukY75of4UViOHFehxjrXmydjGEHYNVzOvScTc956vTc4t/RfzQNMmnE5E2eFtnc1nlgcerB2YfdsIwwy3uTX0z+ahEbzBKz8dd9lRHe/VR1fJ1HePXJf3AzzPrXovoegZlGmPVheyCigHk9oF/rI31mSeGJ4clCngRmfZhfPZ0eEbn5ztPxiMgYF8j1bj0zrTiT49c6wvc8mpmMkbnAqsd86OEt5Pw694rIEXMpznFBlfGydjauTtf0Rp+NjixdZe1i6vjL6cPcNGuDK52PvCtaZZPSF/MB87+NX11ZRvpu0uMvfaXHrC9iBpj1xXhg1gd04EWm1lcPjcGjOsy/6Jus0y+c3O/xyfR/o2N91ehLXbqcLbKUkX+Yn/3P8/1Y/2n/UiH/2L4e9syS92dsB1nzaD7GrO+b/BXyXTz1S3uREX8WrWkpbbnT32rKG/2oYVXjyXK1aC5PVkNdbvMIs7w3+dHks314vuzTGc/7quvbnMprSZbReM53xmwvxv82H/TPIuuIH/RdSlf7Nof4t67D4p8x1nPT+3xzkuITcz6Bnni8+fsaT3sK8u0xPo3yDXRHdKwXe9K9/j5fQF+oy0K+oP4Ac7xf9ckw5E/Id5MPzPEGOjDHO+hCdPgbdOCvemN0YMTf6lYZxcNb/IL/rb5hfbpX5mOvFNsqa79n72d3luLSyrRo/1m0j3SauZNf/AjD0iK6E2Bl2PVZHmNk/vH5sIww5tuKpBHG/NGpYWpT+d7kQ5/Z9Z6BfiLmGaskjFnem/zNdsrVqSdaU88gE1bb2baU7HtH52f+PWX9HlvbPOJn/Zj/bf5gma6RIEckFPTpoYsEPx+hEI7/V9N0uLY8kyygqbEGr4k2Lcz/Nr5Z03AXnd41wHfRQBLoUnZqEmYqOjMVHTRVkA8MOpoo0IGTbVr7sYyRPsdnmbMiPI342T7Mj6ZBcikjfTdv9nNKZ36Wz/yb8btc4sje/8b/tn7Y5yoytImw/eML/5v8SE1ro6azUVMcqGkF1lAvj0/SlrpQb2fROz9V1PNOllOyr9OqhyLaXjG+tgNZ4y9cbaUsH+2C+L59AJ6syB0mSkN8fQ5o0ctWFHxZpn476iMf8zP/2/gg/ecI5rs/P/rtlecDZnlXJspXJnbtSe2K1vIsWq4vWuF0pcRlsfonrS863jqNN/oqfVCB//oSEvoSEvoSEi5q1MlQEmQqSpmK0pGjfSclfVIloiei71RUgXn9rM/ukxUF7RyYf2mp2P7pR/zXfmtF8pvf9neRbaQvz8f8LP/N3kyH/d7osJ+GWj1CbUXRkD7U1nN/PEINTddcW9cErkczcGI0ZbnWXpVafuHsqrY29tGxet3pv+S9yPdSu9YoXFWnl5clWlVZu6NOtBo8vjbdL7Is2wizvDf5y4t8iapftYMnxvjondGEE0Zq4uiX8dUqXkfDvb3vVKxpZM+rdZSaRuu5jkTR2pE9Qb9aP4qXq1U0DP2TpRb0B75Dt3WpAcz2ZXlv8XvJI/sy/31o1B8qeTpUmokOzPHI8t7oGK+puj4OIpsfHY3D7kj5qajcQ04dYdl13bvoUTrj64BW+gPZja4AgFXP9vj4Sq0vKf7cvY4drvgcbEPWM2bDm0v5iY/v3qStX45PzPzR6Dy+iYTneGDQF5nlSQdm/e75FXs/n65pbs4aSvP8xKXNp0mi013ha71u1ludNteRPtHpeCm5jeyxuKznQ+rxL3mh6C5X3RRH/Luri9HdiJ/lfenD+vN6ic72elsf/KOhtB2hNFkozX3Ip/A58V4msXnTHHo5HAe9nxv5Gfzxovc4WOPK873Pr/J1HfuxjgUpQbusnLvskb2Yahbtwdfjg9euecoIY6qjZ15+4UPeibeqqu4ydyk0HfP+ou+Sw4gOnI5ufoQf4/dfeEWKHqFykp3uDlPWe9NwpNYII6RWCykef6UMlxTCG1L8ZXxxSmf/MD/jN3uxfb2by2g9KCnAX/qi5FEJhb6gA/N8oAN/+cPoK5VMxNPDHssoXkAH5ngDHfhMlck9drlQRtdtsMtRCmc9X5vtmm1uI3ytW/p1Al92MKx6+EOPzVKWvqaDix9FQly8ufzYdVxnIuvtkbKN6FmmTRu+dFbJ6LV6IASchQjLZ/638VXm6WlisZBleUls1ylfIb/8ogdJyy+8UcpgfpbHGLsC1sP4nk9dVS2lopWEKwRdHtrzsEtvX7IX6MC8nnZ3GXWkD+iBQg32fKTk0qecxsOdcoo5Xu4upKdPjlLKMNvjSknXp2SllK6U0ohnzA98rZ/owG/+gX6+5GIlOY74v+KX+JnO+faWf9DvLX+x/rfx8I+WivBszNuoS0HJSlaySktNzwCyH+HJngwFZ1sfYRHtrnKd4wjf6+zjMFDpB9Z1PN7e+Ll/YBDCp0lZ2mXvL7lphO/uSbHOMz3nSaMS/+jGixpe/T672Y3wY2vMIxzvrTLfeqT7wHRZxk948EUVnO6BeAQWRcoI31/CsuvlkT6RiYeL1VFheeLruYKAbs8VDENes57qOgk3fJ1XSqlDeVG/6Jrr6eDHdXs5KuKIn9fP/EzHTcjm8jbCvJ7q9DFWLKUM7UX6MD/bG9eHwOro+eHo/iuino72cXEl2r2ldIpmKYue+uEevljI9fxv47EQKNbgGJLHGOM/ph7pA8MDMz/mY4z5r9d4Ti8pGd/30H0g8no4kDMFMrA64nMv28wRsS8w/uyp4v2+b7IQzUlfkW2imjG+NLOZQJ9cH1LJLPWGZynbL/x5ATSSj1d0bh/jyzJsKUeWMryUs5R/9mgL7d09Mejp+KJ/0oFBP9pqOwRTOjDohejAq/fVnrDoUYH49sSgT0QHhr3E7At/Ctkb9rzfoSqGftdJB9lj9ZtdEmoJYf5gLzlCK9OIf27Brog005if44/53+Zn+7P+bH+mw/6teP27yWd/sD5v/JDP/vo3/rf8YX9yfoKf8/F+F91Xko22GOA3eaBrJZFHSc9vd522N5miq0RtSlLdhtgmEteXWIyPdnrqzfCMN7u/KtLv1Rif7SnX4ss8wvfTJy3ZPB632IchywhfD67dVeI7fSC/2l7N891Prfoth+0BzPIwHpj1uR6Mu+eW8Ly1zmHchd0PYvWiBZabfF5HOORo9x26GTO+n7oX7aLMk4G6uESWmPUXBJclgJkf+gLP9ghxpy7s3mLGWKTfMoQ2V6GUwnouTxKG/Xi9jFnf+32LzCN7sHzmV08vj8+kfRu1/fd9gq6rmpxgEc740ZSsIww/AasenytP700RR0c7JX5uUo6GcBV9q1F81U+aog/Sot1hBrvbytWui4v+PeP5VNK7nF2m+Bx/NHr6HMwetB10N+J/G5+NP9r8U5b6pG/2EO+NXvBQ0x7wOnuZAPpq+he9+tqDRJW3V3ucPuchpvkY83xv88N+sOfR8W9PLE7Xz/qBHv6Ffny6+5/yjc7+YXlv8iHv8rfFC+QBczyBHokOf4MOzOvFhQ4w65OuCx/Sz+KxuR5zPIEOzPEEOvBtb/Un9FvJv5nowByPWP9K9Ex0jh8h+wjZB/Fw69fHhxAd+C3/L/1ixen2NOS3/D6q7zTi5/hj/rf6hPiqUvVIaM9txL9fb9mmecTP8zO/1tL1/qDz/HyknRdbJS63aaOawKmKxccywpOYKUpKv/BS5n2E79TI8y8sdvq8l2qnRVqKmpW2Zr8ZYIwXtWHP2whfoWuPxtyRdBp62zaab7OP9Ba9nfKJPc4LfoRZ3hfOFafI9su8ai+clZ6LhkJzSmf/zBZCcwnrCLO+jBenzyr2FsoQkz6MJat+G34zRPqi1ETRUsX8oCcrRWxvrPdzdjGcD6XY6GxPjN8Eh+lj+uS0VDAdpbh8bT0aj+kqNTmM4gnrA37Tf5MqI/vddNVfU7ndjbjfX56PQtPZNgngJL8xNq0jhPX+Q2JXZFke40xFOLopPCOzlFhGmOd7m7/RpthoU2zuZT3XptVvKqxvpk2l0aYMvFpTsbu+6cP8vH7mV09+Xk0keLKMzzuxHWyf+txtH7oSxnf7ArrlkrWn18qkj2nga6XSWzqTpYGvHHAaozzfm/4Yv/jV9A7ziL9W5ETII/5g2ItGEvN/6Uf86onPu48MT/QHnuvpiXDvjgk+1ee3n+q2jvDdGJnlLAY28gQ89UbfrDq90YvrYxj6vfGv5OmVIoHlAbM+V3V1X42Yo0bMDe3hHvj/Yn3TRw==###4564:XlxV32DM 3fff 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###4492:XlxV32DM 3fff 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###4532:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 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###4560:XlxV32DM 3fff 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###3960:XlxV32DM 3561 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 \ No newline at end of file Index: pong/pong_top_ngdbuild.xrpt =================================================================== --- pong/pong_top_ngdbuild.xrpt (revision 427) +++ pong/pong_top_ngdbuild.xrpt (nonexistent) @@ -1,125 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
- -
- - - -
-
- -
- - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - Index: pong/pong_top.bgn =================================================================== --- pong/pong_top.bgn (revision 427) +++ pong/pong_top.bgn (nonexistent) @@ -1,120 +0,0 @@ -Release 12.3 - Bitgen M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -Loading device for application Rf_Device from file '3s200a.nph' in environment -/opt/Xilinx/12.3/ISE_DS/ISE/. - "pong_top" is an NCD, version 3.2, device xc3s200a, package vq100, speed -4 -Opened constraints file pong_top.pcf. - -Wed May 7 20:15:19 2014 - -/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/bitgen -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:25 -g ProgPin:PullUp -g DonePin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g en_sw_gsr:No -g en_porb:Yes -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 pong_top.ncd - -Summary of Bitgen Options: -+----------------------+----------------------+ -| Option Name | Current Setting | -+----------------------+----------------------+ -| Compress | (Not Specified)* | -+----------------------+----------------------+ -| Readback | (Not Specified)* | -+----------------------+----------------------+ -| CRC | Enable** | -+----------------------+----------------------+ -| DebugBitstream | No** | -+----------------------+----------------------+ -| ConfigRate | 25 | -+----------------------+----------------------+ -| StartupClk | Cclk** | -+----------------------+----------------------+ -| DonePin | Pullup** | -+----------------------+----------------------+ -| ProgPin | Pullup** | -+----------------------+----------------------+ -| TckPin | Pullup** | -+----------------------+----------------------+ -| TdiPin | Pullup** | -+----------------------+----------------------+ -| TdoPin | Pullup** | -+----------------------+----------------------+ -| TmsPin | Pullup** | -+----------------------+----------------------+ -| UnusedPin | Pulldown** | -+----------------------+----------------------+ -| GWE_cycle | 6** | -+----------------------+----------------------+ -| GTS_cycle | 5** | -+----------------------+----------------------+ -| LCK_cycle | NoWait** | -+----------------------+----------------------+ -| DONE_cycle | 4** | -+----------------------+----------------------+ -| Persist | No* | -+----------------------+----------------------+ -| DriveDone | No** | -+----------------------+----------------------+ -| DonePipe | No** | -+----------------------+----------------------+ -| Security | None** | -+----------------------+----------------------+ -| UserID | 0xFFFFFFFF** | -+----------------------+----------------------+ -| ActivateGclk | No* | -+----------------------+----------------------+ -| ActiveReconfig | No* | -+----------------------+----------------------+ -| PartialMask0 | (Not Specified)* | -+----------------------+----------------------+ -| PartialMask1 | (Not Specified)* | -+----------------------+----------------------+ -| PartialMask2 | (Not Specified)* | -+----------------------+----------------------+ -| PartialGclk | (Not Specified)* | -+----------------------+----------------------+ -| PartialLeft | (Not Specified)* | -+----------------------+----------------------+ -| PartialRight | (Not Specified)* | -+----------------------+----------------------+ -| drive_awake | No** | -+----------------------+----------------------+ -| Reset_on_err | No** | -+----------------------+----------------------+ -| suspend_filter | Yes* | -+----------------------+----------------------+ -| en_sw_gsr | No** | -+----------------------+----------------------+ -| en_suspend | No* | -+----------------------+----------------------+ -| en_porb | Yes** | -+----------------------+----------------------+ -| sw_clk | Startupclk** | -+----------------------+----------------------+ -| sw_gwe_cycle | 5** | -+----------------------+----------------------+ -| sw_gts_cycle | 4** | -+----------------------+----------------------+ -| glutmask | Yes* | -+----------------------+----------------------+ -| next_config_addr | 0x00000000* | -+----------------------+----------------------+ -| next_config_new_mode | No* | -+----------------------+----------------------+ -| next_config_boot_mode | 001* | -+----------------------+----------------------+ -| next_config_register_write | Enable* | -+----------------------+----------------------+ -| ICAP_Enable | Auto* | -+----------------------+----------------------+ -| IEEE1532 | No* | -+----------------------+----------------------+ -| Binary | No** | -+----------------------+----------------------+ - * Default setting. - ** The specified setting matches the default setting. - -There were 0 CONFIG constraint(s) processed from pong_top.pcf. - - -Running DRC. -DRC detected 0 errors and 0 warnings. -Creating bit map... -Saving bit stream in "pong_top.bit". -Bitstream generation is complete. Index: pong/pong_top.ngc =================================================================== --- pong/pong_top.ngc (revision 427) +++ pong/pong_top.ngc (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$75g4=7<2:;<=:401220>678;>0<=>94:23552<898;86>?416845>7<2:;O9:401GE6>66<2::66:0806389>0<9O;4:25<34<81>0;1:37?4669=1:<4<;;03247=6<=1:89:=;0:1?4D338OMF0916>47<28;<=:421DE0>438<80>5:429:;0>4F:1>0>LOM2:120>56;:80??:436116>5><29M:H?4439741=38O::<6:5OTVSQQ2C<2??9=:454370>32=M>09;ON4:74BC7<>=1=>9>;;70521=1>?3?7;6I0395=1=1103?7;7N5595=F553?K?7;ON9595EDG33?KMJ959CBA2?2269I4:5EB@7<0;13<9570127?=679=13>?6;;9:;41=?018:<665OTVSQQ>D09>04NML4::E<=7<1;12<9560127?<671=12=HK=;807?<47:=12>OO=;847?<0>1=124<>;;8;5=1=>10<974J=;@27?D618=1J95N2927?D4A?=1J>KH=;@17?D0>I;1J495N8327?D?AN=1JM?O;;@C;41=FI1K?7LON859BE@G33HHMJ95NFDA2?G40NH<74:@EBA2EHEDC_XHJ8;BPFEQCC92N97I;;;E7601=C=;D08A12?>6K74:GBE=26KL4:G@0F2@E<2LIM?:4FBD;6>@C;2LOO95IDBG0?CBB;2LO\95IDQG0?CBU<2LO^^=4FEQ1?CC33OO;=95IEDD7?C@7:=1MJ<:;;GD1F1=AN?:?7KH8F59EB<@33OLJI95IFCD7?C@D0=1MJHO;;GDE21=ANOH?7KHIE09D7>AIL81B>6G?2:K26>O5:2C886GMUG;8MKOS[]K_I>5FNW08HL4JR\;UO:6BZT3]O0>JR\:<0@XZ<_E48HPR4WE>0@XZ;6:NVP1YC>2F^X9QC7:O-35bXD<1FYUMV5:OV\C353G:97C?;;O3351=I999?7C??559M55033G;;495A11;7?K769=1E=<=;;O3211=I98=?7C?>859M57633G;9895A1347?K75?=1E=?6<;O300>H6;9>0B<==4:L2052?86@>4918J4333G;><95A1407?K72<=1E=88;;O36<1=I9?:?7C?9259M53233G;=:95A17:7?K708=1E=:<;;O3401=I9>??7C?8659M52133G;<495A16;7?K7?8=1E=5?;;O3;61=I919?7C?7459M5=333G;3:95A1957?K7?0=1E=57<;O3:0>H619>0B<7>4:L2=729568J4?2<2D:5;:4N0;40>H611>0B<764:L1452H58?90B??<;O007>H51:1E?<=4N210?K52;2D8;>5A3818J1743G>8?6@;529M0<4H1?:1E:4=4N650?K1?;2D3<>5A8308J<5V6>:1[=4=4P320?U46;2Z9;>5_2908T65V21:1[:==4P730?U05;2Z=8>5_6418T2643Y=:?6^8229S365VFZ]k0\D@PBTQJ@]dPDK01]EHYPTXRF5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@G3=_lkUBh<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us=2ke0=0:;`l?5;31^QT47285lnupo[lht|&ILIC? Msmn[agsiVxda=>?000261=df}xgSd`|t.ADAK7(E{efSio{a^pli567889996matsn\mkus'JMNB?12310>ei|{fTec}{/BEFJ4)JzfgThlzn_smn45679=8>7n`{rm]jjvr(KNOE="C}ol]geqgXzfg;<=>>4007?fhszeUbb~z CFGM5*KugdUomyoPrno34566=;k0ocz}l^kmwq)DOLD:#@|`m^fbpdYugd:;<=?91^7\b04e3jd~aQfnrv,GBCI9&Gyc`Qkauc\vjk789:::/LpliZbf|hUyc`>?010357g:5lnupo[lht|&ILIC? Msmn[agsiVxda=>?0302[24>3jd~aQfnrv,GBCI9&Gyc`Qkauc\vjk789:?=R;Pf405?fhszeUbb~z CFGM5*KugdUomyoPrno345639V<9m6matsn\mkus'JMNB?40]5[VQ7:?1hby|c_hlpp*E@MG;$Aab_ecweZthe9:;<9?P73c8gkrudVcey!LGDL2+HtheVnjxlQ}ol234526W>UX[=?<;blwviYnfz~$OBB@R=2=56=df}xgSd`|t.ALHJT;97;97n`{rm]jjvr(KFFD^R>>2:ampwjXagy#NACOS]264=df}xgSd`|t.Ob`aYXimn;<=>Pxnp?1;76:>1hby|c_hlpp*KflmUTmij?010\|jt;;7;:SD@Y_102?fhszeUbb~z M`fg[Zgcl9:;>Rv`r=6=54463jd~aQfnrv,IdbcWVkoh=>?2^zlv939988:7n`{rm]jjvr(EhnoSRokd1237Z~hz5?5=<<8;blwviYnfz~$Aljk_^cg`567=Vrd~1=110]JJSY7:81hby|c_hlpp*KflmUTmij?017\|jt;<7;:><5lnupo[lht|&GjhiQPaef3453Xpfx793?>289`jqtkW`dxx"CndeqvfZdfdeUrS~fnrd2345Ydq5:5>o5lnupo[lht|&Gjhi}zb^`bhiY~Wzbj~h>?01]`}96998827n`{rm]jjvr(EhnoxlPb`no[|Yt`hxn<=>?_b{?5;4e3jd~aQfnrv,Idbc{|hTnlbc_x]pldtb89:;Snw31?326<=df}xgSd`|t.Ob`aurjVhj`aQv_rjbv`6789Uhu1=12c9`jqtkW`dxx"CndeqvfZdfdeUrS~fnrd2345Ydq595=<ei|{fTec}{/Lcg`vseWgosxakit^{\eabt}k:;<=Qly=0=544b3jd~aQfnrv,Idbc{|hTbhv{lddw[|Yflmy~n=>?0^az868482iexbPioqw+HgclziSckwtmgepZXimnxyo>?01]`}959988m7n`{rm]jjvr(EhnoxlPndzwh``sWpUjhi}zb1234Zjr|5;5?=5lnupo[lht|&Gjhi}zb^lf|qjbn}UrSljkst`3456Xd|~7=3?=f:ampwjXagy#@okdrwa[kc|eomxRwPaefpqg6789Ugyy2=>228gkrudVcey!BaefpqgYimq~gikzPy^cg`vse89:;Sa{{<3<26c=df}xgSd`|t.Ob`aurjVdntybjfu]z[dbc{|h;<=>Pltv?7;573jd~aQfnrv,Idbc{|hTbhv{lddw[|Yflmy~n=>?0^nvp9599;l0ocz}l^kmwq)JimnxyoQaeyvoacrXqVkoh~{m0123[iss4=48<6matsn\mkus'Dkoh~{m_og{pica|VsTmij|uc2345Yk}}6?2<?_b{?6;563jd~aQfnrv,Idbc{|hTbhv{rhekpZXimnxyo>?01]`}949988m7n`{rm]jjvr(EhnoxlPndzwvlao|VsTmij|uc2345Ydq595?<5lnupo[lht|&Gjhi}zb^lf|qtnoa~TuRokdrwa4567Wjs7?3?>319`jqtkW`dxx"CndeqvfZhbp}xbkezPy^cg`vse89:;Sa{{<0<05>ei|{fTec}{/Lcg`vseWgosxghhu]z[dbc{|h;<=>Pltv?5;7482iexbPioqw+HgclziSckwtskdlqY~Whnoxl?012\hpr;:79:7n`{rm]jjvr(EhnoxlPndzwvlao|VsTmij|uc2345Yk}}692<=?;blwviYnfz~$Aljkst`\j`~sz`mcxRwPaefpqg6789Ugyy2<>238gkrudVcey!BaefpqgYimq~yejf{_x]b`aurj9:;?0^nvp929;81hby|c_hlpp*Kflmy~nR`jxupjcmrXqVkoh~{m0123[iss4=4:>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2347Ydq5:5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2347Ydq5;5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2347Ydq585>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2347Ydq595>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2347Ydq5>5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2347Ydq5?5>h5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2347Yk}}6;2?k4covqhZoi{}%Fobcas]aeijXpmcySnc_fg3454Xd|~7=33g8gkrudVcey!BcnosewYeiefTtig}_bos[bc7898T`xz35?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZab89:8Snw30?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZab89:8Snw31?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZab89:8Snw32?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZab89:8Snw33?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZab89:8Snw34?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZab89:8Snw35?0f?fhszeUbb~z MbmntdtXjhfgSujfr^antZab89:8Sa{{<1<1a>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuY`m9:;?Rbzt=3=6`=df}xgSd`|t.O`khvfzVhj`aQwdhp\ghvXol:;<>Qcuu>1:7c<_mww8185m2iexbPioqw+HeheykySoocl^zgmwYdeyUli=>?3^nvp939;;1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzTkh>?02]oqq:26VY\2?k4covqhZoi{}%Fobcas]aeijXpmcySnc_fv3456Xd|~7<33g8gkrudVcey!BcnosewYeiefTtig}_bos[br789:T`xz34?0f?fhszeUbb~z MbmntdtXjhfgSujfr^antZas89:;Sa{{<4<1`>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYkm9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYkm9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYkm9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYkm9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYkm9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYkm9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYkm9:;2:7cPltv?6;4b3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVfn<=>?_mww8685m2iexbPioqw+HeheykySoocl^zgmwYdeyUgi=>?0^nvp929:l1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzT`h>?01]oqq:26;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?012\g|:76;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?012\g|:66;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?012\g|:56;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?012\g|:46;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?012\g|:36;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?012\g|:26;o0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?012\hpr;878n7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0123[iss4849i6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qct1234Zjr|585>h5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Plu2345Yk}}682?k4covqhZoi{}%Fobcas]aeijXpmcySnc_mv3456Xd|~7833:7c>_mww8785m2iexbPioqw+HeheykySoocl^zgmwYdeyUgx=>?1^nvp959:l1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzT`y>?00]oqq:36;o0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?013\hpr;=78o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0121[f;878o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0121[f;978o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0121[f;:78o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0121[f;;78o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0121[f;<78o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0121[f;=78n7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0121[iss4949i6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qct1236Zjr|5;5>h5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Plu2347Yk}}692?k4covqhZoi{}%Fobcas]aeijXpmcySnc_mv3454Xd|~7?312e9`jqtkW`dxx"ClolrbvZdfdeUshd|Pclr\hq678:Uhu1?12e9`jqtkW`dxx"ClolrbvZdfdeUshd|Pclr\hq678:Uhu1<12e9`jqtkW`dxx"ClolrbvZdfdeUshd|Pclr\hq678:Uhu1=12e9`jqtkW`dxx"ClolrbvZdfdeUshd|Pclr\hq678:Uhu1:12e9`jqtkW`dxx"ClolrbvZdfdeUshd|Pclr\hq678:Uhu1;12d9`jqtkW`dxx"ClolrbvZdfdeUshd|Pclr\hq678:Ugyy2?>3g8gkrudVcey!BcnosewYeiefTtig}_bos[ir7899T`xz31?0f?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89:8Sa{{<3<1a>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;?Rbzt=1=6`=df}xgSd`|t.O`khvfzVhj`aQwdhp\ghvXd}:;<>Qcuu>7:7c?1^az8585m2iexbPioqw+HeheykySckwt^ALHJTXkdzTkh>?00]`}979:l1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sjk?013\g|:56;o0ocz}l^kmwq)Jkfg{mQaeyv\GJJHZVif|Rij0122[f;;78n7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qhe1235Ze~4=49i6matsn\mkus'Dida}o}_og{pZEHDFXTo`~Pgd2344Ydq5?5>k5lnupo[lht|&Ghc`~nr^lf|qYDGEEYSnc_fg3457Xd|~7<3?1^nvp939:l1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sjk?010\g|:76;o0ocz}l^kmwq)Jkfg{mQaeyv\GJJHZVif|Rij0121[f;978n7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qhe1236Ze~4;49i6matsn\mkus'Dida}o}_og{pZEHDFXTo`~Pgd2347Ydq595>h5lnupo[lht|&Ghc`~nr^lf|qYDGEEYSnc_fg3454Xkp6?2?k4covqhZoi{}%Fobcas]ma}rXKFFD^Rmbp^ef4565Wjs79312g9`jqtkW`dxx"ClolrbvZhbp}UHCAA]_bos[bc7898T`xz31?0e?fhszeUbb~z MbmntdtXflrSNACOS]`iuY`m9:;>Rbzt=0=6c=df}xgSd`|t.O`khvfzVdntyQLOMMQ[fkwWno;<=?2^nvp929:o1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sjk?010\hpr;=78n7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qce1236Ze~4949i6matsn\mkus'Dida}o}_og{pZEHDFXTo`~Pld2347Ydq5;5>h5lnupo[lht|&Ghc`~nr^lf|qYDGEEYSnc_mg3454Xkp692?k4covqhZoi{}%Fobcas]ma}rXKFFD^Rmbp^nf4565Wjs7?3Rbzt=3=6c=df}xgSd`|t.O`khvfzVdntyQLOMMQ[fkwWeo;<=?2^nvp959:o1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sak?010\hpr;<78m7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qce1236Zjr|5?5>h5lnupo[lht|&Ghc`~nr^lf|qYDGEEYSnc_mg3455Xkp6;2?k4covqhZoi{}%Fobcas]ma}rXKFFD^Rmbp^nf4564Wjs7=33g8gkrudVcey!BcnosewYimq~TOBB@R^antZjb89:8Snw35?0e?fhszeUbb~z MbmntdtXflrSNACOS]`iuYkm9:;?Rbzt=2=6c=df}xgSd`|t.O`khvfzVdntyQLOMMQ[fkwWeo;<==Pltv?5;4a3jd~aQfnrv,IfijxhxTbhv{_BMOKWYdeyUgi=>?3^nvp949:o1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sak?011\hpr;;78m7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qce1237Zjr|5>5>k5lnupo[lht|&Ghc`~nr^lf|qYDGEEYSnc_mg3455Xd|~793<<;blwviYnfz~$Anaznu]aeijXpVir0>0>_RU162=df}xgSd`|t.O`kphsWkkg`RvPxnp?4;76W@D]S=<>;blwviYnfz~$Anaznu]aeijXpVrd~1?11002?fhszeUbb~z MbmvjqYeiefTtRv`r=0=54463jd~aQfnrv,Ifirf}UimabPx^zlv95998897n`{rm]jjvr(Eje~byQmamn\|Z~hz595=03161=df}xgSd`|t.O`kphsWkkg`RvPxnp?7;76Wo?9=6matsn\mkus'DidyczPb`no[}Yg{6?20316>ei|{fTec}{/LalqkrXjhfgSuQwos>6:476:;1hby|c_hlpp*Kdg|dSoocl^z\|jt;=7;:>?:4covqhZoi{}%Fob{at^`bhiYWqey080>1^d664=df}xgSd`|t.O`kphsWkkg`RvPxnp?2;76:<1hby|c_hlpp*Kdg|dSoocl^z\|jt;>7;:S^Y?169`jqtkW`dxx"Clotlw[ackip;37n`{rm]jjvr(Eje~byQkemcz54>ei|{fTec}{/LalqkrXdzid~h?>9:ampwjXagy#@m`uov\hvehzl8:56matsn\mkus'DidyczPlralv`55?2iexbPioqw+Heh}g~T`~m`rd]{kw:768;TECXP0338gkrudVcey!BcnwmpZjtkfxnSua}<0<2577:5lnupo[lht|&Ghcx`{_sq`kwcXpfx7<3?>_HLU[5463jd~aQfnrv,Ifirf}Uyna}e^zlv979988:7n`{rm]jjvr(Eje~byQ}sbmqaZ~hz585=<<>;blwviYnfz~$Anaznu]qwfiumVrd~1=11002?fhszeUbb~z Mrwa[Zurj9:;ei|{fTec}{/Sgpqir;:7;?7n`{rm]jjvr(Zly~`y2<>068gkrudVcey!]erwop9299=1hby|c_hlpp*Tb{|f080>4:ampwjXagy#_k|umv?2;`?102162=df}xgSd`|t.TDE4)DG\D_I_Q]ERGW[hs89::=<<8;blwviYnfz~$ZJO>/BMVJQCUW[OXIYQbuy234470:>1hby|c_hlpp*P@I8%HCX@[ES]QAVCSWds<=>>420a?fhszeUbb~z VFC2+FIRF]OYS_K\EU]nq}6788>8S^Y?269`jqtkW`dxx"XHA0-@KPHSM[UYI^K[_lw{4566=;8<7n`{rm]jjvr(^NK:#NAZNUGQ[WCTM]Ufyu>?00:;62=df}xgSd`|t.TDE4)DG\D_I_Q]ERGW[hs89::5;?9;blwviYnfz~$ZJO>/I@OEKM6j2iexbPioqw+SAF9&BHCX@[ES>3:4dei|{fTec}{/WEB5*NDG\D_I_2=>0`8gkrudVcey!YG@3,LFIRF]OY0>0>b:ampwjXagy#[IN1.J@KPHSM[6?2/IALQKRBZV=:m6matsn\mkus'_MJ="FLOTLWAWY?9h1hby|c_hlpp*P@I8%COB[ATDP\=40/IQZJFNXe|r;<=?>f:ampwjXagy#[IN1.JP]KEOWds<=>>13;8gkrudVcey!YG@3,LjtnrfdmaQ\t`vf[CUJWOLo=?m4covqhZoi{}%]KL? Hnpj~jhsieUXxlzj_GQN[C@c9$Ce>i5lnupo[lht|&\LM45lnupo[lht|&\LMei|{fTec}{/WEB5*Nhz`pdbyoc_Rvbp`YA[DUMJi="Io0g?fhszeUbb~z VFC2+MiuaseexlbPSucwaZ@TEVLMh>#Fn00:?fhszeUbb~z VFC2+MiuaseexlbPSucwaZ@TEVLMh9/Imqmii|hfT_yo{e^DPIZ@Al='Bb>>4covqhZoi{}%]KL? Hnpj~jhsieUXxlzj_GQN[C@c<$CeS^Y>289`jqtkW`dxx"XHA0-Kkwo}gg~j`R]{aug\BVKXNOn>>n5lnupo[lht|&\LM/Imqmii|hfT_yo{e^DPIZ@Al?8h7n`{rm]jjvr(^NK:#Ea}i{mmpdjX[}kiRH\M^DE`3+Nf::0ocz}l^kmwq)QOH;$Db|fznlweiYT|h~nSK]B_GDg2(OiWZ];>45lnupo[lht|&\LM/Imqmii|hfT_yo{e^antZcv89::=<8=d:ampwjXagy#[IN1.Jlvl|hf}kgS^zntd]`iuYby9:;=<:=b:ampwjXagy#[IN1.Jlvl|hf}kgS^zntd]`iuYby9:;>?m4covqhZoi{}%]KL? Hnpj~jhsieUXxlzj_bos[`w7898:>o5lnupo[lht|&\LM?04323>ei|{fTec}{/WEB5*JNFL6;2<94covqhZoi{}%]KL? LHLF8486?2iexbPioqw+SAF9&FBBH2=>058gkrudVcey!YG@3,HLHB4:4:;6matsn\mkus'_MJ="BFND>7:416:ampwjXagy#[IN1.NJJ@Y79?1hby|c_hlpp*P@I8%GECKP1048gkrudVcey!YG@3,HLHBW;;=7n`{rm]jjvr(^NK:#AGAE^122>ei|{fTec}{/WEB5*JNFLU?=;5lnupo[lht|&\LM5>=5lnupo[lht|&\LMf:ampwjXagy#[IN1.NJJ@Yj}q:;<<2:>328gkrudVcey!YG@3,HLHBWds<=>><4<25c=df}xgSd`|t.TDE4)KAGOTaxv?013?2;473jd~aQfnrv,RBG6'ECEIRczx123590998l0ocz}l^kmwq)QOH;$@D@J_lw{45664>49<6matsn\mkus'_MJ="BFND]nq}67886<2/MKMAZkrp9:;=161219`jqtkW`dxx"XHA0-OMKCXe|r;<=?38?311>ei|{fTec}{/WEB5*Kdg|dSEM@UOVFVZe~494996matsn\mkus'_MJ="Clotlw[MEH]G^N^Rmv<0<1<>ei|{fTec}{/WEB5*Kdg|dSEM@UOVFVZe~484T~y<:;blwviYnfz~$ZJO>/LalqkrX@JE^BYK]_b{?6;4?3jd~aQfnrv,RBG6'DidyczPHBMVJQCUWjs7>3Q}t378gkrudVcey!YG@3,Ifirf}UCOB[ATDP\g|:46;20ocz}l^kmwq)QOH;$Anaznu]KGJSI\LXTot2<>^pw60=df}xgSd`|t.TDE4)JkfexRFLOTLWAWYdq5>5>55lnupo[lht|&\LM7n`{rm]jjvr(^NK:#@m`uov\LFIRF]OYSnw36?0;?fhszeUbb~z VFC2+Heh}g~TDNAZNUGQ[f;>7Uyx?;4covqhZoi{}%]KL? MbmvjqYOKF_EXH\Pcx>4:7>9:11hby|c_hlpp*P@I8%Fob{at^J@KPHSM[Uhu161_sv12>ei|{fTec}{/WEB5*Kdg|dSEM@UOVFVZjr|5:5>n5lnupo[lht|&\LM3:ZOI^V:9:6matsn\mkus'_MJ="Clotlw[MEH]G^N^Rv`r=2=63=df}xgSd`|t.TDE4)JkfexRFLOTLWAWYg{6:2?84covqhZoi{}%]KL? MbmvjqYOKF_EXH\Pxnp?6;413jd~aQfnrv,RBG6'DidyczPHBMVJQCUWqey0>0=6:ampwjXagy#[IN1.O`kphsWAIDYCZJR^zlv929:?1hby|c_hlpp*P@I8%Fob{at^J@KPHSM[Usc2:>348gkrudVcey!YG@3,Ifirf}UCOB[ATDP\|jt;>78=7n`{rm]jjvr(^NK:#@m`uov\LFIRF]OYSua}<6<12>ei|{fTec}{/WEB5*Kdg|dSEM@UOVFVZ~hz525>;5lnupo[lht|&\LM::7?>3:8gkrudVcey!YG@3,Ifirf}U]OB[ATDP\g|:66Vx>85lnupo[lht|&\LM0Pru06?fhszeUbb~z VFC2+Heh}g~TZNAZNUGQ[f;<7837n`{rm]jjvr(^NK:#@m`uov\RFIRF]OYSnw34?]qp732?64covqhZoi{}%]KL? MbmvjqYQKF_EXH\Pcx>6:Zts:<1hby|c_hlpp*P@I8%Fob{at^T@KPHSM[Uhu181299`jqtkW`dxx"XHA0-Ngjsi|V\HCX@[ES]`}909W{~996matsn\mkus'_MJ="Clotlw[SEH]G^N^Rmv<6<1<>ei|{fTec}{/WEB5*Kdg|dS[M@UOVFVZe~4>4T~y<:;blwviYnfz~$ZJO>/LalqkrX^JE^BYK]_b{?<;4?3jd~aQfnrv,RBG6'DidyczPVBMVJQCUWjs743Q}t348gkrudVcey!YG@3,Ifirf}U]OB[ATDP\hpr;878h7n`{rm]jjvr(^NK:#@m`uov\RFIRF]OYSa{{<1<\MKPX8;<0ocz}l^kmwq)QOH;$Anaznu]UGJSI\LXTtb|30?05?fhszeUbb~z VFC2+Heh}g~TZNAZNUGQ[}iu4849:6matsn\mkus'_MJ="Clotlw[SEH]G^N^Rv`r=0=63=df}xgSd`|t.TDE4)JkfexRXLOTLWAWYg{682?84covqhZoi{}%]KL? MbmvjqYQKF_EXH\Pxnp?0;413jd~aQfnrv,RBG6'DidyczPVBMVJQCUWqey080=6:ampwjXagy#[IN1.O`kphsW_IDYCZJR^zlv909:?1hby|c_hlpp*P@I8%Fob{at^T@KPHSM[Usc28>348gkrudVcey!YG@3,Ifirf}U]OB[ATDP\|jt;078=7n`{rm]jjvr(^NK:#@m`uov\RFIRF]OYSua}<8<1=>ei|{fTec}{/WEB5*Kdg|dS[M@UOVFVZ~hz535Sz>3:ampwjXagy#[IN1.L35456matsn\mkus'_MJ="@=139`jqtkW`dxx"XHA0-M0448:ampwjXagy#[IN1.RJ\@J;87;37n`{rm]jjvr(^NK:#]GWEM>2:4>0:8gkrudVcey!YG@3,TL^BD5<5=:5lnupo[lht|&\LM169`jqtkW`dxx"XHA0-SM]CKW;;<7n`{rm]jjvr(^NK:#]GWEM]052=df}xgSd`|t.TDE4)WAQOGS9?8;blwviYnfz~$ZJO>/QK[AIY29>1hby|c_hlpp*P@I8%[EUKC_703?fhszeUbb~z VFC2+UO_MEUfyu>?00>0:775><5lnupo[lht|&\LM219`jqtkW`dxx"XHA0-SM]CKWds<=>><4<15>ei|{fTec}{/WEB5*VNPLFTaxv?013?1;7582iexbPioqw+SAF9&ZBTHBPmtz3457;>78:7n`{rm]jjvr(^NK:#]GWEM]nq}67886=2</QK[AIYj}q:;<<28>338gkrudVcey!YG@3,TL^BDVg~t=>?1=5=5769:81hby|c_hlpp*P@I8%[EUKC_lw{4566414:>=5lnupo[lht|&\LMei|{fTec}{/WEB5*TB[L^Tecx>9:ampwjXagy#[IN1.Pfwpjs494:m6matsn\mkus'_MJ="\jstnw8586901hby|c_hlpp*P@I8%Yi~{ct=3=5d=df}xgSd`|t.TDE4)Umzgx1?110;8gkrudVcey!YG@3,V`urd}692ei|{fTec}{/WEB5*Tb{|f090>a:ampwjXagy#[IN1.Pfwpjs4=4:=45lnupo[lht|&\LM0c8gkrudVcey!YG@3,V`urd}6=2/Sgpqir;?7;j7n`{rm]jjvr(^NK:#_k|umv?3;7612iexbPioqw+SAF9&Xnxb{<9<2e>ei|{fTec}{/WEB5*Tb{|f050>189`jqtkW`dxx"XHA0-Qavsk|535=l5lnupo[lht|&\LM5:4eei|{fTec}{/WEB5*RBEYUGECKP30`8gkrudVcey!YG@3,P@KWWECEIR:>b:ampwjXagy#[IN1.VFIUYKAGOT9>1e9`jqtkW`dxx"XHA0-WAHVXX@RN@1=11e9`jqtkW`dxx"XHA0-WAHVXX@RN@1:11e9`jqtkW`dxx"XHA0-WAHVXX@RN@1;11e9`jqtkW`dxx"XHA0-WAHVXX@RN@1811e9`jqtkW`dxx"XHA0-WAHVXX@RN@1911e9`jqtkW`dxx"XHA0-WAHVXX@RN@1611e9`jqtkW`dxx"XHA0-WAHVXX@RN@1711b9`jqtkW`dxx"XHA0-WAHVXX@RN@R=>c:ampwjXagy#[IN1.VFIUYWAQOGS9?l;blwviYnfz~$ZJO>/UGNTZVNPLFT9/UGNTZVNPLFTmcj?012251=df}xgSd`|t.TDE4)QKEA:96matsn\mkus'_MJ="XLLJ32=>ei|{fTec}{/WEB5*PDDBUIYKI>c:ampwjXagy#[IN1.T@HNYj}q:;</WALQKRBZ5:5=o5lnupo[lht|&\LM/WALQKRBZ525=o5lnupo[lht|&\LM?n;blwviYnfz~$ZJO>/WALQKRBZV>:m6matsn\mkus'_MJ="XLOTLWAWY29h1hby|c_hlpp*P@I8%]OB[ATDP\24ga:ampwjXagy#[IN1.T@KPHSM[U2>;5lnupo[lht|&\LM??5lnupo[lht|&\LM;PF208gkrudVcey!YG@3,R@TSAJKGSNAZNUGQ[WCTM]Ufyu>?0016[B573jd~aQfnrv,RBG6'_OYXDMNL^ALQKRBZVXN_HZPmtz3457?=:>0ocz}l^kmwq)QOH;$ZH\[IBCO[FIRF]OYS_K\EU]nq}67882>S^Y?349`jqtkW`dxx"XHA0-UAWRNKHFTOB[ATDP\V@UB\Vg~t=>?197\WR66;>1hby|c_hlpp*P@I8%]I_ZFC@N\GJSI\LXT^H]JT^ov|56791?T_Z>Pf413?fhszeUbb~z VFC2+SCU\@IJ@RM@UOVFVZTB[L^Taxv?013:740/WQZJFNXe|r;<=?>f:ampwjXagy#[IN1.TP]KEOWds<=>>1358gkrudVcey!YG@3,R`tsajkgS^zntd]EWHYANm;9m6matsn\mkus'_MJ="Xjruk`eiYT|h~nSK]B_GDg5(Oi:k1hby|c_hlpp*P@I8%]izfc`n\WqgsmVLXARHId0/Jj4403jd~aQfnrv,RBG6'_oyxdmnl^QweqcXNZGTJKj=2`9`jqtkW`dxx"XHA0-UawrnkhfT_yo{e^DPIZ@Al;'Bb?k4covqhZoi{}%]KL? VdpwmfgkWZ~jxhQISL]EBa4*AgUX[=<8;blwviYnfz~$ZJO>/WgqplefdVYmykPFRO\BCb4:h1hby|c_hlpp*P@I8%]izfc`n\WqgsmVLXARHId2/Jj7dei|{fTec}{/WEB5*Pbz}chmaQ\t`vf[CUJWOLo8?o4covqhZoi{}%]KL? VdpwmfgkWZ~jxhQISL]EBa2*Ag8i7n`{rm]jjvr(^NK:#[k}thabhZUsi}oTJ^CPFGf7)Lh6:>1hby|c_hlpp*P@I8%]izfc`n\WqgsmVLXARHId404?fhszeUbb~z VFC2+Scu|`ij`R]{aug\BVKXNOn=>l5lnupo[lht|&\LM?2`9`jqtkW`dxx"XHA0-UawrnkhfT_yo{e^antZcv89:;=?k4covqhZoi{}%]KL? VdpwmfgkWZ~jxhQlmq]fu56788UX[=/WgqplefdVYmykPclr\at678989n6matsn\mkus'_MJ="Xjruk`eiYT|h~nSnc_ds345659;30ocz}l^kmwq)QOH;$Zh|{ibco[Vrf|lUha}Qjq12357g?100:?fhszeUbb~z VFC2+Scu|`ij`R]{aug\ghvXmx:;<>/WgqplefdVYmykPclr\at678:;:>i5lnupo[lht|&\LM45lnupo[lht|&\LM?179`jqtkW`dxx"Qnde2345:268<0ocz}l^kmwq)Ximn;<=?34?34?fhszeUbb~z _`fg45664=4:=;5lnupo[lht|&Ujhi>?03>0:402iexbPioqw+Zgcl9:;?1;1179`jqtkW`dxx"Qnde2341:368=0ocz}l^kmwq)Ximn;<=:34?322>ei|{fTec}{/^cg`567=595=;5lnupo[lht|&Ujhi>?04>7:401:42ei|{fTec}{/ccohZ~;=7;?7n`{rm]jjvr(jhfgSu29>018gkrudVcey!mamn\|Z66;2iexbPioqw+ggkdVrT=<=4covqhZoi{}%imabPx^027>ei|{fTec}{/ccohZ~X;890ocz}l^kmwq)eiefTtR:>3:ampwjXagy#oocl^z\145ei|{fTec}{/ccohZ~ca{Ujbi>?015\WR66:;1hby|c_hlpp*dfdeUshd|Paof34560WZ];>?:4covqhZoi{}%imabPxekq[dhc89:;;R]X0^d65f=df}xgSd`|t.`bhiYl`xTmcj?0112b>ei|{fTec}{/ccohZ~ca{Uha}Qhe12364`>b:ampwjXagy#oocl^zgmwYhz9:;<0ocz}l^kmwq)eiefTu1>1159`jqtkW`dxx"lnlm]z8486<2iexbPioqw+ggkdVs7>3?;;blwviYnfz~$nlbc_x>0:425=95lnupo[lht|&hj`aQv<4<27>ei|{fTec}{/ccohZX8890ocz}l^kmwq)eiefTuR?>3:ampwjXagy#oocl^{\645?01>3:47c3jd~aQfnrv,fdjkWpUfyu>?01>3:77a3jd~aQfnrv,fdjkWpUfyu>?01>3:Z`29j1hby|c_hlpp*dfdeUrS`{w01238486l2iexbPioqw+ggkdVsTaxv?012?5;76m2iexbPioqw+ggkdVsTaxv?012?5;769j1hby|c_hlpp*dfdeUrS`{w01238786l2iexbPioqw+ggkdVsTaxv?012?6;76n2iexbPioqw+ggkdVsTaxv?012?6;7XN8l0ocz}l^kmwq)eiefTuRczx12349499VM:o6matsn\mkus'kkg`RwPmtz3456;;7;o7n`{rm]jjvr(jhfgStQbuy2345:468;h7n`{rm]jjvr(jhfgStQbuy2345:368n0ocz}l^kmwq)eiefTuRczx12349299;;0ocz}l^kmwq)eiefTuRczx12349299VY\<2?1d9`jqtkW`dxx"lnlm]z`ltXign;<=>>10d8gkrudVcey!mamn\}aouWhdo<=>?10;14>ei|{fTec}{/ccohZca{Ujbi>?0102547b3jd~aQfnrv,fdjkWpnb~Road1234645:2iexbPioqw+ggkdVsoeQnne234555WZ];>?5lnupo[lht|&hj`aQvdhp\ekb789:8>R]X10g8gkrudVcey!mamn\}aouWhdo<=>?5501?fhszeUbb~z b`no[|bnzVkeh=>?046\WR66m2iexbPioqw+ggkdVsoeQnne2345>2:;1hby|c_hlpp*dfdeUrhd|Paof3456?0VY\?219`jqtkW`dxx"lnlm]z`ltXkdzT`y>?01310>ei|{fTec}{/ccohZca{Uha}Qct12344YT_9;h7n`{rm]jjvr(jhfgStjfr^ov|5678;90ocz}l^kmwq)eiefTuig}_lw{45679VCEZR>>8:ampwjXagy#oocl^{qeqc;87;37n`{rm]jjvr(jhfgSt|ntd>2:41>7:ampwjXagy#oocl^{qeqcX9;:0ocz}l^kmwq)eiefTuo{e^ov|567<5:5>?5lnupo[lht|&hj`aQvr`vf[hs89:?0=0>0308gkrudVcey!mamn\}wgsmVg~t=>?4=2=54443jd~aQfnrv,fdjkWpxjxhQbuy2341:768;8>o5lnupo[lht|&hj`aQvr`vf[hs89:?0=0>12]PS5YT_9887n`{rm]jjvr(jhfgSt|ntd]nq}678=6;2;<1<25ZUP8;90ocz}l^kmwq)eiefTuo{e^ov|567<5:5=:?=1:ampwjXagy#oocl^{qeqcXe|r;<=:30?016>ei|{fTec}{/ccohZui}oTaxv?016?4;46:;1hby|c_hlpp*dfdeUr~lzj_lw{4563494?16328gkrudVcey!mamn\}wgsmVg~t=>?4=3=67=df}xgSd`|t.`bhiY~zh~nS`{w012784868;80ocz}l^kmwq)eiefTuo{e^ov|567<5;5>9<=;blwviYnfz~$nlbc_xpbp`Yj}q:;<92>>2016>ei|{fTec}{/ccohZui}oTaxv?016?5;239m1hby|c_hlpp*dfdeUr~lzj_omw4566::1hby|c_hlpp*dfdeUr~lzj_omw45669>UX[=?i;blwviYnfz~$nlbc_xpbp`Yig}:;<<<71d9`jqtkW`dxx"lnlm]zvdrbWge<=>>8308gkrudVcey!mamn\}wgsmVddx=>?19]PS5743jd~aQfnrv,``jfq5:5=>5lnupo[lht|&nn`lw31?30?fhszeUbb~z ddnb}9499;1hby|c_hlpp*bbdhsT<<<4covqhZoi{}%oiaov_031?fhszeUbb~z ddnb}Z4692iexbPioqw+`hfjeo:56matsn\mkus'ldjnakPaof345>6i2iexbPioqw+`hfjeoTmcj?01:25f=df}xgSd`|t.gmegjbWjg{Sh?0122`>ei|{fTec}{/dlbficXkdzTi|>?0132g>ei|{fTec}{/dlbficXkdzTi|>?0003?fhszeUbb~z eocah`YdeyUn}=>?1^QT44??00d8gkrudVcey!jn``oaZkrp9:;<ei|{fTec}{/dlbficXe|r;<=>>1^QT577?003\WR7XN;;0ocz}l^kmwq)bfhhgiRczx123447X[^;TKei|{fTec}{/dlbficXff~;<=?<30d8gkrudVcey!jn``oaZhh|9:;=>=PSV22b>ei|{fTec}{/dlbficXff~;<=?<3^QT54d?14:20>ei|{fTec}{/mgepZ;87;?7n`{rm]jjvr(dllSt2>>068gkrudVcey!cegv\}9499=1hby|c_hlpp*jbn}Ur0>0>4:ampwjXagy#akit^{?0;733jd~aQfnrv,h``sWp6>2<=4covqhZoi{}%gikzPy^227>ei|{fTec}{/mgepZX9890ocz}l^kmwq)kmo~TuR<>3:ampwjXagy#akit^{\745:?6matsn\mkus'eomxRwP50a8gkrudVcey!cegv\}Zkrp9:;>1<11e9`jqtkW`dxx"bjfu]z[hs89:90?0>1b9`jqtkW`dxx"bjfu]z[hs89:90>0>d:ampwjXagy#akit^{\ip~78987?3?>c:ampwjXagy#akit^{\ip~7898783?k;blwviYnfz~$`hh{_x]nq}678;6?22>3:ampwjXagy#a}losg\5453:4e110015f=df}xgSd`|t.lf|qYDGEEY0=0>13114>ei|{fTec}{/og{pZEHDFX7<3?>22]PS5473jd~aQfnrv,j`~sWJEGC_2?>0317ZUP98h0ocz}l^kmwq)imq~TOBB@R=2=5326l2iexbPioqw+kc|VID@B\30?350Z@6l2iexbPioqw+kc|VID@B\30?350ZA6j2iexbPioqw+kc|VID@B\30?3;24e120265`=df}xgSd`|t.lf|qYDGEEY0=0=117\B4c12026[B7e3jd~aQfnrv,j`~sWJEGC_2?>3675d=df}xgSd`|t.lf|qYDGEEY0=0=70a8gkrudVcey!aeyv\GJJHZ5:5S^Y>189`jqtkW`dxx"`jxu]@KIIU484:=i5lnupo[lht|&dntyQLOMMQ8486WZ];=n5lnupo[lht|&dntyQLOMMQ848X[^::h6matsn\mkus'gosxRM@LNP\ekb789::j6matsn\mkus'gosxRM@LNP\ekb789:8>PSV22a>ei|{fTec}{/og{pZEHDFXTmcj?012;5a=df}xgSd`|t.lf|qYDGEEYSl`k013460=df}xgSd`|t.lf|qYDGEEYSl`k0134[VQ7WZ];>=5lnupo[lht|&dntyQLOMMQ[fkwWno;<=?=0:ampwjXagy#ckwt^ALHJTXkdzTkh>?0303?fhszeUbb~z ndzw[FIKG[Uha}Qce123676:=?>4covqhZoi{}%eiuzPCNNLVZkrp9:;;1>1229`jqtkW`dxx"`jxu]@KIIUWds<=>8<1<206443jd~aQfnrv,j`~sWJEGC_Qbuy2342:768=;>>5lnupo[lht|&dntyQLOMMQ[hs89:<0=0=1100?fhszeUbb~z ndzw[FIKG[Ufyu>?06>3:721::1hby|c_hlpp*hbp}UHCAA]_lw{45604949;><=;blwviYnfz~$bhv{_BMOKWYj}q:;<:2?>3;12>ei|{fTec}{/og{pZEHDFXTaxv?015?4;4>WZ]:>>5lnupo[lht|&dntyQLOMMQ[hs89:<0=0<0100?fhszeUbb~z ndzw[FIKG[Ufyu>?06>3:666::1hby|c_hlpp*hbp}UHCAA]_lw{45604948??<<;blwviYnfz~$bhv{_BMOKWYj}q:;<:2?>27666=df}xgSd`|t.lf|qYDGEEYS`{w01248584><897n`{rm]jjvr(flrSNACOS]nq}678>6;29;=2:ampwjXagy#ckwt^ALHJTXe|r;<=930?4267=df}xgSd`|t.lf|qYDGEEYS`{w012485809;80ocz}l^kmwq)imq~TOBB@R^ov|567?5:55<<9;blwviYnfz~$bhv{_BMOKWYj}q:;<:2?>83\WR7602iexbPioqw+kc|eomxRw30?3;?fhszeUbb~z ndzwh``sWp6:2<64covqhZoi{}%eiuzcegv\}949911hby|c_hlpp*hbp}fnjyQv<2<2<>ei|{fTec}{/og{pica|Vs783?7;blwviYnfz~$bhv{lddw[|:268=0ocz}l^kmwq)imq~gikzPy^223>ei|{fTec}{/og{pica|VsT=<94covqhZoi{}%eiuzcegv\}Z46?2iexbPioqw+kc|eomxRwP3058gkrudVcey!aeyvoacrXqV>:;6matsn\mkus'gosxakit^{\17685lnupo[lht|&dntybjfu]z[fkwWn~;<=>>_RU365=df}xgSd`|t.lf|qjbn}UrSnc_mv3456592iexbPioqw+kc|eomxRwPclr\hq6789;996matsn\mkus'gosxakit^{\ghvXd}:;<=?PSV214>ei|{fTec}{/og{pica|VsTaxv?016?4;433jd~aQfnrv,j`~sdllStQbuy2341:76VY\4covqhZoi{}%eiuzcegv\}Zkrp9:;81?1209`jqtkW`dxx"`jxunfbqY~Wds<=>;<0<265=df}xgSd`|t.lf|qjbn}UrS`{w0127878592iexbPioqw+kc|eomxRwPmtz3452;:7;9<6matsn\mkus'gosxakit^{\ip~789>7?3<>;blwviYnfz~$bhv{lddw[|Yj}q:;<92<>003?fhszeUbb~z ndzwh``sWpUfyu>?05>7:77<5lnupo[lht|&dntybjfu]z[hs89:?080>1d9`jqtkW`dxx"`jxunfbqY~Wds<=>:10f8gkrudVcey!aeyvoacrXqVddx=>?20g8gkrudVcey!aeyvoacrXqVddx=>?203:?fhszeUbb~z ndzwvlao|Vs7<3?6;blwviYnfz~$bhv{rhekpZ;97;27n`{rm]jjvr(flr~digt^{?6;7>3jd~aQfnrv,j`~sz`mcxRw33?3:?fhszeUbb~z ndzwvlao|Vs783?6;blwviYnfz~$bhv{rhekpZ;=7;37n`{rm]jjvr(flr~digt^{\44>199`jqtkW`dxx"`jxupjcmrXqV8:46matsn\mkus'gosxghhu]z[67?3jd~aQfnrv,j`~sz`mcxRwP40:8gkrudVcey!aeyvqmbnsWpU>><5lnupo[lht|&dnty|fgiv\}Zkrp9:;81>1249`jqtkW`dxx"`jxupjcmrXqVg~t=>?4=2=[VQ7:81hby|c_hlpp*hbp}xbkezPy^ov|567<5;5>?5lnupo[lht|&dnty|fgiv\}Zkrp9:;81?11338gkrudVcey!aeyvqmbnsWpUfyu>?05>1:747?3<=;blwviYnfz~$bhv{rhekpZXe|r;<=:33?315>ei|{fTec}{/og{pwo``}UrS`{w01278185:2iexbPioqw+kc|{cldyQv_lw{45634=4:><5lnupo[lht|&dnty|fgiv\}Zkrp9:;81;1239`jqtkW`dxx"`jxupjcmrXqVg~t=>?4=7=54`=10`8gkrudVcey!aul`fvZgcl{oxR:=3:ampwjXagy#c{bbdp\eabumzyT8Rczx123672=259`jqtkW`dxx"`zmcgq[dbczlyxS8Qbuy234776j2iexbPioqw+ksjjlxTmij}erq\275Vg~t=>?2036?fhszeUbb~z rhekpZ;87;>7n`{rm]jjvr(z`mcxRw31?36?fhszeUbb~z rhekpZ;:7;>7n`{rm]jjvr(z`mcxRw33?36?fhszeUbb~z rhekpZ;<7;>7n`{rm]jjvr(z`mcxRw35?37?fhszeUbb~z rhekpZX88>0ocz}l^kmwq)uanbStQ>159`jqtkW`dxx"|fgiv\}Z46<2iexbPioqw+wo``}UrS>?;;blwviYnfz~$~digt^{\042=i5lnupo[lht|&xbkezPy^antZas89:;=h5lnupo[lht|&xbkezPy^antZas89:;=?<4covqhZoi{}%yejf{_x]`iuY`|9:;<?013f?fhszeUbb~z rhekpZXkdzT`y>?01316>ei|{fTec}{/skdlqY~Wjg{Saz?0122[VQ79m1hby|c_hlpp*tnoa~TuRczx12369499l1hby|c_hlpp*tnoa~TuRczx123694998n0ocz}l^kmwq)uanbStQbuy2347:468o0ocz}l^kmwq)uanbStQbuy2347:468;o7n`{rm]jjvr(z`mcxRwPmtz3454;<7;n7n`{rm]jjvr(z`mcxRwPmtz3454;<7;:h6matsn\mkus'{cldyQv_lw{45654<4:i6matsn\mkus'{cldyQv_lw{45654<4:=95lnupo[lht|&xxob|j<1<20>ei|{fTec}{/sq`kwc;97;?7n`{rm]jjvr(zzid~h2=>068gkrudVcey!}sbmqa9599:1hby|c_hlpp*ttkfxnS=?<;blwviYnfz~$~~m`rd]256=df}xgSd`|t.ppgjtbW;;87n`{rm]jjvr(zzid~hQ<189`jqtkW`dxx"||cnpf[kis89::=o5lnupo[lht|&xxob|j_omw456698;i7n`{rm]jjvr(zzid~hQaou2344469m1hby|c_hlpp*ttkfxnSca{0122[VQ7=2fo0=0:;mf?5;3jc4=4>7aj35?78ha:16>1gh1950?78ha:0601ghR>POCWE=>jcW8UDNXH6;mf\6ZIE]O30`iQ<_N@VB<=klV>TCO[I9:ng[0YHJ\L27ajP6^MAQC?4038v`gcWyy9Sd`|t.L21471189qadbXxz8Tec}{/mgepZbnz5;5=55}e`f\tv4Xagy#akit^fjvZ6602xnmiQs3]jjvr(dllSig}_002?wcflVzx>Rgasu-oacrXl`xTaxv?012?4;443{ojhR~|2^kmwq)kmo~Thd|Pmtz3456;87;9>95}e`f\tv4Xagy#akit^fjvZkrp9:;<1>113312>tbimU{?Qfnrv,h``sWmcyS`{w01238586:Vl>>>5}e`f\tv4Xagy#akit^fjvZkrp9:;<1>12000?wcflVzx>Rgasu-oacrXl`xTaxv?012?4;43::1yiljPpr0\mkus'eomxRjfr^ov|56785:5>;<<;sgb`Zvt:Vcey!cegv\`ltXe|r;<=>30?6267=umhnT|~>3617>tbimU{?Qfnrv,h``sWmcyS`{w01238485>;80~hok_qq1[lht|&fnjyQkis]nq}67896:24Rm{rm-Ngjsi|ViggRffgi]emirbzVrd~1?11015?wcflVzx>Rgasu-sw7Yd|{f$Anaznu]`hnYoanbTjdb{es]{kw:568;9o6|jae]sw7Ynfz~$|~7knd^rp6Zoi{}%{?Qltsn,Ifirf}Uh`fQcov]emirbzVrd~1>11016?wcflVzx>Rgasu-sw7Yd|{f$Anaznu]`hnYkg~Umeazjr^zlv979989>7knd^rp6Zoi{}%{?Qltsn,Ifirf}Uh`fQcov]emirbzVrd~1<1103b?wcflVzx>Rgasu-sw7Yd|{f$B<==7:pfeaYw{;Ubb~z pr0\gqtk'[oxiyQfnw3\MKPX8;90~hok_qq1[lht|&zx>Rm{rm-PgdhXKfnn0=0=3:pfeaYw{;Ubb~z pr0\gqtk'ZijbRM`dd>2:75318v`gcWyy9Sd`|t.rp6Zesze%Xol`PCnff8185;2xnmiQs3]jjvr(xz8Toy|c/RabjZEhll6>2?=4rdcg[uu5W`dxx"~|2^awvi)TkhdTObjj<7<17>tbimU{?Qfnrv,tv4Xk}xg#^mnn^Al``:06;80~hok_qq1[lht|&zx>Rm{rm-PgdhXKfnnS=<=;sgb`Zvt:Vcey!s3]`pwj([jkeSNake^316>tbimU{?Qfnrv,tv4Xk}xg#^mnn^Al``Y5:;1yiljPpr0\mkus'yy9Snz}l.Q`ekYDgmoT??<4rdcg[uu5W`dxx"~|2^awvi)TkhdTObjj_501?wcflVzx>Rgasu-sw7Yd|{f$_noa_BmgaZ35:2xnmiQs3]jjvr(xz8Toy|c/RabjZEhllU=>?5}e`f\tv4Xagy#}}=_bvqh*UdigUHcikP73:8v`gcWyy9Sd`|t.rp6Zesze%Xol`PCnff[dhc89:;>45}e`f\tv4Xagy#}}=_bvqh*UdigUHcikPaof34566::1yiljPpr0\mkus'yy9Snz}l.`jpZeh}g~7<3<<;sgb`Zvt:Vcey!s3]`pwj(j`~Tob{at=3=66=umhnT|~30?0`?wcflVzx>Rgasu-sw7Yd|{f$ndzPcnwmpZkrp9:;<1>113g8v`gcWyy9Sd`|t.rp6Zesze%ieyQlotlw[hs89:;0=0Pf40a?wcflVzx>Rgasu-sw7Yd|{f$ndzPcnwmpZkrp9:;<1?12b9qadbXxz8Tec}{/qq1[frud&hbxRm`uov\ip~789:7=3?=b:pfeaYw{;Ubb~z pr0\gqtk'kcSnaznu]nq}6789692?m4rdcg[uu5W`dxx"~|2^awvi)ea}Uhcx`{_lw{45674;4:>o5}e`f\tv4Xagy#}}=_bvqh*dn|VidyczPmtz3456;;78h7knd^rp6Zoi{}%{?Qltsn,flrXkfexRczx12349599;90~hok_qq1[lht|&zx>Rm{rm-`h`guW{ol0=0=3:pfeaYw{;Ubb~z pr0\gqtk'jfnmQ}ef>2:74o5}e`f\tv4Xagy#}}=_bvqh*ekmhxT~hiPmtz3456;978h7knd^rp6Zoi{}%{?Qltsn,gicfzVxnkRczx12349799;30~hok_qq1[lht|&zx>Rm{rm-`hnYoanbTjdb{es>3:7?>3;8v`gcWyy9Sd`|t.rp6Zesze%h`fQgifj\bljsm{692?64rdcg[uu5W`dxx"~|2^awvi)ddbUcejfPfhnwawY7:11yiljPpr0\mkus'yy9Snz}l.aooZnnoaUmeazjr^31<>tbimU{?Qfnrv,tv4Xk}xg#nbd_ikdlZ`nd}oyS?<7;sgb`Zvt:Vcey!s3]`pwj(keaT`byPfhnwaw:76;20~hok_qq1[lht|&zx>Rm{rm-`hnYkg~Umeazjr=3=6==umhnT|~Rm{rm-`hnYkg~Umeazjr^313>tbimU{?Qfnrv,tv4Xk}xg#nbd_mmt[cok|lxT>??4rdcg[uu5W`dxx"~|2^awvi)aae~n~Rmck3;8v`gcWyy9Sd`|t.rp6Zesze%meazjr^aooZhh|9:;=?l4rdcg[uu5W`dxx"~|2^awvi)aae~n~Rmck^llp5679VL9n6|jae]sw7Ynfz~$|~Rm{rm-p[wc`494:j6|jae]sw7Ynfz~$|~f:pfeaYw{;Ubb~z pr0\gqtk'zUyij2<>0d8v`gcWyy9Sd`|t.rp6Zesze%xSkh<5<2b>tbimU{?Qfnrv,tv4Xk}xg#~Q}ef>6:4`Rm{rm-p[wc`414:i6|jae]sw7Ynfz~$|~e:pfeaYw{;Ubb~z pr0\gqtk'zUyijQ;1d9qadbXxz8Tec}{/qq1[frud&yT~hiP50g8v`gcWyy9Sd`|t.rp6Zesze%xSkh_73f?wcflVzx>Rgasu-sw7Yd|{f$R|jg^52a>tbimU{?Qfnrv,tv4Xk}xg#~Q}ef];62=umhnT|~Rm{rm-p[wc`Wds<=>?<0<1<>tbimU{?Qfnrv,tv4Xk}xg#~Q}ef]nq}67896:2<<8;sgb`Zvt:Vcey!s3]`pwj({VxnkRczx1234949:11yiljPpr0\mkus'yy9Snz}l.q\v`aXe|r;<=>32?313>tbimU{?Qfnrv,tv4Xk}xg#~Q}ef]nq}6789682?64rdcg[uu5W`dxx"~|2^awvi)tW{olS`{w01238686:>1yiljPpr0\mkus'yy9Snz}l.q\v`aXe|r;<=>34?0;?wcflVzx>Rgasu-sw7Yd|{f$R|jg^ov|56785>5=?94rdcg[uu5W`dxx"~|2^awvi)tW{olS`{w0123808502xnmiQs3]jjvr(xz8Toy|c/r]qabYj}q:;<=2:>004?wcflVzx>Rgasu-sw7Yd|{f$R|jg^ov|56785<5>55}e`f\tv4Xagy#}}=_bvqh*uXzlmTaxv?012?2;75?2xnmiQs3]jjvr(xz8Toy|c/r]qabYj}q:;<=28>3:8v`gcWyy9Sd`|t.rp6Zesze%xSkh_lw{45674>4:>:5}e`f\tv4Xagy#}}=_bvqh*uXzlmTaxv?012?<;4?3{ojhR~|2^kmwq)w{;Uhxb s^pfcZkrp9:;<1611338v`gcWyy9Sd`|t.rp6Zesze%xol`Prdcg}7??13c8v`gcWyy9Sd`|t.rp6Zesze%xol`Prdcg}Zhh|9:;=<>299qadbXxz8Tec}{/qq1[frud&~yejijr^ov|56788;:>6|jae]sw7Ynfz~$~hok1`9qadbXxz8Tec}{/sgb`Zkrp9:;<0c8v`gcWyy9Sd`|t.pjcmrXl`x7=3?6;sgb`Zvt:Vcey!}ifjw[aouW9;27knd^rp6Zoi{}%yejf{_ekq[4453{ojhR~|2^kmwq)uanbSig}_lw{4567494996|jae]sw7Ynfz~$~digt^fjvZkrp9:;<1>110317>tbimU{?Qfnrv,vlao|Vnb~Rczx1234969:;>0~hok_qq1[lht|&xbkezPdhp\ip~789:7<3<9269qadbXxz8Tec}{/skdlqYca{Ufyu>?01>3:7YT_98?7knd^rp6Zoi{}%yejf{_ekq[hs89:;0=0<93:8v`gcWyy9Sd`|t.pjcmrXl`xTaxv?012?4;5>WZ];>95}e`f\tv4Xagy#ghhu]gmwYj}q:;<=2?>7410>tbimU{?Qfnrv,vlao|Vnb~Rczx1234969>1897knd^rp6Zoi{}%yejf{_ekq[hs89:;0<0=5:pfeaYw{;Ubb~z rhekpZbnzVg~t=>?0=3=547512xnmiQs3]jjvr(z`mcxRjfr^ov|56785;5=tbimU{?Qfnrv,vlao|Vnb~Rczx12349799:8?7knd^rp6Zoi{}%yejf{_ekq[hs89:;0<0<0368v`gcWyy9Sd`|t.pjcmrXl`xTaxv?012?5;26:=1yiljPpr0\mkus'{cldyQkis]nq}67896:2;?=3:pfeaYw{;Ubb~z rhekpZbnzVg~t=>?0=3=<72?013f?wcflVzx>Rgasu-pawpbWds<=>?10416>tbimU{?Qfnrv,w`tqmVg~t=>?0035[VQ79m1yiljPpr0\mkus'zoyzhQbuy23457>9m1yiljPpr0\mkus'zoyzhQbuy234546:81yiljPpr0\mkus'zoyzhQbuy234546WZ];=i5}e`f\tv4Xagy#~k}vd]nq}6789?;=i5}e`f\tv4Xagy#~k}vd]nq}6789=;><5}e`f\tv4Xagy#~k}vd]nq}6789=;S^Y?1c9qadbXxz8Tec}{/rgqr`Yig}:;<tbimU{?Qfnrv,wqgsmVg~t=>?00a8v`gcWyy9Sd`|t.qweqcXe|r;<=>>149qadbXxz8Tec}{/rvltnc~9m1yiljPpr0\mkus'z~d|fkv_lw{45679o1yiljPpr0\mkus'z~d|fkv_lw{456798;m7knd^rp6Zoi{}%xxb~dex]nq}678993=k5}e`f\tv4Xagy#~z`pjgz[hs89:;85<>;sgb`Zvt:Vcey!|tnrha|Yj}q:;<=:7_G02?wcflVzx>Rgasu-ppjvlmpUfyu>?016;[B7a3{ojhR~|2^kmwq)t|fz`itQbuy2345>39o1yiljPpr0\mkus'z~d|fkv_lw{45670<;m7knd^rp6Zoi{}%xxb~dex]nq}67893==i5}e`f\tv4Xagy#~z`pjgz[kis89::><5}e`f\tv4Xagy#~z`pjgz[kis89::S^Y>5:qfcZgf3zolSlQ@BTD6?vc`Wkk0hiPb^MAQC3<{lmTol5|ef]`[JDRN<1xijQka:qfcZbXGK_M:6}jg^fsf>uboVn{SBLZF49pabYbi2ynkRkPOCWE1>uboVlj7~kh_g]LFP@23zolSjo4sde\cZIE]O;i7yg{ld]jjvr(Eje~byQlnu]`}9699k1eybj_hlpp*Kdg|dSn`{_b{?5;7b3}c`hQfnrv,Ifirf}UhbyQly=3=[wr6j2~bxakPioqw+Heh}g~ToczPcx>1:4c<|`~giRgasu-Ngjsi|ViexRmv<3<\vq7e3}c`hQfnrv,Ifirf}UhbyQly=1=5`=sa}fnSd`|t.O`kphsWjdSnw33?]qp4d<|`~giRgasu-Ngjsi|ViexRmv<5<2a>rn|eoTec}{/LalqkrXkg~Tot2;>^pw5g=sa}fnSd`|t.O`kphsWjdSnw35?3f?qosdlUbb~z MbmvjqYdf}Uhu1;1_sv2f>rn|eoTec}{/LalqkrXkg~Tot29>0g8plrkmVcey!BcnwmpZei|Vir0;0Pru3a?qosdlUbb~z MbmvjqYdf}Uhu1911d9wmqjbW`dxx"Clotlw[fhsWjs7;3Q}t0`8plrkmVcey!BcnwmpZei|Vir050>e:vjpicXagy#@m`uov\gkrXkp632R|{1b9wmqjbW`dxx"Clotlw[fhsWe0=0=2:vjpicXagy#@m`uov\gkrXd|~7<3QFNW]35f=sa}fnSd`|t.O`kphsWjdSua}<1<2g>rn|eoTec}{/LalqkrXkg~Ttb|31?3`?qosdlUbb~z MbmvjqYdf}Usc2=>0a8plrkmVcey!BcnwmpZei|Vrd~1=11b9wmqjbW`dxx"Clotlw[fhsWqey090>c:vjpicXagy#@m`uov\gkrXpfx793?l;ukwh`Ynfz~$Anaznu]`jqYg{6=26Vx=k5{iunf[lht|&Gyc`QLOOF\7Zthe9:;<<<>;ukwh`Ynfz~$Aab_BMM@Z5Xzfg;<=>>000;?qosdlUbb~z Msmn[FIILV9T~bc?0122447XAG\T10d8plrkmVcey!Brno\GJHCW:Uyc`>?01114>rn|eoTec}{/LpliZEHFMU8Sab012374473}c`hQfnrv,IwijWJEEHR=Prno345629;=0xdzce^kmwq)JzfgTOB@K_2]qkh6789?:=RGAV^22b>rn|eoTec}{/LpliZEHFMU8Sab0123<76<|`~giRgasu-NvjkXKFDOS>Q}ol2345>69=1eybj_hlpp*Tb{|f0=0>4:vjpicXagy#_k|umv?5;733}c`hQfnrv,V`urd}692<:4thvoaZoi{}%Yi~{ct=1=51=sa}fnSd`|t.Pfwpjs4=4:86zftmg\mkus'[oxyaz35?37?qosdlUbb~z Rdqvhq:168>0xdzce^kmwq)Umzgx191159wmqjbW`dxx"\jstnw8=86<2~bxakPioqw+Wct}e~753??;ukwh`Ynfz~$mc2?>028plrkmVcey!nn=3=55=sa}fnSd`|t.cm878682~bxakPioqw+dh;;7l0xdzce^kmwq)ffV:m7yg{ld]jjvr(igU:j6zftmg\mkus'hdT>k5{iunf[lht|&keS>?>;ukwh`Ynfz~$ocz30?32?qosdlUbb~z cov?5;763}c`hQfnrv,gkr;:7;:7yg{ld]jjvr(kg~7?3?>;ukwh`Ynfz~$ocz34?32?qosdlUbb~z cov?1;763}c`hQfnrv,gkr;>7;:7yg{ld]jjvr(kg~7;3?>;ukwh`Ynfz~$ocz38?32?qosdlUbb~z cov?=;773}c`hQfnrv,gkrX88:0xdzce^kmwq)df}U:==5{iunf[lht|&iexR<>0:vjpicXagy#n`{_233?qosdlUbb~z cov\046<|`~giRgasu-`jqY2991eybj_hlpp*ei|V<:<6zftmg\mkus'jdS:??;ukwh`Ynfz~$oczP8028plrkmVcey!lnu]:52=sa}fnSd`|t.qfr`hX{lm7=3?8;ukwh`Ynfz~$hxjn^qfc9599>1eybj_hlpp*ub~ldThi34?34?qosdlUbb~z sdtfjZubo5?5=;5{iunf[lht|&ynzh`Psde\540<|`~giRgasu-pasciWzolS>?9;ukwh`Ynfz~$hxjn^qfcZ26>2~bxakPioqw+vcqmgUxijQ:8:tdeZdk}ln0zjoPbmwf[JDRN01}klQhrdgma>p`iVmyih`POCWE2>p`iVbxn6xha^jp[JDRNm1}klQfnrv,FISBm2|lmRgasu-DV@CI9:1}klQfnrv,LV_IKAUDYY?l;web[lht|&Gyc`QLOMMQ[wij89:;=}=01c.0(pv99:vLM~>7768DE~2;10M6?4>{R:a>4112mo1=>=:1e`97=>7nrd:;94>;o341?0<,8=86<8j;|Q;e?70>3nn6<=<50fa>6>?9<1o9>650;395~U?j3;<:7jj:01014be2:235<62808:8u\8c823331d3k?847>51e800f<4>05?3S2:6?u<2;70>xi1>m0;66g:3883>>o2;k0;66a90283>>i18<0;66a97`83>>i18>0;66a90883>>i18m0;66a96883>>i18o0;66a91083>>o60;0;66a90c83>>i1?10;66a96c83>>o6090;66a>7c83>!71;3;6383?>i6?00;6)?93;34e>h6>;0:76a>7983>!71;3;6381?>i6?>0;6)?93;34e>h6>;0876a:2g83>!71;3?9i6`>6383?>i2:m0;6)?93;71a>h6>;0:76a:2b83>!71;3?9i6`>6381?>i2:k0;6)?93;71a>h6>;0876a:2`83>!71;3?9i6`>6387?>i2:00;6)?93;71a>h6>;0>76a:2983>!71;3?9i6`>6385?>i2:>0;6)?93;71a>h6>;0<76l>7083>4<729q/=;o5c69K526<@850z&22d<6>j1C=:>4H04a?j73;3:17pl92g83>6<729q/=;o517d8L4173A;=n6g77;29?lb72900c<:>:188yg05m3:1?7>50z&22d<6>o1C=:>4H04a?l>02900ei>50;9l517=831vn;<=:187>5<7s-;=m7ji;I344>N6>k1b4:4?::k:7?6=3`;=;7>5;n375?6=3th=>i4?:283>5}#9?k1=;h4H053?M71j2c3;7>5;hf3>5<:6=44}c414?6=<3:16`8gb>N6?91C=;l4i9594?=n1:0;66g>6683>>i6<80;66sm63a94?5=83:p(<8n:04e?M7082B::o5f8683>>oc83:17b?;1;29?xd19l0;694?:1y'53g=lo1C=:>4H04a?l>02900e4=50;9j531=831d=9?50;9~f34e29086=4?{%35e?71n2B:;=5G17`8m=1=831bh=4?::m204<722wi:5;h;0>5<5<53;294~"6>h0::k5G1628L40e3`2<6=44ie294?=h9=;1<75rb73b>5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl92883>6<729q/=;o517d8L4173A;=n6g77;29?lb72900c<:>:188yg0603:187>50z&22d4?::k222<722e:8<4?::a27>=8391<7>t$04b>40a3A;<<6F>6c9j<2<722co<7>5;n375?6=3th==;4?:583>5}#9?k1hk5G1628L40e3`2<6=44i8194?=n9?=1<75`15394?=zj?8<6=4<:183!71i3;=j6F>719K53d>i6<80;66sm60694?2=83:p(<8n:ed8L4173A;=n6g77;29?l?42900e<88:188k4262900qo8=6;297?6=8r.::l4>6g9K526<@86c9j<2<722c2?7>5;h353?6=3f;?=7>5;|`525<72:0;6=u+17c9ea=O9>:0D<8m;h:1>5<6<729q/=;o5ae9K526<@86c9j<7<722c3o7>5;n351?6=3th=9n4?:283>5}#9?k1mi5G1628L40e3`296=44i9a94?=h9??1<75rb77a>5<4290;w)?9a;cg?M7082B::o5f8383>>o?k3:17b?95;29?xd1=h0;6>4?:1y'53g=im1C=:>4H04a?l>52900e5m50;9l533=831vn;;6:180>5<7s-;=m7ok;I344>N6>k1b4?4?::k;g?6=3f;=97>5;|`51=<72:0;6=u+17c9ea=O9>:0D<8m;h:1>5<6<729q/=;o5ae9K526<@85;n351?6=3th=:84?:283>5}#9?k1mi5G1628L40e3-in6=5f8383>>o?k3:17b?95;29?xd1<00;6>4?:1y'53g=im1C=:>4H04a?l>52900e5m50;9l533=831vn;99:180>5<7s-;=m7ok;I344>N6>k1b4?4?::k;g?6=3f;=97>5;|`535<72:0;6=u+17c9ea=O9>:0D<8m;h:1>5<1<729q/=;o5b09K526<@85<7s-;=m7l>;I344>N6>k1b4?4?::k;g?6=3`;=87>5;n351?6=3th8?h4?:583>5}#9?k1n=5G1628L40e3`296=44i9494?=n0j0;66a>6483>>{e;:l1<7:50;2x 40f2k:0D<9?;I35f>o?:3:17d69:188m=e=831d=;;50;9~f627290?6=4?{%35e?d73A;<<6F>6c9j<7<722c3:7>5;h:`>5<6=44}c175?6=<3:16`8a4>N6?91C=;l4i9094?=n0?0;66g7c;29?j71=3:17pl<4383>1<729q/=;o5b19K526<@84H053?M71j2c3>7>5;h:5>5<?6=4;:183!71i3h;7E?80:J22g=n0;0;66g76;29?l>d2900c<8::188yg5?>3:187>50z&22d5;|`1a6<72=0;6=u+17c9f5=O9>:0D<8m;h:1>5<>i6><0;66sm2d694?2=83:p(<8n:c28L4173A;=n6g72;29?l>12900e5m50;9l533=831vn?k::187>5<7s-;=m7l?;I344>N6>k1b4?4?::k;2?6=3`2h6=44o046>5<54;294~"6>h0i<6F>719K53d>o?k3:17b?95;29?xd5m>0;694?:1y'53g=j91C=:>4H04a?l>52900e5850;9j=83>1<7>t$04b>g6<@8=;7E?9b:k;6?6=3`2=6=44i9a94?=h9??1<75rb2c:>5<3290;w)?9a;`2?M7082B::o5f8383>>o?k3:17d?94;29?j71=3:17pl=a283>1<729q/=;o5b09K526<@87j:187>5<7s-;=m7l>;I344>N6>k1b4?4?::k;g?6=3`;=87>5;n351?6=3th8;i4?:583>5}#9?k1n=5G1628L40e3`296=44i9494?=n0j0;66a>6483>>{e;>o1<7:50;2x 40f2k:0D<9?;I35f>o?:3:17d69:188m=e=831d=;;50;9~f61a290?6=4?{%35e?d73A;<<6F>6c9j<7<722c3:7>5;h:`>5<6=44}c1;4?6=<3:16`8a4>N6?91C=;l4i9094?=n0?0;66g7c;29?j71=3:17pl<8083>1<729q/=;o5b19K526<@84H053?M71j2c3>7>5;h:5>5<d2900c<8::188yg51m3:187>50z&22d5;|`02c<72=0;6=u+17c9f5=O9>:0D<8m;h:1>5<>i6><0;66sm36294?2=83:p(<8n:c28L4173A;=n6g72;29?l>12900e5m50;9l533=831vn>9>:187>5<7s-;=m7l?;I344>N6>k1b4?4?::k;2?6=3`2h6=44o046>5<7>54;294~"6>h0i<6F>719K53d>o?k3:17b?95;29?xd4?:0;694?:1y'53g=j91C=:>4H04a?l>52900e5850;9j1<7>t$04b>dc<@8=;7E?9b:&`a?6>o?k3:17b?95;29?xd41<0;694?:1y'53g=il1C=:>4H04a?!eb291b4?4?::k;=?6=3`2h6=44o046>5<54;294~"6>h0ji6F>719K53d<,jo1<6g72;29?l>>2900e5m50;9l533=831vn>78:187>5<7s-;=m7oj;I344>N6>k1/oh4?;h:1>5<>i6><0;66sm38:94?2=83:p(<8n:`g8L4173A;=n6*le;28m=4=831b444?::k;g?6=3f;=97>5;|`0=<<72=0;6=u+17c9e`=O9>:0D<8m;%af>5=n0;0;66g79;29?l>d2900c<8::188yg5>i3:187>50z&22d7>5;h::>5<4i9094?=n000;66g7c;29?j71=3:17pl<9e83>1<729q/=;o5ad9K526<@85;h:`>5<6=44}c0ba?6=<3:16`8ba>N6?91C=;l4$bg94>o?:3:17d66:188m=e=831d=;;50;9~f7ga290?6=4?{%35e?gb3A;<<6F>6c9'g`<73`296=44i9;94?=n0j0;66a>6483>>{e:k:1<7:50;2x 40f2ho0D<9?;I35f>"dm3:0e5<50;9j<<<722c3o7>5;n351?6=3th9n<4?:583>5}#9?k1mh5G1628L40e3-in6=5f8383>>o?13:17d6l:188k4022900qo5<3290;w)?9a;cf?M7082B::o5+cd83?l>52900e5750;9j1<7>t$04b>dc<@8=;7E?9b:&`a?6>o?k3:17b?95;29?xd5j<0;694?:1y'53g=il1C=:>4H04a?!eb291b4?4?::k;=?6=3`2h6=44o046>5<54;294~"6>h0ji6F>719K53d<,jo1<6g72;29?l>>2900e5m50;9l533=831vn?l8:187>5<7s-;=m7oj;I344>N6>k1/oh4?;h:1>5<>i6><0;66sm2g794?2=83:p(<8n:ed8L4173A;=n6g77;29?l?42900e<88:188k4262900qo=?8;297?6=8r.::l4>6g9K526<@86c9j<2<722c2?7>5;h353?6=3f;?=7>5;|`04<<72:0;6=u+17c953`<@8=;7E?9b:k;3?6=3`n;6=44o062>5<54;294~"6>h0oj6F>719K53d>o6>>0;66a>4083>>{e;9k1<7=50;2x 40f280;66gk0;29?j7393:17pl=f`83>1<729q/=;o5dg9K526<@8>m:180>5<7s-;=m7?9f:J235=O9?h0e5950;9j`5<722e:8<4?::a6ce=83>1<7>t$04b>a`<@8=;7E?9b:k;3?6=3`386=44i044>5<:6=44}c13g?6=;3:16`822c=O9>:0D<8m;h:4>5<0;66g63;29?l71?3:17b?;1;29?xd48m0;6>4?:1y'53g=9?l0D<9?;I35f>o??3:17dj?:188k4262900qo=?0;290?6=8r.::l4kf:J235=O9?h0e5950;9j=6<722c:::4?::m204<722wi?=k50;194?6|,8N6>k1b4:4?::kg4?6=3f;?=7>5;|`047<72=0;6=u+17c9`c=O9>:0D<8m;h:4>5<5<4290;w)?9a;35b>N6?91C=;l4i9594?=nl90;66a>4083>>{e;9>1<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg5683:1?7>50z&22d<6>o1C=:>4H04a?l>02900ei>50;9l517=831vn>?>:180>5<7s-;=m7?9f:J235=O9?h0e5950;9j`5<722e:8<4?::a745=83>1<7>t$04b>a`<@8=;7E?9b:k;3?6=3`386=44i044>5<:6=44}c112?6=;3:16`822c=O9>:0D<8m;h:4>5<0;66g63;29?l71?3:17b?;1;29?xd4:>0;6>4?:1y'53g=9?l0D<9?;I35f>o??3:17dj?:188k4262900qo=>6;290?6=8r.::l4kf:J235=O9?h0e5950;9j=6<722c:::4?::m204<722wi??650;194?6|,8N6>k1b4:4?::kg4?6=3f;?=7>5;|`05=<72=0;6=u+17c9`c=O9>:0D<8m;h:4>5<5<4290;w)?9a;35b>N6?91C=;l4i9594?=nl90;66a>4083>>{e;8k1<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg55i3:1?7>50z&22d<6>o1C=:>4H04a?l>02900ei>50;9l517=831vn>?l:187>5<7s-;=m7ji;I344>N6>k1b4:4?::k:7?6=3`;=;7>5;n375?6=3th8>o4?:283>5}#9?k1=;h4H053?M71j2c3;7>5;hf3>5<:6=44}c12a?6=<3:16`8gb>N6?91C=;l4i9594?=n1:0;66g>6683>>i6<80;66sm33a94?5=83:p(<8n:04e?M7082B::o5f8683>>oc83:17b?;1;29?xd4:90;694?:1y'53g=lo1C=:>4H04a?l>02900e4=50;9j531=831d=9?50;9~f64c29086=4?{%35e?71n2B:;=5G17`8m=1=831bh=4?::m204<722wi??<50;694?6|,85;h;0>5<5<53;294~"6>h0::k5G1628L40e3`2<6=44ie294?=h9=;1<75rb20e>5<4290;w)?9a;35b>N6?91C=;l4i9594?=nl90;66a>4083>>{e;hi1<7:50;2x 40f2ho0D<9?;I35f>"dm3:0e5<50;9j<<<722c3o7>5;n351?6=3th8mk4?:583>5}#9?k1mh5G1628L40e3-in6=5f8383>>o?13:17d6l:188k4022900qo=m2;290?6=8r.::l4ne:J235=O9?h0(nk50:k;6?6=3`226=44i9a94?=h9??1<75rb2`6>5<3290;w)?9a;cf?M7082B::o5+cd83?l>52900e5750;9j1<7>t$04b>g7<@8=;7E?9b:&`a?713`296=44i9a94?=n9?>1<75`17794?=zj:h26=4;:183!71i3kn7E?80:J22g=#kl0;7d6=:188m=?=831b4n4?::m220<722wi>ol50;694?6|,84i9094?=n000;66g7c;29?j71=3:17pl=bd83>1<729q/=;o5ad9K526<@85;h:`>5<6=44}c0`5?6=<3:16`8ba>N6?91C=;l4$bg94>o?:3:17d66:188m=e=831d=;;50;9~f7e3290?6=4?{%35e?gb3A;<<6F>6c9'g`<73`296=44i9;94?=n0j0;66a>6483>>{e:jn1<7:50;2x 40f2k;0D<9?;I35f>"dm3;=7d6=:188m=e=831b=;:50;9l533=831vn?m8:187>5<7s-;=m7oj;I344>N6>k1/oh4?;h:1>5<>i6><0;66sm2bc94?2=83:p(<8n:`g8L4173A;=n6*le;28m=4=831b444?::k;g?6=3f;=97>5;|`2a4<72=0;6=u+17c9`c=O9>:0D<8m;h:4>5<5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl>e783>6<729q/=;o5d49K526<@85;h;0>5<5<54;294~"6>h0oj6F>719K53d>o6>>0;66a>4083>>{e9mn1<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg7ck3:187>50z&22d4?::k222<722e:8<4?::a5`4=8391<7>t$04b>a3<@8=;7E?9b:&`a?3?3`i26=44ibc94?=h9=;1<75rb30a>5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl=3083>6<729q/=;o5d49K526<@8?o50;694?6|,85;h;0>5<5<54;294~"6>h0oj6F>719K53d>o6>>0;66a>4083>>{e:;21<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg45?3:187>50z&22d4?::k222<722e:8<4?::a67b=8391<7>t$04b>a3<@8=;7E?9b:&`a?3?3`i26=44ibc94?=h9=;1<75rb305>5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl=2b83>6<729q/=;o5d49K526<@85;h;0>5<5<54;294~"6>h0oj6F>719K53d>o6>>0;66a>4083>>{e9o21<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg7a?3:187>50z&22d4?::k222<722e:8<4?::a5cb=8391<7>t$04b>a3<@8=;7E?9b:&`a?3?3`i26=44ibc94?=h9=;1<75rb0d5>5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl>fb83>6<729q/=;o5d49K526<@85;h;0>5<5<53;294~"6>h0o96F>719K53d<,jo1955fc883>>odi3:17b?;1;29?xd59o0;694?:1y'53g=lo1C=:>4H04a?l>02900e4=50;9j531=831d=9?50;9~f77b290?6=4?{%35e?ba3A;<<6F>6c9j<2<722c2?7>5;h353?6=3f;?=7>5;|`15a<72=0;6=u+17c9`c=O9>:0D<8m;h:4>5<5<4290;w)?9a;f6?M7082B::o5+cd86<>od13:17dmn:188k4262900qo<>c;290?6=8r.::l4kf:J235=O9?h0e5950;9j=6<722c:::4?::m204<722wi>5;h;0>5<5<54;294~"6>h0oj6F>719K53d>o6>>0;66a>4083>>{e:891<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg4613:1?7>50z&22d5;|`157<72=0;6=u+17c9`c=O9>:0D<8m;h:4>5<5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl=1183>1<729q/=;o5dg9K526<@8i:187>5<7s-;=m7ji;I344>N6>k1b4:4?::k:7?6=3`;=;7>5;n375?6=3th9=84?:283>5}#9?k1h85G1628L40e3-in6864ib;94?=nkh0;66a>4083>>{e:9o1<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg46<3:1?7>50z&22d5;|`143<72=0;6=u+17c9`c=O9>:0D<8m;h:4>5<5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl=0c83>6<729q/=;o5d49K526<@8=:50;694?6|,85;h;0>5<5<54;294~"6>h0oj6F>719K53d>o6>>0;66a>4083>>{e:981<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg4793:187>50z&22d4?::k222<722e:8<4?::a651=8391<7>t$04b>a3<@8=;7E?9b:&`a?3?3`i26=44ibc94?=h9=;1<75rb0gf>5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl>ee83>1<729q/=;o5dg9K526<@85<7s-;=m7ji;I344>N6>k1b4:4?::k:7?6=3`;=;7>5;n375?6=3th:io4?:583>5}#9?k1hk5G1628L40e3`2<6=44i8194?=n9?=1<75`15394?=zj8l:6=4<:183!71i3n>7E?80:J22g=#kl0>46gl9;29?lef2900c<:>:188yg7bi3:187>50z&22d4?::k222<722e:8<4?::a5c6=8391<7>t$04b>a3<@8=;7E?9b:&`a?3?3`i26=44ibc94?=h9=;1<75rb0g:>5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl>eg83>6<729q/=;o5d49K526<@88l50;694?6|,85;h;0>5<5<m7>54;294~"6>h0oj6F>719K53d>o6>>0;66a>4083>>{e:<31<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg4203:187>50z&22d4?::k222<722e:8<4?::a60c=8391<7>t$04b>a3<@8=;7E?9b:&`a?3?3`i26=44ibc94?=h9=;1<75rb374>5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl=5e83>6<729q/=;o5d49K526<@88850;694?6|,85;h;0>5<5<o7>53;294~"6>h0o96F>719K53d<,jo1955fc883>>odi3:17b?;1;29?xd54H04a?l>02900e4=50;9j531=831d=9?50;9~f72b290?6=4?{%35e?ba3A;<<6F>6c9j<2<722c2?7>5;h353?6=3f;?=7>5;|`10a<72=0;6=u+17c9`c=O9>:0D<8m;h:4>5<5<3290;w)?9a;fe?M7082B::o5f8683>>o>;3:17d?97;29?j7393:17pl=5383>6<729q/=;o5d49K526<@89l50;694?6|,85;h;0>5<5<=7>53;294~"6>h0o96F>719K53d<,jo1955fc883>>odi3:17b?;1;29?xd54H04a?l>02900e4=50;9j531=831d=9?50;9~f73729086=4?{%35e?b23A;<<6F>6c9'g`<202ch57>5;hab>5<:6=44}c003?6=<3:16`8gb>N6?91C=;l4i9594?=n1:0;66g>6683>>i6<80;66sm22f94?5=83:p(<8n:e78L4173A;=n6*le;7;?le>2900eno50;9l517=831vn?=9:187>5<7s-;=m7ji;I344>N6>k1b4:4?::k:7?6=3`;=;7>5;n375?6=3th9?n4?:283>5}#9?k1h85G1628L40e3-in6864ib;94?=nkh0;66a>4083>>{e::?1<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg44j3:1?7>50z&22d5;|`171<72=0;6=u+17c9`c=O9>:0D<8m;h:4>5<5<4290;w)?9a;f6?M7082B::o5+cd86<>od13:17dmn:188k4262900qo<<3;290?6=8r.::l4kf:J235=O9?h0e5950;9j=6<722c:::4?::m204<722wi>>750;194?6|,85<0;66g63;29?l71?3:17b?;1;29?xd5;10;6>4?:1y'53g=l<1C=:>4H04a?!eb2<20en750;9jgd<722e:8<4?::a615=83>1<7>t$04b>a`<@8=;7E?9b:k;3?6=3`386=44i044>5<:6=44}c07=?6=;3:16`8g1>N6?91C=;l4$bg91==nk00;66gla;29?j7393:17pl=4383>1<729q/=;o5dg9K526<@85<7s-;=m7j:;I344>N6>k1/oh4:8:k`=?6=3`ij6=44o062>5<54;294~"6>h0oj6F>719K53d>o6>>0;66a>4083>>{e:==1<7=50;2x 40f2m?0D<9?;I35f>"dm3?37dm6:188mfg=831d=9?50;9~f727290?6=4?{%35e?ba3A;<<6F>6c9j<2<722c2?7>5;h353?6=3f;?=7>5;|`103<72:0;6=u+17c9`0=O9>:0D<8m;%af>0>>i6<80;66sm22d94?2=83:p(<8n:ed8L4173A;=n6g77;29?l?42900e<88:188k4262900qo<;5;297?6=8r.::l4k5:J235=O9?h0(nk5599jg<<722chm7>5;n375?6=3th9?h4?:583>5}#9?k1hk5G1628L40e3`2<6=44i8194?=n9?=1<75`15394?=zj;>?6=4<:183!71i3n>7E?80:J22g=#kl0>46gl9;29?lef2900c<:>:188yg7>j3:187>50z&22d5<54;294~"6>h0n<6F>719K53d>o6>>0;66a>4083>>{e9081<7:50;2x 40f2m20D<9?;I35f>"dm3;o7dm6:188mfg=831boo4?::m204<722wi=4j50;694?6|,84H053?M71j2ch57>5;hab>5<5<54;294~"6>h0n<6F>719K53d>o6>>0;66a>4083>>{e9m?1<7:50;2x 40f2ml0D<9?;I35f>o??3:17d7<:188m4002900c<:>:188yg7cj3:1?7>50z&22d5;|`2`1<72=0;6=u+17c9`c=O9>:0D<8m;h:4>5<5<4290;w)?9a;f6?M7082B::o5+cd86<>od13:17dmn:188k4262900qo?k3;290?6=8r.::l4kf:J235=O9?h0e5950;9j=6<722c:::4?::m204<722wi=i750;194?6|,85<0;66g63;29?l71?3:17b?;1;29?xd6l10;6>4?:1y'53g=l<1C=:>4H04a?!eb2<20en750;9jgd<722e:8<4?::a5a7=83>1<7>t$04b>a`<@8=;7E?9b:k;3?6=3`386=44i044>5<:6=44}c3g3?6=;3:16`8g1>N6?91C=;l4$bg91==nk00;66gla;29?j7393:17pl>d183>1<729q/=;o5dg9K526<@85<7s-;=m7j:;I344>N6>k1/oh4:8:k`=?6=3`ij6=44o062>5<55;294~"6>h0jj6F>719K53d<,jo1<6g72;29?l>12900e5750;9j1<7>t$04b>dc<@8=;7E?9b:k;6?6=3`226=44i9a94?=h9??1<75rb571>5<3290;w)?9a;cf?M7082B::o5f8383>>o?13:17d6l:188k4022900qo::1;290?6=8r.::l4ne:J235=O9?h0e5<50;9j<<<722c3o7>5;n351?6=3th8i84?:483>5}#9?k1mk5G1628L40e3-in6=5f8383>>o?>3:17d66:188m=e=831d=;;50;9~f6c4290>6=4?{%35e?ga3A;<<6F>6c9'g`<73`296=44i9494?=n000;66g7c;29?j71=3:17pl0<729q/=;o5ag9K526<@85;h::>5<5;|`0a4<72<0;6=u+17c9f7=O9>:0D<8m;%af>5=n0;0;66g76;29?l>d2900e<8;:188k4022900qo=j0;291?6=8r.::l4nf:J235=O9?h0(nk50:k;6?6=3`2=6=44i9;94?=n0j0;66a>6483>>{e<191<7;50;2x 40f2hl0D<9?;I35f>"dm3:0e5<50;9j<3<722c357>5;h:`>5<6=44}c6;6?6==3:16`8bb>N6?91C=;l4$bg94>o?:3:17d69:188m=?=831b4n4?::m220<722wi85?50;794?6|,84i9094?=n0?0;66g79;29?l>d2900c<8::188yg2?83:197>50z&22d7>5;h:5>5<>i6><0;66sm53094?3=83:p(<8n:`d8L4173A;=n6*le;28m=4=831b4;4?::k;=?6=3`2h6=44o046>5<55;294~"6>h0jj6F>719K53d<,jo1<6g72;29?l>12900e5750;9jt$04b>d`<@8=;7E?9b:&`a?6>o?13:17d6l:188k4022900qo;>f;291?6=8r.::l4nf:J235=O9?h0(nk50:k;6?6=3`2=6=44i9;94?=n0j0;66a>6483>>{e=931<7:50;2x 40f2ho0D<9?;I35f>o?:3:17d66:188m=e=831d=;;50;9~f060290?6=4?{%35e?d63A;<<6F>6c9j<7<722c3o7>5;h350?6=3f;=97>5;|`64=<72=0;6=u+17c9e`=O9>:0D<8m;h:1>5<>i6><0;66sm51a94?2=83:p(<8n:`g8L4173A;=n6g72;29?l>>2900e5m50;9l533=831vn8>n:187>5<7s-;=m7l>;I344>N6>k1b4?4?::k;g?6=3`;=87>5;n351?6=3th>5}#9?k1mh5G1628L40e3`296=44i9;94?=n0j0;66a>6483>>{eo?:3:17d69:188m=?=831b4n4?::m220<722wi8ol50;794?6|,87>5;h:5>5<5<2290;w)?9a;ce?M7082B::o5f8383>>o?>3:17d66:188m=e=831d=;;50;9~f1e7290>6=4?{%35e?ga3A;<<6F>6c9j<7<722c3:7>5;h::>5<d2900e<8;:188k4022900qo:mf;291?6=8r.::l4nf:J235=O9?h0e5<50;9j<3<722c357>5;h:`>5<6=44}c602?6==3:16`8a6>N6?91C=;l4$bg94>o?:3:17d69:188m=e=831b=;:50;9l533=831vn9=8:186>5<7s-;=m7oi;I344>N6>k1/oh4?;h:1>5<>o?k3:17b?95;29?xd38=0;684?:1y'53g=io1C=:>4H04a?!eb28<0e5<50;9j<3<722c357>5;h:`>5<6=44}c636?6==3:16`8bb>N6?91C=;l4$bg94>o?:3:17d69:188m=?=831b4n4?::m220<722wi8==50;794?6|,85<>o?k3:17b?95;29?xd38>0;684?:1y'53g=j;1C=:>4H04a?!eb291b4?4?::k;2?6=3`2h6=44i047>5<6=44}c631?6==3:16`8bb>N6?91C=;l4$bg953=n0;0;66g76;29?l>>2900e5m50;9l533=831vn9>9:186>5<7s-;=m7oi;I344>N6>k1/oh4>6:k;6?6=3`2=6=44i9;94?=n0j0;66a>6483>>{e<>:1<7:50;2x 40f2ho0D<9?;I35f>o?:3:17d66:188m=e=831d=;;50;9~f10b290?6=4?{%35e?d63A;<<6F>6c9j<7<722c3o7>5;h350?6=3f;=97>5;|`72c<72=0;6=u+17c9e`=O9>:0D<8m;h:1>5<>i6><0;66sm46194?2=83:p(<8n:`g8L4173A;=n6g72;29?l>>2900e5m50;9l533=831vn99>:187>5<7s-;=m7l>;I344>N6>k1b4?4?::k;g?6=3`;=87>5;n351?6=3th?;?4?:583>5}#9?k1mh5G1628L40e3`296=44i9;94?=n0j0;66a>6483>>{eo?:3:17d69:188m=?=831b4n4?::m220<722wi8im50;794?6|,87>5;h:5>5<5<2290;w)?9a;ce?M7082B::o5f8383>>o?>3:17d66:188m=e=831d=;;50;9~f1c6290>6=4?{%35e?ga3A;<<6F>6c9j<7<722c3:7>5;h::>5<d2900e<8;:188k4022900qo:j0;291?6=8r.::l4nf:J235=O9?h0e5<50;9j<3<722c357>5;h:`>5<6=44}c62b?6=<3:16`8a4>N6?91C=;l4i9094?=n0?0;66g7c;29?j71=3:17pl;5583>0<729q/=;o5ag9K526<@85;|`0a=<72=0;6=u+17c9f5=O9>:0D<8m;h:1>5<>i6><0;66sm28494?5=83:p(<8n:`f8L4173A;=n6g72;29?l>d2900c<8::188yg7f<3:187>50z&22dt$04b>db<@8=;7E?9b:k;6?6=3`2h6=44o046>5<mn7>53;294~"6>h0jh6F>719K53d>i6><0;66sm4g:94?5=83:p(<8n:`f8L4173A;=n6g72;29?l>d2900c<8::188yg2a=3:1?7>50z&22d7>5;h:5>5<>i6><0;66sm5b394?3=83:p(<8n:`d8L4173A;=n6g72;29?l>12900e5750;9j1<7>t$04b>dc<@8=;7E?9b:k;6?6=3`226=44i9a94?=h9??1<75rb4:;>5<3290;w)?9a;cf?M7082B::o5f8383>>o?13:17d6l:188k4022900qo;n6;290?6=8r.::l4ne:J235=O9?h0e5<50;9j<<<722c3o7>5;n351?6=3th>m:4?:583>5}#9?k1mh5G1628L40e3`296=44i9;94?=n0j0;66a>6483>>{e=h21<7:50;2x 40f2ho0D<9?;I35f>o?:3:17d66:188m=e=831d=;;50;9~f0g>290?6=4?{%35e?gb3A;<<6F>6c9j<7<722c357>5;h:`>5<6=44}c7be?6=<3:16`8ba>N6?91C=;l4i9094?=n000;66g7c;29?j71=3:17pl:ac83>1<729q/=;o5ad9K526<@87>5;h::>5<d2900c<8::188yg3fm3:187>50z&22d5;|`63=<72=0;6=u+17c9e`=O9>:0D<8m;h:1>5<>i6><0;66sm56;94?2=83:p(<8n:`g8L4173A;=n6g72;29?l>>2900e5m50;9l533=831vn89n:187>5<7s-;=m7oj;I344>N6>k1b4?4?::k;=?6=3`2h6=44o046>5<54;294~"6>h0ji6F>719K53d>o?k3:17b?95;29?xd2k>0;694?:1y'53g=j91C=:>4H04a?l>52900e5850;9jt$04b>d`<@8=;7E?9b:k;6?6=3`2=6=44i9;94?=n0j0;66a>6483>>{e=?h1<7;50;2x 40f2hl0D<9?;I35f>o?:3:17d69:188m=?=831b4n4?::m220<722wi9;m50;794?6|,87>5;h:5>5<>i6><0;66sm57f94?3=83:p(<8n:`d8L4173A;=n6g72;29?l>12900e5750;9jt$04b>d`<@8=;7E?9b:k;6?6=3`2=6=44i9;94?=n0j0;66a>6483>>{e=?l1<7;50;2x 40f2hl0D<9?;I35f>o?:3:17d69:188m=?=831b4n4?::m220<722wi9:>50;794?6|,87>5;h:5>5<>i6><0;66sm56394?3=83:p(<8n:`d8L4173A;=n6g72;29?l>12900e5750;9j1<7>t$04b>dc<@8=;7E?9b:k;6?6=3`226=44i9a94?=h9??1<75rb4;3>5<3290;w)?9a;cf?M7082B::o5f8383>>o?13:17d6l:188k4022900qo;61;290?6=8r.::l4ne:J235=O9?h0e5<50;9j<<<722c3o7>5;n351?6=3th>5?4?:583>5}#9?k1mh5G1628L40e3`296=44i9;94?=n0j0;66a>6483>>{e=0<1<7:50;2x 40f2ho0D<9?;I35f>o?:3:17d66:188m=e=831d=;;50;9~f0?0290?6=4?{%35e?gb3A;<<6F>6c9j<7<722c357>5;h:`>5<6=44}c7:6`8ba>N6?91C=;l4i9094?=n000;66g7c;29?j71=3:17pl:f683>0<729q/=;o5ag9K526<@85;|`6a2<72<0;6=u+17c9f7=O9>:0D<8m;h:1>5<>o6>=0;66a>6483>>{e=o>1<7;50;2x 40f2hl0D<9?;I35f>o?:3:17d69:188m=?=831b4n4?::m220<722wi99850;794?6|,84i9094?=n0?0;66g79;29?l>d2900c<8::188yg33=3:197>50z&22d7>5;h:5>5<>i6><0;66sm5e194?3=83:p(<8n:`d8L4173A;=n6*le;28m=4=831b4;4?::k;=?6=3`2h6=44o046>5<7>55;294~"6>h0jj6F>719K53d<,jo1<6g72;29?l>12900e5750;9jt$04b>g4<@8=;7E?9b:k;6?6=3`2=6=44i9a94?=n9?>1<75`17794?=zj=on6=4<:183!71i3n>7E?80:J22g=#kl0:188yg2c;3:1?7>50z&22d5;|`2:0D<8m;%af>g0>odj3:17b?;1;29?xd60h0;694?:1y'53g=l11C=:>4H04a?!eb25;n375?6=3th=;>4?:283>5}#9?k1h85G1628L40e3-in69m4ib;94?=nkh0;66a>4083>>{e>:k1<7=50;2x 40f2m?0D<9?;I35f>"dm3>37dm6:188mfg=831d=9?50;9~f35129086=4?{%35e?b23A;<<6F>6c9'g`<3k2ch57>5;hab>5<:6=44}c7e2?6=;3:16`8g1>N6?91C=;l4$bg93g=nk00;66gla;29?j7393:17pl:c183>6<729q/=;o5d49K526<@85<7E?80:J22g=#kl0?o6gl9;29?lef2900c<:>:188yg3ei3:1?7>50z&22d5;|`6f=<72:0;6=u+17c9`0=O9>:0D<8m;%af>1e>i6<80;66sm5c494?5=83:p(<8n:e78L4173A;=n6*le;6`?le>2900eno50;9l517=831vn8l;:180>5<7s-;=m7j:;I344>N6>k1/oh4;c:k`=?6=3`ij6=44o062>5<7>53;294~"6>h0o96F>719K53d<,jo18n5fc883>>odi3:17b?;1;29?xd2j90;6>4?:1y'53g=l<1C=:>4H04a?!eb2=i0en750;9jgd<722e:8<4?::a1=2=8391<7>t$04b>a3<@8=;7E?9b:&`a?4a3`i26=44ibc94?=h9=;1<75rb47g>5<4290;w)?9a;f6?M7082B::o5+cd822>od13:17dmn:188k4262900qo;:7;297?6=8r.::l4k5:J235=O9?h0(nk52g9jg<<722chm7>5;n375?6=3th89?4?:283>5}#9?k1h85G1628L40e3-in69m4ib;94?=nkh0;66a>4083>>{e;<:1<7=50;2x 40f2m?0D<9?;I35f>"dm3>h7dm6:188mfg=831d=9?50;9~f62b29086=4?{%35e?b23A;<<6F>6c9'g`<3k2ch57>5;hab>5<:6=44}c17g?6=;3:16`8g1>N6?91C=;l4$bg90f=nk00;66gla;29?j7393:17pl<4`83>6<729q/=;o5d49K526<@85<=6=4<:183!71i3n>7E?80:J22g=#kl0?o6gl9;29?lef2900c<:>:188yg4a<3:1?7>50z&22d5;|`1b7<72:0;6=u+17c9`0=O9>:0D<8m;%af>1e>i6<80;66sm2g294?5=83:p(<8n:e78L4173A;=n6*le;6`?le>2900eno50;9l517=831vn?kj:180>5<7s-;=m7j:;I344>N6>k1/oh4;c:k`=?6=3`ij6=44o062>5<53;294~"6>h0o96F>719K53d<,jo18n5fc883>>odi3:17b?;1;29?xd5mh0;6>4?:1y'53g=l<1C=:>4H04a?!eb2=i0en750;9jgd<722e:8<4?::a623=8391<7>t$04b>a3<@8=;7E?9b:&`a?713`i26=44ibc94?=h9=;1<75rb717>5<4290;w)?9a;f6?M7082B::o5+cd80e>od13:17dmn:188k4262900qo;ld;290?6=8r.::l4k8:J235=O9?h0(nk56c9jg<<722chm7>5;haa>5<:6=44}c040?6=<3:16`8g<>N6?91C=;l4$bg975=nk00;66gla;29?lee2900c<:>:188yg3?:3:187>50z&22d5<i7>54;294~"6>h0o46F>719K53d<,jo1=l5fc883>>odi3:17dmm:188k4262900qo;:8;290?6=8r.::l4k8:J235=O9?h0(nk5249jg<<722chm7>5;haa>5<:6=44}c720?6=<3:16`8g<>N6?91C=;l4$bg971=nk00;66gla;29?lee2900c<:>:188yg20=3:187>50z&22d5<54;294~"6>h0o46F>719K53d<,jo1=l5fc883>>odi3:17dmm:188k4262900qo<75;290?6=8r.::l4k8:J235=O9?h0(nk5429jg<<722chm7>5;haa>5<:6=44}c04`?6=<3:16`8g<>N6?91C=;l4$bg906=nk00;66gla;29?lee2900c<:>:188yg36>3:197>50z&22d1bo44?::k`e?6=3`ii6=44iba94?=h9=;1<75rb554>5<2290;w)?9a;fa?M7082B::o5+cd813>od13:17dmn:188mfd=831bon4?::m204<722wi>5:50;794?6|,85<>odk3:17b?;1;29?xd5?j0;684?:1y'53g=lk1C=:>4H04a?!eb2:i0en750;9jgd<722chn7>5;ha`>5<:6=44}c7;4?6==3:16`8gf>N6?91C=;l4$bg963=nk00;66gla;29?lee2900enm50;9l517=831vn8;i:186>5<7s-;=m7jm;I344>N6>k1/oh4>b:k`=?6=3`ij6=44ib`94?=nkj0;66a>4083>>{e=<31<7;50;2x 40f2mh0D<9?;I35f>"dm38=7dm6:188mfg=831boo4?::k`g?6=3f;?=7>5;|`1<3<72<0;6=u+17c9`g=O9>:0D<8m;%af>6c>odj3:17dml:188k4262900qo<8e;291?6=8r.::l4kb:J235=O9?h0(nk53d9jg<<722chm7>5;haa>5<6=4<:183!71i3n>7E?80:J22g=#kl09j6gl9;29?lef2900c<:>:188yg50=3:1?7>50z&22d5;|`636<72=0;6=u+17c9`==O9>:0D<8m;%af>1b>odj3:17b?;1;29?xd20j0;6>4?:1y'53g=l<1C=:>4H04a?!eb28<0en750;9jgd<722e:8<4?::a1=g=8391<7>t$04b>a3<@8=;7E?9b:&`a?4a3`i26=44ibc94?=h9=;1<75rb4ab>5<3290;w)?9a;f;?M7082B::o5+cd8a?le>2900eno50;9jgg<722e:8<4?::a1f?=83>1<7>t$04b>a><@8=;7E?9b:&`a?2c3`i26=44ibc94?=nkk0;66a>4083>>{e=j91<7;50;2x 40f2mh0D<9?;I35f>"dm3327dm6:188mfg=831boo4?::k`g?6=3f;?=7>5;|`6g3<72<0;6=u+17c9`g=O9>:0D<8m;%af>3b>odj3:17dml:188k4262900qo;=5;297?6=8r.::l4k5:J235=O9?h0(nk54b9jg<<722chm7>5;n375?6=3th>>>4?:483>5}#9?k1ho5G1628L40e3-in6;5fc883>>odi3:17dmm:188mfe=831d=9?50;9~f067290>6=4?{%35e?be3A;<<6F>6c9'g`<>82ch57>5;hab>5<>i6<80;66sm4gf94?3=83:p(<8n:e`8L4173A;=n6*le;;3?le>2900eno50;9jgg<722cho7>5;n375?6=3th?jl4?:483>5}#9?k1ho5G1628L40e3-in64>4ib;94?=nkh0;66glb;29?led2900c<:>:188yg2a?3:197>50z&22d5<2290;w)?9a;fa?M7082B::o5+cd84=>od13:17dmn:188mfd=831bon4?::m204<722wi9ko50;694?6|,85<>i6<80;66sm5gg94?3=83:p(<8n:e`8L4173A;=n6*le;56?le>2900eno50;9jgg<722cho7>5;n375?6=3th=<=4?:283>5}#9?k1h85G1628L40e3-in64:4ib;94?=nkh0;66a>4083>>{e==h1<7:50;2x 40f2m20D<9?;I35f>"dm39;7dm6:188mfg=831boo4?::m204<722wi9hm50;794?6|,8:188yg36i3:187>50z&22d5<54;294~"6>h0o46F>719K53d<,jo1>o5fc883>>odi3:17dmm:188k4262900qo:8b;290?6=8r.::l4k8:J235=O9?h0(nk5a09jg<<722chm7>5;haa>5<:6=44}c64=?6=<3:16`8g<>N6?91C=;l4$bg96g=nk00;66gla;29?lee2900c<:>:188yg3c=3:197>50z&22d5<2290;w)?9a;fa?M7082B::o5+cd802>od13:17dmn:188mfd=831bon4?::m204<722wi8i>50;794?6|,85<>odk3:17b?;1;29?xd28o0;694?:1y'53g=l11C=:>4H04a?!eb2h>0en750;9jgd<722chn7>5;n375?6=3th>5}#9?k1ho5G1628L40e3-in68?4ib;94?=nkh0;66glb;29?led2900c<:>:188yg2d;3:187>50z&22d5<h>7>55;294~"6>h0on6F>719K53d<,jo19<5fc883>>odi3:17dmm:188mfe=831d=9?50;9~f036290>6=4?{%35e?be3A;<<6F>6c9'g`1<729q/=;o5d99K526<@85;|`60f<72<0;6=u+17c9`g=O9>:0D<8m;%af>==nk00;66gla;29?lee2900enm50;9l517=831vn8:k:187>5<7s-;=m7j7;I344>N6>k1/oh4n4:k`=?6=3`ij6=44ib`94?=h9=;1<75rb4g7>5<2290;w)?9a;fa?M7082B::o5+cd8`4>od13:17dmn:188mfd=831bon4?::m204<722wi9h>50;694?6|,85<>i6<80;66sm5d094?3=83:p(<8n:e`8L4173A;=n6*le;c4?le>2900eno50;9jgg<722cho7>5;n375?6=3th>i84?:483>5}#9?k1ho5G1628L40e3-in655fc883>>odi3:17dmm:188mfe=831d=9?50;9~f0bd290?6=4?{%35e?b?3A;<<6F>6c9'g`5;hab>5<7E?80:J22g=#kl0:188yg3a:3:197>50z&22d5<2290;w)?9a;fa?M7082B::o5+cd8`7>od13:17dmn:188mfd=831bon4?::m204<722wi8i;50;794?6|,85<>odk3:17b?;1;29?xd2l?0;684?:1y'53g=lk1C=:>4H04a?!eb2?1bo44?::k`e?6=3`ii6=44iba94?=h9=;1<75rb431>5<3290;w)?9a;f;?M7082B::o5+cd8a2>od13:17dmn:188mfd=831d=9?50;9~f076290>6=4?{%35e?be3A;<<6F>6c9'g`1<729q/=;o5d99K526<@85;|`7g0<72<0;6=u+17c9`g=O9>:0D<8m;%af>a=nk00;66gla;29?lee2900enm50;9l517=831vn9o=:180>5<7s-;=m7j:;I344>N6>k1/oh4>6:k`=?6=3`ij6=44o062>5<55;294~"6>h0on6F>719K53d<,jo19:5fc883>>odi3:17dmm:188mfe=831d=9?50;9~f6d6290?6=4?{%35e?b?3A;<<6F>6c9'g`<482ch57>5;hab>5<;6gl9;29?lef2900enl50;9jgf<722e:8<4?::a7f0=83?1<7>t$04b>ad<@8=;7E?9b:&`a?e73`i26=44ibc94?=nkk0;66glc;29?j7393:17pl=c883>0<729q/=;o5dc9K526<@85<55;294~"6>h0on6F>719K53d<,jo1>>5fc883>>odi3:17dmm:188mfe=831d=9?50;9~f1?e290?6=4?{%35e?b?3A;<<6F>6c9'g`4083>>{e:m81<7;50;2x 40f2mh0D<9?;I35f>"dm3<0en750;9jgd<722chn7>5;ha`>5<:6=44}c62a?6=<3:16`8g<>N6?91C=;l4$bg934=nk00;66gla;29?lee2900c<:>:188yg2483:197>50z&22d5<2290;w)?9a;fa?M7082B::o5+cd8`5>od13:17dmn:188mfd=831bon4?::m204<722wi88750;794?6|,8:188yg5d;3:1?7>50z&22d5;|`1`f<72<0;6=u+17c9`g=O9>:0D<8m;%af>==nk00;66gla;29?lee2900enm50;9l517=831vn9k6:186>5<7s-;=m7jm;I344>N6>k1/oh4;;ha:>5<>odk3:17b?;1;29?xd3kl0;684?:1y'53g=lk1C=:>4H04a?!eb2=1bo44?::k`e?6=3`ii6=44iba94?=h9=;1<75rb3aa>5<2290;w)?9a;fa?M7082B::o5+cd8b<>od13:17dmn:188mfd=831bon4?::m204<722wi?oo50;794?6|,85<>odk3:17b?;1;29?xd2mk0;684?:1y'53g=lk1C=:>4H04a?!eb2?1bo44?::k`e?6=3`ii6=44iba94?=h9=;1<75rb3;`>5<4290;w)?9a;f6?M7082B::o5+cd87g>od13:17dmn:188k4262900qo=l1;290?6=8r.::l4k8:J235=O9?h0(nk5f:k`=?6=3`ij6=44ib`94?=h9=;1<75rb2a3>5<3290;w)?9a;f;?M7082B::o5+cd804>od13:17dmn:188mfd=831d=9?50;9~f6d0290>6=4?{%35e?be3A;<<6F>6c9'g`<2?2ch57>5;hab>5<>i6<80;66sm3c694?3=83:p(<8n:e`8L4173A;=n6*le;74?le>2900eno50;9jgg<722cho7>5;n375?6=3th8mh4?:483>5}#9?k1ho5G1628L40e3-in6894ib;94?=nkh0;66glb;29?led2900c<:>:188yg4d;3:197>50z&22d1bo44?::k`e?6=3`ii6=44iba94?=h9=;1<75rb51f>5<4290;w)?9a;f6?M7082B::o5+cd84f>od13:17dmn:188k4262900qo:4083>>{e;kl1<7:50;2x 40f2m20D<9?;I35f>"dm3h=7dm6:188mfg=831boo4?::m204<722wi>i>50;794?6|,85<>odk3:17b?;1;29?xd51m0;684?:1y'53g=lk1C=:>4H04a?!eb2?80en750;9jgd<722chn7>5;ha`>5<:6=44}c0b5?6=<3:16`8g<>N6?91C=;l4$bg9=0=nk00;66gla;29?lee2900c<:>:188yg2e:3:197>50z&22d5<2290;w)?9a;fa?M7082B::o5+cd86f>od13:17dmn:188mfd=831bon4?::m204<722wi8l850;794?6|,85<>odk3:17b?;1;29?xd3i>0;684?:1y'53g=lk1C=:>4H04a?!eb25;ha`>5<:6=44}c6b6`8gf>N6?91C=;l4$bg91g=nk00;66gla;29?lee2900enm50;9l517=831vn9om:186>5<7s-;=m7jm;I344>N6>k1/oh4:b:k`=?6=3`ij6=44ib`94?=nkj0;66a>4083>>{e"dm3>h7dm6:188mfg=831d=9?50;9~f1gc290>6=4?{%35e?be3A;<<6F>6c9'g`<2i2ch57>5;hab>5<>i6<80;66sm4`g94?3=83:p(<8n:e`8L4173A;=n6*le;6f?le>2900eno50;9jgg<722cho7>5;n375?6=3th?mk4?:583>5}#9?k1h55G1628L40e3-in6o84ib;94?=nkh0;66glb;29?j7393:17pl;e683>0<729q/=;o5dc9K526<@85<ho7>55;294~"6>h0on6F>719K53d<,jo1nl5fc883>>odi3:17dmm:188mfe=831d=9?50;9~f1c2290>6=4?{%35e?be3A;<<6F>6c9'g`<4>2ch57>5;hab>5<>i6<80;66sm4bc94?3=83:p(<8n:e`8L4173A;=n6*le;15?le>2900eno50;9jgg<722cho7>5;n375?6=3th:544?:483>5}#9?k1ho5G1628L40e3-in6?m4ib;94?=nkh0;66glb;29?led2900c<:>:188yg7?m3:197>50z&22d5<2290;w)?9a;fa?M7082B::o5+cd86g>od13:17dmn:188mfd=831bon4?::m204<722wi85850;794?6|,85<>odk3:17b?;1;29?xd30>0;684?:1y'53g=lk1C=:>4H04a?!eb2j1bo44?::k`e?6=3`ii6=44iba94?=h9=;1<75rb5;0>5<2290;w)?9a;fa?M7082B::o5+cd8ab>od13:17dmn:188mfd=831bon4?::m204<722wi84<50;794?6|,85<>odk3:17b?;1;29?xd4l;0;684?:1y'53g=lk1C=:>4H04a?!eb28o0en750;9jgd<722chn7>5;ha`>5<:6=44}c3b`?6=<3:16`8g<>N6?91C=;l4$bg906=nk00;66gla;29?lee2900c<:>:188yg7fk3:1?7>50z&22d5;|`7a7<72<0;6=u+17c9`g=O9>:0D<8m;%af>60>odj3:17dml:188k4262900qo:l7;291?6=8r.::l4kb:J235=O9?h0(nk5379jg<<722chm7>5;haa>5<7E?80:J22g=#kl09j6gl9;29?lef2900c<:>:188yg2183:197>50z&22d5<3290;w)?9a;f;?M7082B::o5+cd81f>od13:17dmn:188mfd=831d=9?50;9~f4gf290>6=4?{%35e?be3A;<<6F>6c9'g`<3=2ch57>5;hab>5<>i6<80;66sm1`494?3=83:p(<8n:e`8L4173A;=n6*le;66?le>2900eno50;9jgg<722cho7>5;n375?6=3th8on4?:483>5}#9?k1ho5G1628L40e3-in6>64ib;94?=nkh0;66glb;29?led2900c<:>:188yg7f13:187>50z&22d5<55;294~"6>h0on6F>719K53d<,jo1n:5fc883>>odi3:17dmm:188mfe=831d=9?50;9~f4g?29086=4?{%35e?b23A;<<6F>6c9'g`<4i2ch57>5;hab>5<:6=44}c671?6=<3:16`8g<>N6?91C=;l4$bg93f=nk00;66gla;29?lee2900c<:>:188yg23>3:187>50z&22d1bo44?::k`e?6=3`ii6=44o062>5<?;7>55;294~"6>h0on6F>719K53d<,jo1495fc883>>odi3:17dmm:188mfe=831d=9?50;9~f12?290>6=4?{%35e?be3A;<<6F>6c9'g`<>k2ch57>5;hab>5<>i6<80;66sm45294?5=83:p(<8n:e78L4173A;=n6*le;5a?le>2900eno50;9l517=831vn9=i:180>5<7s-;=m7j:;I344>N6>k1/oh4;8:k`=?6=3`ij6=44o062>5<?>7>55;294~"6>h0on6F>719K53d<,jo1?85fc883>>odi3:17dmm:188mfe=831d=9?50;9~f6b0290>6=4?{%35e?be3A;<<6F>6c9'g`<53`i26=44ibc94?=nkk0;66glc;29?j7393:17pl;2083>1<729q/=;o5d99K526<@85;|`76<<72:0;6=u+17c9`0=O9>:0D<8m;%af>40>i6<80;66sm52c94?4=83:p(<8n:bf8L4173A;=n6gl8;29?j7393:17pl:3b83>7<729q/=;o5ce9K526<@85<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;>;:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;>9:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;9m:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;>7:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;>n:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;>j:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;8n:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;??:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;?=:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;>l:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;96:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;8l:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn<9i:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn<9j:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn<9k:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn<9l:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn8=8:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn8=9:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn8=::181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn8=;:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn8=<:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn8==:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn8=>:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn8=?:181>5<7s-;=m7?;2:J235=O9?h0en650;9l517=831vn;87:187>5<7s-;=m7l<;I344>N6>k1/oh4>6:k;6?6=3`2h6=44i045>5<6=44}c453?6=<3:16`8a7>N6?91C=;l4$bg953=n0;0;66g7c;29?l71>3:17b?95;29?xd14H04a?l>52900e5m50;9j531=831d=;;50;9~f32e290?6=4?{%35e?d23A;<<6F>6c9j<7<722c3o7>5;h353?6=3f;=97>5;|`50d<72=0;6=u+17c9f0=O9>:0D<8m;h:1>5<5<2290;w)?9a;`7?M7082B::o5f8383>>o?k3:17d?96;29?l71?3:17b?95;29?xd4j10;684?:1y'53g=io1C=:>4H04a?!eb291b4?4?::k;2?6=3`226=44i9a94?=h9??1<75rb700>5<5290;w)?9a;f7?M7082B::o5+cd814>od13:17b?;1;29?xd1:80;6?4?:1y'53g=l=1C=:>4H04a?!eb2;:0en750;9l517=831vn;?i:181>5<7s-;=m7j;;I344>N6>k1/oh4=0:k`=?6=3f;?=7>5;|`55a<72;0;6=u+17c9`1=O9>:0D<8m;%af>767<729q/=;o5d59K526<@86c9'g`<582ch57>5;n375?6=3th==84?:383>5}#9?k1h95G1628L40e3-in6?>4ib;94?=h9=;1<75rb3d4>5<5290;w)?9a;f7?M7082B::o5+cd814>od13:17b?;1;29?xd5n00;6?4?:1y'53g=l=1C=:>4H04a?!eb2;:0en750;9l517=831vn?hm:181>5<7s-;=m7j;;I344>N6>k1/oh4=0:k`=?6=3f;?=7>5;|`1ba<72;0;6=u+17c9`1=O9>:0D<8m;%af>767<729q/=;o5d59K526<@86c9'g`<582ch57>5;n375?6=3th8<84?:383>5}#9?k1h95G1628L40e3-in6?>4ib;94?=h9=;1<75rb236>5<5290;w)?9a;f7?M7082B::o5+cd814>od13:17b?;1;29?xd49>0;6?4?:1y'53g=l=1C=:>4H04a?!eb2;:0en750;9l517=831vn>?6:181>5<7s-;=m7j;;I344>N6>k1/oh4=0:k`=?6=3f;?=7>5;|`05g<72;0;6=u+17c9`1=O9>:0D<8m;%af>767<729q/=;o5d59K526<@86c9'g`<582ch57>5;n375?6=3th8>>4?:383>5}#9?k1h95G1628L40e3-in6?>4ib;94?=h9=;1<75rb713>5<5290;w)?9a;f7?M7082B::o5+cd814>od13:17b?;1;29?xd49;0;6?4?:1y'53g=l=1C=:>4H04a?!eb2;:0en750;9l517=831vn>=?:181>5<7s-;=m7j;;I344>N6>k1/oh4=0:k`=?6=3f;?=7>5;|`160<72<0;6=u+17c9`g=O9>:0D<8m;%af>37>odj3:17dml:188k4262900qo<=4;290?6=8r.::l4k8:J235=O9?h0(nk53g9jg<<722chm7>5;haa>5<:6=44}c016?6==3:16`8gf>N6?91C=;l4$bg921=nk00;66gla;29?lee2900enm50;9l517=831vn?>n:186>5<7s-;=m7jm;I344>N6>k1/oh44083>>{e:::1<7;50;2x 40f2mh0D<9?;I35f>"dm3>97dm6:188mfg=831boo4?::k`g?6=3f;?=7>5;|`15=<72<0;6=u+17c9`g=O9>:0D<8m;%af>16>odj3:17dml:188k4262900qo<=1;290?6=8r.::l4k8:J235=O9?h0(nk5619jg<<722chm7>5;haa>5<:6=44}c03=?6=<3:16`8g<>N6?91C=;l4$bg925=nk00;66gla;29?lee2900c<:>:188yg45n3:187>50z&22d5<54;294~"6>h0o46F>719K53d<,jo1?k5fc883>>odi3:17dmm:188k4262900qo<=0;297?6=8r.::l4k5:J235=O9?h0(nk53`9jg<<722chm7>5;n375?6=3th9<54?:283>5}#9?k1h85G1628L40e3-in6>o4ib;94?=nkh0;66a>4083>>{e:;o1<7=50;2x 40f2m?0D<9?;I35f>"dm39j7dm6:188mfg=831d=9?50;9~f77129086=4?{%35e?b23A;<<6F>6c9'g`<4i2ch57>5;hab>5<:6=44}c653?6==3:16`8gf>N6?91C=;l4$bg933=nk00;66gla;29?lee2900enm50;9l517=831vn5<7s-;=m7j7;I344>N6>k1/oh45<4290;w)?9a;f6?M7082B::o5+cd80e>od13:17dmn:188k4262900qo:=a;290?6=8r.::l4k8:J235=O9?h0(nk59`9jg<<722chm7>5;haa>5<:6=44}c616?6==3:16`8gf>N6?91C=;l4$bg92f=nk00;66gla;29?lee2900enm50;9l517=831vn>km:186>5<7s-;=m7jm;I344>N6>k1/oh486:k`=?6=3`ij6=44ib`94?=nkj0;66a>4083>>{e<=h1<7;50;2x 40f2mh0D<9?;I35f>"dm3<37dm6:188mfg=831boo4?::k`g?6=3f;?=7>5;|`2a0<72<0;6=u+17c9`g=O9>:0D<8m;%af>6b>odj3:17dml:188k4262900qo?j4;290?6=8r.::l4k8:J235=O9?h0(nk5619jg<<722chm7>5;haa>5<:6=44}c3f7?6=;3:16`8g1>N6?91C=;l4$bg97d=nk00;66gla;29?j7393:17pl>fg83>1<729q/=;o5d99K526<@85;|`766<72:0;6=u+17c9`0=O9>:0D<8m;%af>76>i6<80;66sm1gg94?5=83:p(<8n:e78L4173A;=n6*le;1b?le>2900eno50;9l517=831vn:186>5<7s-;=m7jm;I344>N6>k1/oh48d:k`=?6=3`ij6=44ib`94?=nkj0;66a>4083>>{e:9n1<7:50;2x 40f2m20D<9?;I35f>"dm3;m7dm6:188mfg=831boo4?::m204<722wi>=m50;794?6|,85<>odk3:17b?;1;29?xd6m10;694?:1y'53g=l11C=:>4H04a?!eb28l0en750;9jgd<722chn7>5;n375?6=3th:i:4?:483>5}#9?k1ho5G1628L40e3-in6;=4ib;94?=nkh0;66glb;29?led2900c<:>:188yg4783:197>50z&22d5<3290;w)?9a;f;?M7082B::o5+cd815>od13:17dmn:188mfd=831d=9?50;9~f1?129086=4?{%35e?b23A;<<6F>6c9'g`<><2ch57>5;hab>5<:6=44}c6:1?6==3:16`8gf>N6?91C=;l4$bg976=nk00;66gla;29?lee2900enm50;9l517=831vn9:<:187>5<7s-;=m7j7;I344>N6>k1/oh4>4:k`=?6=3`ij6=44ib`94?=h9=;1<75rb0:;>5<3290;w)?9a;f;?M7082B::o5+cd86=>od13:17dmn:188mfd=831d=9?50;9~f4?4290>6=4?{%35e?be3A;<<6F>6c9'g`<312ch57>5;hab>5<>i6<80;66sm42;94?3=83:p(<8n:e`8L4173A;=n6*le;57?le>2900eno50;9jgg<722cho7>5;n375?6=3th?:?4?:483>5}#9?k1ho5G1628L40e3-in64l4ib;94?=nkh0;66glb;29?led2900c<:>:188yg25=3:197>50z&22d5;hab>5<>i6<80;66sm43494?3=83:p(<8n:e`8L4173A;=n6*le;44?le>2900eno50;9jgg<722cho7>5;n375?6=3th?444?:583>5}#9?k1h55G1628L40e3-in6l:4ib;94?=nkh0;66glb;29?j7393:17pl;8`83>1<729q/=;o5d99K526<@85;|`7=1<72<0;6=u+17c9`g=O9>:0D<8m;%af><`>odj3:17dml:188k4262900qo:4083>>{e"dm3?>7dm6:188mfg=831boo4?::k`g?6=3f;?=7>5;|`723<72<0;6=u+17c9`g=O9>:0D<8m;%af>00>odj3:17dml:188k4262900qo:=8;297?6=8r.::l4k5:J235=O9?h0(nk57c9jg<<722chm7>5;n375?6=3th?>:4?:483>5}#9?k1ho5G1628L40e3-in6<<4ib;94?=nkh0;66glb;29?led2900c<:>:188yg5f03:197>50z&22d5<2290;w)?9a;fa?M7082B::o5+cd874>od13:17dmn:188mfd=831bon4?::m204<722wi84j50;794?6|,85<>odk3:17b?;1;29?xd3=m0;694?:1y'53g=m91C=:>4H04a?le>2900eno50;9j531=831d=9?50;9~f13b290>6=4?{%35e?be3A;<<6F>6c9'g`<2?2ch57>5;hab>5<>i6<80;66sm3g594?2=83:p(<8n:e:8L4173A;=n6*le;46?le>2900eno50;9jgg<722e:8<4?::a7c0=83?1<7>t$04b>ad<@8=;7E?9b:&`a?5>odj3:17dml:188k4262900qo<94;290?6=8r.::l4k8:J235=O9?h0(nk53g9jg<<722chm7>5;haa>5<:6=44}c7eb?6==3:16`8gf>N6?91C=;l4$bg9fg=nk00;66gla;29?lee2900enm50;9l517=831vn<7l:186>5<7s-;=m7jm;I344>N6>k1/oh4;9:k`=?6=3`ij6=44ib`94?=nkj0;66a>4083>>{e<031<7;50;2x 40f2mh0D<9?;I35f>"dm390en750;9jgd<722chn7>5;ha`>5<:6=44}c0:a?6==3:16`8gf>N6?91C=;l4$bg96>od13:17dmn:188mfd=831bon4?::m204<722wi89m50;794?6|,85<>odk3:17b?;1;29?xd3mm0;694?:1y'53g=l11C=:>4H04a?!eb2h:0en750;9jgd<722chn7>5;n375?6=3th?h?4?:583>5}#9?k1h55G1628L40e3-in6l>4ib;94?=nkh0;66glb;29?j7393:17pl>9g83>6<729q/=;o5d49K526<@85<>odk3:17b?;1;29?xd2n90;684?:1y'53g=lk1C=:>4H04a?!eb211bo44?::k`e?6=3`ii6=44iba94?=h9=;1<75rb2ca>5<2290;w)?9a;fa?M7082B::o5+cd817>od13:17dmn:188mfd=831bon4?::m204<722wi>oo50;794?6|,85<>odk3:17b?;1;29?xd6k<0;684?:1y'53g=lk1C=:>4H04a?!eb2020en750;9jgd<722chn7>5;ha`>5<:6=44}c3a3?6==3:16`8gf>N6?91C=;l4$bg9===nk00;66gla;29?lee2900enm50;9l517=831vn>o;:186>5<7s-;=m7jm;I344>N6>k1/oh466:k`=?6=3`ij6=44ib`94?=nkj0;66a>4083>>{e<8=1<7:50;2x 40f2m20D<9?;I35f>"dm3<;7dm6:188mfg=831boo4?::m204<722wi8<850;794?6|,85<>odk3:17b?;1;29?xd5k90;684?:1y'53g=lk1C=:>4H04a?!eb2<=0en750;9jgd<722chn7>5;ha`>5<:6=44}c7f`?6==3:16`8gf>N6?91C=;l4$bg9g5=nk00;66gla;29?lee2900enm50;9l517=831vn8:j:186>5<7s-;=m7jm;I344>N6>k1/oh4<;ha:>5<>odk3:17b?;1;29?xd2:?0;684?:1y'53g=lk1C=:>4H04a?!eb2j:0en750;9jgd<722chn7>5;ha`>5<:6=44}c7e7?6=<3:16`8g<>N6?91C=;l4$bg96<=nk00;66gla;29?lee2900c<:>:188yg7>=3:197>50z&22d5<2290;w)?9a;fa?M7082B::o5+cd8`6>od13:17dmn:188mfd=831bon4?::m204<722wi9il50;794?6|,8:188yg2?=3:197>50z&22d5<3290;w)?9a;f;?M7082B::o5+cd81`>od13:17dmn:188mfd=831d=9?50;9~f4da290?6=4?{%35e?b?3A;<<6F>6c9'g`<5l2ch57>5;hab>5<:187>5<7s-;=m7j7;I344>N6>k1/oh4=d:k`=?6=3`ij6=44ib`94?=h9=;1<75rb0a:>5<3290;w)?9a;f;?M7082B::o5+cd81`>od13:17dmn:188mfd=831d=9?50;9~f4de290?6=4?{%35e?b?3A;<<6F>6c9'g`<5l2ch57>5;hab>5<t$04b>ad<@8=;7E?9b:&`a?303`i26=44ibc94?=nkk0;66glc;29?j7393:17pl:e`83>1<729q/=;o5d99K526<@85;|`6a<<72<0;6=u+17c9`g=O9>:0D<8m;%af>4?>odj3:17dml:188k4262900qo::f;291?6=8r.::l4kb:J235=O9?h0(nk5a89jg<<722chm7>5;haa>5<<6gl9;29?lef2900enl50;9jgf<722e:8<4?::a6d6=83?1<7>t$04b>ad<@8=;7E?9b:&`a??>3`i26=44ibc94?=nkk0;66glc;29?j7393:17pl;0e83>0<729q/=;o5dc9K526<@85<55;294~"6>h0on6F>719K53d<,jo18=5fc883>>odi3:17dmm:188mfe=831d=9?50;9~f707290?6=4?{%35e?b?3A;<<6F>6c9'g`<4n2ch57>5;hab>5<7E?80:J22g=#kl08m6gl9;29?lef2900c<:>:188yg42=3:197>50z&22d5<3290;w)?9a;f;?M7082B::o5+cd80b>od13:17dmn:188mfd=831d=9?50;9~f73429086=4?{%35e?b23A;<<6F>6c9'g`<4i2ch57>5;hab>5<:6=44}c3b5?6=;3:16`8g1>N6?91C=;l4$bg93g=nk00;66gla;29?j7393:17pl>a183>0<729q/=;o5dc9K526<@85;haa>5<t$04b>ad<@8=;7E?9b:&`a?e>odj3:17dml:188k4262900qo;kf;291?6=8r.::l4kb:J235=O9?h0(nk56:k`=?6=3`ij6=44ib`94?=nkj0;66a>4083>>{e=mo1<7;50;2x 40f2mh0D<9?;I35f>"dm3=37dm6:188mfg=831boo4?::k`g?6=3f;?=7>5;|`7ed<72<0;6=u+17c9`g=O9>:0D<8m;%af>2c>odj3:17dml:188k4262900qo:n9;290?6=8r.::l4k8:J235=O9?h0(nk5f:k`=?6=3`ij6=44ib`94?=h9=;1<75rb3fb>5<2290;w)?9a;fa?M7082B::o5+cd85?le>2900eno50;9jgg<722cho7>5;n375?6=3th9:84?:483>5}#9?k1ho5G1628L40e3-in69:4ib;94?=nkh0;66glb;29?led2900c<:>:188yg7d?3:197>50z&22d5<2290;w)?9a;fa?M7082B::o5+cd8bf>od13:17dmn:188mfd=831bon4?::m204<722wi8h=50;794?6|,85<>odk3:17b?;1;29?xd3k10;684?:1y'53g=lk1C=:>4H04a?!eb2;>0en750;9jgd<722chn7>5;ha`>5<:6=44}c6a0?6==3:16`8gf>N6?91C=;l4$bg9e>od13:17dmn:188mfd=831bon4?::m204<722wi:=?50;794?6|,85<>odk3:17b?;1;29?xd5>o0;684?:1y'53g=lk1C=:>4H04a?!eb2>:0en750;9jgd<722chn7>5;ha`>5<:6=44}c044?6==3:16`8gf>N6?91C=;l4$bg96==nk00;66gla;29?lee2900enm50;9l517=831vn?8n:186>5<7s-;=m7jm;I344>N6>k1/oh4;4:k`=?6=3`ij6=44ib`94?=nkj0;66a>4083>>{e9jh1<7:50;2x 40f2m20D<9?;I35f>"dm38o7dm6:188mfg=831boo4?::m204<722wi=oj50;694?6|,85<>i6<80;66sm1b194?3=83:p(<8n:e`8L4173A;=n6*le;ca?le>2900eno50;9jgg<722cho7>5;n375?6=3th:n84?:483>5}#9?k1ho5G1628L40e3-in6ll4ib;94?=nkh0;66glb;29?led2900c<:>:188yg7?;3:1>7>50z&22d=831d=9?50;9~f7??29096=4?{%35e?1a3A;<<6F>6c9jg=<722e:8<4?::a7=>=8381<7>t$04b>2`<@8=;7E?9b:k`5;|`560<72;0;6=u+17c9gc=O9>:0D<8m;ha;>5<:6=44}c133?6=:3:16`8`b>N6?91C=;l4ib:94?=h9=;1<75rb206>5<5290;w)?9a;ae?M7082B::o5fc983>>i6<80;66sm57394?4=83:p(<8n:bd8L4173A;=n6gl8;29?j7393:17pl;3283>7<729q/=;o5cg9K526<@85<7s-;=m7mi;I344>N6>k1bo54?::m204<722wi>:l50;094?6|,85;n375?6=3th:mo4?:383>5}#9?k1ok5G1628L40e3`i36=44o062>5<52;294~"6>h0hj6F>719K53d4083>>{e>:91<7<50;2x 40f2jl0D<9?;I35f>od03:17b?;1;29?xd5>:0;6?4?:1y'53g=ko1C=:>4H04a?le?2900c<:>:188yg2?j3:187>50z&22dt$04b>ad<@8=;7E?9b:&`a?4b3`i26=44ibc94?=nkk0;66glc;29?j7393:17pl;8e83>0<729q/=;o5dc9K526<@85<3j7>54;294~"6>h0n<6F>719K53d>o6>>0;66a>4083>>{e<0:1<7:50;2x 40f2m20D<9?;I35f>"dm33>7dm6:188mfg=831boo4?::m204<722wi84?50;694?6|,8;ha:>5<>i6<80;66sm58c94?2=83:p(<8n:d28L4173A;=n6gl9;29?lef2900e<88:188k4262900qo;6b;290?6=8r.::l4k8:J235=O9?h0(nk5319jg<<722chm7>5;haa>5<:6=44}c7:g?6=<3:16`8g<>N6?91C=;l4$bg975=nk00;66gla;29?lee2900c<:>:188yg3aj3:187>50z&22dt$04b>ad<@8=;7E?9b:&`a?da3`i26=44ibc94?=nkk0;66glc;29?j7393:17pl:fe83>0<729q/=;o5dc9K526<@85<54;294~"6>h0n<6F>719K53d>o6>>0;66a>4083>>{e;h81<7;50;2x 40f2mh0D<9?;I35f>"dm3?:7dm6:188mfg=831boo4?::k`g?6=3f;?=7>5;|`0e6<72<0;6=u+17c9`g=O9>:0D<8m;%af>24>odj3:17dml:188k4262900qo:>0;290?6=8r.::l4j0:J235=O9?h0en750;9jgd<722c:::4?::m204<722wi85<>odk3:17b?;1;29?xd39;0;684?:1y'53g=lk1C=:>4H04a?!eb2<80en750;9jgd<722chn7>5;ha`>5<:6=44}c62e?6==3:16`8gf>N6?91C=;l4$bg950=nk00;66gla;29?lee2900enm50;9l517=831vn9?m:186>5<7s-;=m7jm;I344>N6>k1/oh482:k`=?6=3`ij6=44ib`94?=nkj0;66a>4083>>{e<8i1<7:50;2x 40f2l:0D<9?;I35f>od13:17dmn:188m4002900c<:>:188yg30l3:197>50z&22d1bo44?::k`e?6=3`ii6=44iba94?=h9=;1<75rb45f>5<3290;w)?9a;g3?M7082B::o5fc883>>odi3:17d?97;29?j7393:17pl:4883>0<729q/=;o5dc9K526<@85<54;294~"6>h0n<6F>719K53d>o6>>0;66a>4083>>{e<931<7;50;2x 40f2mh0D<9?;I35f>"dm3=87dm6:188mfg=831boo4?::k`g?6=3f;?=7>5;|`74d<72<0;6=u+17c9`g=O9>:0D<8m;%af>10>odj3:17dml:188k4262900qo:?b;290?6=8r.::l4j0:J235=O9?h0en750;9jgd<722c:::4?::m204<722wi?hj50;794?6|,85<>odk3:17b?;1;29?xd4ml0;684?:1y'53g=lk1C=:>4H04a?!eb21l0en750;9jgd<722chn7>5;ha`>5<:6=44}c1fb?6=<3:16`8f4>N6?91C=;l4ib;94?=nkh0;66g>6683>>i6<80;66sm3`494?3=83:p(<8n:e`8L4173A;=n6*le;08mf?=831bol4?::k`f?6=3`ih6=44o062>5<54;294~"6>h0n<6F>719K53d>o6>>0;66a>4083>>{e:?i1<7;50;2x 40f2mh0D<9?;I35f>"dm3><7dm6:188mfg=831boo4?::k`g?6=3f;?=7>5;|`12a<72<0;6=u+17c9`g=O9>:0D<8m;%af>=3>odj3:17dml:188k4262900qo<9e;290?6=8r.::l4j0:J235=O9?h0en750;9jgd<722c:::4?::m204<722wi>;950;794?6|,85<>odk3:17b?;1;29?xd5>10;684?:1y'53g=lk1C=:>4H04a?!eb2=l0en750;9jgd<722chn7>5;ha`>5<:6=44}c05=?6=<3:16`8f4>N6?91C=;l4ib;94?=nkh0;66g>6683>>i6<80;66sm54194?3=83:p(<8n:ef8L4173A;=n6*le;c;?le>2900eno50;9jgg<722cho7>5;nf2>5<55;294~"6>h0oh6F>719K53d<,jo1m55fc883>>odi3:17dmm:188mfe=831dh<4?::a1`7=8391<7>t$04b>a1<@8=;7E?9b:&`a?1e3`i26=44ibc94?=hl80;66sm5d194?3=83:p(<8n:ef8L4173A;=n6*le;54?le>2900eno50;9jgg<722cho7>5;nf2>5<54;294~"6>h0om6F>719K53d<,jo1585fc883>>odi3:17dmm:188ka7=831vn8j7:187>5<7s-;=m7jn;I344>N6>k1/oh4na:k`=?6=3`ij6=44ib`94?=hl80;66sm3b794?0=83:p(<8n:ea8L4173A;=n6*le;6f?le>2900eno50;9jgg<722cho7>5;nf2>5<:6=44}c1`0?6=>3:16`8gg>N6?91C=;l4$bg9<>od13:17dmn:188mfd=831bon4?::mg5?6=3f;?=7>5;|`1`1<72?0;6=u+17c9`f=O9>:0D<8m;%af>1c>odj3:17dml:188ka7=831d=9?50;9~f7b4290?6=4?{%35e?b13A;<<6F>6c9'g`<3k2ch57>5;hab>5<t$04b>a1<@8=;7E?9b:&`a?2d3`i26=44ibc94?=hl80;66sm43g94?5=83:p(<8n:e58L4173A;=n6*le;;7?le>2900eno50;9l`4<722wi88o50;794?6|,85<>odk3:17bj>:188yg4dk3:187>50z&22d5;|`6a`<72=0;6=u+17c9`d=O9>:0D<8m;%af>4e>odj3:17bj>:188yg5d03:197>50z&22d5<2290;w)?9a;f:?M7082B::o5+cd8e?le>2900eno50;9jgg<722eo=7>5;n375?6=3th9h54?:783>5}#9?k1hn5G1628L40e3-in655fc883>>odi3:17dmm:188mfe=831dh<4?::m204<722wi?ok50;494?6|,85<7s-;=m7jl;I344>N6>k1/oh4=;ha:>5<>odk3:17bj>:188k4262900qo5;haa>5<>{e"dm3>n7dm6:188mfg=831boo4?::k`g?6=3fn:6=44}c6a5?6=<3:16`8ge>N6?91C=;l4$bg90a=nk00;66gla;29?lee2900ci?50;9~f1g3290>6=4?{%35e?bc3A;<<6F>6c9'g`<2j2ch57>5;hab>5<>ic93:17pl>8b83>0<729q/=;o5de9K526<@85;|`661<72?0;6=u+17c9`f=O9>:0D<8m;%af>7=nk00;66gla;29?lee2900enm50;9l`4<722e:8<4?::a00>=83<1<7>t$04b>ae<@8=;7E?9b:&`a?453`i26=44ibc94?=nkk0;66glc;29?jb62900c<:>:188yg24l3:1:7>50z&22d4083>>{e<=;1<7;50;2x 40f2mn0D<9?;I35f>"dm3287dm6:188mfg=831boo4?::k`g?6=3fn:6=44}c3:5?6=<3:16`8ge>N6?91C=;l4$bg9=0=nk00;66gla;29?lee2900ci?50;9~f6`>290>6=4?{%35e?b>3A;<<6F>6c9'g`<3i2ch57>5;hab>5<>i6<80;66sm43`94?3=83:p(<8n:ef8L4173A;=n6*le;c`?le>2900eno50;9jgg<722cho7>5;nf2>5<55;294~"6>h0o56F>719K53d<,jo1585fc883>>odi3:17dmm:188ka7=831d=9?50;9~f1>?290?6=4?{%35e?bf3A;<<6F>6c9'g`5;hab>5<>{e;oh1<7;50;2x 40f2m30D<9?;I35f>"dm33:7dm6:188mfg=831boo4?::mg5?6=3f;?=7>5;|`761<72?0;6=u+17c9`f=O9>:0D<8m;%af>d5>odj3:17dml:188ka7=831d=9?50;9~f1?0290>6=4?{%35e?bc3A;<<6F>6c9'g`<>l2ch57>5;hab>5<>ic93:17pl;3b83>6<729q/=;o5d69K526<@85}#9?k1hi5G1628L40e3-in6<>4ib;94?=nkh0;66glb;29?led2900ci?50;9~f6`2290>6=4?{%35e?bc3A;<<6F>6c9'g`<0i2ch57>5;hab>5<>ic93:17pl;4`83>0<729q/=;o5de9K526<@85<2m7>54;294~"6>h0om6F>719K53d<,jo1ml5fc883>>odi3:17dmm:188ka7=831vn?7i:187>5<7s-;=m7jn;I344>N6>k1/oh4m6:k`=?6=3`ij6=44ib`94?=hl80;66sm45f94?3=83:p(<8n:ef8L4173A;=n6*le;4:?le>2900eno50;9jgg<722cho7>5;nf2>5<55;294~"6>h0oh6F>719K53d<,jo1n55fc883>>odi3:17dmm:188mfe=831dh<4?::a1``=83?1<7>t$04b>ab<@8=;7E?9b:&`a?dd3`i26=44ibc94?=nkk0;66glc;29?jb62900qo0<729q/=;o5de9K526<@86`8g`>N6?91C=;l4$bg96d=nk00;66gla;29?lee2900enm50;9l`4<722wi=o=50;494?6|,85<>odk3:17bj>:188k4262900qo884;293?6=8r.::l4>689K526<@85;h4a>5<>o?k3:17b?95;29?xd1?80;6>4?:1y'53g=im1C=:>4H04a?l>52900e5m50;9l533=831vn;9::184>5<7s-;=m7?99:J235=O9?h0(nk51:k52?6=3`o0;66g78;29?l>d2900c<8::188yg00?3:1?7>50z&22d>=:818966320901>?<:958964121=01>?;:818967120901>?7:818967f20901>?l:818967b20901>ll:9a897ec21i01;>;:b:893612j201;??:b:8901b2j301>o8:bc893132?k01;9;:7`893132?l01;9::74893122?k01;9::7`893122?l0q~k=:1820~;6?;0:8>526019=6=:9m:14:5222g9<2=:::814:5225c9<2=::<<14:521d;9<2=::9;14:5221g9<2=::8k14:521g79<2=::;<14:521ea9<2=::o?15>523019=6=:>9i1o552675942634>297mn;|qf0?6=:r7:454>409>5<5=kj1vh;50;0x91052jk019;l:e38yvc12909w0:92;a`?822l3;?=6s|e683>7}:<;?1=9?4=507>fe94lb:pa<<72;q68575153891?02jh0q~kn:18182?i3;?=63;958`f>{tmk0;6?u242`9gf=:<:i1h<5rsda94?4|5=<>6<:>;<650?ee3tynh7>52z?723<6<8168;:5cb9~w`c=838p19<7:062?825?3ii7p}jf;296~;31m0:8<5248a9gf=z{o:1<742634>>h7m6;|qe5?6=:r7?9i4la:?71c<6<81vk<50;0x96`028>:70=i6;a`?xua;3:1>v3:0g8204=:=9o1on5rsg694?4|5=326nm4=5;b>a76=4={<0:a?ed3482j7j>;|qe2?6=:r7?8n4lc:?70a5<`=9=;01<7j:ba8yv`?290?w0<92;375>;5?90ho63=6`8`g>;5>l0:::5rsg;94?4|5=i86<:>;<6`6?ed3tymm7>52z?6`d<6<8169i75c`9~wcd=838p18h?:062?83bn3ih7p}ic;296~;5>o0hn63=718204=z{on1<7fg<5:k<6<:>;|qea?6=:r7?=:4>409>040=k01vkh50;0x97e128>:70409>5d6=kj1v<>=:18183cn3;?=63:dd8`e>{t9991<742634>j57mm;|q241<72;q68o:5153891d42ji0q~??5;296~;1880hm639038g5>{t99<1<742634>3n7m6;|q242<72;q685j5153891>e2jk0q~??8;296~;3190:8<5249d9g<=z{8:26=4={<6:5?73927?4k4la:p55g=838p187m:062?83>i3i27p}>0c83>7}:=0i1=9?4=4;b>fg52z?6bf<6<8169kl5c89~w46c2909w0;id;375>;2nk0hm6s|11g94?4|5:k96<:>;<1b5?e>3ty:7d5=9=;01>o>:bc8yv7683:1>v3;108204=:<8:1o45rs032>5<5s4>:>7?;1:?755m9:b`8yv76;3:1>v3;4il0h56s|10694?4|5;n?6i?4=3a5>fe52z?1`67;296~;38l0o=63;1d8`e>{t9821<7a7<5:h<6n74}r32=?6=:r79h<4k1:?1g=v3;4k80h56s|10a94?4|5;im6i?4=3f`>f?52z?7<`f;296~;3=10o=63;648`e>{t9;:1<7a7<5=>36nm4}r315?6=:r78j44k1:?762:ba8yv75;3:1>v3;3<>0ho6s|13694?4|5=8?6i?4=50b>fd52z?7=f{t9;21<742634?:=7ml;|q26<<72;q68n85153891e22ji0q~?=a;296~;4k?0:8<523b69gf=z{88i6=4={<0`=?739279o54lc:p57e=838p19=?:ba891562m;0q~?=d;296~;3:m0ho63;2d8g5>{t9;o1<7fe<5=?j6i?4}r31b?6=:r79oo4l9:?1gf>50;0x96df2ji01>lm:e38yv7493:1>v3;3d8204=:<:k1on5rs011>5<5s49ij7?;1:?0f`=50;0x97b728>:70850;0x91>12ji0197;:062?xu6;>0;6?u24d09gg=:{t9:k1<7fd<5=8i6i?4}r30f?6=:r78io4lc:?0ac<6<81v<=l:181823j3ih70:;a;f2?xu6;m0;6?u25da9gf=:=ln1=9?4}r30a?6=:r79409>65e=kj1v<=i:18187b03;?=63>e68`g>{t9>=1<7{t9>31<7{t91:1<78083>22|5=??6574=5ff>=?<5=nh6<8;;<6g`?>>34>n=766;<6gb?71<27?i=479:?735659>03`=00168:=5889>027=9?>0199=:9;89163213019>=:9;89164213019>8:047?827=32270:?6;::?824>3;=863;368;=>;3jm03563;bc8221=:=?<5<:26574=424>40334?;4766;<73g?>>34?;m7?94:?64g=k479:?665><479:?667=16?h:5889>7`4=0016?h=5889>7`3=001688?5889>004=001688=5889>7`0=0016>475176897d?28=?<5:km6574=2`1>=?<5:h>6574=2``>403349i5766;<0af?>>348ii766;<0`5?>>348h8766;<0``?71<279o:479:?1gdoo4>659>1a4=00169i=5889>113=00169985889>1c2=00169h95176890`021301876:9;890e621301868:9;890>?213018o9:9;890g0213018o7:9;890g>213018on:9;890ge213018ol:9;890gc213018oj:9;8901?21301896:9;8901f2130189m:9;8900f2130188m:9;8900d2130188k:9;8900b2130188i:9;890172130189>:9;890e32130187?:9;890?62130187=:9;890?121301878:9;890??21301>6::b;896122j301>kn:b;894>628>:70=m8;::?83193i37p}>8383>7}Y91801<6<:b:8yv7?;3:19hu265;9<7=:>??14?526749<7=:><=14?5264:9<7=:><314?5264c9<7=:>?l14?526629<7=:>><14?524g79<7=:n14?5236g9<7=:;>l14?523929<7=:;1;14?523909<7=:;1914?522`g9<7=::hl14?522c29<7=::k;14?522c09<7=::k914?522c69<7=::k?14?522c49<7=::k=14?522c`9<7=::ko14?522b39<7=::j>14?522bf9<7=::j=14?522bc9<7=:=jh14?525e09<7=:=m914?525579<7=:==<14?525g69<7=:=l=14?525g59<7=:=0314?525b39<7=:=1=14?5259:9<7=:=?k14?5257`9<7=:=?i14?5257f9<7=:=?o14?5257d9<7=:=>:14?525639<7=:=0:14?525839<7=:=0814?525849<7=:=0=14?5258:9<7=:>?214?526759<7=:>=i14?5265`9<7=:>=k14?521`79<7=:9191=9?4=757>=><5?=:65<4=756>=><5?=<65<4}r3;0?6=;r7:4k4>409>0<5=kk16=4m5cb9~w4>22909w0?75;375>;3190hm6s|19494?5|582>6no4=0;1>42634;2=7mm;|q2<2<72:q6=5;5c89>5<5=9=;01<7>:bc8yv7?13:1>v3>8`8204=:9091ol5rs0:a>5<5s4;2>7mn;<3;g?b63ty:4i4?:3y>5<4=kk16=5k51538yv7>83:1>v3;868`g>;6180o=6s|18694?4|583h6no4=0;6>4263ty:5;4?:3y>503:1>v3>9c8`f>;6100:8<5rs0;b>5<5s4;2m7?;1:?7<2:70?6a;ab?xu61j0;6?u218c9g<=:90i1=9?4}r3:`?6=:r7:5i4>409>0<6=kk1v<7j:18187>l3ij70?6e;375>{t9h:1<7f?<58k;6<:>;|q2e7<72:q6::858b9>5d2=9??01;9<:b;8yv7f;3:1?v396g8;g>;1?:0hm63>a48220=z{8kn6=4={<623?ee34;jj7?;1:p5g6=83?p1:062?826<3ih70:>1;a`?826:3ih70?m3;a`?xu6j;0;6>u241;9gg=:<9k1ol521c1951752z?7g`409~w4d12908w0:lc;aa?87e?3;?=63>b88`g>{t9k21<7=t=5ab>fd<58h26<:>;<6`0a6=kh16=ol51538yv7ek3:1>v3;cd8`=>;6jm0:8<5rs0`f>5<5s4>ho7mn;<3ab?7392wx=n>50;0x91ef2jk01:062?xu6k;0;6?u24d;9gd=:9j91=9?4}r3`0?6=;r7?i:4lb:?2g0<6<816=n95cb9~w4e12908w0:j5;aa?87d?3;?=63;e28`f>{t9j21<7fg<58i26<:>;|q2gd<72;q68h75c89>5fd=9=;0q~?lc;296~;3m>0hm63>ce8204=z{8in6=4={<6f1?ef34;hj7?;1:p5a6=838p1d083>7}:9m;1=9?4=0f1>=17>52z?2`7<6<816=i=5869~w4b42909w0?k3;375>;6l=03;6s|1e694?4|58n?6<:>;<3g1?>03ty:h;4?:3y>5a0=9=;010;6?u21e59517<58n:6<88;|q2`=<72;q6=i65153894b528<<7p}>d883>7}:9m31=9?4=0f0>4003ty:hl4?:3y>5ag=9=;016<88;|q2`f<72;q6=im5153894bc21=0q~?kd;296~;6lm0:8<521eg9<2=z{8nn6=4={<3ga?73927:hk477:p5a`=838p1e183>7}:9l:1=9?4=0g2>=17>52z?2a7<6<816=im51758yv7b;3:1>v3>de8222=:9l91=9?4}r3f0?6=:r7:hh4>669>5`2=9=;0q~?j5;296~;6lo0:::521d7951752z?2a3<6<816=h>51758yv7b?3:1>v3>e08222=:9l=1=9?4}r3f=?6=:r7:i44>409>5`g=0>1vec8;3>{t9lh1<742634;no768;|q2af<72;q6=hm5153894cc21=0q~?jd;296~;6mm0:8<521dg9<2=z{8on6=49{<3fa?739278ii4lb:?0a`;<3fe?71?2wx=k?50;0x94`628>:70?jb;353>{t9o81<740034;m>7?;1:p5c5=838p1:70?i6;:4?xu6n?0;6?u21g49517<58l<6594}r3e3?6=:r7:j:4>409>5c>=0>1vf88;3>{t9o31<742634;mm768;|q2bg<72;q6=kl5153894`228<<7p}>fb83>7}:9oi1=9?4=0d5>4003ty:ji4?:3y>5cb=9=;01;|q2bc<72;q6=k75175894`a28>:7p}=0183>7}:9ok1=;94=323>4263ty9<<4?:3y>657=9=;01?>=:958yv47:3:1>v3=038204=::9914:5rs320>5<5s48;?7?;1:?141=:50;0x976328>:70409>7`b=kh16?hk5cc9>000=kh168;;5cc9>030=kk16?k75cc9~w7602909w0;5880:::5rs32;>5<5s48;>7?97:?14=<6<81v?>6:181847;3;=;63=088204=z{;:j6=4={<030?71?279409~w76e2909w0;58<0:::5rs32`>5<5s48;:7?97:?14f<6<81v?>j:181847m3;?=63=0g8;3>{t:9l1<7426348:<768;|q155<72;q6><>51538977621=0q~<>1;296~;5980:8<522009<2=z{;;96=4={<026?739279=>477:p645=833p1??<:062?82413ih70:=8;a:?85bn3;=;63;578`f>;3><0ho63;678`g>;4nk0hm63;4`8`e>{t:8>1<7426348;i7?97:p643=838p1??::062?847n3;=;6s|20494?4|5;;;6<88;<022?7392wx><950;0x977628<<70<>7;375>{t:821<7400348:47?;1:p64?=838p1??6:062?846;3;=;6s|20c94?4|5;;j6<:>;<02f?>03ty9=o4?:3y>64d=9=;01??l:958yv46k3:1>v3=1b8204=::8n14:5rs33g>5<5s48:h7?;1:?15`:70<>f;:4?xu59o0;6;u220d9517<5=926nl4=50;>fg<5:li6nl4=547>fg<5=>j6nl4}r014?6=:r79=l4>669>676=9=;0q~<=1;296~;59k0:::5223395177>52z?15f<6>>16>?<51538yv45;3:1>v3=228204=::8n1=;94}r010?6=:r79=h4>669>672=9=;0q~<=5;296~;59o0:::52237951752z?163<6<816>?95869~w7402909w0<=7;375>;5:103;6s|23:94?4|5;836<:>;<01=?>03ty9>44?:3y>67?=9=;01?v3=2`8204=::;h14:5rs30a>54lb:?760;4lc:?77g?m50;0x974d28>:70<=6;353>{t:;n1<74263489;7?97:p67c=838p1?<7:044?845m3;?=6s|23d94?4|5;826<88;<01b?7392wx>>>50;0x974f28<<70<<0;375>{t::;1<74263489n7?97:p664=838p1?==:062?844;32<7p}=3283>7}:::91=9?4=317>=152z?171<6<816>>;5869~w7522909w0<<5;375>;5;?03;6s|22494?4|5;9=6<:>;<003?>03ty9?54?:3y>66>=9=;01?==:044?xu5;00;6?u222;9517<5;986<88;|q17d<72;q6>>o51538975328<<7p}=3c83>7}:::h1=9?4=316>4003ty9?n4?:3y>66e=9=;01?=9:044?xu5;m0;6?u222f9517<5;9<6<88;|q17`<72;q6>>k51538975a21=0q~<;6=4={<074?7392798<477:p617=838p1?:>:062?843:32<7p}=4383>7}::=81=9?4=360>=152z?101<6<816>>k51758yv43=3:1>v3=448204=:::l1=;94}r072?6=:r798;4>409>616=9?=0q~<;7;296~;5<>0:8<52253953152z?10=<6<816>9<51758yv4313:1>v3=488204=::=91=;94}r07e?6=:r798l4>409>61d=0>1v?:m:181843j3;?=63=4b8;3>{t:=i1<7426348?h768;|q10a<72;q6>9j51538972b21=0q~<;e;296~;5669~w7362909w0<:1;375>;55<5s48>>7?;1:?10f<6>>1v?;<:181843l3;=;63=528204=z{;??6=4={<07a?71?279994>409~w7322909w0<;f;353>;5=<0:8<5rs375>5<5s48>:7?;1:?1128950;0x973028>:70<:8;:4?xu5=10;6?u224:9517<5;?26594}r06=?6=:r79944>409>60g=0>1v?;n:181842i3;?=63=5c8;3>{t:426348>:7?97:p60b=838p1?;k:062?842?3;=;6s|24g94?4|5;?n6<:>;<068h50;0x973>28<<70<:f;375>{t:?:1<7400348=<7?;1:p637=838p1?;m:044?84193;?=6s|27494?4|5;<<6<:>;<05=?ef3ty9::4?:3y>63>=9=;01?86:b;8yv41j3:1>v3=6b8204=::?o1ol5rs34`>5<5s48=h7?;1:?12`:?50;0x913621i01?9::062?xu5?;0;6?u24409<1=9?4}r047?6=:r7?9>47c:?131<6<81v?98:18182?832h70<8b;375>{t:>21<7=e<5;=h6<:>;|q13<<72;q685<58b9>62b=9=;0q~<8a;296~;30:03o63=7d8204=z{;=m6=4={<72b?>d3483?7?;1:p6=6=838p18328>:7p}=8083>7}:=;;14n5229795177>52z?667409~w7>?2909w0:65;a`?82?03n:7p}=8883>6}:<1<1o45249d9517<5=3>6nl4}r0;e?6==r7>>>4l9:?725e2908w0:>4;a:?827l3i270:?e;375>{t:1i1<79t=51b>42634>997mm;<673?ef34>??7mn;<612?ee34>?o7mm;<60g?ef3ty94i4?:3y>0d4=9=;0197l:b`8yv4?m3:1>v3;438`g>;3;m0:8<5rs3:e>5<5s49n<76l;<057?7392wx>4>50;0x96c621i01?8;:062?xu5180;6?u23d0947c:?12<<6<81v?7<:18185b<32h70<9a;375>{t:0>1<7=e<5;;|q1=0<72;q6?h858b9>63`=9=;0q~<66;2973}:;l214?524469<7=:<8l14?524eg9<7=:914?524639<7=:<>814?524169<7=:<9814?524119<7=:<9=14?524179<7=:<9<14?524249<7=:<:=14?524cf9<7=:53z?1=3<6><16>465c99>223=0j1v?76:18a84>13;=963=ad8;=>;5io03563=b18;=>;5j803563=b38;=>;5j:03563=b58;=>;5j<03563=b78;=>;5j>0356s|28c94?4|5;3265m4=3;a>4263ty95i4?:3y>6m3:1>v3=9d8204=::h:1o45rs3c3>5<5s482n7m6;<0b4?7392wx>l?50;0x97g628>:705<4s4;j87?96:?1e6<6><16=l;51748yv4f<3:15v3=ad8220=::j21ol522ea9gf=::jh1on522`39g<=:;9=1o5522e69gf=::m21oo522e49gg=z{;k>6=48{<0bb?71=279hn4la:?1b2l850;6x97d728<>700;68u236f9f?<5;li6n74=3f7>fg55z?03`649>6a6=kk16>kj5c89>6a2=k01v?o6:18`850n32h70;5k00hn63=db8`f>;51m0h563=fg8`=>;5jh0hn63=c48`=>;5lh0ho63=d08`e>;5l10ho63=d78`e>{t:hk1<7;t=2:3>=e<5;h?6<8:;<0g6?ee348o<7ml;<135?e>3ty9mo4?:4y>7=7=0j16>o;5177897b52jk01>><:b;897ea2jh0q~5<>s493?76l;<0a3?71=279o44la:?1=a=838p1?l7:046?800<32h7p}=b883>7}::k214n522cc951755z?1fg<6><16>oj5cb9>6nm5c89>6d4=kh1v?ll:18184ej32h70{t:ko1<78t=3`f>402348ih7mn;<0:`?ee3482i7mn;<0ae?e>348h<7ml;|q1fc<72;q6>ok58b9>6f6=9=;0q~d348h?7?;1:p6f2=83?p1?m;:046?84d;3ij70649>704=k016?8>5c89>71c=k016?9m5c89>71g=k016?965c89>710=k016?5;5c`9>6f?=k016>4j5cb9>6f0=kh16>nk5c99>6<`=kh1v?m7:18184d?32h70{t:jk1<7;t=3ab>402348h47m6;<0`f?ee348j=7mn;<0:b?ee3ty9oo4?:3y>6fg=0j16>nl51538yv4dl3:1>v3=ce8220=::ji1oo5rs3f1>5<2s48o>7?;1:?1g34la:p6a2=83>p1?o?:bc897bf2jh01?j;:062?84c;3i27p}=d483>7}::j?1oo522e4951754z?1g6409~w7b>2908w0{t:mh1<7:t=3`g>fd<5;nh6<:>;<0`g?ef348j>7m6;|q1`a<728:3i270?78;aa?87>=3ii70?n0;ab?87>m3ij70:na;a:?82?k3ih70:7d;a:?87?k3ii7p}=dd83>40|5;>>6n74=31e><5<5;926n74=310><5<5;?:6no4=37g>fg<5;o?6<8:;<3;b?ee34;3m7mn;<6b3?ee34;257mm;<3;a?ef34;2;7mn;<6:6?ee34;347mn;<3:1?ef34;j<7mm;<3:a?e>34>jm7mn;<6;g?e>34>3h7mm;<3;g?ef3ty9hk4?:04x97212j301?:?:818975f2j301?=;:81897352jk01?;j:bc897c228<>70?7f;ab?87?i3i270:n8;a:?87>13i270?7e;a:?87>?3ih70:62;ab?87?03i270?65;a:?87f83i270?6e;aa?82f13ij70:7c;ab?82?l3ij70?7c;a:?xu5m90;6ou22559g<=::=;15>5222`9g<=:::?15>522d49533<5=3i6nl4=5c;>fd<5=3o6nm4=37e>fg<5;?86no4=5c:>f?5bz?10=<1684l5c89>0dd=k01684j5cc9>636=kk16>8:5cc9>0dg=kk1v?k=:18a84313i270<;3;;0?844l3i270<<7;;0?84b03;=963;9c8`e>;3ik0hn63;9e8`e>;5>80h563=548`=>;3ih0ho6s|2d;94?4|5;o865m4=3gb>4263ty9io4?:3y>6`2=0j16>hm51538yv4bl3:1>v3=e48;g>;5ml0:8<5rs3ge>5<5s48n:76l;<0e4?7392wx>k?50;0x97c021i01?h=:062?xu5n:0;6?u22d:91=9?4}r0e1?6=;r79j84>409>6c0=0>16?=75869~w7`12908w0;5n103;63<0`8;3>{t:o=1<7=t=3d4>426348m:7?97:?04<k650;1x97`?28>:706}::o31=9?4=3d;>400349;m7j?;|q1bd<72:q6>ko5153897`d21=01>>l:958yv4aj3:1?v3=fc8204=::ok1=;94=22a>a653z?1bf<6<816>kk5869>75b=0>1v?hk:18084al3;?=63=fb8222=:;9i1h=5rs3df>5<4s48mi7?;1:?045{t;9:1<7=t=223>426349;>768;<13b?>03ty8<<4?:2y>757=9=;01>>?:044?857m3n;7p}<0383>6}:;981=9?4=227>=1<5:;;6594}r137?6=;r78<>4>409>754=9?=01>>i:e28yv57<3:1>v3<058204=:;8;14:5rs226>5<4s49;97?;1:?041<6>>16?<>5d19~w6612908w0;4810o<63<068204=z{:;96=4={<126?739278=<4k0:p745=839p1>?<:062?856<32<70==7;:4?xu49=0;6>u23069517<5:;=6594=20;>=153z?050<6<816?<:5175896402m:0q~=>6;297~;49?0:8<5230:9<2=:;;314:5rs234>5<4s49:;7?;1:?053<6>>16??65d19~w67?2908w0=>8;375>;49h03;63<2`8;3>{t;831<7=t=23:>426349:47?97:?06<:70=>c;:4?855j32<7p}<1c83>6}:;8h1=9?4=23b>4003499m7j?;|q05f<72:q6?a653z?05`<6<816??>5869>77b=0>1v>?i:180856n3;?=63<1d8222=:;;i1h=5rs203>5<4s499<7?;1:?067h477:p777=839p1><>:062?85583;=;63<2e8g4>{t;;81<74263499j768;|q066<72:q6??=51538964528<<70==e;f3?xu4:=0;6>u23019531<5:8=6i>4=206>4263ty8?=4?:3y>766=9=;01>;5l10h563=cg8204=::m<1o45rs211>5<4s49h?7m6;<1b0?ee349ii7?;1:p765=838p1?ln:bc897b428>:7p}<3583>=}:;k:1ol523b39517<5:i;6nl4=2ca>fd<5:k96n74=2c0>f?<5:hi6no4=2a;>fd53z?0g6=9:18084dj3ij70;5i;0hn6s|32594?>|5:9n6<8:;<6;3?e>34>2?7mn;<6;f?71?27?5=4l9:?7=4650;5x965a28<>70:79;aa?82?i3ii70:m2;aa?82>=3i270:m0;a:?82f<3ii7p}<3883>3}:;=:1=;;4=5c6>f?<5=3o6n74=5;:>fg<5=h96n74=5`3>fg56z?004<6><168l;5cc9>00g2=kh1684m5c`9~w65e290>w0=;2;351>;3i;0h563;a78`=>;3100hn63;b28`e>{t;:i1<7;t=260>40234>j>7mn;<6b2?ee34>2m7mm;<6a7?ee3ty8?i4?:7y>712=9??019ok:b;891d32j3019l>:b`891?d2j30197n:b;8yv53=3:1>v3<3d8;g>;45<5s498j76l;<17:n:062?xu4:i:181853;32h70=:0;375>{t;<;1<7=e<5:?96<:>;|q016<72oq6?>k5879>76`=0?16?9>5879>717=0?16?9<5879>715=0?16?9:5879>6`5=0?16>h:5879>6`3=0?16>h85879>6`1=0?16>h65879>1f1=0?169;?51538yv52<3:1>v3=ad8;g>;4810:8<5rs276>5<5s492876l;<112?7392wx?8850;0x97ga21i01>>6:062?xu4=>0;6?u23879;6:18185>>32h70==8;375>{t;=e<5::i6<:>;|q01g<72;q6?4958b9>77?=9=;0q~=:c;296~;5j;03o63<0b8204=z{:?o6=4={<1:d3499m7?;1:p70c=838p1?l<:9a8966c28>:7p}<5g83>7}:;0314n5233`951752z?1f1409~w6062909w0=6a;:`?855k3;?=6s|37094?4|5;h>65m4=22e>4263ty8:>4?:3y>7v3=b78;g>;4990:8<5rs246>5<5s492o76l;<11a?7392wx?;850;0x97d021i01>?>:062?xu4>>0;6?u238f9649>6`g=kh1v>86:181851n3;=963=eb8`e>{t;?k1<7402348ni7mn;|q02g<72;q6?:?5177897`72jk0q~=9c;296~;4?;0::8522g09gd=z{:8j:948960a21<01>9?:948961621<01>9=:948961421<01>9::062?xu4??0;6?u236f9533<5:>=6no4}r143?6=:r78;h4>649>71>=kh1v>97:181850n3;=963<4`8`e>{t;>31<7402349?o7mn;|q03d<72;q6?5?51778962b2jk0q~=8b;296~;40;0::8523429gd=z{:=h6=4={<1;7?71=2789?4la:p7=2=832p1>9k:948961b21<01>9i:94896>721<01>6>:94896>521<01>6<:94896>228>:7p}<8783>76|5;o865<4=3g7>=4<5;o>65<4=3g5>=4<5;o<65<4=3g;>=4<5:k265<4=2;f>=4<5:=4<5:=;65<4=252>=4<5:=965<4=250>=4<5:3?65<4=2;6>=4<5:3=65<4=2;4>=4<5:3365<4=2;:>=4<5:3j65<4=2;a>=4<5:3h65<4=2;g>=4<5:kh65<4=2ce>=4<5:h965<4=2`6>=4<5:hh65<4=2`:>=4<5:h365<4=2:;>4263ty84:4?:3y>7=0=9??01>67:b:8yv5?13:1>v3<878;g>;51j0:8<5rs2:b>584l8:?0e74la:?0g070=l1;aa?856=3i270=l5;a`?xu40j0;64u23849533<5:h:6no4=2a3>f?<5:;<6n74=2ca>fg<5:k:6<88;<1`1?e>349in7mm;<1`73c=0j16?495177896e12jk01>li:b`8967>2j30q~=7e;291~;4>o03o63<998220=:;j;1ol5230`9g<=:;j?1ol5rs2:e>5<2s49<<76l;<1:=?71=278o;4l9:?0fc9>:9a896?f28<>70=>f;a:?85d<3ii70=me;aa?xu4180;68u23609f?<5:i?6no4=2`f>fg7>55z?036649>775=k016?n:5c89>7gc=k01v>7<:18785>l3;=963;4jo0hm63<318`=>{t;0o1<7lt=2;f>40234928766;<1:1?>>3492:766;<1:3?>>34924766;<1:=?>>3492m766;<1:f?>>3492o766;<1:`?>>3ty85k4?:3y>7v35<5s49j47m6;<1b5?7392wx?l:50;0x96g?2ji01>o;:062?xu4i<0;6?u23`49517<5:k<6n74}r1b=?6=:r795;47c:?0e<<6><1v>on:18185f132h70=nb;375>{t;hi1<7;t=2c`>402349i;7mn;<1ba?ed349j?7ml;<1b2?e>3ty8mi4?:3y>7de=0j16?lk51538yv5fn3:1ov31o4522g09g<=::o:1o4522dg9g<=::li1o4522dc9g<=:;>?1ol523c29gf=:;ho1ol523`:9gg=:;h<1ol5rs2`3>5<5s49jj76l;<1a4?7392wx?o<50;6x96d528<>70=ma;aa?85e<3ih70=n4;a:?xu4j:0;6?u23c091=9?4}r1a1?6==r78n84>649>7g1=kj16?o658b9>7d4=kj16?l85cc9~w6d12909w0=m5;:`?85e?3;?=6s|3c:94?3|5:h?6no4=2`;>402349jn7ml;<1b6?ee349j:7ml;|q0f<<72o<:b`896g028<<70=mb;a:?xu4jh0;6?u23c;9649>7gg=k01v>lk:18785d83;?=63;4j=0h563{t;j81<7=t=2a0>426349im7mn;<1a0?ee3ty8o94?:2y>7g6=kk16?l65c`9>7f2=9=;0q~=l7;296~;4il0hn63d348hi7?;1:p7fg=838p1??6:bc894g128>:7p}7}::9h1ol523ba951753z?10a<>;27:in463:?2e2<6<81v>mj:180843m33870?jd;;0?87f03;?=6s|3bd94?5|5;>m64=4=0gf><5<58k26<:>;|q0`5<72;q6>>?5c`9>5dg=9=;0q~=k1;296~;6m?0hm63:7p}6}::521gc9=6=:9hn1=9?4}r1g2?6=:r79>>4la:?1<2<6<81v>j7:18085c?3;?=63=258`f>;5:<0ho6s|3e;94?g|5:o;6<8:;<66=?e>348=87mn;<056?ee348=97ml;<057?e?348=;7ml;<05j87mn;<710?ed3ty8hl4?:ey>7`7=9??018<<:b`8913>2jk0198?:bc896`02j301?8;:b`897052ji01?8::b`897002jh01?87:bc8915f2j3019o;:ba8913?2jk0q~=kb;29<~;4m;0::8524`79gd=::?81ol522779g<=::?=1ol5227:9gf=:<>;4l9:?135>1688o5cc9>00>=kk1v>jk:18;85b<3;=963;a78`e>;5?90hm63=6`8`=>;5>j0hn63=6e8`f>;3=h0ho63:258`e>{t;mo1<79t=2g6>40234>>57mm;<6b2?ed348=j7ml;<05g?ef348=h7mn;<710?e>3ty8hk4?:8y>7`0=9??019=j:b;891gc2jk0198?:ba896`12jk018<9:ba8970a2j3019;n:bc8913?2j30q~=j7;29<~;4m903:63;4m=03:63;4m:03:63;4m?03:63:248204=z{:o36=4>bz?0a=<6><169?=5c`9>06g=kh168;?5c`9>031=kk1689l5c`9>06?=k01688k5cb9>7c0=kk16>;:5c89>0=3=kj1688h5cc9>634=k016>;;5c`9>63`=kh16>:>5c89>63g=kh16?hj5cb9>7`c=k016>;m5c89>63b=k016>;95c89>63>=k0168995c89>017=k0168?l5c89>00e=kk1v>k6:18185b032=70=jb;375>{t;lk1<7=t=2gb>42634>9>7m6;<1ff?e>3ty8in4?:3y>7`b=9=;01>ki:bc8yv5bl3:1>v35<5s4>9?7?;1:?702:70:fg<5:l>6nl4=56g>fd55z?2bd<6<8168?:5c89>06e=k016?k;5c89>01b=k01v>h;:18185b032h70=i6;375>{t;o?1<7fd<5:l>6i?4}r1e409>01g=kj1v>hn:18785bj3ii70:92;a:?82393ih70=ib;375>{t;oi1<7?={<636?71=27:h=463:?2ac;279=l463:?2bg;463:?2a7669>67e=kh16><:5c`9>651=kh16=i85c`9>676=kh16=lh5cc9~w6`c290:8v3;028220=:9m;15>521g29gd=::9815>522079g<=::9l15>5220`9=6=:9oi1ol522359=6=:9mn15>524229gg=:5<6>r7?<94>649>5a4=1:16=k?5c`9>655=1:16><>5929>64e=1:16=kj5c`9>67>=1:16=ik5929>066=kh168l65c`9>674=k016><85c89>5`2=k0168<:5c`9>047=kk168<<5cc9>5a>=kh168?j5cc9>65?=kk16>?k5c`9>5g5=kk1v>hi:1821~;38<0::8521e;9g<=:9m915>522169=6=::8;15>522319g<=::8n15>5223;9=6=:9ml15>524229g<=:5<6=r7?<;4>649>5a2=1:16>=l5c89>653=1:16><<5929>64c=1:16>?o5929>5`0=k016=h>5929>0dd=kh16>?:5c89>64>=k016=k=5cc9>05b=kh168=75c`9>05g=kk16=io5c`9>666=kk16=kh5c`9>067=kh168?k5c`9~w166290:8v3;068220=:9m?15>522149=6=::831o4522019=6=::8l15>522239g<=::;h15>521d39=6=:5<5s4>;;76l;<63f?7392wx8=750;0x916>28>:70:?b;ab?xu38h0;6?u241c9517<5=:i6n74}r63g?6=:r7?<;47c:?74a<6<81v9>i:181827=32h70:>0;375>{t<891<7=e<5=;?6<:>;|q750<72;q68==58b9>040=9=;0q~:>8;296~;38;03o63;1b8204=z{=;26=4={<62e?73927?=n4la:p04g=838p19?m:062?826k3i27p};1e83>2}:<9>14;524109<3=:<9914;524159<3=:<9?14;524149<3=:<8o1=9?4}r62b?6=9:b`891712jk019?;:b`8916c2jh019=<:b:8917728<<70:>a;a:?826j3ij70:?b;353>;3:<0h563;278`=>;3:>0h563;0d8`=>;6io0hm63>b28`=>{t<;:1<7=0<5=896<:>;|q764<72:q68??51538914f2jk019v3;2c8`g>;3:=0:8<5rs504>5<5s4>9>7mn;<613?7392wx8?750;1x914>28>:70:=a;a:?825j3ij7p};2b83>=}:<;n1=9?4=502>fd<5=;=6nl4=531>f?<5=;j6nm4=53a>fe<5=:26nm4=52f>fd9j7>58z?775<6<8168??5c`9>040=kj16804g=kh16805g=kj168=k5c`9~w1552909w0:>f;:`?824;3;?=6s|42694?>|5=9=6<8:;<671?ee34>?:7m6;<61=?e>34>:m7mm;<62f?e>34>?>7m6;<3bb?e>3ty??84?:9y>061=9??019:::b;891212jk019:?:bc8915a2jk019<6:bc894d62jk019?8:bc8yv2403:1>v3;378;g>;3<;0:8<5rs51:>5<5s4>857?;1:?77al50;0x915e28>:70::6no4}r674?6=:r7?8=4>409>014=kh1v9:>:181823:3ii70:;1;f2?xu3<:0;6?u24519517<5=>:6nl4}r670?6=:r7??:47c:?70=<6<81v9:::181823=3;?=63;498`e>{t<=<1<742634>?47m6;|q702<72;q689951538912?2jh0q~:;9;297~;3;?03:63;368;2>;35<5s4>?o7?;1:?70g70<85;ab?840<3ij70<86;ab?xu3u24409533<5;=?6nl4=355>fd><7>5bz?716<6><16>:;5c89>622=k016>:85c89>173=k01687`g=kh168;95c89>01d=k0169?85c`9>0=3=k01v9;;:18;822<3;=963:248`e>;3>80hn63:278`f>;30<0hm63;5g8`g>;3{t<42634>>h7?97:?77a2jk01>kk:b;896cb2jk019;9:b;891012jk019<8:bc8913?28>:70=i9;ab?85aj3i270:;a;a:?xu3=00;68u244;9517<5:oi6no4=57f>fd<5=2>6nl4=547>f?>n7>52z?711409~w107290?w0:90;375>;3=l0hm63;5g8`=>;3=j0h56s|47394?4|5=<:6<:>;<66a?e>3ty?:>4?:3y>002=0?168;951538yv21<3:1>v3;668`g>;3>=0o=6s|47:94??|5=o7m6;<062??434;mn7m6;<3e1??434;n>7mn;<01g?e>34;o:7m6;|q72<<72mq68;h5177897222jk01?;k:b;8973020901j=:b;8yv21i3:1jv3;718220=::=<1ol5224g9g<=::<215>521gf9g<=:9o=15>523e09gd=:9l?1oo521d69gd=:9l21ol521e:9g<=:9hk1oo522229g<=::;l1o45223g9g<=z{=2z?734<6><16=i75c`9>611=kh16?i<5cb9>5db=kk16=lm5c`9>666=kj16=h;5c`9>5`>=kk16>;?5cc9>636=kh16>8h5c89>5dd=k116=lo5cb9>67`=kk16=kh5cc9>5cc=kh16>=>5cb9~w10d290ow0:82;351>;5<10hm63>ae8`e>;6ij0h563=318`e>;6no0h563>e68`f>;5>80ho63=618`=>;6lh0h563;6ih0hm63=018`e>{t402348?57mn;<3b`?e>34;n;7m6;<055?ef34;on7m6;<3be?e>348;<7m6;|q731<72;q68:?58b9>023=9=;0q~:86;296~;3?903o63;768204=z{==36=4={<65b?>d34><57?;1:p02g=838p198j:9a8911e28>:7p};7b83>3}:<1:1=;;4=35g>fd<5;=h6no4=35f>fe<5=l<6nm4=35a>f>55z?7<4<6><16>:j5c`9>62e=k016>:k5c89>0cg=kh1v99j:18682?:3;=963=7e8`=>;5?j0hn63=7d8`e>;3nm0hm6s|46d94?2|5=286<8:;<04g?ed3480=6=0?1685?5879>0=4=0?1685=5879>0=3=9=;0q~:76;296~;6i=03o63;878204=z{=2<6=4;{<6;3?73927?594lc:?7<=p1966:b;891?32jk0196m:062?82?03i27p};8d83>6}:<0>1o45249g9517<5=236no4}r6:6?6=:r7?5?4>409>0<7=k01v97<:18182>;3;?=63;908`f>{t<0?1<7=e<5=3>6<:>;|q7==<721q69=>5c89>0cb=k0168ko5c89>0c1=k0168485c`9>0=g=kh168475153891?02j30q~:6b;296~;31k0:8<5248c9gd=z{=3h6=48{<734?ed34>mh7ml;<6ee?ed34>m;7mm;<6:2?e>34>2;7mn;<6:g?7392wx84k50;0x975028>:70:m1;ab?xu31o0;6?u22519517<5=h;6nl4}r6b4?6=:r798k4>409>0g7=k01v9o>:181842j3;?=63;b18`g>{t42634>3:7mn;<3b1?71?2wx8l:50;0x91gb2j3019o;:e38yv2f=3:1>v3;a48204=:5<5s4>j:7?;1:?7e`:70:nd;aa?xu3i10;6?u24`:9517<5=kh6n74}r6b=?6=:r7?mk4l9:?7e<<6<81v9om:18182fj3;?=63;ab8`e>{t42634>jh7ml;|q7ea<72;q68lj5153891gb2ji0q~:ne;296~;3il0:8<524`d9gd=z{=h;6=4={<6a0?ee34>i<7j>;|q7f4<72;q68o<5cb9>0g7=l81v9l=:18182e:3;?=63;b58`g>{tfd<5=h86i?4}r6a1?6=0r7?no4>649>02d=k0168n=5c89>0f0=k0168i>5cc9>0a4=kh16=o95cc9>5g3=kj1v9l9:18482ek3;=963;788`=>;3k:0hm63;c78`e>;6j>0ho63>bc8`e>;6j<0h56s|4c594?0|5=ho6<8:;<643?e>34>h>7mm;<6`2?ee34;i;7mn;<3a`?ef3ty?n54?:6y>0gc=9??0199::bc891102ji019m<:b`891e22jh012j30q~:m9;29<~;3jo0::8524609?1o4524659gg=:47c:?73031ol524e29g<=:fe<5=ij6n74=5a4>f?<5=n96nl4}r6`3?6=:r7?o=47c:?7g2<6<81v9m6:18182en32h70:la;375>{t=e<5=ih6<:>;|q7ga<72;q68oj58b9>0fc=9=;0q~:lf;296~;3jj03o63;d18204=z{=n:6=4={<6af?>d34>o>7?;1:p0a5=838p19j<:062?87e?3i27p};d583>2}:649>14g=k0169=h5c89>144=k0168hl5cc9>0`b=kh16=n;5cc9>5f5=kj1v9j8:18482cl3;=963:198`=>;28o0hm63:138`e>;6k<0ho63>c88`e>;6k:0h56s|4e:94?0|5=nn6<8:;<722?e>34?;i7mm;<726?ee34;h97mn;<3`f?ef3ty?h44?:6y>0a`=9??018?;:bc890712ji018>i:b`890762jh011o4525049gg=:=9o1ol525039g<=:9jl1ol524d19g<=z{=ni6=48{<6f5?71=27>=;4la:?64`=<4la:?7a7{t=e<5=oi6<:>;|q7af<72;q68im58b9>0`b=9=;0q~:je;296~;3ml0:8<521b79g<=z{=om6=48{<6ga?>134>oo769;<6g`?>134>n=769;<6gb?>134>n<769;<6e4?7392wx8k?50;fx91`228<>70?69;ab?87?m3ih70?67;aa?82>:3ih70?63;aa?87>k3ii70?6f;a:?87>=3ih70?n1;a:?82?k3ii70:7d;a`?87?k3ih7p};f783>7}:m>7>59z?7b=<6><16=4<5c89>55<5=k016=4m5c89>5<`=kh16=l?5c`9>0=c=kk1v9h6:18182a032h70:ia;375>{t40234;397?97:?2=a<6>>16=4o5175891?42ji0196j:bc894?62j30q~:ic;296~;3nk03o63;fe8204=z{=l?6=4;{<6ea?71=27?4:4lb:?7>1685k5c89~w1`a2909w0:ie;:`?83783;?=6s|51394?b|5<:<6<8:;<00<7m6;<07e??434;nj7m6;<3f=??4349o;7m6;<016?ed3489=7mm;<020?e>348;;7m6;<0;3?e>3489<7m6;|q647<728:p18>7:046?84413ij70<:1;a:?843j33870?i0;a:?87bi33870<>5;ab?85dk3ii70=k7;ab?845:3ii7047|5<:26<8:;<00e?ef348>>7m6;<07g??434;m=7m6;<3ff??4349ho7mn;<1g3?ee3489>7mn;<03e?ee348:47mm;<03=?ef348:;7mm;<022?ef348;h7mn;<3b2?ee3483;7mm;|q641<7289p18>n:046?844j3ij70=lc;a`?87f13ii70?n8;ab?85c?3ih707;ab?87a;3ij70f?<58k26no4=0c;>f?<5;8?6no4=33;>fg<58l86n74=32g>fd<58l?6nm4=376>fe<5;??6n74=0c5>fg<5;8>6nl4}r732?6=0r7>649>66b=kh16=l75c89>65e=k016=k:5c`9>603=kh16=l85c89>673=k01v8>k:18b836i3ij70;>8;aa?82bj3ih70;?e;375>;3n90ho63;e88`g>;3m>0h563;e48`g>;3m;0ho63;ee8`=>{t=8:1<7ot=43b>fd<5<;36no4=5ga>f?<5=l;6nl4=432>42634>n57mm;<6f3?ed34>n97m6;<6f6?e>34>nh7mm;|q656<72;q69=o58b9>142=9=;0q~;>5;296~;28003o63:178204=z{<;<6=4={<73d34?:47?;1:p14?=838p18>8:9a8907f28>:7p}:1c83>3}:=8l1=;;4=3:6>fg<5;2?6no4=3:5>fe<5=l<6no4=3:0>f>55z?665<6><16>5;5cc9>6=2=k016>585c`9>0cg=kk1v8?k:18683593;=963=848`=>;50=0hn63=878`=>;3nm0hn6s|50g94?2|5<896<8:;<0;0?ed3483:7mm;<734?ee3ty>>>4?:4y>14`=0?169?>5879>177=0?169?<5879>175=9=;0q~;=7;296~X2:>169>>51538yv3503:1>vP:299>167=9=;0q~;=9;296~X2:0169><51538yv35i3:1>vP:2`9>165=9=;0q~;=b;296~X2:k169>:51538yv35k3:1>vP:2b9>163=9=;0q~;=d;296~X2:m169>851538yv35n3:1>vP:2g9>161=9=;0q~;<9;296~X2;0169>o5c99~w05f2902w0;69;:`?832l3i270;:7;ab?832m3i270;:8;a:?832n3i270;:9;a:?834i3;?=63:9`8222=z{<9i6=4={_70f>;2;j0h46s|52a94?5|5fe<5<9h6<:>;|q67a<72:q699l5153890362jk018:l:bc8yv34m3:1?v3:eb8204=:=o81ol525d;9gg=z{<9m6=4<{<7g2?73927>ho4lb:?54750;1x90362j3018:l:b;8902b28>:7p}:4083>6}:=m?1=9?4=46:>f?<58l4>409>105=k01699h5c89~w024290hw0;;5;351>;3l:0h563:538`=>;3l<0h563>bg8`f>;6k80hn63>bc8`f>;6j00hn63;c98`e>;6jm0hn63>b48`f>;2=6<8:;<6g7?ef34??h7m6;<6g1?ef34;ij7m6;<3`5?e>34;in7m6;<3a=?ef34>h47ml;<3a`?e>34;i97mn;<767?ed3ty>8:4?:3y>110=0j1699j51538yv3303:1>v3:488204=:==k1o45rs46`>5<5s4??o7?;1:?60av3:448;g>;2=;0:8<5rs472>5<5s4?>=7?;1:?617v3:918;g>;2=>0:8<5rs476>5<5s4?2=76l;<765:47c:?61`<6<81v8;l:18183>032h70;:f;375>{t=?:1<7=t=4ab>42634?h57m6;<7`2?ee3ty>:?4?:dy>13g=9??018hn:bc890`b2jk018:m:b`890c72jh018k=:bc890b12jk018km:b`890572j2018kk:b`890bb2jh018:6:bc890cb2j3018j6:b`8yv31;3:1=?u257`9533<5f?<5f?<5fd<5f><5fe<5fg<5fd<5f?<5?:96nl4}r750?6=nr7>:n4>649>1cc=kj169hm5cc9>1a3=kh1698?5cc9>11e=kj169h<5c89>1`3=kk169><5c99>1cd=9?=018;<:bc890b02j3018j7:b;890cb2jk018ki:bc8yv31=3:1iv3:6e8220=:=o31oo525gc9gg=:==h1ol525d69gf=:=l?1on525d`9g<=:=:91o5525ec9g<=:=o:1on525df9gd=:=on1oo5255;9gg=:=m21oo5rs445>5i94lb:?6a0?94l8:?6bcii4l9:?60`il4l9:?6bfh54la:p131=83op188i:046?83am3ii70;jc;a:?83b<3ij70;j5;a:?83c>3ii70;jb;ab?834=3i370;if;ab?83ci3ij70;i0;ab?833m3ij70;id;ab?83313ih7p}:6983>a}:=>:1=;;4=4d:>fg<5<>i6n74=4g3>f?<5fe<5<9=6n64=4de>f?<5fd<5f?<5<>j6<88;|q62<<72kq69:?5177890`>2j3018j::ba890c32j3018h=:b;890502j2018:j:ba890be2ji018k6:b;890ba2jk01;>=:ba8yv30:3:1mv3:c08;g>;2>h03:63:6c8;2>;2>j03:63:6e8;2>;2>l03:63:6g8;2>;2?903:63:708;2>;2?:0:8<5rs457>5<1s4?<47?95:?6<14?4la:?6<5ol4lb:?63a28<>70;72;aa?83?83ih70;la;ab?830l3i27p}:7783>1}:=>k1=;;4=4:3>fg<5fe54z?63g<6><169n75cc9>1f0=kh169:j5c`9~w01d2909w0;8b;:`?830m3;?=6s|56f94?4|5<=o6<:>;<74a?ef3ty>;k4?:3y>12g=0j1695>51538yv3?93:1>v3:788;g>;20;0:8<5rs4:0>5<5s4?<476l;<7;0?7392wx95;50;1x90>028<>70;7c;a:?83?i3ij7p}:8783>7}:=121=;;4=4a0>f?52z?6<=4l4>409~w0>e2909w0;77;:`?83?k3;?=6s|59f94?3|5<3;6<8:;<763?e>34?>47mn;<76=?ee34?2o7mn;|q6<`<72=q694?51778903?2jh018;6:ba890?d2jh0q~;7f;297~;21;0::85254;9gd=:=0i1o45rs4;0>5<2s4?2:7?95:?61a9h4la:?61c5o4lb:p1<2=83>p1878:046?832m3ii70;:f;a`?83>j3ij7p}:9483>6}:=021=;;4=47e>fg<5<3i6n74}r7:=?6=9;q69475177890g1218018o8:90890g?218018o6:90890gf218018om:90890gd218018ok:90890gb21801897:908901>2180189n:908901e218018m8:90890e32180189<:bc890e42jh0q~;6a;296~;21003:63:9`8204=z{<3o6=4={<7b2?71=27>:l47c:p1u25`:9533<5<fg53z?6e<<6><169;j58b9>1ge=kh1v8o>:18083fi3;=963:6d8;g>;2jh0hm6s|5`094?5|5d34?i47mn;|q6e6<72:q69lm51778901721i018l9:bc8yv3f<3:1?v3:ae8220=:=>;14n525c69gd=z{6=4={<7ba?71=27>n?4la:p1d`=838p18oj:9a890d728>:7p}:b083>7}:=hn14n525c0951752z?6efn94>409~w0d22909w0;nb;:`?83e>3;?=6s|5c594?4|54263ty>n44?:3y>1d?=0j169oo51538yv3ej3:1>v3:a98;g>;2jj0:8<5rs4`g>5<5s4?j;76l;<7aa?7392wx9oh50;0x90g121i018m?:062?xu2k80;69u25b39533<5fd<5?::6nl4}r7`6?6=o<476:?64l4l9:?6g6<6<81v8m;:1824~;2k=0::8525b29g<=:=ko1o4525ca9g<=:=kk1o4525c:9g<=:=k<1o4525c69g<=:=k81o4525c29g<=:=1>1ol525909g<=:=1:1o4525b;9gd=:=j<1o45256g953152z?6g1o;4>409~w0e0290>w0;l7;351>;2n?0h563:ce8`e>;2?:0h563:c28`e>{t=j21<7=e<5;|q6gg<72>q69nl5177890ec2jh0189<:b`890e42ji018mi:bc890`42j301;>>:ba8yv3dk3:1>v3:cc8;g>;2km0:8<5rs4af>5<4s4?hn769;<7e0?>134?hj7?;1:p1a6=83ip18j=:046?82bm3i270:i0;a:?87dl3ii70?lf;aa?87d13ii70?l7;aa?82b;3ij70?lb;aa?87d;3ii70;j3;a:?83c?3ij7p}:d083>f}:=m91=;;4=5gf>fg<5f?<5=l;6no4=0ag>f?<58im6n74=0a:>f?<58i<6no4=5g0>fe<58ii6n74=0a0>fg52z?6`6hn4>409~w0b02909w0;ka;a`?83c?3n:7p}:d883>7}:=mi1ol525e;9`4=z{:7p}:e183>7}:=l:1=9?4=4g0>fd52z?6a7i<4k1:p1`4=838p18k=:062?83b;3ih7p}:e283>7}:=mo1o4525d19`4=z{i>4la:p1`3=838p18k::062?83cn3ii7p}:e783>3}:=m814;525e19<3=:==?14;525549<3=:=o81oo525g1951758z?6a2<6><168037=k0168;95c`9>1`g=kh1688h5c`9>01e=k01688m5c`9~w0c?2909w0;j7;:`?83b13;?=6s|5d`94?4|5;<7fb?ee3ty>ih4?:3y>1c6=k0169hk5d09~w0ca2909w0;ja;aa?83bn3n:7p}:f083>7}:=l=14;525g0951755z?6b1<6><169k85c`9>1fb=k0169k=5c`9>257=k01v8h::18183a<32h70;i6;375>{t=o=1<7mt=4d4>40234?m57ml;<7e6?ed34??i7mm;<7gf?ef34?n57mn;<7gb?ed34?>?7mm;<77b?ee34?n=7m6;<7g3?ee34<;>7mn;|q6b=<72;q69k958b9>256=9=;0q~;i9;297~;2n00:8<525ga9g<=:=on1o45rs4db>5<4s4?mm7?;1:?6bfji4lc:p1cd=838p1;>?:b;890`e28>:7p}:fd83>7}:=oo1=9?4=4de>fe52z?545jk4>409~w3662909w0;i7;:5?80793;?=6s|61194?4|V?:8708?4;375>{t>9?1<73;?=6s|61594?4|V?:<708?8;375>{t>931<7{t>9n1<70;375>{t>8;1<7;<420?>034<9;768;|q551<72:q6:<:51538937121=01;<7:958yv06=3:1?v39148204=:>8>1=;94=704>a653z?553<6<816:<65869>27?=0>1v;?8:180806?3;?=639178222=:>;21h=5rs73;>5<4s4<:47?;1:?55dl477:p24?=839p1;?6:062?80603;=;639288g4>{t>8k1<7=t=73b>42634<:o768;<41f?>03ty==o4?:2y>24d=9=;01;?n:044?805i3n;7p}91b83>6}:>8i1=9?4=73f>=1<5?8h6594}r42`?6=;r7==i4>409>24e=9?=01;;:14:5263f9<2=z{?;m6=4<{<42b?73927==h4>669>27e=l91v;;1:l03;6s|63394?5|5?8:6<:>;<414?71?27=>i4k0:p274=838p1;<=:062?805n32<7p}92283>6}:>;91=9?4=701>40034<9i7j?;|q561<72:q6:<=5175893412m:01;<::062?xu1;90;6?u26229517<5?8m6i>4}r405?6=:r7=:847c:?571<6<81v;==:181803j32h708<3;375>{t>:?1<7=e<5?9=6<:>;|q572<72:q6:;658b9>21g=0j16:>651538yv0413:1>v39488;g>;1;h0:8<5rs71a>5<5s4<>;76l;<412?7392wx:>m50;0x933?21i01;<8:062?xu1;m0;6?u264;9;21=9?4}r40a?6=:r7=9l47c:?56<<6<81v;=i:181802j32h708=a;375>{t>=:1<7=e<5?8i6<:>;|q504<72;q6:8j58b9>27e=9=;0q~8;2;296~;1=l03o6392e8204=z{?>86=4={<46b?>d34<9i7?;1:p212=838p1;8?:9a8934a28>:7p}94483>7}:>=31=;;4=05`>f>52z?23a649~w3202909w0?8e;a;?803j3;=96s|65:94?4|58=m6n64=76`>4023ty=8i4?:3y>201=9??01;<::b:8yv03m3:1>v39598220=:>8?1o45rs76e>5<5s4<>57?95:?55250;0x933f28<>708>9;a:?xu1=80;6?u264`9533<5?;i6n74}r466?6=:r7=9n4>649>24b=k01v;;<:181802l3;=96391g8`=>{t><>1<740234<9=7m6;|q510<72hq6:8h51778935f2j301;=9:b;893532j301;87:045?801?3;=:6394b8;g>;1:91o55rs775>5669>21d=9?=01;=?:b;8935?2j20q~891;296~;1><0::8526009g==z{?<96=4={<452?71=27=n:b:8930028<>7p}96583>7}:>921o55267:953352z\52<=:>?k1=9?4}r45f?6=:rT=:o5267a951752z\52a=:>?o1=9?4}r45b?6=:r7=:k4>649>23g=k11v;9?:18180083;=96396b8`<>{t>>;1<7f><5?=:6<8:;|q537<72;q6::>58b9>225=9=;0q~884;296~;1?=0::85266396=4={<441?71=27=;:47c:p220=838p1;99:046?80013i37p}97683>7}:>>h1o552665953352z\53==:>>31=9?4}r44e?6=:rT=;l5266`951750;3xL40e3td:50;3xL40e3td:50;3xL40e3td:50;3xL40e3td:50;3xL40e3td:50;3xL40e3td:50;3xL40e3td:==?50;3xL40e3td:==<50;3xL40e3td:===50;3xL40e3td:==:50;3xL40e3td:==;50;3xL40e3td:==850;3xL40e3td:==950;3xL40e3td:==650;3xL40e3td:==750;3xL40e3td:==o50;3xL40e3td:==l50;3xL40e3td:==m50;3xL40e3td:==j50;3xL40e3td:==k50;3xL40e3td:==h50;3xL40e3td:=<>50;3xL40e3td:=50;3xL40e3td:=??50;3xL40e3td:=?<50;3xL40e3td:=?=50;3xL40e3td:=?:50;3xL40e3td:=?;50;3xL40e3td:=?850;3xL40e3td:=?950;3xL40e3td:=?650;3xL40e3td:=?750;3xL40e3td:=?o50;3xL40e3td:=?l50;3xL40e3td:=?m50;3xL40e3td:=?j50;3xL40e3td:=?k50;3xL40e3td:=?h50;3xL40e3td:=>>50;3xL40e3td:=>?50;3xL40e3td:=><50;3xL40e3td:=>=50;3xL40e3td:=>:50;3xL40e3td:=>;50;3xL40e3td:=>850;3xL40e3td:=>950;3xL40e3td:=>650;3xL40e3td:=>750;3xL40e3td:=>o50;3xL40e3td:=>l50;3xL40e3td:=>m50;3xL40e3td:=>j50;3xL40e3td:=>k50;3xL40e3td:=>h50;3xL40e3td:=9>50;3xL40e3td:=9?50;3xL40e3td:=9<50;3xL40e3td:=9=50;3xL40e3td:=9:50;3xL40e3td:=9;50;3xL40e3td:=9850;3xL40e3td:=9950;3xL40e3td:=9650;3xL40e3td:=9750;3xL40e3td:=9o50;3xL40e3td:=9l50;3xL40e3td:=9m50;3xL40e3td:=9j50;3xL40e3td:=9k50;3xL40e3td:=9h50;3xL40e3td:=8>50;3xL40e3td:=8?50;3xL40e3td:=8<50;3xL40e3td:=8=50;3xL40e3td:=8:50;3xL40e3td:=8;50;3xL40e3td:=8850;3xL40e3td:=8950;3xL40e3td:=8650;3xL40e3td:=8750;3xL40e3td:=8o50;3xL40e3td:=8l50;3xL40e3td:=8m50;3xL40e3td:=8j50;3xL40e3td:=8k50;3xL40e3td:=8h50;3xL40e3td:=;>50;3xL40e3td:=;?50;3xL40e3td:=;<50;3xL40e3td:=;=50;3xL40e3td:=;:50;3xL40e3td:=;;50;3xL40e3td:=;850;3xL40e3td:=;950;3xL40e3td:=;650;3xL40e3td:=;750;3xL40e3td:=;o50;3xL40e3td:=;l50;3xL40e3td:=;m50;3xL40e3td:=;j50;3xL40e3td:=;k50;3xL40e3td:=;h50;3xL40e3td:=:>50;3xL40e3td:=:?50;3xL40e3td:=:<50;3xL40e3td:=:=50;3xL40e3td:=::50;3xL40e3td:=:;50;3xL40e3td:=:850;3xL40e3td:=:950;3xL40e3td:=:650;3xL40e3td:=:750;3xL40e3td:=:o50;3xL40e3td:=:l50;3xL40e3td:=:m50;3xL40e3td:=:j50;3xL40e3td:=:k50;3xL40e3td:=:h50;3xL40e3td:=5>50;3xL40e3td:=5?50;3xL40e3td:=5<50;3xL40e3td:=5=50;3xL40e3td:=5:50;3xL40e3td:=5;50;3xL40e3td:=5850;3xL40e3td:=5950;3xL40e3td:=5650;3xL40e3td:=5750;3xL40e3td:=5o50;3xL40e3td:=5l50;3xL40e3td:=5m50;3xL40e3td:=5j50;3xL40e3td:=5k50;3xL40e3td:=5h50;3xL40e3td:=4>50;3xL40e3td:=4?50;3xL40e3td:=4<50;3xL40e3td:=4=50;3xL40e3td:=4:50;3xL40e3td:=4;50;3xL40e3td:=4850;3xL40e3td:=4950;3xL40e3td:=4650;3xL40e3td:=4750;3xL40e3td:=4o50;3xL40e3td:=4l50;3xL40e3td:=4m50;3xL40e3td:=4j50;3xL40e3td:=4k50;3xL40e3td:=4h50;3xL40e3td:=l>50;3xL40e3td:=l?50;3xL40e3td:=l<50;3xL40e3td:=l=50;3xL40e3td:=l:50;3xL40e3td:=l;50;3xL40e3td:=l850;3xL40e3td:=l950;3xL40e3td:=l650;3xL40e3td:=l750;3xL40e3td:=lo50;3xL40e3td:=ll50;3xL40e3td:=lm50;3xL40e3td:=lj50;3xL40e3td:=lk50;3xL40e3td:=lh50;3xL40e3td:=o>50;3xL40e3td:=o?50;3xL40e3td:=o<50;3xL40e3td:=o=50;3xL40e3td:=o:50;3xL40e3td:=o;50;3xL40e3td:=o850;3xL40e3td:=o950;3xL40e3td:=o650;3xL40e3td:=o750;3xL40e3td:=oo50;3xL40e3td:=ol50;3xL40e3td:=om50;3xL40e3td:=oj50;3xL40e3td:=ok50;3xL40e3td:=oh50;3xL40e3td:=n>50;3xL40e3td:=n?50;3xL40e3td:=n<50;3xL40e3td:=n=50;3xL40e3td:=n:50;3xL40e3td:=n;50;3xL40e3td:=n850;3xL40e3td:=n950;3xL40e3td:=n650;3xL40e3td:=n750;3xL40e3td:=no50;3xL40e3td:=nl50;3xL40e3td:=nm50;3xL40e3td:=nj50;3xL40e3td:=nk50;3xL40e3td:=nh50;3xL40e3td:=i>50;3xL40e3td:=i?50;3xL40e3td:=i<50;3xL40e3td:=i=50;3xL40e3td:=i:50;3xL40e3td:=i;50;3xL40e3td:=i850;3xL40e3td:=i950;3xL40e3td:=i650;3xL40e3td:=i750;3xL40e3td:=io50;3xL40e3td:=il50;3xL40e3td:=im50;3xL40e3td:=ij50;3xL40e3td:=ik50;3xL40e3td:=ih50;3xL40e3td:=h>50;3xL40e3td:=h?50;3xL40e3td:=h<50;3xL40e3td:=h=50;3xL40e3td:=h:50;3xL40e3td:=h;50;3xL40e3td:=h850;3xL40e3td:=h950;3xL40e3td:=h650;3xL40e3td:=h750;3xL40e3td:=ho50;3xL40e3td:=hl50;3xL40e3td:=hm50;3xL40e3td:=hj50;3xL40e3td:=hk50;3xL40e3td:=hh50;3xL40e3td:=k>50;3xL40e3td:=k?50;3xL40e3td:=k<50;3xL40e3td:=k=50;3xL40e3td:=k:50;3xL40e3td:=k;50;3xL40e3td:=k850;3xL40e3td:=k950;3xL40e3td:=k650;3xL40e3td:=k750;3xL40e3td:=ko50;3xL40e3td:=kl50;3xL40e3td:=km50;3xL40e3td:=kj50;3xL40e3td:=kk50;3xL40e3td:=kh50;3xL40e3td:>=>50;3xL40e3td:>=?50;3xL40e3td:>=<50;3xL40e3td:>==50;3xL40e3td:>=:50;3xL40e3td:>=;50;3xL40e3td:>=850;3xL40e3td:>=950;3xL40e3td:>=650;3xL40e3td:>=750;3xL40e3td:>=o50;3xL40e3td:>=l50;3xL40e3td:>=m50;3xL40e3td:>=j50;3xL40e3td:>=k50;3xL40e3td:>=h50;3xL40e3td:><>50;3xL40e3td:><<50;3xL40e3td:><=50;3xL40e3td:><:50;3xL40e3td:><;50;3xL40e3td:><850;3xL40e3td:><950;3xL40e3td:><650;3xL40e3td:><750;3xL40e3td:>?>50;3xL40e3td:>??50;3xL40e3td:>?<50;3xL40e3td:>?=50;3xL40e3td:>?:50;3xL40e3td:>?;50;3xL40e3td:>?850;3xL40e3td:>?950;3xL40e3td:>?650;3xL40e3td:>?750;3xL40e3td:>?o50;3xL40e3td:>?l50;3xL40e3td:>?m50;3xL40e3td:>?j50;3xL40e3td:>?k50;3xL40e3td:>?h50;3xL40e3td:>>>50;3xL40e3td:>>?50;3xL40e3td:>><50;3xL40e3td:>>=50;3xL40e3td:>>:50;3xL40e3td:>>;50;3xL40e3td:>>850;3xL40e3td:>>950;3xL40e3td:>>650;3xL40e3td:>>750;3xL40e3td:>>o50;3xL40e3td:>>l50;3xL40e3td:>>m50;3xL40e3td:>>j50;3xL40e3td:>>k50;3xL40e3td:>>h50;3xL40e3td:>9>50;3xL40e3td:>9?50;3xL40e3td:>9<50;3xL40e3td:>9=50;3xL40e3td:>9:50;3xL40e3td:>9;50;3xL40e3td:>9850;3xL40e3td:>9950;3xL40e3td:>9650;3xL40e3td:>9750;3xL40e3td:>9o50;3xL40e3td:>9l50;3xL40e3td:>9m50;3xL40e3td:>9j50;3xL40e3td:>9k50;3xL40e3td:>9h50;3xL40e3td:>8>50;3xL40e3td:>8?50;3xL40e3td:>8<50;3xL40e3td:>8=50;3xL40e3td:>8:50;3xL40e3td:>8;50;3xL40e3td:>8850;3xL40e3td:>8950;3xL40e3td:>8650;3xL40e3td:>8750;3xL40e3td:>8o50;3xL40e3td:>8l50;3xL40e3td:>8m50;3xL40e3td:>8j50;3xL40e3td:>8k50;3xL40e3td:>8h50;3xL40e3td:>;>50;3xL40e3td:>;?50;3xL40e3td:>;<50;3xL40e3td:>;=50;3xL40e3td:>;:50;3xL40e3td:>;;50;3xL40e3td:>;850;3xL40e3td:>;950;3xL40e3td:>;650;3xL40e3td:>;750;3xL40e3td:>;o50;3xL40e3td:>;l50;3xL40e3td:>;m50;3xL40e3td:>;j50;3xL40e3td:>;k50;3xL40e3td:>;h50;3xL40e3td:>:>50;3xL40e3td:>:?50;3xL40e3td:>:<50;3xL40e3td:>:=50;3xL40e3td:>::50;3xL40e3td:>:;50;3xL40e3td:>:850;3xL40e3td:>:950;3xL40e3td:>:650;3xL40e3td:>:750;3xL40e3td:>:o50;3xL40e3td:>:l50;3xL40e3td:>:m50;3xL40e3td:>:j50;3xL40e3td:>:k50;3xL40e3td:>:h50;3xL40e3td:>5>50;3xL40e3td:>5?50;3xL40e3td:>5<50;3xL40e3td:>5=50;3xL40e3td:>5:50;3xL40e3td:>5;50;3xL40e3td:>5850;3xL40e3td:>5950;3xL40e3td:>5650;3xL40e3td:>5750;3xL40e3td:>5o50;3xL40e3td:>5l50;3xL40e3td:>5m50;3xL40e3td:>5j50;3xL40e3td:>5k50;3xL40e3td:>5h50;3xL40e3td:>4>50;3xL40e3td:>4?50;3xL40e3td:>4<50;3xL40e3td:>4=50;3xL40e3td:>4:50;3xL40e3td:>4;50;3xL40e3td:>4850;3xL40e3td:>4950;3xL40e3td:>4650;3xL40e3td:>4750;3xL40e3td:>4o50;3xL40e3td:>4l50;3xL40e3td:>4m50;3xL40e3td:>4j50;3xL40e3td:>4k50;3xL40e3td:>4h50;3xL40e3td:>l>50;3xL40e3td:>l?50;3xL40e3td:>l<50;3xL40e3td:>l=50;3xL40e3td:>l:50;3xL40e3td:>l;50;3xL40e3td:>l850;3xL40e3td:>l950;3xL40e3td:>l650;3xL40e3td:>l750;3xL40e3td:>lo50;3xL40e3td:>ll50;3xL40e3td:>lm50;3xL40e3td:>lj50;3xL40e3td:>lk50;3xL40e3td:>lh50;3xL40e3td:>o>50;3xL40e3td:>o?50;3xL40e3td:>o<50;3xL40e3td:>o=50;3xL40e3td:>o:50;3xL40e3td:>o;50;3xL40e3td:>o850;3xL40e3td:>o950;3xL40e3td:>o650;3xL40e3td:>o750;3xL40e3td:>oo50;3xL40e3td:>ol50;3xL40e3td:>om50;3xL40e3td:>oj50;3xL40e3td:>ok50;3xL40e3td:>oh50;3xL40e3td:>n>50;3xL40e3td:>n?50;3xL40e3td:>n<50;3xL40e3td:>n=50;3xL40e3td:>n:50;3xL40e3td:>n;50;3xL40e3td:>n850;3xL40e3td:>n950;3xL40e3td:>n650;3xL40e3td:>n750;3xL40e3td:>no50;3xL40e3td:>nl50;3xL40e3td:>nm50;3xL40e3td:>nj50;3xL40e3td:>nk50;3xL40e3td:>nh50;3xL40e3td:>i>50;3xL40e3td:>i?50;3xL40e3td:>i<50;3xL40e3td:>i=50;3xL40e3td:>i:50;3xL40e3td:>i;50;3xL40e3td:>i850;3xL40e3td:>i950;3xL40e3td:>i650;3xL40e3td:>i750;3xL40e3td:>io50;3xL40e3td:>il50;3xL40e3td:>im50;3xL40e3td:>ij50;3xL40e3td:>ik50;3xL40e3td:>ih50;3xL40e3td:>h>50;3xL40e3td:>h?50;3xL40e3td:>h<50;3xL40e3td:>h=50;3xL40e3td:>h:50;3xL40e3td:>h;50;3xL40e3td:>h850;3xL40e3td:>h950;3xL40e3td:>h650;3xL40e3td:>h750;3xL40e3td:>ho50;3xL40e3td:>hl50;3xL40e3td:>hm50;3xL40e3td:>hj50;3xL40e3td:>hk50;3xL40e3td:>hh50;3xL40e3td:>k>50;3xL40e3td:>k?50;3xL40e3td:>k<50;3xL40e3td:>k=50;3xL40e3td:>k:50;3xL40e3td:>k;50;3xL40e3td:>k850;3xL40e3td:>k950;3xL40e3td:>k650;3xL40e3td:>k750;3xL40e3td:>ko50;3xL40e3td:>kl50;3xL40e3td:>km50;3xL40e3td:>kj50;3xL40e3td:>kk50;3xL40e3td:>kh50;3xL40e3td:?=>50;3xL40e3td:?=?50;3xL40e3td:?=<50;3xL40e3td:?==50;3xL40e3td:?=:50;3xL40e3td:?=;50;3xL40e3td:?=850;3xL40e3td:?=950;3xL40e3td:?=650;3xL40e3td:?=750;3xL40e3td:?=o50;3xL40e3td:?=l50;3xL40e3td:?=m50;3xL40e3td:?=j50;3xL40e3td:?=k50;3xL40e3td:?=h50;3xL40e3td:?<>50;3xL40e3td:?50;3xL40e3td:???50;3xL40e3td:??<50;3xL40e3td:??=50;3xL40e3td:??:50;3xL40e3td:??;50;3xL40e3td:??850;3xL40e3td:??950;3xL40e3td:??650;3xL40e3td:??750;3xL40e3td:??o50;3xL40e3td:??l50;3xL40e3td:??m50;3xL40e3td:??j50;3xL40e3td:??k50;3xL40e3td:??h50;3xL40e3td:?>>50;3xL40e3td:?>?50;3xL40e3td:?><50;3xL40e3td:?>=50;3xL40e3td:?>:50;3xL40e3td:?>;50;3xL40e3td:?>850;3xL40e3td:?>950;3xL40e3td:?>650;3xL40e3td:?>750;3xL40e3td:?>o50;3xL40e3td:?>l50;3xL40e3td:?>m50;3xL40e3td:?>j50;3x a4=9h50;3x a4=950;3xL40e3td:?8?50;3xL40e3td:?8<50;3xL40e3td:?8=50;3xL40e3td:?8:50;3xL40e3td:?8;50;3xL40e3td:?8850;3xL40e3td:?8950;3xL40e3td:?8650;3xL40e3td:?8750;3xL40e3td:?8o50;3xL40e3td:?8l50;3xL40e3td:?8m50;3xL40e3td:?8j50;3xL40e3td:?8k50;3xL40e3td:?8h50;3xL40e3td:?;>50;3xL40e3td:?;?50;3xL40e3td:?;<50;3xL40e3td:?;=50;3xL40e3td:?;:50;3xL40e3td:?;;50;3xL40e3td:?;850;3xL40e3td:?;950;3xL40e3td:?;650;3xL40e3td:?;750;3xL40e3td:?;o50;3xL40e3td:?;l50;3xL40e3td:?;m50;3xL40e3td:?;j50;3xL40e3td:?;k50;3xL40e3td:?;h50;3xL40e3td:?:>50;3xL40e3td:?:?50;3xL40e3td:?:<50;3xL40e3td:?:=50;3xL40e3td:?::50;3xL40e3td:?:;50;3xL40e3td:?:850;3xL40e3td:?:950;3xL40e3td:?:650;3xL40e3td:?:750;3xL40e3td:?:o50;3xL40e3td:?:l50;3xL40e3td:?:m50;3xL40e3td:?:j50;3xL40e3td:?:k50;3xL40e3td:?:h50;3xL40e3td:?5>50;3xL40e3td:?5?50;3xL40e3td:?5<50;3xL40e3td:?5=50;3xL40e3td:?5:50;3xL40e3td:?5;50;3xL40e3td:?5850;3xL40e3td:?5950;3xL40e3td:?5650;3xL40e3td:?5750;3xL40e3td:?5o50;3xL40e3td:?5l50;3xL40e3td:?5m50;3xL40e3td:?5j50;3xL40e3td:?5k50;3xL40e3td:?5h50;3xL40e3td:?4>50;3xL40e3td:?4?50;3xL40e3td:?4<50;3xL40e3td:?4=50;3xL40e3td:?4:50;3xL40e3td:?4;50;3xL40e3td:?4850;3xL40e3td:?4950;3xL40e3td:?4650;3xL40e3td:?4750;3xL40e3td:?4o50;3xL40e3td:?4l50;3xL40e3td:?4m50;3xL40e3td:?4j50;3xL40e3td:?4k50;3xL40e3td:?4h50;3xL40e3td:?l>50;3xL40e3td:?l?50;3xL40e3td:?l<50;3xL40e3td:?l=50;3xL40e3td:?l:50;3xL40e3td:?l;50;3xL40e3td:?l850;3xL40e3td:?l950;3xL40e3td:?l650;3xL40e3td:?l750;3xL40e3td:?lo50;3xL40e3td:?ll50;3xL40e3td:?lm50;3xL40e3td:?lj50;3xL40e3td:?lk50;3xL40e3td:?lh50;3xL40e3td:?o>50;3xL40e3td:?o?50;3xL40e3td:?o<50;3xL40e3td:?o=50;3xL40e3td:?o:50;3xL40e3td:?o;50;3xL40e3td:?o850;3x a4=9<<0D<8m;|l27g1=83;p(i<51478L40e3td:?o650;3x a4=9<30D<8m;|l27g?=83;p(i<51458L40e3td:?oo50;3x a4=9<20D<8m;|l27gd=83;p(i<515a8L40e3td:?om50;3x a4=9<>0D<8m;|l27gb=83;p(i<514c8L40e3td:?ok50;3xL40e3td:?oh50;3xL40e3td:?n>50;3xL40e3td:?n?50;3xL40e3td:?n<50;3xL40e3td:?n=50;3xL40e3td:?n:50;3xL40e3td:?n;50;3xL40e3td:?n850;3xL40e3td:?n950;3xL40e3td:?n650;3xL40e3td:?n750;3xL40e3td:?no50;3xL40e3td:?nl50;3xL40e3td:?nm50;3xL40e3td:?nj50;3xL40e3td:?nk50;3xL40e3td:?nh50;3xL40e3td:?i>50;3xL40e3td:?i?50;3xL40e3td:?i<50;3xL40e3td:?i=50;3xL40e3td:?i:50;3xL40e3td:?i;50;3xL40e3td:?i850;3xL40e3td:?i950;3xL40e3td:?i650;3xL40e3td:?i750;3xL40e3td:?io50;3xL40e3td:?il50;3xL40e3td:?im50;3xL40e3td:?ij50;3xL40e3td:?ik50;3xL40e3td:?ih50;3xL40e3td:?h>50;3xL40e3td:?h?50;3xL40e3td:?h<50;3xL40e3td:?h=50;3xL40e3td:?h:50;3xL40e3td:?h;50;3xL40e3td:?h850;3xL40e3td:?h950;3xL40e3td:?h650;3xL40e3td:?h750;3xL40e3td:?ho50;3xL40e3td:?hl50;3xL40e3td:?hm50;3xL40e3td:?hj50;3xL40e3td:?hk50;3xL40e3td:?hh50;3xL40e3td:?k>50;3xL40e3td:?k?50;3xL40e3td:?k<50;3x a4=9=30D<8m;|l27c5=83;p(i<51558L40e3td:?k:50;3x a4=9=<0D<8m;|l27c3=83;pD<8m;|l27c0=83;pD<8m;|l27c1=83;pD<8m;|l27c>=83;pD<8m;|l27c?=83;pD<8m;|l27cg=83;pD<8m;|l27cd=83;pD<8m;|l27ce=83;pD<8m;|l27cb=83;p(i<51578L40e3td:?kk50;3x a4=9=>0D<8m;|~yEFDs8==87686cgb63{GHKq;qMN_{|BC \ No newline at end of file Index: pong/pong_top.pcf =================================================================== --- pong/pong_top.pcf (revision 427) +++ pong/pong_top.pcf (nonexistent) @@ -1,36 +0,0 @@ -//! ************************************************************************** -// Written by: Map M.70d on Wed May 07 20:14:53 2014 -//! ************************************************************************** - -SCHEMATIC START; -COMP "seg_a" LOCATE = SITE "P65" LEVEL 1; -COMP "seg_b" LOCATE = SITE "P64" LEVEL 1; -COMP "seg_c" LOCATE = SITE "P72" LEVEL 1; -COMP "seg_d" LOCATE = SITE "P70" LEVEL 1; -COMP "seg_e" LOCATE = SITE "P28" LEVEL 1; -COMP "seg_f" LOCATE = SITE "P62" LEVEL 1; -COMP "seg_g" LOCATE = SITE "P73" LEVEL 1; -COMP "vga_green" LOCATE = SITE "P16" LEVEL 1; -COMP "btn3" LOCATE = SITE "P83" LEVEL 1; -COMP "ps2c" LOCATE = SITE "P19" LEVEL 1; -COMP "ps2d" LOCATE = SITE "P21" LEVEL 1; -COMP "vga_blue" LOCATE = SITE "P20" LEVEL 1; -COMP "seg_dp" LOCATE = SITE "P71" LEVEL 1; -COMP "vga_red" LOCATE = SITE "P13" LEVEL 1; -COMP "an<0>" LOCATE = SITE "P59" LEVEL 1; -COMP "an<1>" LOCATE = SITE "P57" LEVEL 1; -COMP "an<2>" LOCATE = SITE "P61" LEVEL 1; -COMP "an<3>" LOCATE = SITE "P60" LEVEL 1; -COMP "clk_ic4" LOCATE = SITE "P43" LEVEL 1; -COMP "vga_hs" LOCATE = SITE "P15" LEVEL 1; -COMP "vga_vs" LOCATE = SITE "P12" LEVEL 1; -COMP "ld<0>" LOCATE = SITE "P84" LEVEL 1; -COMP "ld<1>" LOCATE = SITE "P86" LEVEL 1; -COMP "ld<2>" LOCATE = SITE "P89" LEVEL 1; -COMP "ld<3>" LOCATE = SITE "P93" LEVEL 1; -COMP "ld<4>" LOCATE = SITE "P98" LEVEL 1; -COMP "ld<5>" LOCATE = SITE "P3" LEVEL 1; -COMP "ld<6>" LOCATE = SITE "P5" LEVEL 1; -COMP "ld<7>" LOCATE = SITE "P27" LEVEL 1; -SCHEMATIC END; - Index: pong/pong_top.ngd =================================================================== --- pong/pong_top.ngd (revision 427) +++ pong/pong_top.ngd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$62e5=6>2.Yi{g|inl9$4(5<8$9"9.+1:27?5678=1;<=?;;12361=789>0593476339:?<95?0927?56D<=1;5O;;16341=7?7=876393<1=7M9>:7<:411320>771;>0=73<=80=5<41C685@@7:2;M=6<=;327?7678=19?;;23077=4:=18;><=;2;7?6@1Mm18?>?aws245+392>979>;;52E446<<3E^X][[:`l?7?69<2>H<5<44E681137<2?>=9:4547G0>31IH>09:HI1:47?3438=1=>;8;;745=1=10O:97;7;;7;:=1=11H??7;7L3395E1=1IH3?7;ONA595EC@33?IHO<584:513240;KHJ1::1?=6331:;<9570137?=450=1345>;;9:;646<03E^X][[:mf?3?69<22H<9:48B:30>>DKJ>04K671:;1?<6330:;<95601;7?<7BM;12>9562107?<4EI;12:95668;7?<>68=125;7;;8;:27=>L81J>6O?4:C32520M?6?4:C1B226O<4:C5=D40M5F<2KJM5:4A@GB0>GENO90MI\;;@DFG4=E:2H986LJ2968FC@C;2H^J95MUGE6?GSAOY;;7O[FLE]WEWAB\HXHD55MUR]JJCI63J>0O=M74:A3AD26MJ2:AJ1>ELJ\L87NBD3:AOV<=DGDGBXYKK7:AQADRBL81O>6J:4:F611286J78908@C26JFe:FQGZURKJOX_K[C1:G1?@233L>?8?5J859FED>33LKJM?5JC59FG1E33LIJ<95JED27?@@3=11NT]OADDF25>C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD68B5@0:2L:>6H=4:D16722;>6H74:D;<=25IDD18BAV33ON[I>5IDS68BATT;2LO_?5IE59EA5733OONJ?5IF59EB5433OL:895IF3@7?C@18=1MJ:H;;GD:B1=ANHO?7KHMF59EBF>33OLNM95IFG47?C@AJ=1MJKK<;GDP5>A43NDO=6G=;H21?L753@897D=;;H@VB0=NJ\LL>6GA3:KME6=NFK20ECHJRSGG=>OIA]Y_MYK<;HLUa>OIWGMOSL@K_CAG2>OHKFG[:6G@TXRF6>JN?2FBN_O]Y79OKDBBL:1GCN64LNABHGSA?2FDKDMNL59OQQ733E__>;5CUU0\@3=K]]8T@95CUU15?ISS;VN=7A[[3^N7?ISS1F":>k_M18IP^23D_SOT;4MTZE1f=JiceyZh||inl`?Hoig{\n~~g`n39M47=I9=1E==?;;O3371=I99??7C??659M55>33G;;595A1037?K76;=1E=<;;;O3231=I982?7C?=059M57233G;9:95A1357?K750:1E=>:4N0130>H6;;>0B<:?4:L20123?6@>559M50633G;>>95A1467?K72>=1E=86;;O3541=I9?8?7C?9459M53033G;=495A1627?K70:=1E=::;;O3411=I9>33G;<595A1927?K7?9=1E=5<;;O3;71=I91>?7C?7559M5=033G;3;95A19:7?K7?1:1E=4:4N0;30>H618>0B<7=4:L2=629468J4?1<2D:5::4N0;;0>H610>0B?>?4:L1442H59:1E>>=4N3;0?K56;2D8?>5A3418J6143G92?6@;129M065H29:1E98=4N750?K0>;2D<;>5A7918J=643G29>6@63:L:36=I10=0BHZXOSI2?J25_1818T7643Y8:?6^=729S6=45>5_6118T3743Y<9?6^9429S205:87]9>3:R466=W?:90\5=<;Q:77>V?>:1[44=4P810?U??;2ZJH95_ASVb?UOIWK_XEIVm;QKM[GSTFHGNn6^FN^KPGIIDC:1[^Ho4PSGGACOIMM;0]>5^1438V46679PVI71M>1X^AM>6D68WWUS<2YXIY64TDPNMKGK>2^BIBHI4:VZT@0<]G_XIIm4URGQ[SOTAKFN?6XLC89UM@QX\PZN86V?Y13g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT23QEYOT84XRVOMG12RonRGkb:ZglZVuadCoto5Wdi]SvlkNfi0TifPPsknP|vbn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`<;Yqw0>\BZF?0mc2?>49bj979=2ke0?08;`l?7?69=2ke0>06;`l\4ZIE]O30mcQ>_N@VB<=ffV8TCO[I9:cm[6YHJ\L?7oza389apk5XAK_M;6mck^k`0a=ddbUbo9QMUGES67=df}xgSd`|t.ADAK7(E{efSio{a^pli5678;k0ocz}l^kmwq)DOLD:#@|`m^fbpdYugd:;<=??1^7\b0403jd~aQfnrv,GBCI9&Gyc`Qkauc\vjk789::<8j7n`{rm]jjvr(KNOE="C}ol]geqgXzfg;<=>>103\WR65<2iexbPioqw+FABF8%F~bcPd`vb[wij89:;=?<:;blwviYnfz~$OJKA1.OqkhYci}kT~bc?012264433jd~aQfnrv,GBCI9&Gyc`Qkauc\vjk789::??;4covqhZoi{}%HKH@>/LpliZbf|hUyc`>?01305661hby|c_hlpp*E@MG;$Aab_ecweZthe9:;<<=>/N07?fhszeUbb~z CFGM5*KugdUomyoPrno34566<;?0ocz}l^kmwq)DOLD:#@|`m^fbpdYugd:;<=?;1368gkrudVcey!LGDL2+HtheVnjxlQ}ol234572:h1hby|c_hlpp*E@MG;$Aab_ecweZthe9:;<<8>_4]e17dei|{fTec}{/BEFJ4)JzfgThlzn_smn45679?;T9Rh:1.NVP6YKWK_M>i5lnupo[lht|&ILIC? Msmn[agsiVxda=>?0042[0Ya=8%D>:5lnupo[lht|&ILIC? Msmn[agsiVxda=>?0042[3403jd~aQfnrv,GBCI9&Gyc`Qkauc\vjk789:::5=2iexbPioqw+FABF8%F~bcPd`vb[wij89:;=5?=4:ampwjXagy#NIJN0-NvjkXlh~jSab01235<423jd~aQfnrv,GBCI9&Gyc`Qkauc\vjk789::5<<;;blwviYnfz~$OJKA1.OqkhYci}kT~bc?0121473/LpliZbf|hUyc`>?01015Z3Xn<8<7n`{rm]jjvr(KNOE="C}ol]geqgXzfg;<=>=20]562=df}xgSd`|t.ADAK7(E{efSio{a^pli5678;8:S:<6;blwviYnfz~$OJKA1.OqkhYci}kT~bc?01275Z3Xn<8=7n`{rm]jjvr(KNOE="C}ol]geqgXzfg;<=>;1^41e>ei|{fTec}{/BEFJ4)JzfgThlzn_smn4567<8U=S^Y?279`jqtkW`dxx"MHEO3,IwijWmkmR|`m123417X?;k0ocz}l^kmwq)DOLD:#@|`m^fbpdYugd:;<=:>_6]PS5743jd~aQfnrv,GJJHZ5:5=>5lnupo[lht|&ID@B\31?31?fhszeUbb~z CNNLVZ66:2iexbPioqw+FIKG[U:><5lnupo[lht|&GjhiQPaef3456Xpfx793?>269`jqtkW`dxx"Cnde]\eab7898Ttb|33?32[LHQW98:7n`{rm]jjvr(EhnoSRokd1236Z~hz5>5=<<>;blwviYnfz~$Aljk_^cg`567:Vrd~1;11002?fhszeUbb~z M`fg[Zgcl9:;?Rv`r=7=54403jd~aQfnrv,IdbcWVkoh=>?5^zlv95998UBB[Q?209`jqtkW`dxx"Cnde]\eab789?Ttb|34?3264=df}xgSd`|t.Ob`aYXimn;<=;Pxnp?1;76:01hby|c_hlpp*Kflmy~nRlnlm]z[vnfzl:;<=Qly=2=6g=df}xgSd`|t.Ob`aurjVhj`aQv_rjbv`6789Uhu1>11015?fhszeUbb~z M`fgwpdXjhfgStQ|h`pf4567Wjs7<3?>/MWW7ZBXJ\L956matsn\mkus'Dkoh~{m_ccohZX{akyi=>?0^az8485j2iexbPioqw+HgclziSoocl^{\wmgum9:;3`8gkrudVcey!BaefpqgYeiefTuR}gasg3456Xkp682h5lnupo[lht|&Gjhi}zb^lf|qjbn}UrSljkst`3456Xkp692>>4covqhZoi{}%Fmij|uc]ma}rkmo~TuRokdrwa4567Wjs7>3?>2d9`jqtkW`dxx"CndeqvfZhbp}fnjyQv_`fgwpd789:Tot2<>228gkrudVcey!BaefpqgYimq~gikzPy^cg`vse89:;Snw33?326c=df}xgSd`|t.Ob`aurjVdntybjfu]z[dbc{|h;<=>Pltv?5;573jd~aQfnrv,Idbc{|hTbhv{lddw[|Yflmy~n=>?0^nvp9799;l0ocz}l^kmwq)JimnxyoQaeyvoacrXqVkoh~{m0123[iss4;48<6matsn\mkus'Dkoh~{m_og{pica|VsTmij|uc2345Yk}}692<ei|{fTec}{/Lcg`vseWgosxakit^{\eabt}k:;<=Qcuu>7:66?_mww8186:o1hby|c_hlpp*Kflmy~nR`jxupjcmrXqVkoh~{m0123[f;979:7n`{rm]jjvr(EhnoxlPndzwvlao|VsTmij|uc2345Ydq5;5=<?_b{?7;563jd~aQfnrv,Idbc{|hTbhv{rhekpZXimnxyo>?01]`}959989;7n`{rm]jjvr(EhnoxlPndzwvlao|VsTmij|uc2345Yk}}6:2>?4covqhZoi{}%Fmij|uc]ma}ruanbStQndeqvf5678Vf~x1?11228gkrudVcey!BaefpqgYimq~yejf{_x]b`aurj9:;?_mww8786;91hby|c_hlpp*Kflmy~nR`jxupjcmrXqVkoh~{m0123[iss4:48=6matsn\mkus'Dkoh~{m_og{pwo``}UrSljkst`3456Xd|~7?3?<0:ampwjXagy#@okdrwa[kc|{cldyQv_`fgwpd789:T`xz34?12?fhszeUbb~z M`fgwpdXflr~digt^{\eabt}k:;<=Qcuu>7:44c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVmn<=>=_b{?4;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVmn<=>=_b{?5;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVmn<=>=_b{?6;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVmn<=>=_b{?7;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVmn<=>=_b{?0;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVmn<=>=_b{?1;4b3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVmn<=>=_mww8585m2iexbPioqw+HeheykySoocl^zgmwYdeyUli=>?2^nvp979:l1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzTkh>?03]oqq:56;o0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Sjk?010\hpr;;78n7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rij0121[iss4=49i6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qhe1236Zjr|5?5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2346Ydq5:5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2346Ydq5;5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2346Ydq585>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2346Ydq595>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2346Ydq5>5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2346Ydq5?5>h5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2346Yk}}6;2?k4covqhZoi{}%Fobcas]aeijXpmcySnc_fg3455Xd|~7=33g8gkrudVcey!BcnosewYeiefTtig}_bos[bc7899T`xz35?11?fhszeUbb~z MbmntdtXjhfgSujfr^antZab89:8Sa{{<4<\WR65l2iexbPioqw+HeheykySoocl^zgmwYdeyUlx=>?0^az8585l2iexbPioqw+HeheykySoocl^zgmwYdeyUlx=>?0^az8485l2iexbPioqw+HeheykySoocl^zgmwYdeyUlx=>?0^az8785l2iexbPioqw+HeheykySoocl^zgmwYdeyUlx=>?0^az8685l2iexbPioqw+HeheykySoocl^zgmwYdeyUlx=>?0^az8185l2iexbPioqw+HeheykySoocl^zgmwYdeyUlx=>?0^az8085m2iexbPioqw+HeheykySoocl^zgmwYdeyUlx=>?0^nvp969:l1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzTky>?01]oqq:66;o0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Sjz?012\hpr;:78n7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Ri{0123[iss4:49i6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qht1234Zjr|5>5>h5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgu2345Yk}}6>2?j4covqhZoi{}%Fobcas]aeijXpmcySnc_mg3456Xkp6;2?j4covqhZoi{}%Fobcas]aeijXpmcySnc_mg3456Xkp6:2?j4covqhZoi{}%Fobcas]aeijXpmcySnc_mg3456Xkp692?j4covqhZoi{}%Fobcas]aeijXpmcySnc_mg3456Xkp682?j4covqhZoi{}%Fobcas]aeijXpmcySnc_mg3456Xkp6?2?j4covqhZoi{}%Fobcas]aeijXpmcySnc_mg3456Xkp6>2?k4covqhZoi{}%Fobcas]aeijXpmcySnc_mg3456Xd|~7<33g8gkrudVcey!BcnosewYeiefTtig}_bos[ic789:T`xz34?0f?fhszeUbb~z MbmntdtXjhfgSujfr^antZjb89:;Sa{{<4<1`>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;2:7cPltv?6;4b3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVf<=>?_mww8685m2iexbPioqw+HeheykySoocl^zgmwYdeyUgx=>?0^nvp929:l1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzT`y>?01]oqq:26:80ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?012\hpr;=7UX[=33g8gkrudVcey!BcnosewYeiefTtig}_bos[ir789;T`xz33?0f?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89::Sa{{<5<1a>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;=Rbzt=7=6a=df}xgSd`|t.O`khvfzVhj`aQwdhp\ghvXd}:;3:7c=_mww8785m2iexbPioqw+HeheykySoocl^zgmwYdeyUgx=>?2^nvp959:l1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzT`y>?03]oqq:36;o0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?010\hpr;=78o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0120[f;878o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0120[f;978o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0120[f;:78o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0120[f;;78o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0120[f;<78o7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0120[f;=78n7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0120[iss4949i6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qct1237Zjr|5;5>h5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Plu2346Yk}}692?k4covqhZoi{}%Fobcas]aeijXpmcySnc_mv3455Xd|~7?33g8gkrudVcey!BcnosewYimq~TOBB@R^antZab89::Snw31?0f?fhszeUbb~z MbmntdtXflrSNACOS]`iuY`m9:;=Rmv<3<1a>ei|{fTec}{/LaliuguWgosxRM@LNP\ghvXol:;<7:7c>_b{?1;4a3jd~aQfnrv,IfijxhxTbhv{_BMOKWYdeyUli=>?1^nvp969:o1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sjk?013\hpr;978m7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qhe1235Zjr|585>k5lnupo[lht|&Ghc`~nr^lf|qYDGEEYSnc_fg3457Xd|~7?3Rmv<1<1a>ei|{fTec}{/LaliuguWgosxRM@LNP\ghvXol:;1:7c=_b{?7;4b3jd~aQfnrv,IfijxhxTbhv{_BMOKWYdeyUli=>?2^az8185m2iexbPioqw+HeheykySckwt^ALHJTXkdzTkh>?03]`}939:o1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sjk?010\hpr;878m7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qhe1236Zjr|5;5>k5lnupo[lht|&Ghc`~nr^lf|qYDGEEYSnc_fg3454Xd|~7>3Rbzt=7=6`=df}xgSd`|t.O`khvfzVdntyQLOMMQ[fkwWeo;<=3:7c=_b{?5;4b3jd~aQfnrv,IfijxhxTbhv{_BMOKWYdeyUgi=>?2^az8785m2iexbPioqw+HeheykySckwt^ALHJTXkdzT`h>?03]`}959:l1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sak?010\g|:36;o0ocz}l^kmwq)Jkfg{mQaeyv\GJJHZVif|Rbj0121[f;=78m7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qce1236Zjr|5:5>k5lnupo[lht|&Ghc`~nr^lf|qYDGEEYSnc_mg3454Xd|~7=3Rbzt=6=6c=df}xgSd`|t.O`khvfzVdntyQLOMMQ[fkwWeo;<=?3^az8585m2iexbPioqw+HeheykySckwt^ALHJTXkdzT`h>?02]`}979:l1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sak?011\g|:56;o0ocz}l^kmwq)Jkfg{mQaeyv\GJJHZVif|Rbj0120[f;;78n7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qce1237Ze~4=49i6matsn\mkus'Dida}o}_og{pZEHDFXTo`~Pld2346Ydq5?5>k5lnupo[lht|&Ghc`~nr^lf|qYDGEEYSnc_mg3455Xd|~7<3?3^nvp939::1hby|c_hlpp*Kdg|dSoocl^z\g|:468UX[?<8;blwviYnfz~$Anaznu]aeijXpVrd~1>110]JJSY7:81hby|c_hlpp*Kdg|dSoocl^z\|jt;97;:><5lnupo[lht|&Ghcx`{_ccohZ~Xpfx7>3?>209`jqtkW`dxx"Clotlw[ggkdVrTtb|33?3267=df}xgSd`|t.O`kphsWkkg`RvPxnp?7;769;80ocz}l^kmwq)JkfexRlnlm]{[}iu4:4:=?<;;blwviYnfz~$Anaznu]aeijXpVrd~1=110]e1771001?fhszeUbb~z MbmvjqYeiefTtRv`r=7=5445<2iexbPioqw+Heh}g~Tnlbc_y]{kw:268;Tj8<>;blwviYnfz~$Anaznu]aeijXpVrd~1811006?fhszeUbb~z MbmvjqYeiefTtRv`r=4=54YT_9;<7n`{rm]jjvr(Eje~byQkemcz5==df}xgSd`|t.O`kphsWmogmt?>8:ampwjXagy#@m`uov\``jfq;;m7n`{rm]jjvr(Eje~byQkemcz[f;97;:>=5lnupo[lht|&Ghcx`{_egoe|Yg{6;20315>ei|{fTec}{/LalqkrXdzid~hQwos>0:47602iexbPioqw+Heh}g~T~~m`rd3:?fhszeUbb~z MbmvjqYu{jeyi<5lnupo[lht|&GxyoQPst`3456Xpfx7?3?>f:ampwjXagy#C?>1d9`jqtkW`dxx"@>3d9`jqtkW`dxx"@>4e9`jqtkW`dxx"@4:ampwjXagy#_k|umv?4;733jd~aQfnrv,V`urd}6:2<:4covqhZoi{}%Yi~{ct=0=51=df}xgSd`|t.Pfwpjs4:4:86matsn\mkus'[oxyaz34?37?fhszeUbb~z Rdqvhq:268>0ocz}l^kmwq)Umzgx181f:ampwjXagy#[MCK028gkrudVcey!YCMI251=df}xgSd`|t.T@HNYE]OM:h6matsn\mkus'_MJ="M@UOVFVZTB[L^996matsn\mkus'_MJ="M@UOVFVZTB[L^Taxv?0131<>ei|{fTec}{/WEB5*EH]G^N^R\JSDV\ip~789;:/BMVJQCUW[OXIYQbuy234476:>1hby|c_hlpp*P@I8%HCX@[ES]QAVCSWds<=>>1604?fhszeUbb~z VFC2+FIRF]OYS_K\EU]nq}6788>8>o5lnupo[lht|&\LM?0060[VQ7'E__?RBPBTD1`>ei|{fTec}{/WEB5*EH]G^N^R\JSDV\ip~789;??R]X0.M13>ei|{fTec}{/WEB5*EH]G^N^R\JSDV\ip~789;>>?94covqhZoi{}%]KL? CNWMP@TXZLYNXRczx1235=>5?2iexbPioqw+SAF9&IDYCZJR^PFW@RXe|r;<=?66208gkrudVcey!YG@3,GJSI\LXT^H]JT^ov|56790<$@XZ;_M]AQC4>3jd~aQfnrv,RBG6'JE^BYK]_SGPAQYj}q:;<<79/N35?fhszeUbb~z VFC2+MDKIGA:n6matsn\mkus'_MJ="FLOTLWAW:768h0ocz}l^kmwq)QOH;$DNAZNUGQ8486j2iexbPioqw+SAF9&BHCX@[ES>1:4dei|{fTec}{/WEB5*NDG\D_I_2;>0`8gkrudVcey!YG@3,LFIRF]OY080>b:ampwjXagy#[IN1.J@KPHSM[6=24:n6matsn\mkus'_MJ="FLOTLWAW:?68h0ocz}l^kmwq)QOH;$DNAZNUGQ8<86i2iexbPioqw+SAF9&BHCX@[ES]35d=df}xgSd`|t.TDE4)OKF_EXH\P10c8gkrudVcey!YG@3,LFIRF]OYS??n;blwviYnfz~$ZJO>/IALQKRBZV9:m6matsn\mkus'_MJ="FLOTLWAWY39h1hby|c_hlpp*P@I8%COB[ATDP\14ga:ampwjXagy#[IN1.J@KPHSM[U3=l5lnupo[lht|&\LMei|{fTec}{/WEB5*NTQGICS`{w012257?289`jqtkW`dxx"XHA0-Kkwo}gg~j`R]{aug\BVKXNOn9>n5lnupo[lht|&\LM3jd~aQfnrv,RBG6'Aeyewaat`n\WqgsmVLXARHId50`?fhszeUbb~z VFC2+MiuaseexlbPSucwaZ@TEVLMh9#Fn228gkrudVcey!YG@3,LjtnrfdmaQ\t`vf[CUJWOLo8 Ga_RU26<=df}xgSd`|t.TDE4)Og{cqccznl^QweqcXNZGTJKj:2b9`jqtkW`dxx"XHA0-Kkwo}gg~j`R]{aug\BVKXNOn>!D`<0:ampwjXagy#[IN1.Jlvl|hf}kgS^zntd]EWHYANm?&EcQ\W10:?fhszeUbb~z VFC2+MiuaseexlbPSucwaZ@TEVLMh;/Imqmii|hfT_yo{e^DPIZ@Al?'Bb>>4covqhZoi{}%]KL? Hnpj~jhsieUXxlzj_GQN[C@c>$CeS^Y?3c9`jqtkW`dxx"XHA0-Kkwo}gg~j`R]{aug\BVKXNOn=!D`PSV2,HPR4WEUIYK==;blwviYnfz~$ZJO>/Imqmii|hfT_yo{e^DPIZ@Al?'BbR]X0.M1=>ei|{fTec}{/WEB5*Nhz`pdbyoc_Rvbp`YA[DUMJi9<0:ampwjXagy#[IN1.Jlvl|hf}kgS^zntd]EWHYH}}<:SD@Y_10`?fhszeUbb~z VFC2+MiuaseexlbPSucwaZejxVoz<=>?1258gkrudVcey!YG@3,LjtnrfdmaQ\t`vf[fkwWl{;<=>>/MWW0ZBXJ\L8<6matsn\mkus'_MJ="F`rhxljqgkWZ~jxhQlmq]fu56788UX[=/Imqmii|hfT_yo{e^antZcv89:;><=7;blwviYnfz~$ZJO>/Imqmii|hfT_yo{e^antZcv89:;>=2b9`jqtkW`dxx"XHA0-Kkwo}gg~j`R]{aug\ghvXmx:;/Imqmii|hfT_yo{e^antZcv89:?=?l4covqhZoi{}%]KL? Hnpj~jhsieUXxlzj_bos[`w789?9o6matsn\mkus'_MJ="F`rhxljqgkWZ~jxhQlmq]fu567=8;<7n`{rm]jjvr(^NK:#AGAE=2=52=df}xgSd`|t.TDE4)KAGO7=3?8;blwviYnfz~$ZJO>/MKMA9499>1hby|c_hlpp*P@I8%GECK33?34?fhszeUbb~z VFC2+IOIM5>5=:5lnupo[lht|&\LM>6:ampwjXagy#[IN1.NJJ@Y69?1hby|c_hlpp*P@I8%GECKP2048gkrudVcey!YG@3,HLHBW:;=7n`{rm]jjvr(^NK:#AGAE^622>ei|{fTec}{/WEB5*JNFLU>=k5lnupo[lht|&\LM/MKMAZkrp9:;=1=110d8gkrudVcey!YG@3,HLHBWds<=>><5<14>ei|{fTec}{/WEB5*JNFLUfyu>?00>7:47a3jd~aQfnrv,RBG6'ECEIRczx1235939:91hby|c_hlpp*P@I8%GECKPmtz3457;=7;:j6matsn\mkus'_MJ="BFND]nq}67886=2?>4covqhZoi{}%]KL? LHLF[hs89::0;0>1g9`jqtkW`dxx"XHA0-OMKCXe|r;<=?37?03?fhszeUbb~z VFC2+IOIMVg~t=>?1=5=54`7n`{rm]jjvr(^NK:#@m`uov\LFIRF]OYSnw30?06?fhszeUbb~z VFC2+Heh}g~TDNAZNUGQ[f;97837n`{rm]jjvr(^NK:#@m`uov\LFIRF]OYSnw31?]qp731:Zts:<1hby|c_hlpp*P@I8%Fob{at^J@KPHSM[Uhu1=1299`jqtkW`dxx"XHA0-Ngjsi|VBHCX@[ES]`}959W{~996matsn\mkus'_MJ="Clotlw[MEH]G^N^Rmv<5<1<>ei|{fTec}{/WEB5*Kdg|dSEM@UOVFVZe~4=4T~y<:;blwviYnfz~$ZJO>/LalqkrX@JE^BYK]_b{?1;4?3jd~aQfnrv,RBG6'DidyczPHBMVJQCUWjs793Q}t378gkrudVcey!YG@3,Ifirf}UCOB[ATDP\g|:16;20ocz}l^kmwq)QOH;$Anaznu]KGJSI\LXTot29>^pw60=df}xgSd`|t.TDE4)JkfexRFLOTLWAWYdq5=5>55lnupo[lht|&\LMei|{fTec}{/WEB5*Kdg|dSEM@UOVFVZjr|5:5SD@Y_105?fhszeUbb~z VFC2+Heh}g~TDNAZNUGQ[}iu4949:6matsn\mkus'_MJ="Clotlw[MEH]G^N^Rv`r=3=63=df}xgSd`|t.TDE4)JkfexRFLOTLWAWYg{692?84covqhZoi{}%]KL? MbmvjqYOKF_EXH\Pxnp?7;413jd~aQfnrv,RBG6'DidyczPHBMVJQCUWqey090=6:ampwjXagy#[IN1.O`kphsWAIDYCZJR^zlv939:?1hby|c_hlpp*P@I8%Fob{at^J@KPHSM[Usc29>348gkrudVcey!YG@3,Ifirf}UCOB[ATDP\|jt;?78=7n`{rm]jjvr(^NK:#@m`uov\LFIRF]OYSua}<9<12>ei|{fTec}{/WEB5*Kdg|dSEM@UOVFVZ~hz535>45lnupo[lht|&\LM::Zts:<1hby|c_hlpp*P@I8%Fob{at^T@KPHSM[Uhu1>1249`jqtkW`dxx"XHA0-Ngjsi|V\HCX@[ES]`}979:11hby|c_hlpp*P@I8%Fob{at^T@KPHSM[Uhu1?1_sv11>ei|{fTec}{/WEB5*Kdg|dS[M@UOVFVZe~4;4946matsn\mkus'_MJ="Clotlw[SEH]G^N^Rmv<3<\vq423jd~aQfnrv,RBG6'DidyczPVBMVJQCUWjs7?3<7;blwviYnfz~$ZJO>/LalqkrX^JE^BYK]_b{?7;Yu|;?0ocz}l^kmwq)QOH;$Anaznu]UGJSI\LXTot2;>3:8gkrudVcey!YG@3,Ifirf}U]OB[ATDP\g|:36Vx>85lnupo[lht|&\LM;:Zts:?1hby|c_hlpp*P@I8%Fob{at^T@KPHSM[Ugyy2?>3a8gkrudVcey!YG@3,Ifirf}U]OB[ATDP\hpr;87UBB[Q?279`jqtkW`dxx"XHA0-Ngjsi|V\HCX@[ES]{kw:76;<0ocz}l^kmwq)QOH;$Anaznu]UGJSI\LXTtb|31?05?fhszeUbb~z VFC2+Heh}g~TZNAZNUGQ[}iu4;49:6matsn\mkus'_MJ="Clotlw[SEH]G^N^Rv`r=1=63=df}xgSd`|t.TDE4)JkfexRXLOTLWAWYg{6?2?84covqhZoi{}%]KL? MbmvjqYQKF_EXH\Pxnp?1;413jd~aQfnrv,RBG6'DidyczPVBMVJQCUWqey0;0=6:ampwjXagy#[IN1.O`kphsW_IDYCZJR^zlv919:?1hby|c_hlpp*P@I8%Fob{at^T@KPHSM[Usc27>348gkrudVcey!YG@3,Ifirf}U]OB[ATDP\|jt;17827n`{rm]jjvr(^NK:#@m`uov\RFIRF]OYSua}<8<\vq743jd~aQfnrv,RBG6'G::=>5lnupo[lht|&\LM0:8gkrudVcey!YG@3,TL^BD5;5=55lnupo[lht|&\LMei|{fTec}{/WEB5*VNPLFT<<94covqhZoi{}%]KL? PHZFHZ76?2iexbPioqw+SAF9&ZBTHBP2058gkrudVcey!YG@3,TL^BDV9:;6matsn\mkus'_MJ="^FXDN\0417:ampwjXagy#[IN1.RJ\@JX>;:0ocz}l^kmwq)QOH;$\DVJL^ov|5679595><5lnupo[lht|&\LM0>219`jqtkW`dxx"XHA0-SM]CKWds<=>><5<15>ei|{fTec}{/WEB5*VNPLFTaxv?013?0;7582iexbPioqw+SAF9&ZBTHBPmtz3457;=78:7n`{rm]jjvr(^NK:#]GWEM]nq}67886>2</QK[AIYj}q:;<<29>338gkrudVcey!YG@3,TL^BDVg~t=>?1=4=5764:>=5lnupo[lht|&\LMei|{fTec}{/WEB5*VNPLFTaxv?013?=;463jd~aQfnrv,RBG6'YCSIAQbuy2344:>68;27n`{rm]jjvr(^NK:#_K\EU]jjs7>3jd~aQfnrv,RBG6'[oxyaz30?3b?fhszeUbb~z VFC2+Wct}e~7<3?>9:ampwjXagy#[IN1.Pfwpjs484:m6matsn\mkus'_MJ="\jstnw8486901hby|c_hlpp*P@I8%Yi~{ct=0=5d=df}xgSd`|t.TDE4)Umzgx1<110;8gkrudVcey!YG@3,V`urd}682ei|{fTec}{/WEB5*Tb{|f080>a:ampwjXagy#[IN1.Pfwpjs4<4:=45lnupo[lht|&\LM0c8gkrudVcey!YG@3,V`urd}6<2/Sgpqir;07;j7n`{rm]jjvr(^NK:#_k|umv?<;7612iexbPioqw+SAF9&Xnxb{<8<2e>ei|{fTec}{/WEB5*Tb{|f040>1b9`jqtkW`dxx"XHA0-WAHVXD@DN0>0>c:ampwjXagy#[IN1.VFIUYKAGO783?l;blwviYnfz~$ZJO>/UGNTZJNFL6>24:o6matsn\mkus'_MJ="ZJMQ]OMKC;07;i7n`{rm]jjvr(^NK:#YKBP^NJJ@Y49k1hby|c_hlpp*P@I8%_I@^PLHLF[17e3jd~aQfnrv,RBG6']OF\RBFND]65g=df}xgSd`|t.TDE4)SMDZT@D@J_73a?fhszeUbb~z VFC2+QCJXVFBBHQ81c9`jqtkW`dxx"XHA0-WAHVXD@DNS5<>;blwviYnfz~$ZJO>/UGNTZJNFLUjbi>?0101?fhszeUbb~z VFC2+QCJXVFBBHQnne234576l2iexbPioqw+SAF9&^NA]Q_IYGO8686l2iexbPioqw+SAF9&^NA]Q_IYGO8186l2iexbPioqw+SAF9&^NA]Q_IYGO8086l2iexbPioqw+SAF9&^NA]Q_IYGO8386l2iexbPioqw+SAF9&^NA]Q_IYGO8286l2iexbPioqw+SAF9&^NA]Q_IYGO8=86l2iexbPioqw+SAF9&^NA]Q_IYGO8<86k2iexbPioqw+SAF9&^NA]Q_IYGO[67d3jd~aQfnrv,RBG6']OF\R^FXDN\04eei|{fTec}{/WEB5*RBEYU[EUKC_63`?fhszeUbb~z VFC2+QCJXVZBTHBP80a8gkrudVcey!YG@3,P@KWWYCSIAQ6239`jqtkW`dxx"XHA0-WAHVXX@RN@Road123475ei|{fTec}{/WEB5*PDG\D_I_2>>0`8gkrudVcey!YG@3,RFIRF]OY0?0>b:ampwjXagy#[IN1.T@KPHSM[6824:4dei|{fTec}{/WEB5*PDG\D_I_26>0c8gkrudVcey!YG@3,RFIRF]OYS=?n;blwviYnfz~$ZJO>/WALQKRBZV;:m6matsn\mkus'_MJ="XLOTLWAWY59h1hby|c_hlpp*P@I8%]OB[ATDP\74ga:ampwjXagy#[IN1.T@KPHSM[U==l5lnupo[lht|&\LMei|{fTec}{/WEB5*PBZ]CHMAQLOTLWAWYUMZO_>h5lnupo[lht|&\LM>4covqhZoi{}%]KL? VDPWMFGKWJE^BYK]_SGPAQYj}q:;<<<=319`jqtkW`dxx"XHA0-UAWRNKHFTOB[ATDP\V@UB\Vg~t=>?12706>ei|{fTec}{/WEB5*PBZ]CHMAQLOTLWAWYUMZO_S`{w012270YA;;1hby|c_hlpp*P@I8%]I_ZFC@N\GJSI\LXT^H]JT^ov|5679:?TK>>4covqhZoi{}%]KL? VDPWMFGKWJE^BYK]_SGPAQYj}q:;<<6:359`jqtkW`dxx"XHA0-UAWRNKHFTOB[ATDP\V@UB\Vg~t=>?197\WR64=2iexbPioqw+SAF9&\N^YGLAM]@KPHSM[UYI^K[_lw{45660>84]PS5Ya=::0ocz}l^kmwq)QOH;$ZH\[IBCO[FIRF]OYS_K\EU]nq}678838=;5lnupo[lht|&\LM1hby|c_hlpp*P@I8%]izfc`n\WqgsmVLXARHId00b?fhszeUbb~z VFC2+Scu|`ij`R]{aug\BVKXNOn:!D`=b:ampwjXagy#[IN1.TfvqodieUXxlzj_GQN[C@c9$Ce=?94covqhZoi{}%]KL? VdpwmfgkWZ~jxhQISL]EBa45i2iexbPioqw+SAF9&\n~yglam]PpdrbWOYFSKHk2,Km6`=df}xgSd`|t.TDE4)Qm{~bolbPSucwaZ@TEVLMh?#Fn^QT471o5lnupo[lht|&\LM&Ec?=7:ampwjXagy#[IN1.TfvqodieUXxlzj_GQN[C@c=;=0ocz}l^kmwq)QOH;$Zh|{ibco[Vrf|lUM_@QIFe41e>ei|{fTec}{/WEB5*Pbz}chmaQ\t`vf[CUJWOLo: Ga2d9`jqtkW`dxx"XHA0-UawrnkhfT_yo{e^DPIZ@Al?'BbR]X02;8gkrudVcey!YG@3,R`tsajkgS^zntd]EWHYANm<&EcQ\W1-OQQ2XDVH^J>>4covqhZoi{}%]KL? VdpwmfgkWZ~jxhQISL]EBa0*AgUX[=!@269`jqtkW`dxx"XHA0-UawrnkhfT_yo{e^DPIZ@Al>827n`{rm]jjvr(^NK:#[k}thabhZUsi}oTo`~Pep23454f3jd~aQfnrv,RBG6'_oyxdmnl^QweqcXkdzTi|>?01301>ei|{fTec}{/WEB5*Pbz}chmaQ\t`vf[fkwWl{;<=>>/MWW0ZBXJ\L9i6matsn\mkus'_MJ="Xjruk`eiYT|h~nSnc_ds34566WZ];>l5lnupo[lht|&\LMei|{fTec}{/WEB5*Pbz}chmaQ\t`vf[fkwWl{;<=?>289`jqtkW`dxx"XHA0-UawrnkhfT_yo{e^antZcv89:8?95lnupo[lht|&\LMei|{fTec}{/WEB5*Pbz}chmaQ\t`vf[fkwWl{;<==>1248gkrudVcey!YG@3,R`tsajkgS^zntd]`iuYby9:;??05301>ei|{fTec}{/WEB5*Pbz}chmaQ\t`vf[fkwWl{;<=:>/MWW7ZBXJ\L:46matsn\mkus'_MJ="Qbuy2345713jd~aQfnrv,[dbc89:;080>6:ampwjXagy#Rokd12359299>1hby|c_hlpp*Yflm:;<<2;>035?fhszeUbb~z _`fg45654:4::6matsn\mkus'Vkoh=>?2=6=53=df}xgSd`|t.]b`a678;6>2<84covqhZoi{}%Tmij?011?1;713jd~aQfnrv,[dbc89:?090>7:ampwjXagy#Rokd123092998<0ocz}l^kmwq)Ximn;<=;33?35?fhszeUbb~z _`fg45624=4::6matsn\mkus'Vkoh=>?5=7=53=df}xgSd`|t.]pqg6789682<64covqhZoi{}%Txl?012?0;769k1hby|c_hlpp*Yt}k:;<=2;>^`g`5733jd~aQfnrv,fdjkWq6;2<:4covqhZoi{}%imabPx=3=51=df}xgSd`|t.`bhiY4;4:86matsn\mkus'kkg`Rv33?37?fhszeUbb~z b`no[}:368>0ocz}l^kmwq)eiefTt1;1159`jqtkW`dxx"lnlm]{8386;2iexbPioqw+ggkdVrT<<=4covqhZoi{}%imabPx^327>ei|{fTec}{/ccohZ~X:890ocz}l^kmwq)eiefTtR=>3:ampwjXagy#oocl^z\045ei|{fTec}{/ccohZ~ca{Ujbi>?011:5`=df}xgSd`|t.`bhiYl`xTmcj?0127677ei|{fTec}{/ccohZ~ca{Ujbi>?015\WR6Xn<;h7n`{rm]jjvr(jhfgSujfr^cm`567;8l0ocz}l^kmwq)eiefTtig}_bos[bc7898:j6matsn\mkus'kkg`Rvkis]`iuY`m9:;?>c:ampwjXagy#oocl^zgmwYj}q:;<=?j;blwviYnfz~$nlbc_yfjvZkrp9:;<<==9:ampwjXagy#oocl^zgmwYj}q:;<=??013`?fhszeUbb~z b`no[}bnzVey<=>?1358gkrudVcey!mamn\|aouWfx;<=>>/MWW7ZBXJ\L:n6matsn\mkus'kkg`Rvkis]lv567:8i0ocz}l^kmwq)eiefTtig}_np34546:>1hby|c_hlpp*dfdeUshd|Pos23477(D\^8SIQMUG37?fhszeUbb~z b`no[|:768>0ocz}l^kmwq)eiefTu1?1159`jqtkW`dxx"lnlm]z8786<2iexbPioqw+ggkdVs7?3?;;blwviYnfz~$nlbc_x>7:425lnupo[lht|&hj`aQv_130?fhszeUbb~z b`no[|Y69:1hby|c_hlpp*dfdeUrS??<;blwviYnfz~$nlbc_x]056=df}xgSd`|t.`bhiY~W=;87n`{rm]jjvr(jhfgStQ:1b9`jqtkW`dxx"lnlm]z[hs89:;0=0>d:ampwjXagy#oocl^{\ip~789:7<3?>d:ampwjXagy#oocl^{\ip~789:7<3<>f:ampwjXagy#oocl^{\ip~789:7<3Qi50a8gkrudVcey!mamn\}Zkrp9:;<1?11e9`jqtkW`dxx"lnlm]z[hs89:;0<0>1d9`jqtkW`dxx"lnlm]z[hs89:;0<0>13;8gkrudVcey!mamn\}Zkrp9:;<1?110-OQQ5XLVH^J0>d:ampwjXagy#oocl^{\ip~789:7?3?>c:ampwjXagy#oocl^{\ip~789:783?k;blwviYnfz~$nlbc_x]nq}67896?2<<>;blwviYnfz~$nlbc_x]nq}67896?20f8gkrudVcey!mamn\}Zkrp9:;<1;110g8gkrudVcey!mamn\}Zkrp9:;<1;1103f?fhszeUbb~z b`no[|Yj}q:;<=2:>0014>ei|{fTec}{/ccohZXe|r;<=>35?3\b07>3jd~aQfnrv,fdjkWpUecy>?033b?fhszeUbb~z b`no[|Yig}:;4:ampwjXagy#oocl^{gmw7d3jd~aQfnrv,fdjkWpnb~Road12344c:91hby|c_hlpp*dfdeUrhd|Paof3456598;:i6matsn\mkus'kkg`Rwkis]bja678999>45lnupo[lht|&hj`aQvdhp\ekb789:8>"BZT5]G[GSA:;1hby|c_hlpp*dfdeUrhd|Paof34564:VY\?04616>ei|{fTec}{/ccohZca{Ujbi>?0177[VQ79l1hby|c_hlpp*dfdeUrhd|Paof3456?=;80ocz}l^kmwq)eiefTuig}_`lg456701UX[=<=;blwviYnfz~$nlbc_xfjvZgil9:;<56PSV31`>ei|{fTec}{/ccohZca{Ujbi>?01:;[VQ6'E__8RBPBTD10>ei|{fTec}{/ccohZca{Ujbi>?01:;[VQ6'F;m7n`{rm]jjvr(jhfgStjfr^antZas89:;>=5lnupo[lht|&hj`aQvdhp\ghvXo}:;<=?=4:ampwjXagy#oocl^{gmwYdeyUlx=>?00]PS54a3jd~aQfnrv,fdjkWpnb~Rmbp^ew45679VY\<"BZT3]O[GSA:?1hby|c_hlpp*dfdeUrhd|Pclr\cq6789;T_Z> O0d8gkrudVcey!mamn\}aouWjg{Saz?01214>ei|{fTec}{/ccohZca{Uha}Qct12344433jd~aQfnrv,fdjkWpnb~Rmbp^nw45679VY\>_RU3+ISS:VFTNXH=6:ampwjXagy#oocl^{gmwYdeyUgx=>?00]PS5)H9j1hby|c_hlpp*dfdeUrhd|Pmtz34565;2iexbPioqw+ggkdVsoeQbuy23457XAG\T<<64covqhZoi{}%imabPyscwa969911hby|c_hlpp*dfdeUr~lzj<0<23>ei|{fTec}{/ccohZui}oT<<94covqhZoi{}%imabPyscwaZ7582iexbPioqw+ggkdVsymykPmtz3452;87897n`{rm]jjvr(jhfgSt|ntd]nq}678=6;2<>=2:ampwjXagy#oocl^{qeqcXe|r;<=:30?3266=df}xgSd`|t.`bhiY~zh~nS`{w012785869:8i7n`{rm]jjvr(jhfgSt|ntd]nq}678=6;2Q\W1]PS5)K]]8T@RLZF3f8gkrudVcey!mamn\}wgsmVg~t=>?4=2=545X[^:T_Z> O318gkrudVcey!mamn\}wgsmVg~t=>?4=2=5415m2iexbPioqw+ggkdVsymykPmtz3452;87;:;"BZT5]G[GSA:?1hby|c_hlpp*dfdeUr~lzj_lw{4563494:=R]X0318gkrudVcey!mamn\}wgsmVg~t=>?4=2=527592iexbPioqw+ggkdVsymykPmtz3452;8789>6matsn\mkus'kkg`Rw}aug\ip~789>7<3<>2e9`jqtkW`dxx"lnlm]zvdrbWds<=>;<1<15*JR\=UGSO[I259`jqtkW`dxx"lnlm]zvdrbWds<=>;<1<15*I5:2iexbPioqw+ggkdVsymykPmtz3452;87>;><5lnupo[lht|&hj`aQvr`vf[hs89:?0=09219`jqtkW`dxx"lnlm]zvdrbWds<=>;<0<16>ei|{fTec}{/ccohZui}oTaxv?016?5;77:;1hby|c_hlpp*dfdeUr~lzj_lw{456348498?<4covqhZoi{}%imabPyscwaZkrp9:;81?13301?fhszeUbb~z b`no[|tf|lUfyu>?05>2:126l2iexbPioqw+ggkdVsymykPnnv34575;2iexbPioqw+ggkdVsymykPnnv34576?VY\>018gkrudVcey!kemcz8786:2iexbPioqw+ackipU;=?5lnupo[lht|&nn`lwP1008gkrudVcey!kemcz[7763jd~aQfnrv,akgedl;27n`{rm]jjvr(mgki`hQnne234=7f3jd~aQfnrv,akgedlUjbi>?0932g>ei|{fTec}{/dlbficXkdzTi|>?013g?fhszeUbb~z eocah`YdeyUn}=>?000;?fhszeUbb~z eocah`YdeyUn}=>?00-OQQ2XLVH^J=5lnupo[lht|&oemobj_bos[`w789;T_Z>=b:ampwjXagy#h`nbmg\ghvXmx:;< O0d8gkrudVcey!jn``oaZkrp9:;<ei|{fTec}{/dlbficXe|r;<=>>1^QT5Z@592iexbPioqw+`hfjeoTaxv?01225ZUP9VM:n6matsn\mkus'ldjnakPmtz3456518h0ocz}l^kmwq)bfhhgiRczx1234626j2iexbPioqw+`hfjeoTaxv?012714??10`8gkrudVcey!jn``oaZhh|9:;=>==6:ampwjXagy#h`nbmg\jjr789;8?"BZT5]O[GSA9m1hby|c_hlpp*ciikfnSca{012276)H9o1hby|c_hlpp*ciikfnSca{012276YT_9;m7n`{rm]jjvr(mgki`hQaou234454WZ]:=o5lnupo[lht|&oemobj_omw4566=1;?7n`{rm]jjvr(dllSt2?>068gkrudVcey!cegv\}9799=1hby|c_hlpp*jbn}Ur0?0>4:ampwjXagy#akit^{?7;733jd~aQfnrv,h``sWp6?2<:4covqhZoi{}%gikzPy=7=56=df}xgSd`|t.nfbqY~W9;87n`{rm]jjvr(dllStQ>129`jqtkW`dxx"bjfu]z[7743jd~aQfnrv,h``sWpU8=>5lnupo[lht|&fnjyQv_530?fhszeUbb~z lddw[|Y29j1hby|c_hlpp*jbn}UrS`{w01218786l2iexbPioqw+ica|VsTaxv?010?6;76k2iexbPioqw+ica|VsTaxv?010?7;7c3jd~aQfnrv,h``sWpUfyu>?03>0:47d3jd~aQfnrv,h``sWpUfyu>?03>7:4b?2=6=54e?2=7=5a=df}xgSd`|t.nfbqY~Wds<=>=<4<251=df}xgSd`|t.npgjtb494:86matsn\mkus'eyhck31?37?fhszeUbb~z lralv`:568>0ocz}l^kmwq)k{jeyi1=1129`jqtkW`dxx"b|cnpf[5743jd~aQfnrv,hvehzlU:=>5lnupo[lht|&fxob|j_330?fhszeUbb~z lralv`Y4901hby|c_hlpp*jtkfxnSca{01225d=df}xgSd`|t.npgjtbWge<=>>10:8gkrudVcey!aeyv\GJJHZ5:5=n5lnupo[lht|&dntyQLOMMQ85869;8:o6matsn\mkus'gosxRM@LNP?4;76::8<7n`{rm]jjvr(flrSNACOS>3:475;&F^X>QC_CWE5`=df}xgSd`|t.lf|qYDGEEY0=0>131,K7611000[VQ7:91hby|c_hlpp*hbp}UHCAA]<1<2575X[^;:n6matsn\mkus'gosxRM@LNP?4;71<8n0ocz}l^kmwq)imq~TOBB@R=2=532XN8n0ocz}l^kmwq)imq~TOBB@R=2=532XO8h0ocz}l^kmwq)imq~TOBB@R=2=5=05>2iexbPioqw+kc|VID@B\30?3;2*JR\:UOSO[I1b9`jqtkW`dxx"`jxu]@KIIU4949==;>e:ampwjXagy#ckwt^ALHJT;878:<8QI1d9`jqtkW`dxx"`jxu]@KIIU4949==;PG0`8gkrudVcey!aeyv\GJJHZ5:5>9:>a:ampwjXagy#ckwt^ALHJT;878<=n5lnupo[lht|&dntyQLOMMQ858X[^;:56matsn\mkus'gosxRM@LNP?5;76l2iexbPioqw+kc|VID@B\31?3\WR66k2iexbPioqw+kc|VID@B\31?]PS5403jd~aQfnrv,j`~sWJEGC_2>>^QT4*JR\=UGSO[I1d9`jqtkW`dxx"`jxu]@KIIU484T_Z> O0f8gkrudVcey!aeyv\GJJHZVkeh=>?00d8gkrudVcey!aeyv\GJJHZVkeh=>?02217>ei|{fTec}{/og{pZEHDFXTmcj?01204ZUP8;o0ocz}l^kmwq)imq~TOBB@R^cm`5678::T_Z> LTV0[IYE]O8>7n`{rm]jjvr(flrSNACOS]bja67899;S^Y?/N3f?fhszeUbb~z ndzw[FIKG[Ujbi>?01:2`>ei|{fTec}{/og{pZEHDFXTmcj?0051<>ei|{fTec}{/og{pZEHDFXTmcj?005,HPR3WMUIYK<:;blwviYnfz~$bhv{_BMOKWYffm:;=:Q\W1]PS5473jd~aQfnrv,j`~sWJEGC_Qlmq]da5679;:0ocz}l^kmwq)imq~TOBB@R^antZab89:9>=5lnupo[lht|&dntyQLOMMQ[fkwWeo;<=<=0:ampwjXagy#ckwt^ALHJTXkdzT`h>?0201?fhszeUbb~z ndzw[FIKG[Uha}Qce123047582iexbPioqw+kc|VID@B\Pmtz3451;87887n`{rm]jjvr(flrSNACOS]nq}678>6;2<:<2d9`jqtkW`dxx"`jxu]@KIIUWds<=>8<1<206)K]]>T@RLZF378gkrudVcey!aeyv\GJJHZVg~t=>?7=2=515(G;90ocz}l^kmwq)imq~TOBB@R^ov|567?5:5=:>=3:ampwjXagy#ckwt^ALHJTXe|r;<=930?02475?7=2=6<413jd~aQfnrv,j`~sWJEGC_Qbuy2342:76;3T_Z?=3:ampwjXagy#ckwt^ALHJTXe|r;<=930?13475?7=2=7035;2iexbPioqw+kc|VID@B\Pmtz3451;879=9?<4covqhZoi{}%eiuzPCNNLVZkrp9:;;1>1440g?fhszeUbb~z ndzw[FIKG[Ufyu>?06>3:13(D\^?SAQMUG07?fhszeUbb~z ndzw[FIKG[Ufyu>?06>3:13(G;80ocz}l^kmwq)imq~TOBB@R^ov|567?5:5:<73,HPR4WEUIYK<;;blwviYnfz~$bhv{_BMOKWYj}q:;<:2?>73,K746;24?=d:ampwjXagy#ckwt^ALHJTXe|r;<=930?;2+ISS8:ampwjXagy#ckwtmgepZ;87;37n`{rm]jjvr(flr`hh{_x>2:4>058gkrudVcey!aeyvoacrXqV::;6matsn\mkus'gosxakit^{\5417:ampwjXagy#ckwtmgepZX;8=0ocz}l^kmwq)imq~gikzPy^623>ei|{fTec}{/og{pica|VsT9?>4covqhZoi{}%eiuzcegv\}ZejxVm<=>?209`jqtkW`dxx"`jxunfbqY~Wjg{Sjz?012260=df}xgSd`|t.lf|qjbn}UrSnc_fv34566WZ];>=5lnupo[lht|&dntybjfu]z[fkwWe~;<=>=1:ampwjXagy#ckwtmgepZXkdzT`y>?01311>ei|{fTec}{/og{pica|VsTo`~Plu23457X[^:9<6matsn\mkus'gosxakit^{\ip~789>7<3<;;blwviYnfz~$bhv{lddw[|Yj}q:;<92?>^QT476=5lnupo[lht|&dntybjfu]z[hs89:?0?0=1:ampwjXagy#ckwtmgepZXe|r;<=:32?314>ei|{fTec}{/og{pica|VsTaxv?016?7;463jd~aQfnrv,j`~sdllStQbuy2341:4688;7n`{rm]jjvr(flr`hh{_x]nq}678=6?2??4covqhZoi{}%eiuzcegv\}Zkrp9:;81:11328gkrudVcey!aeyvoacrXqVg~t=>?4=7=64=df}xgSd`|t.lf|qjbn}UrS`{w012780869l1hby|c_hlpp*hbp}fnjyQv_lw{456298n0ocz}l^kmwq)imq~gikzPy^llp567:8o0ocz}l^kmwq)imq~gikzPy^llp567:8;27n`{rm]jjvr(flr~digt^{?4;7>3jd~aQfnrv,j`~sz`mcxRw31?3:?fhszeUbb~z ndzwvlao|Vs7>3?6;blwviYnfz~$bhv{rhekpZ;;7;27n`{rm]jjvr(flr~digt^{?0;7>3jd~aQfnrv,j`~sz`mcxRw35?3;?fhszeUbb~z ndzwvlao|VsT<<64covqhZoi{}%eiuz}ifjw[|Y6911hby|c_hlpp*hbp}xbkezPy^02<>ei|{fTec}{/og{pwo``}UrS>?7;blwviYnfz~$bhv{rhekpZX<820ocz}l^kmwq)imq~yejf{_x]664=df}xgSd`|t.lf|qtnoa~TuRczx1230969:<1hby|c_hlpp*hbp}xbkezPy^ov|567<5:5S^Y?209`jqtkW`dxx"`jxupjcmrXqVg~t=>?4=3=67=df}xgSd`|t.lf|qtnoa~TuRczx12309799;;0ocz}l^kmwq)imq~yejf{_x]nq}678=692?<4covqhZoi{}%eiuz}ifjw[|Yj}q:;<92=>002?fhszeUbb~z ndzwvlao|VsTaxv?016?7;453jd~aQfnrv,j`~sz`mcxRwPmtz3452;;7;9=6matsn\mkus'gosxghhu]z[hs89:?090=2:ampwjXagy#ckwtskdlqY~Wds<=>;<5<264=df}xgSd`|t.lf|qtnoa~TuRczx1230939:;1hby|c_hlpp*hbp}xbkezPy^ov|567<5?5=e:ampwjXagy#ckwtskdlqY~Wge<=>=1g9`jqtkW`dxx"`jxupjcmrXqVddx=>?203`?fhszeUbb~z ntoaawYflmxn~2<>0a8gkrudVcey!aul`fvZgcl{ox1:11b9`jqtkW`dxx"`zmcgq[dbczlyx080>c:ampwjXagy#c{bbdp\eabumzy7:3?m;blwviYnfz~$bxcmes]b`atb{zU8>>5lnupo[lht|&d~aok}_`fgv`utW:Ufyu>?0307?fhszeUbb~z ntoaawYflmxn~Q<_lw{456598h0ocz}l^kmwq)i}dhn~RokdsgpwZ25;2iexbPioqw+ksjjlxTmij}erq\0Zkrp9:;>?:4covqhZoi{}%ey`ljr^cg`wct{V>Taxv?01025g=df}xgSd`|t.lvigcuWhno~h}|_400?fhszeUbb~z ntoaawYflmxn~Q:_lw{4565:=1hby|c_hlpp*hrekoySljkrdqp[0Yj}q:;b:ampwjXagy#c{bbdp\eabumzyT:?=4covqhZoi{}%ey`ljr^cg`wct{Vei|{fTec}{/ownf`tXimnyi~}P6^ov|567:8;>7n`{rm]jjvr(z`mcxRw30?36?fhszeUbb~z rhekpZ;97;>7n`{rm]jjvr(z`mcxRw32?36?fhszeUbb~z rhekpZ;;7;>7n`{rm]jjvr(z`mcxRw34?36?fhszeUbb~z rhekpZ;=7;?7n`{rm]jjvr(z`mcxRwP0068gkrudVcey!}ifjw[|Y69=1hby|c_hlpp*tnoa~TuR<>4:ampwjXagy#ghhu]z[6733jd~aQfnrv,vlao|VsT8<:4covqhZoi{}%yejf{_x]65a=df}xgSd`|t.pjcmrXqVif|Ri{01235`=df}xgSd`|t.pjcmrXqVif|Ri{01235746matsn\mkus'{cldyQv_bos[ir789::S^Y?1e9`jqtkW`dxx"|fgiv\}Zkrp9:;>1<11d9`jqtkW`dxx"|fgiv\}Zkrp9:;>1<110f8gkrudVcey!}ifjw[|Yj}q:;0g8gkrudVcey!}ifjw[|Yj}q:;03g?fhszeUbb~z rhekpZXe|r;<=<34?3f?fhszeUbb~z rhekpZXe|r;<=<34?32`>ei|{fTec}{/skdlqY~Wds<=>=<4<2a>ei|{fTec}{/skdlqY~Wds<=>=<4<251=df}xgSd`|t.ppgjtb494:86matsn\mkus'{yhck31?37?fhszeUbb~z rralv`:568>0ocz}l^kmwq)u{jeyi1=1129`jqtkW`dxx"||cnpf[5743jd~aQfnrv,vvehzlU:=>5lnupo[lht|&xxob|j_330?fhszeUbb~z rralv`Y4901hby|c_hlpp*ttkfxnSca{01225g=df}xgSd`|t.ppgjtbWge<=>>1005?fhszeUbb~z rralv`Yig}:;</MWW0ZBXJ\L:n6matsn\mkus'{yhckPnnv3457598n0ocz}l^kmwq)u{jeyiR``t1235ZUP8<1gh1>15:ng84823en7>3;4le>0:0=kl5>596bk<4<6?ib;>7=0`i28:1<6?ib;?730`iQ?_N@VB<=klV;TCO[I9:ng[7YHJ\L27ajP3^MAQC?3enT;RAMUG:8jbbe}`fo46~`nf]wku2==5}e`f\tv4Xagy#C7>9:pfeaYw{;Ubb~z lddw[aou494:56|jae]sw7Ynfz~$`hh{_ekq848602xnmiQs3]jjvr(dllSig}_13;?wcflVzx>Rgasu-oacrXl`xT=??4rdcg[uu5W`dxx"bjfu]gmwYj}q:;<=2?>318v`gcWyy9Sd`|t.nfbqYca{Ufyu>?01>3:445<2xnmiQs3]jjvr(dllSig}_lw{4567494:><<9;sgb`Zvt:Vcey!cegv\`ltXe|r;<=>30?31[c35;2xnmiQs3]jjvr(dllSig}_lw{45674949=?=4rdcg[uu5W`dxx"bjfu]gmwYj}q:;<=2?>3617>tbimU{?Qfnrv,h``sWmcyS`{w01238585>;90~hok_qq1[lht|&fnjyQkis]nq}67896;29?=2:pfeaYw{;Ubb~z lddw[aouWds<=>?<1<:6a=umhnT|~?<0<10756|jae]sw7Ynfz~$`hh{_ekq[hs89:;0<062e9qadbXxz8Tec}{/mgepZbnzVg~t=>?0=3==*JR\=UGSO[I259qadbXxz8Tec}{/mgepZbnzVg~t=>?0=3==*I5l2xnmiQs3]jjvr(xz8Toy|c/LalqkrXkeaTddig_gkop`t5m2xnmiQs3]jjvr(xz8Toy|c/LalqkrXkeaTddig_gkop`t6:l1yiljPpr0\mkus'yy9Snz}l.O`kphsWjf`Seghh^djhqcu::<0~hok_qq1[lht|&zx>Rm{rm-Ngjsi|ViggRffgi]emirbzVrd~1>11015?wcflVzx>Rgasu-sw7Yd|{f$Anaznu]`hnYoanbTjdb{es]{kw:668;8:6|jae]sw7Ynfz~$|~tbimU{?Qfnrv,tv4Xk}xg#@m`uov\gimXdf}Tjdb{es31`>tbimU{?Qfnrv,tv4Xk}xg#@m`uov\gimXdf}Tjdb{es001>tbimU{?Qfnrv,tv4Xk}xg#@m`uov\gimXdf}Tjdb{es]{kw:768;896|jae]sw7Ynfz~$|~>0301>tbimU{?Qfnrv,tv4Xk}xg#@m`uov\gimXdf}Tjdb{es]{kw:568;:m6|jae]sw7Ynfz~$|~1yiljPpr0\mkus'yy9Snz}l.Pfw`rXag|:SD@Y_100?wcflVzx>Rgasu-sw7Yd|{f$_noa_Bmga969::1yiljPpr0\mkus'yy9Snz}l.Q`ekYDgmo7=3<<;sgb`Zvt:Vcey!s3]`pwj([jkeSNake=0=66=umhnT|~>5}e`f\tv4Xagy#}}=_bvqh*UdigUHcik37?01?wcflVzx>Rgasu-sw7Yd|{f$_noa_BmgaZ65:2xnmiQs3]jjvr(xz8Toy|c/RabjZEhllU:>?5}e`f\tv4Xagy#}}=_bvqh*UdigUHcikP2308v`gcWyy9Sd`|t.rp6Zesze%Xol`PCnff[6453{ojhR~|2^kmwq)w{;Uhxb Sbcm[FicmV>9>6|jae]sw7Ynfz~$|~837knd^rp6Zoi{}%{?Qltsn,WfgiWJeoiRoad12347??1318v`gcWyy9Sd`|t.rp6Zesze%ieyQlotlw8585;2xnmiQs3]jjvr(xz8Toy|c/ckw[firf}6:2?=4rdcg[uu5W`dxx"~|2^awvi)ea}Uhcx`{<3<17>tbimU{?Qfnrv,tv4Xk}xg#og{_bmvjq:46;80~hok_qq1[lht|&zx>Rm{rm-amqYdg|dS=<=;sgb`Zvt:Vcey!s3]`pwj(j`~Tob{at^316>tbimU{?Qfnrv,tv4Xk}xg#og{_bmvjqY5:;1yiljPpr0\mkus'yy9Snz}l.`jpZeh}g~T??l4rdcg[uu5W`dxx"~|2^awvi)ea}Uhcx`{_lw{45674949o6|jae]sw7Ynfz~$|~Rm{rm-amqYdg|dS`{w01238486:k1yiljPpr0\mkus'yy9Snz}l.`jpZeh}g~Taxv?012?6;4d3{ojhR~|2^kmwq)w{;Uhxb bhv\gjsi|Vg~t=>?0=0=57d?<2<1g>tbimU{?Qfnrv,tv4Xk}xg#og{_bmvjqYj}q:;<=2<>000?wcflVzx>Rgasu-sw7Yd|{f$oaknr^pfc969::1yiljPpr0\mkus'yy9Snz}l.aoadtXzlm7=3<=;sgb`Zvt:Vcey!s3]`pwj(keoj~R|jg^216>tbimU{?Qfnrv,tv4Xk}xg#nbjas]qabY6:k1yiljPpr0\mkus'yy9Snz}l.aoadtXzlmTaxv?012?4;4d3{ojhR~|2^kmwq)w{;Uhxb cmgbvZtboVg~t=>?0=2=57d?<0<1g>tbimU{?Qfnrv,tv4Xk}xg#nbjas]qabYj}q:;<=2>>00:?wcflVzx>Rgasu-sw7Yd|{f$oaePhhek[cok|lx7<3<6;sgb`Zvt:Vcey!s3]`pwj(keaTddig_gkop`t;97827knd^rp6Zoi{}%{?Qltsn,gimX``mcSkgctdp?6;4?3{ojhR~|2^kmwq)w{;Uhxb cmi\llaoWocgxh|P03:8v`gcWyy9Sd`|t.rp6Zesze%h`fQgifj\bljsm{U:>55}e`f\tv4Xagy#}}=_bvqh*ekcVbbkeQiimvfvZ4502xnmiQs3]jjvr(xz8Toy|c/bnh[iipWocgxh|30?0;?wcflVzx>Rgasu-sw7Yd|{f$oaePlnu\bljsm{6:2?64rdcg[uu5W`dxx"~|2^awvi)ddbUgczQiimvfv949:>1yiljPpr0\mkus'yy9Snz}l.aooZjhVlb`yk}_104?wcflVzx>Rgasu-sw7Yd|{f$oaePlnu\bljsm{U:>:5}e`f\tv4Xagy#}}=_bvqh*ekcVfd{Rhflugq[7463{ojhR~|2^kmwq)w{;Uhxb fhnwawYddb827knd^rp6Zoi{}%{?Qltsn,bljsm{Uh`fQaou23444e3{ojhR~|2^kmwq)w{;Uhxb fhnwawYddbUecy>?00]E6g=umhnT|~>_F3e?wcflVzx>Rgasu-sw7Yd|{f$R|jg=2=5c=umhnT|~5=k5}e`f\tv4Xagy#}}=_bvqh*uXzlm793?i;sgb`Zvt:Vcey!s3]`pwj({Vxnk1811g9qadbXxz8Tec}{/qq1[frud&yT~hi37?3e?wcflVzx>Rgasu-sw7Yd|{f$R|jg=:=5`=umhnT|~Rm{rm-p[wc`W<;n7knd^rp6Zoi{}%{?Qltsn,wZtboV<:i6|jae]sw7Ynfz~$|~004?wcflVzx>Rgasu-sw7Yd|{f$R|jg^ov|56785;5>55}e`f\tv4Xagy#}}=_bvqh*uXzlmTaxv?012?5;75?2xnmiQs3]jjvr(xz8Toy|c/r]qabYj}q:;<=2=>3:8v`gcWyy9Sd`|t.rp6Zesze%xSkh_lw{45674;4:>:5}e`f\tv4Xagy#}}=_bvqh*uXzlmTaxv?012?7;4?3{ojhR~|2^kmwq)w{;Uhxb s^pfcZkrp9:;<1=11358v`gcWyy9Sd`|t.rp6Zesze%xSkh_lw{45674=4946|jae]sw7Ynfz~$|~?01>7:4403{ojhR~|2^kmwq)w{;Uhxb s^pfcZkrp9:;<1;1299qadbXxz8Tec}{/qq1[frud&yT~hiPmtz3456;=7;9;6|jae]sw7Ynfz~$|~?01>5:7>269qadbXxz8Tec}{/qq1[frud&yT~hiPmtz3456;?7837knd^rp6Zoi{}%{?Qltsn,wZtboVg~t=>?0=5=571=7:pfeaYw{;Ubb~z pr0\gqtk'}xbkjk}_lw{45679;20~hok_qq1[lht|&zx>Rm{rm-wvla`m{Ufyu>?013257=umhnT|~?1`9qadbXxz8Tec}{/sgb`Ziu89:;=tbimU{?Qfnrv,vlao|Vnb~R?=2:pfeaYw{;Ubb~z rhekpZbnzVg~t=>?0=2=60=umhnT|~>5}e`f\tv4Xagy#ghhu]gmwYj}q:;<=2?>307?wcflVzx>Rgasu-qmbnsWmcyS`{w01238585>;l0~hok_qq1[lht|&xbkezPdhp\ip~789:7<3<9/MWW7ZJXJ\L9:6|jae]sw7Ynfz~$~digt^fjvZkrp9:;<1>127-L62=umhnT|~?<1<1[VQ7'E__?RBPBTD1=>tbimU{?Qfnrv,vlao|Vnb~Rczx1234969:VY\<"A=4:pfeaYw{;Ubb~z rhekpZbnzVg~t=>?0=2=7<4a3{ojhR~|2^kmwq)uanbSig}_lw{456749485"BZT5]O[GSA:?1yiljPpr0\mkus'{cldyQkis]nq}67896;2>7 O3:8v`gcWyy9Sd`|t.pjcmrXl`xTaxv?012?4;5>WZ];>95}e`f\tv4Xagy#ghhu]gmwYj}q:;<=2?>7410>tbimU{?Qfnrv,vlao|Vnb~Rczx1234969>1897knd^rp6Zoi{}%yejf{_ekq[hs89:;0<0=5:pfeaYw{;Ubb~z rhekpZbnzVg~t=>?0=3=547512xnmiQs3]jjvr(z`mcxRjfr^ov|56785;5=tbimU{?Qfnrv,vlao|Vnb~Rczx12349799:8?7knd^rp6Zoi{}%yejf{_ekq[hs89:;0<0<03d8v`gcWyy9Sd`|t.pjcmrXl`xTaxv?012?5;57'E__>RBPBTD12>tbimU{?Qfnrv,vlao|Vnb~Rczx1234979;9%D>95}e`f\tv4Xagy#ghhu]gmwYj}q:;<=2>>5310>tbimU{?Qfnrv,vlao|Vnb~Rczx1234979>88m7knd^rp6Zoi{}%yejf{_ekq[hs89:;0<091.NVP1YKWK_M>;5}e`f\tv4Xagy#ghhu]gmwYj}q:;<=2>>73,K75Rgasu-qmbnsWmcySca{012256=umhnT|~;80~hok_qq1[lht|&yn~{kPmtz345669?UX[=?k;sgb`Zvt:Vcey!|estf[hs89:;=4?k;sgb`Zvt:Vcey!|estf[hs89:;><<>;sgb`Zvt:Vcey!|estf[hs89:;>Rgasu-pawpbWds<=>?510;?wcflVzx>Rgasu-pawpbWds<=>?51-OQQ5XDVH^J<"A>d:pfeaYw{;Ubb~z sdpuaZkrp9:;<:>=8:pfeaYw{;Ubb~z sdpuaZkrp9:;<:> LTV7[IYE]O;m7knd^rp6Zoi{}%xixj_lw{4567?9%D><5}e`f\tv4Xagy#~k}vd]nq}6789=;S^Y?1c9qadbXxz8Tec}{/rgqr`Yig}:;<tbimU{?Qfnrv,wqgsmVg~t=>?00a8v`gcWyy9Sd`|t.qweqcXe|r;<=>>149qadbXxz8Tec}{/rvltnc~9m1yiljPpr0\mkus'z~d|fkv_lw{45679o1yiljPpr0\mkus'z~d|fkv_lw{456798;m7knd^rp6Zoi{}%xxb~dex]nq}678993=k5}e`f\tv4Xagy#~z`pjgz[hs89:;85<>;sgb`Zvt:Vcey!|tnrha|Yj}q:;<=:7_G02?wcflVzx>Rgasu-ppjvlmpUfyu>?016;[B7a3{ojhR~|2^kmwq)t|fz`itQbuy2345>39o1yiljPpr0\mkus'z~d|fkv_lw{45670<;m7knd^rp6Zoi{}%xxb~dex]nq}67893==i5}e`f\tv4Xagy#~z`pjgz[kis89::><5}e`f\tv4Xagy#~z`pjgz[kis89::S^Y>2b9qadbXxz8Tec}{/rvltnc~Wge<=>>_RU2+ISS7~kh_bc8w`aXkVEIYK;4sde\`d=tmnUoSBLZF79pabYcxk1xijQkp^MAQC3<{lmTil5|ef]f[JDRN<1xijQia:qfcZ`XGK_M96}jg^eb?vc`WnUDNXH6;rrbvqgi;h;i7yg{ld]jjvr(Eje~byQlnu]`}9699k1eybj_hlpp*Kdg|dSn`{_b{?5;7b3}c`hQfnrv,Ifirf}UhbyQly=3=[wr6j2~bxakPioqw+Heh}g~ToczPcx>1:4c<|`~giRgasu-Ngjsi|ViexRmv<3<\vq7e3}c`hQfnrv,Ifirf}UhbyQly=1=5`=sa}fnSd`|t.O`kphsWjdSnw33?]qp4d<|`~giRgasu-Ngjsi|ViexRmv<5<2a>rn|eoTec}{/LalqkrXkg~Tot2;>^pw5g=sa}fnSd`|t.O`kphsWjdSnw35?3f?qosdlUbb~z MbmvjqYdf}Uhu1;1_sv2f>rn|eoTec}{/LalqkrXkg~Tot29>0g8plrkmVcey!BcnwmpZei|Vir0;0Pru3a?qosdlUbb~z MbmvjqYdf}Uhu1911d9wmqjbW`dxx"Clotlw[fhsWjs7;3Q}t0`8plrkmVcey!BcnwmpZei|Vir050>e:vjpicXagy#@m`uov\gkrXkp632R|{1b9wmqjbW`dxx"Clotlw[fhsWe0=0=2:vjpicXagy#@m`uov\gkrXd|~7<3QFNW]35f=sa}fnSd`|t.O`kphsWjdSua}<1<2g>rn|eoTec}{/LalqkrXkg~Ttb|31?3`?qosdlUbb~z MbmvjqYdf}Usc2=>0a8plrkmVcey!BcnwmpZei|Vrd~1=11b9wmqjbW`dxx"Clotlw[fhsWqey090>c:vjpicXagy#@m`uov\gkrXpfx793?l;ukwh`Ynfz~$Anaznu]`jqYg{6=26Vx=k5{iunf[lht|&Gyc`QLOOF\7Zthe9:;<<<>;ukwh`Ynfz~$Aab_BMM@Z5Xzfg;<=>>000;?qosdlUbb~z Msmn[FIILV9T~bc?0122447XAG\T10d8plrkmVcey!Brno\GJHCW:Uyc`>?01114>rn|eoTec}{/LpliZEHFMU8Sab012374473}c`hQfnrv,IwijWJEEHR=Prno345629;=0xdzce^kmwq)JzfgTOB@K_2]qkh6789?:=RGAV^22b>rn|eoTec}{/LpliZEHFMU8Sab0123<76<|`~giRgasu-NvjkXKFDOS>Q}ol2345>69=1eybj_hlpp*Tb{|f0=0>4:vjpicXagy#_k|umv?5;733}c`hQfnrv,V`urd}692<:4thvoaZoi{}%Yi~{ct=1=51=sa}fnSd`|t.Pfwpjs4=4:86zftmg\mkus'[oxyaz35?37?qosdlUbb~z Rdqvhq:168>0xdzce^kmwq)Umzgx191159wmqjbW`dxx"\jstnw8=86<2~bxakPioqw+Wct}e~753??;ukwh`Ynfz~$mc2?>028plrkmVcey!nn=3=55=sa}fnSd`|t.cm878682~bxakPioqw+dh;;7l0xdzce^kmwq)ffV:m7yg{ld]jjvr(igU:j6zftmg\mkus'hdT>k5{iunf[lht|&keS>?>;ukwh`Ynfz~$ocz30?32?qosdlUbb~z cov?5;763}c`hQfnrv,gkr;:7;:7yg{ld]jjvr(kg~7?3?>;ukwh`Ynfz~$ocz34?32?qosdlUbb~z cov?1;763}c`hQfnrv,gkr;>7;:7yg{ld]jjvr(kg~7;3?>;ukwh`Ynfz~$ocz38?32?qosdlUbb~z cov?=;773}c`hQfnrv,gkrX88:0xdzce^kmwq)df}U:==5{iunf[lht|&iexR<>0:vjpicXagy#n`{_233?qosdlUbb~z cov\046<|`~giRgasu-`jqY2991eybj_hlpp*ei|V<:<6zftmg\mkus'jdS:??;ukwh`Ynfz~$oczP8028plrkmVcey!lnu]:52=sa}fnSd`|t.qfr`hX{lm7=3?8;ukwh`Ynfz~$hxjn^qfc9599>1eybj_hlpp*ub~ldThi34?34?qosdlUbb~z sdtfjZubo5?5=;5{iunf[lht|&ynzh`Psde\540<|`~giRgasu-pasciWzolS>?9;ukwh`Ynfz~$hxjn^qfcZ26>2~bxakPioqw+vcqmgUxijQ:8:tdeZdk}ln0zjoPbmwf[JDRN01}klQhrdgma>p`iVmyih`POCWE2>p`iVbxn6xha^jp[JDRNm1}klQfnrv,FISBm2|lmRgasu-DV@CI9:1}klQfnrv,LV_IKAUDYY?l;web[lht|&Gyc`QLOMMQ[wij89:;=FGp2.:m94>9d9~W<4=9hh1j:4>3272`g<401;>7^k6:4`0>4<6;:?:ho4<893;?V?523272`g<401;27i;m4;295?7|[091=ll5f6827636lk0845>i;%:4>3g43A3h7{Zn8;295?7=;1kp_4=51``9b2<6;:?:ho4<892e?!7>k3oh7[?n8;0xqcd=92mo7>4}%3;4?563-ki6=5+a8844`=#ih0n86l:b583>4b=;h:1?59tH0;b?!7>03?i86T78;0x70<2>3wd:kk50;9j1g3=831b9o950;9l2<5=831d:4;50;9l35d=831d:4950;9l2b19m5=7=821d=lh50;&2<7<6j91e=5?51:9l5dc=83.:4?4>b19m5=7=:21d=lj50;&2<7<6j91e=5?53:9l1dg=83.:4?4:a89m5=7=821d9l650;&2<7<2i01e=5?51:9l1d1=83.:4?4:a89m5=7=:21d9l850;&2<7<2i01e=5?53:9l1d3=83.:4?4:a89m5=7=<21d9l:50;&2<7<2i01e=5?55:9l1d5=83.:4?4:a89m5=7=>21d9l<50;&2<7<2i01e=5?57:9a5d4=83;1<7>t$0;;>a0<@8k:7E?6a:&2e2<6ij1/i5480e9l`0<72-;j;7?90:9~f4g4290:6=4?{%3:j2B:m<5G18c8 4g028?m7)k7:62g?j7193:1({e>j:1<7=50;2x 4??28k;7E?n1:J2=d=#9h=1=4h4$d:935ba68ga>=nm>0;6)?n7;ff?>i6=m0;6)?n7;354>=zj?hm6=4<:183!7>03;j<6F>a09K526c3`2n6=4+1`59``=a68ga>=h9a68225=54;294~"6110m56F>a09K5a68f4>=n1k0;6)?n7;fe?>o60m0;6)?n7;3;a>=h9a68225=53;294~"6110:m=5G1`38L4?f3-;j;7?6f:&f5$0c4>ac<3`o<6=4+1`59``=5}#9021j45G1`38L4?f3-;j;7h7;%g;>26c3`2n6=4+1`59a5=a68gb>=n91n1<7*>a682<`=5}#9021=l>4H0c2?M7>i2.:m:4>9g9'a=<08m1b4h4?:%3b3?bb32cn;7>5$0c4>ac<3f;>h7>5$0c4>40732wi:lh50;694?6|,8336k74H0c2?M7>i2.:m:4i8:&f5$0c4>`6<3`3i6=4+1`59`c=h7>5$0c4>40732wi:om50;194?6|,8336N61h1/=l9518d8 `>=?9n0e5k50;&2e25<7s-;247h6;I3b5>N61h1/=l95f99'a=<08m1b4h4?:%3b3?c732c2n7>5$0c4>a`<3`;3h7>5$0c4>4>b32e:9i4?:%3b3?71821vn;lm:180>5<7s-;247?n0:J2e4=O90k0(:o7d6j:18'5d1=ll10eh950;&2e2910qo8nb;290?6=8r.:554i9:J2e4=O90k0(=?9n0e5k50;&2e2910qo8ma;297?6=8r.:554>a19K5d7<@83j7)?n7;3:b>"b03=;h6g7e;29 4g02mo07dk8:18'5d1=ll10c<;k:18'5d1=9?:07pl9a883>1<729q/=465f89K5d7<@83j7)?n7;d;?!c?2>:o7d6j:18'5d1=m910e4l50;&2e26<729q/=4651`28L4g63A;2m6*>a682=c=#m10<!7f?3nn76gj7;29 4g02mo07b?:d;29 4g028<;76sm6`594?2=83:p(<77:g;8L4g63A;2m6*>a68e<>"b03=;h6g7e;29 4g02l:07d7m:18'5d1=lo10e<6k:18'5d1=91o07b?:d;29 4g028<;76sm6c:94?5=83:p(<77:0c3?M7f92B:5l5+1`595<`<,l21;=j4i9g94?"6i>0oi65fe683>!7f?3nn76a>5e83>!7f?3;=<65rb7c6>5<3290;w)?68;d:?M7f92B:5l5+1`59b==#m10<!7f?3o;76g6b;29 4g02ml07d?7d;29 4g0282n76a>5e83>!7f?3;=<65rb7`4>5<4290;w)?68;3b4>N6i81C=4o4$0c4>4?a3-o36:>k;h:f>5<#9h=1hh54id594?"6i>0oi65`14f94?"6i>0::=54}c4b0?6=<3:1998e=>N6i81C=4o4$0c4>c><,l21;=j4i9g94?"6i>0n<65f9c83>!7f?3nm76g>8e83>!7f?3;3i65`14f94?"6i>0::=54}c4e5?6=>3:1998ae>N6i81C=4o4$0c4>f0<,l81>6F>889'a=<08m1b444?:%3b3??732c287>5$0c4>ac<3f;3:7>5$0c4>40732c3h7>5$0c4>=b<3`;387>5$0c4>4>a32c2=7>5$0c4>4>d32wi:k>50;494?6|,8336oo4H0c2?M7>i2.:m:4l6:&f6?4<@8227)k7:62g?l>>290/=l959198m<2=83.:m:4ke:9l5=0=83.:m:4>6198m=b=83.:m:47d:9j5=2=83.:m:4>8g98m<7=83.:m:4>8b98yg0bn3:1:7>50z&2==!7f?33;76g64;29 4g02mo07b?76;29 4g028<;76g7d;29 4g021n07d?74;29 4g0282m76g61;29 4g0282h76sm6dg94?0=83:p(<77:cc8L4g63A;2m6*>a68`2>"b:380D<66;%g;>26c3`226=4+1`59=5=1<7*>a68ga>=h91<1<7*>a68225=a68;`>=n91>1<7*>a682a68256;294~"6110im6F>a09K5N6001/i5480e9j<<<72-;j;77?;:k:0?6=,8k<6ik4;n3;2?6=,8k<6<8?;:k;`?6=,8k<65j4;h3;0?6=,8k<6<6i;:k:5?6=,8k<6<6l;:a2`e=83<1<7>t$0;;>gg<@8k:7E?6a:&2e22.n>7<4H0::?!c?2>:o7d66:18'5d1=1910e4:50;&2e2910e5j50;&2e2o><3:1(3:1(o?l3:1(o>93:1({e>lk1<7850;2x 4??2kk0D;I3:e>"6i>0h:6*j2;08L4>>3-o36:>k;h::>5<#9h=15=54i8694?"6i>0oi65`19494?"6i>0::=54i9f94?"6i>03h65f19694?"6i>0:4k54i8394?"6i>0:4n54}c4f=?6=>3:1998ae>N6i81C=4o4$0c4>f0<,l81>6F>889'a=<08m1b444?:%3b3??732c287>5$0c4>ac<3f;3:7>5$0c4>40732c3h7>5$0c4>=b<3`;387>5$0c4>4>a32c2=7>5$0c4>4>d32wi:h650;494?6|,8336oo4H0c2?M7>i2.:m:4l6:&f6?4<@8227)k7:62g?l>>290/=l959198m<2=83.:m:4ke:9l5=0=83.:m:4>6198m=b=83.:m:47d:9j5=2=83.:m:4>8g98m<7=83.:m:4>8b98yg0a?3:1:7>50z&2==!7f?33;76g64;29 4g02mo07b?76;29 4g028<;76g7d;29 4g021n07d?74;29 4g0282m76g61;29 4g0282h76sm6g494?0=83:p(<77:cc8L4g63A;2m6*j2;08 4g02j<0D<66;%g;>26c3`226=4+1`59=5=1<7*>a68ga>=h91<1<7*>a68225=a68;`>=n91>1<7*>a682a68256;294~"6110im6F>a09K5N6001/i5480e9j<<<72-;j;77?;:k:0?6=,8k<6ik4;n3;2?6=,8k<6<8?;:k;`?6=,8k<65j4;h3;0?6=,8k<6<6i;:k:5?6=,8k<6<6l;:a351=83<1<7>t$0;;>gg<@8k:7E?6a:&2e22.n>7<4H0::?!c?2>:o7d66:18'5d1=1910e4:50;&2e2910e5j50;&2e2o><3:1(3:1(o?l3:1(o>93:1({e?9:1<7850;2x 4??2kk0D;I3:e>"6i>0h:6*j2;08L4>>3-o36:>k;h::>5<#9h=15=54i8694?"6i>0oi65`19494?"6i>0::=54i9f94?"6i>03h65f19694?"6i>0:4k54i8394?"6i>0:4n54}c0g1?6=>3:1998aa>N6i81C=4o4$0c4>f0<,l81=55+e9844a=n000;6)?n7;;3?>o><3:1(i60?0;6)?n7;354>=n0m0;6)?n7;:g?M7>121b=5m50;&2e2<60j1C=474;|`1b=<72?0;6=u+18:9f`=O9h;0D<7n;%3b3?e13-o96<64$d:935ba68:4>=n1=0;6)?n7;ff?>o60=0;6)?n7;3;b>=h91<1<7*>a68225=a68;`>N61010e<6l:18'5d1=91i0D<76;:a7=0=83<1<7>t$0;;>gb<@8k:7E?6a:&2e22.n>7<4H0::?!c?2>:o7d66:18'5d1=1910e5j50;&2e2o?l3:1(1290/=l951728?l7?<3:1(o>93:1({e;121<7850;2x 4??2kn0D;I3:e>"6i>0h:6*j2;08L4>>3-o36:>k;h::>5<#9h=15=54i9f94?"6i>03h65f9583>!7f?3nn76a>8783>!7f?3;=<65f19694?"6i>0:4k54i8394?"6i>0:4n54}c1;=?6=>3:1998a`>N6i81C=4o4$0c4>f0<,l81>6F>889'a=<08m1b444?:%3b3??732c3h7>5$0c4>=b<3`3?6=4+1`59``=5$0c4>4>a32c2=7>5$0c4>4>d32wi?5o50;494?6|,8336oj4H0c2?M7>i2.:m:4l6:&f6?4<@8227)k7:62g?l>>290/=l959198m=b=83.:m:47d:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j5=2=83.:m:4>8g98m<7=83.:m:4>8b98yg5?j3:1:7>50z&2==!7f?33;76g7d;29 4g021n07d7;:18'5d1=ll10c<69:18'5d1=9?:07d?74;29 4g0282m76g61;29 4g0282h76sm39a94?0=83:p(<77:cf8L4g63A;2m6*>a68`2>"b:380D<66;%g;>26c3`226=4+1`59=5=a68;`>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n91>1<7*>a682a68256;294~"6110in6F>a09K5N6001/i5480e9j<<<72-;j;77?;:k:5?6=,8k<6<6l;:k:0?6=,8k<6ik4;n3;2?6=,8k<6<8?;:k;`?6=,8k<65j4;h3;0?6=,8k<6<6i;:a77d=83<1<7>t$0;;>gb<@8k:7E?6a:&2e22.n>7<4H0::?!c?2>:o7d66:18'5d1=1910e5j50;&2e2o?l3:1(1290/=l951728?l7?<3:1(o>93:1({e;;n1<7850;2x 4??2kn0D;I3:e>"6i>0h:6*j2;08L4>>3-o36:>k;h::>5<#9h=15=54i9f94?"6i>03h65f9583>!7f?3nn76a>8783>!7f?3;=<65f19694?"6i>0:4k54i8394?"6i>0:4n54}c11a?6=>3:1998a`>N6i81C=4o4$0c4>f0<,l81>6F>889'a=<08m1b444?:%3b3??732c3h7>5$0c4>=b<3`3?6=4+1`59``=5$0c4>4>a32c2=7>5$0c4>4>d32wi??h50;494?6|,8336oj4H0c2?M7>i2.:m:4l6:&f6?4<@8227)k7:62g?l>>290/=l959198m=b=83.:m:47d:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j5=2=83.:m:4>8g98m<7=83.:m:4>8b98yg5483:1:7>50z&2==!7f?33;76g7d;29 4g021n07d7;:18'5d1=ll10c<69:18'5d1=9?:07d?74;29 4g0282m76g61;29 4g0282h76sm3g394?0=83:p(<77:cg8L4g63A;2m6*>a68`2>"b:3;37)k7:62g?l>>290/=l959198m<2=83.:m:4ke:9j5=2=83.:m:4>8g98k4>1290/=l951728?l>c290/=l958e9K55$0c4>4>d3A;2565rb3g0>5<1290;w)?68;`f?M7f92B:5l5+1`59g3=#m;0:46*j8;53`>o?13:1(3290/=l9519d8?j7?>3:1(o?l3:1(32c:4n4?:%3b3?7?k2B:5454}c1f2?6=>3:1998aa>N6i81C=4o4$0c4>f0<,l81=55+e9844a=n000;6)?n7;;3?>o><3:1(i60?0;6)?n7;354>=n0m0;6)?n7;:g?M7>121b=5m50;&2e2<60j1C=474;|`0g0<72?0;6=u+18:9fa=O9h;0D<7n;%3b3?e13-o96?5G19;8 `>=?9n0e5750;&2e2<>821b4i4?:%3b3?>c32c287>5$0c4>ac<3f;3:7>5$0c4>40732c:494?:%3b3?7?n21b5<4?:%3b3?7?k21vn>m9:185>5<7s-;247lk;I3b5>N61h1/=l95c79'a7<53A;356*j8;53`>o?13:1(c290/=l958e98m<2=83.:m:4ke:9l5=0=83.:m:4>6198m4>3290/=l9519d8?l?6290/=l9519a8?xd4k>0;6;4?:1y'5<>=jm1C=l?4H0;b?!7f?3i=7)k=:39K5=?<,l21;=j4i9;94?"6i>02<65f8e83>!7f?32o76g64;29 4g02mo07b?76;29 4g028<;76g>8583>!7f?3;3j65f9083>!7f?3;3o65rb2a;>5<1290;w)?68;`g?M7f92B:5l5+1`59g3=#m;097E?79:&f5$0c4><6<3`2o6=4+1`591<7*>a68ga>=h91<1<7*>a68225=5}#9021ni5G1`38L4?f3-;j;7m9;%g1>7=O9130(h6571f8m=?=83.:m:460:9j9`9'5d1=k?1/i?4=;I3;=>"b03=;h6g79;29 4g020:07d6k:18'5d1=0m10e4:50;&2e2910e<6;:18'5d1=91l07d7>:18'5d1=91i07pl3<729q/=465be9K5d7<@83j7)?n7;a5?!c52;1C=574$d:935ba68:4>=n0m0;6)?n7;:g?>o><3:1(3:1(o60=0;6)?n7;3;b>=n180;6)?n7;3;g>=zj:h=6=49:183!7>03ho7E?n1:J2=d=#9h=1o;5+e381?M7?12.n479?d:k;=?6=,8k<64>4;h:g>5<#9h=14i54i8694?"6i>0oi65`19494?"6i>0::=54i0:7>5<#9h=1=5h4;h;2>5<#9h=1=5m4;|`0f2<72?0;6=u+18:9fa=O9h;0D<7n;%3b3?e13-o96?5G19;8 `>=?9n0e5750;&2e2<>821b4i4?:%3b3?>c32c287>5$0c4>ac<3f;3:7>5$0c4>40732c:494?:%3b3?7?n21b5<4?:%3b3?7?k21vn>l7:185>5<7s-;247lk;I3b5>N61h1/=l95c79'a7<53A;356*j8;53`>o?13:1(c290/=l958e98m<2=83.:m:4ke:9l5=0=83.:m:4>6198m4>3290/=l9519d8?l?6290/=l9519a8?xd4j00;6;4?:1y'5<>=jm1C=l?4H0;b?!7f?3i=7)k=:39K5=?<,l21;=j4i9;94?"6i>02<65f8e83>!7f?32o76g64;29 4g02mo07b?76;29 4g028<;76g>8583>!7f?3;3j65f9083>!7f?3;3o65rb2`b>5<1290;w)?68;`g?M7f92B:5l5+1`59g3=#m;097E?79:&f5$0c4><6<3`2o6=4+1`591<7*>a68ga>=h91<1<7*>a68225=5}#9021ni5G1`38L4?f3-;j;7m9;%g1>7=O9130(h6571f8m=?=83.:m:460:9j9`9'a7<53-;j;7m9;I3;=>"b03=;h6g79;29 4g020:07d7>:18'5d1=91i07d7;:18'5d1=ll10c<69:18'5d1=9?:07d6k:18'5d1=0m10e<6;:18'5d1=91l07pl3<729q/=465bc9K5d7<@83j7)k=:39'5d1=k?1C=574$d:935ba68:4>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n0m0;6)?n7;:g?>o60=0;6)?n7;3;b>=zj:nn6=49:183!7>03hi7E?n1:J2=d=#m;097)?n7;a5?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`0`c<72?0;6=u+18:9fg=O9h;0D<7n;%g1>7=#9h=1o;5G19;8 `>=?9n0e5750;&2e2<>821b5<4?:%3b3?7?k21b594?:%3b3?bb32e:4;4?:%3b3?71821b4i4?:%3b3?>c32c:494?:%3b3?7?n21vn>k?:185>5<7s-;247lm;I3b5>N61h1/i?4=;%3b3?e13A;356*j8;53`>o?13:1(1290/=l951728?l>c290/=l958e98m4>3290/=l9519d8?xd4m80;6;4?:1y'5<>=jk1C=l?4H0;b?!c52;1/=l95c79K5=?<,l21;=j4i9;94?"6i>02<65f9083>!7f?3;3o65f9583>!7f?3nn76a>8783>!7f?3;=<65f8e83>!7f?32o76g>8583>!7f?3;3j65rb2g1>5<1290;w)?68;`a?M7f92B:5l5+e381?!7f?3i=7E?79:&f5$0c4><6<3`3:6=4+1`595=e<3`3?6=4+1`59``=4?:783>5}#9021no5G1`38L4?f3-o96?5+1`59g3=O9130(h6571f8m=?=83.:m:460:9j=4<72-;j;7?7c:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j9`9'a7<53-;j;7m9;I3;=>"b03=;h6g79;29 4g020:07d7>:18'5d1=91i07d7;:18'5d1=ll10c<69:18'5d1=9?:07d6k:18'5d1=0m10e<6;:18'5d1=91l07pl3<729q/=465bc9K5d7<@83j7)k=:39'5d1=k?1C=574$d:935ba68:4>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n0m0;6)?n7;:g?>o60=0;6)?n7;3;b>=zj;on6=49:183!7>03hi7E?n1:J2=d=#m;097)?n7;a5?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`1ac<72?0;6=u+18:9fg=O9h;0D<7n;%g1>7=#9h=1o;5G19;8 `>=?9n0e5750;&2e2<>821b5<4?:%3b3?7?k21b594?:%3b3?bb32e:4;4?:%3b3?71821b4i4?:%3b3?>c32c:494?:%3b3?7?n21vn?h?:185>5<7s-;247lm;I3b5>N61h1/i?4=;%3b3?e13A;356*j8;53`>o?13:1(1290/=l951728?l>c290/=l958e98m4>3290/=l9519d8?xd5n80;6;4?:1y'5<>=jk1C=l?4H0;b?!c52;1/=l95c79K5=?<,l21;=j4i9;94?"6i>02<65f9083>!7f?3;3o65f9583>!7f?3nn76a>8783>!7f?3;=<65f8e83>!7f?32o76g>8583>!7f?3;3j65rb3d1>5<1290;w)?68;`a?M7f92B:5l5+e381?!7f?3i=7E?79:&f5$0c4><6<3`3:6=4+1`595=e<3`3?6=4+1`59``=4?:783>5}#9021no5G1`38L4?f3-o96?5+1`59g3=O9130(h6571f8m=?=83.:m:460:9j=4<72-;j;7?7c:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j9`9'a7<53-;j;7m9;I3;=>"b03=;h6g79;29 4g020:07d7>:18'5d1=91i07d7;:18'5d1=ll10c<69:18'5d1=9?:07d6k:18'5d1=0m10e<6;:18'5d1=91l07pl=f483>3<729q/=465bc9K5d7<@83j7)k=:39'5d1=k?1C=574$d:935ba68:4>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n0m0;6)?n7;:g?>o60=0;6)?n7;3;b>=zj;l=6=49:183!7>03hi7E?n1:J2=d=#m;097)?n7;a5?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`1b2<72?0;6=u+18:9fg=O9h;0D<7n;%g1>7=#9h=1o;5G19;8 `>=?9n0e5750;&2e2<>821b5<4?:%3b3?7?k21b594?:%3b3?bb32e:4;4?:%3b3?71821b4i4?:%3b3?>c32c:494?:%3b3?7?n21vn>=k:187>5<7s-;247h6;I3b5>N61h1/=l95f99'a=<08m1b4h4?:%3b3?c732c2n7>5$0c4>a`<3`;3h7>5$0c4>4>b32e:9i4?:%3b3?71821vn>;?:180>5<7s-;247?n0:J2e4=O90k0(:o7d6j:18'5d1=ll10eh950;&2e2910qo==?9n0e5k50;&2e2910qo=:1;297?6=8r.:554>a19K5d7<@83j7)?n7;3:b>"b03=;h6g7e;29 4g02mo07dk8:18'5d1=ll10c<;k:18'5d1=9?:07pl<4183>1<729q/=465f89K5d7<@83j7)?n7;d;?!c?2>:o7d6j:18'5d1=m910e4l50;&2e26<729q/=4651`28L4g63A;2m6*>a682=c=#m10<!7f?3nn76gj7;29 4g02mo07b?:d;29 4g028<;76sm35094?2=83:p(<77:g;8L4g63A;2m6*>a68e<>"b03=;h6g7e;29 4g02l:07d7m:18'5d1=lo10e<6k:18'5d1=91o07b?:d;29 4g028<;76sm34194?5=83:p(<77:0c3?M7f92B:5l5+1`595<`<,l21;=j4i9g94?"6i>0oi65fe683>!7f?3nn76a>5e83>!7f?3;=<65rb267>5<3290;w)?68;d:?M7f92B:5l5+1`59b==#m10<!7f?3o;76g6b;29 4g02ml07d?7d;29 4g0282n76a>5e83>!7f?3;=<65rb277>5<4290;w)?68;3b4>N6i81C=4o4$0c4>4?a3-o36:>k;h:f>5<#9h=1hh54id594?"6i>0oi65`14f94?"6i>0::=54}c172?6=<3:1998e=>N6i81C=4o4$0c4>c><,l21;=j4i9g94?"6i>0n<65f9c83>!7f?3nm76g>8e83>!7f?3;3i65`14f94?"6i>0::=54}c161?6=;3:19982e5=O9h;0D<7n;%3b3?7>n2.n479?d:k;a?6=,8k<6ik4;hg4>5<#9h=1hh54o07g>5<#9h=1=;>4;|`00=<72=0;6=u+18:9b<=O9h;0D<7n;%3b3?`?3-o36:>k;h:f>5<#9h=1i=54i8`94?"6i>0oj65f19f94?"6i>0:4h54o07g>5<#9h=1=;>4;|`013<72:0;6=u+18:95d6<@8k:7E?6a:&2e2<61o1/i5480e9j<`<72-;j;7jj;:kf3?6=,8k<6ik4;n36`?6=,8k<6<8?;:a71g=83>1<7>t$0;;>c?<@8k:7E?6a:&2e24;h;a>5<#9h=1hk54i0:g>5<#9h=1=5k4;n36`?6=,8k<6<8?;:a701=8391<7>t$0;;>4g73A;j=6F>9`9'5d1=90l0(h6571f8m=c=83.:m:4ke:9ja2<72-;j;7jj;:m21a<72-;j;7?90:9~f62d290?6=4?{%3:3A;j=6F>9`9'5d1=n11/i5480e9j<`<72-;j;7k?;:k:f?6=,8k<6ih4;h3;`?6=,8k<6<6j;:m21a<72-;j;7?90:9~f63?29086=4?{%3:b290/=l95dd98m`1=83.:m:4ke:9l50b=83.:m:4>6198yg5213:1?7>50z&2==<6i91C=l?4H0;b?!7f?3;2j6*j8;53`>o?m3:1(=n01C=l?4H0;b?!7f?3l37)k7:62g?l>b290/=l95e198m8d98k43c290/=l951728?xd4>l0;6>4?:1y'5<>=9h:0D;I3:e>"6i>0:5k5+e9844a=n0l0;6)?n7;ff?>ob?3:1({e;;I3:e>"6i>0m46*j8;53`>o?m3:1(c290/=l9519g8?j72l3:1({e;?l1<7=50;2x 4??28k;7E?n1:J2=d=#9h=1=4h4$d:935ba68ga>=nm>0;6)?n7;ff?>i6=m0;6)?n7;354>=zj:?n6=4;:183!7>03l27E?n1:J2=d=#9h=1j55+e9844a=n0l0;6)?n7;g3?>o>j3:1(i6=m0;6)?n7;354>=zj:=;6=4<:183!7>03;j<6F>a09K526c3`2n6=4+1`59``=a68ga>=h9a68225=54;294~"6110m56F>a09K5a68f4>=n1k0;6)?n7;fe?>o60m0;6)?n7;3;a>=h9a68225=53;294~"6110:m=5G1`38L4?f3-;j;7?6f:&f5$0c4>ac<3`o<6=4+1`59``=5}#9021j45G1`38L4?f3-;j;7h7;%g;>26c3`2n6=4+1`59a5=a68gb>=n91n1<7*>a682<`=5}#9021=l>4H0c2?M7>i2.:m:4>9g9'a=<08m1b4h4?:%3b3?bb32cn;7>5$0c4>ac<3f;>h7>5$0c4>40732wi?;:50;694?6|,8336k74H0c2?M7>i2.:m:4i8:&f5$0c4>`6<3`3i6=4+1`59`c=h7>5$0c4>40732wi?:=50;194?6|,8336N61h1/=l9518d8 `>=?9n0e5k50;&2e289:187>5<7s-;247h6;I3b5>N61h1/=l95f99'a=<08m1b4h4?:%3b3?c732c2n7>5$0c4>a`<3`;3h7>5$0c4>4>b32e:9i4?:%3b3?71821vn>9;:180>5<7s-;247?n0:J2e4=O90k0(:o7d6j:18'5d1=ll10eh950;&2e2910qo=98;290?6=8r.:554i9:J2e4=O90k0(=?9n0e5k50;&2e2910qo=85;297?6=8r.:554>a19K5d7<@83j7)?n7;3:b>"b03=;h6g7e;29 4g02mo07dk8:18'5d1=ll10c<;k:18'5d1=9?:07pl<6`83>1<729q/=465f89K5d7<@83j7)?n7;d;?!c?2>:o7d6j:18'5d1=m910e4l50;&2e26<729q/=4651`28L4g63A;2m6*>a682=c=#m10<!7f?3nn76gj7;29 4g02mo07b?:d;29 4g028<;76sm36594?5=83:p(<77:0c3?M7f92B:5l5+1`595<`<,l21;=j4i9g94?"6i>0oi65fe683>!7f?3nn76a>5e83>!7f?3;=<65rb2d7>5<1290;w)?68;`a?M7f92B:5l5+e381?!7f?3i=7E?79:&f5$0c4><6<3`3:6=4+1`595=e<3`3?6=4+1`59``=5}#9021no5G1`38L4?f3-o96?5+1`59g3=O9130(h6571f8m=?=83.:m:460:9j=4<72-;j;7?7c:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j9`9'a7<53-;j;7m9;I3;=>"b03=;h6g79;29 4g020:07d7>:18'5d1=91i07d7;:18'5d1=ll10c<69:18'5d1=9?:07d6k:18'5d1=0m10e<6;:18'5d1=91l07pl3<729q/=465bc9K5d7<@83j7)k=:39'5d1=k?1C=574$d:935ba68:4>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n0m0;6)?n7;:g?>o60=0;6)?n7;3;b>=zj=:=6=49:183!7>03hn7E?n1:J2=d=#m;0:46*>a68`2>"b03=;h6g79;29 4g020:07d7;:18'5d1=ll10e<6;:18'5d1=91l07b?76;29 4g028<;76g7d;29 4g021n0D<76;:k2;=7>56;294~"6110in6F>a09K56*>a68`2>N6001/i5480e9j<<<72-;j;77?;:k:5?6=,8k<6<6l;:k:0?6=,8k<6ik4;n3;2?6=,8k<6<8?;:k;`?6=,8k<65j4;h3;0?6=,8k<6<6i;:a6cd=83<1<7>t$0;;>gd<@8k:7E?6a:&f6?4<,8k<6n84H0::?!c?2>:o7d66:18'5d1=1910e4?50;&2e2<60j10e4:50;&2e2910e5j50;&2e22B:445+e9844a=n000;6)?n7;;3?>o>93:1(o><3:1(3:1(o?l3:1({e;9;1<7850;2x 4??2kh0D;I3:e>"b:380(>3-o36:>k;h::>5<#9h=15=54i8394?"6i>0:4n54i8694?"6i>0oi65`19494?"6i>0::=54i9f94?"6i>03h65f19694?"6i>0:4k54}c130?6=>3:1998af>N6i81C=4o4$d096>"6i>0h:6F>889'a=<08m1b444?:%3b3??732c2=7>5$0c4>4>d32c287>5$0c4>ac<3f;3:7>5$0c4>40732c3h7>5$0c4>=b<3`;387>5$0c4>4>a32wi?=h50;494?6|,8336ok4H0c2?M7>i2.n>7?7;%3b3?e13-o36:>k;h::>5<#9h=15=54i8694?"6i>0oi65f19694?"6i>0:4k54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i5G18;8?l7?k3:1(121vn>>8:185>5<7s-;247lm;I3b5>N61h1/i?4=;%3b3?e13A;356*j8;53`>o?13:1(1290/=l951728?l>c290/=l958e98m4>3290/=l9519d8?xd48h0;6;4?:1y'5<>=jk1C=l?4H0;b?!c52;1/=l95c79K5=?<,l21;=j4i9;94?"6i>02<65f9083>!7f?3;3o65f9583>!7f?3nn76a>8783>!7f?3;=<65f8e83>!7f?32o76g>8583>!7f?3;3j65rb33g>5<3290;w)?68;d:?M7f92B:5l5+1`59b==#m10<!7f?3o;76g6b;29 4g02ml07d?7d;29 4g0282n76a>5e83>!7f?3;=<65rb33`>5<3290;w)?68;d:?M7f92B:5l5+1`59b==#m10<!7f?3o;76g6b;29 4g02ml07d?7d;29 4g0282n76a>5e83>!7f?3;=<65rb301>5<4290;w)?68;gf?M7f92B:5l5+1`595=d<,l21;=j4$d091g=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9l50b=83.:m:4>6198yg46j3:187>50z&2==6198yg46i3:187>50z&2==6198yg4613:187>50z&2==6198yg4603:187>50z&2==6198yg46m3:1?7>50z&2==4=5}#9021j45G1`38L4?f3-;j;7h7;%g;>26c3`2n6=4+1`59a5=a68gb>=n91n1<7*>a682<`=5}#9021ih5G1`38L4?f3-;j;7?7b:&f7;m;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm27494?2=83:p(<77:g;8L4g63A;2m6*>a68e<>"b03=;h6g7e;29 4g02l:07d7m:18'5d1=lo10e<6k:18'5d1=91o07b?:d;29 4g028<;76sm27794?2=83:p(<77:g;8L4g63A;2m6*>a68e<>"b03=;h6g7e;29 4g02l:07d7m:18'5d1=lo10e<6k:18'5d1=91o07b?:d;29 4g028<;76sm27694?2=83:p(<77:g;8L4g63A;2m6*>a68e<>"b03=;h6g7e;29 4g02l:07d7m:18'5d1=lo10e<6k:18'5d1=91o07b?:d;29 4g028<;76sm27194?2=83:p(<77:g;8L4g63A;2m6*>a68e<>"b03=;h6g7e;29 4g02l:07d7m:18'5d1=lo10e<6k:18'5d1=91o07b?:d;29 4g028<;76sm27;94?5=83:p(<77:dg8L4g63A;2m6*>a682oc03:1(;:m21a<72-;j;7?90:9~f705290?6=4?{%3:3A;j=6F>9`9'5d1=n11/i5480e9j<`<72-;j;7k?;:k:f?6=,8k<6ih4;h3;`?6=,8k<6<6j;:m21a<72-;j;7?90:9~f70?29086=4?{%3:9`9'5d1=91h0(h6571f8 `4==k1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=h9a68225=54;294~"6110m56F>a09K5a68f4>=n1k0;6)?n7;fe?>o60m0;6)?n7;3;a>=h9a68225=54;294~"6110m56F>a09K5a68f4>=n1k0;6)?n7;fe?>o60m0;6)?n7;3;a>=h9a68225=54;294~"6110m56F>a09K5a68f4>=n1k0;6)?n7;fe?>o60m0;6)?n7;3;a>=h9a68225=54;294~"6110m56F>a09K5a68f4>=n1k0;6)?n7;fe?>o60m0;6)?n7;3;a>=h9a68225=53;294~"6110ni6F>a09K526c3-o968l4ie:94?"6i>0a483?>oc13:1(1<729q/=465f89K5d7<@83j7)?n7;d;?!c?2>:o7d6j:18'5d1=m910e4l50;&2e26<729q/=465ed9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;7a?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;n36`?6=,8k<6<8?;:a667=83>1<7>t$0;;>c?<@8k:7E?6a:&2e24;h;a>5<#9h=1hk54i0:g>5<#9h=1=5k4;n36`?6=,8k<6<8?;:a661=8391<7>t$0;;>`c<@8k:7E?6a:&2e2<60k1/i5480e9'a7<2j2co47>5$0c4>2c6=54ie;94?"6i>0a482?>i6=m0;6)?n7;354>=zj;?i6=4;:183!7>03l27E?n1:J2=d=#9h=1j55+e9844a=n0l0;6)?n7;g3?>o>j3:1(i6=m0;6)?n7;354>=zj;?j6=4;:183!7>03l27E?n1:J2=d=#9h=1j55+e9844a=n0l0;6)?n7;g3?>o>j3:1(i6=m0;6)?n7;354>=zj;?26=4;:183!7>03l27E?n1:J2=d=#9h=1j55+e9844a=n0l0;6)?n7;g3?>o>j3:1(i6=m0;6)?n7;354>=zj;?m6=4<:183!7>03on7E?n1:J2=d=#9h=1=5l4$d:935b<,l819o5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921d=8j50;&2e2<6>910qo<:8;290?6=8r.:554i9:J2e4=O90k0(=?9n0e5k50;&2e2910qo<:7;290?6=8r.:554i9:J2e4=O90k0(=?9n0e5k50;&2e2910qo<:6;290?6=8r.:554i9:J2e4=O90k0(=?9n0e5k50;&2e2910qo<;f;290?6=8r.:554i9:J2e4=O90k0(=?9n0e5k50;&2e2910qo<:5;297?6=8r.:554je:J2e4=O90k0(:o7)k=:4`8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54o07g>5<#9h=1=;>4;|`10`<72=0;6=u+18:9b<=O9h;0D<7n;%3b3?`?3-o36:>k;h:f>5<#9h=1i=54i8`94?"6i>0oj65f19f94?"6i>0:4h54o07g>5<#9h=1=;>4;|`10a<72=0;6=u+18:9b<=O9h;0D<7n;%3b3?`?3-o36:>k;h:f>5<#9h=1i=54i8`94?"6i>0oj65f19f94?"6i>0:4h54o07g>5<#9h=1=;>4;|`10f<72=0;6=u+18:9b<=O9h;0D<7n;%3b3?`?3-o36:>k;h:f>5<#9h=1i=54i8`94?"6i>0oj65f19f94?"6i>0:4h54o07g>5<#9h=1=;>4;|`10g<72=0;6=u+18:9b<=O9h;0D<7n;%3b3?`?3-o36:>k;h:f>5<#9h=1i=54i8`94?"6i>0oj65f19f94?"6i>0:4h54o07g>5<#9h=1=;>4;|`114<72:0;6=u+18:9a`=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?3e3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?j72l3:1({e:=k1<7:50;2x 4??2o30D;I3:e>"6i>0m46*j8;53`>o?m3:1(c290/=l9519g8?j72l3:1({e:<:1<7=50;2x 4??2lo0D;I3:e>"6i>0:4o5+e9844a=#m;0>n6gk8;29 4g02>o0b5<7s-;247h6;I3b5>N61h1/=l95f99'a=<08m1b4h4?:%3b3?c732c2n7>5$0c4>a`<3`;3h7>5$0c4>4>b32e:9i4?:%3b3?71821vn?:>:187>5<7s-;247h6;I3b5>N61h1/=l95f99'a=<08m1b4h4?:%3b3?c732c2n7>5$0c4>a`<3`;3h7>5$0c4>4>b32e:9i4?:%3b3?71821vn?:8:180>5<7s-;247kj;I3b5>N61h1/=l9519`8 `>=?9n0(h<55c9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65`14f94?"6i>0::=54}c074?6=<3:1998e=>N6i81C=4o4$0c4>c><,l21;=j4i9g94?"6i>0n<65f9c83>!7f?3nm76g>8e83>!7f?3;3i65`14f94?"6i>0::=54}c00b?6=<3:1998e=>N6i81C=4o4$0c4>c><,l21;=j4i9g94?"6i>0n<65f9c83>!7f?3nm76g>8e83>!7f?3;3i65`14f94?"6i>0::=54}c00a?6=<3:1998e=>N6i81C=4o4$0c4>c><,l21;=j4i9g94?"6i>0n<65f9c83>!7f?3nm76g>8e83>!7f?3;3i65`14f94?"6i>0::=54}c00`?6=<3:1998e=>N6i81C=4o4$0c4>c><,l21;=j4i9g94?"6i>0n<65f9c83>!7f?3nm76g>8e83>!7f?3;3i65`14f94?"6i>0::=54}c077?6=;3:1998fa>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>0da684a>h6i<0;76gk9;29 4g02>o0b=n01C=l?4H0;b?!7f?3l37)k7:62g?l>b290/=l95e198m8d98k43c290/=l951728?xd5:00;694?:1y'5<>=n01C=l?4H0;b?!7f?3l37)k7:62g?l>b290/=l95e198m8d98k43c290/=l951728?xd5:10;694?:1y'5<>=n01C=l?4H0;b?!7f?3l37)k7:62g?l>b290/=l95e198m8d98k43c290/=l951728?xd5:>0;694?:1y'5<>=n01C=l?4H0;b?!7f?3l37)k7:62g?l>b290/=l95e198m8d98k43c290/=l951728?xd5:m0;6>4?:1y'5<>=ml1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3?i7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3f;>h7>5$0c4>40732wi>?850;694?6|,8336k74H0c2?M7>i2.:m:4i8:&f5$0c4>`6<3`3i6=4+1`59`c=h7>5$0c4>40732wi>?m50;194?6|,8336hk4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4:b:kg5=a684a>h6i<0:76a>5e83>!7f?3;=<65rb306>5<3290;w)?68;d:?M7f92B:5l5+1`59b==#m10<!7f?3o;76g6b;29 4g02ml07d?7d;29 4g0282n76a>5e83>!7f?3;=<65rb30a>5<4290;w)?68;gf?M7f92B:5l5+1`595=d<,l21;=j4$d091g=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9l50b=83.:m:4>6198yg4>?3:187>50z&2==6198yg4>>3:187>50z&2==6198yg4>=3:187>50z&2==6198yg4><3:187>50z&2==6198yg4>i3:1?7>50z&2==4=4?:583>5}#9021j45G1`38L4?f3-;j;7h7;%g;>26c3`2n6=4+1`59a5=a68gb>=n91n1<7*>a682<`=5}#9021ih5G1`38L4?f3-;j;7?7b:&f7;m;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm28094?2=83:p(<77:g;8L4g63A;2m6*>a68e<>"b03=;h6g7e;29 4g02l:07d7m:18'5d1=lo10e<6k:18'5d1=91o07b?:d;29 4g028<;76sm28:94?5=83:p(<77:dg8L4g63A;2m6*>a682oc03:1(;:m21a<72-;j;7?90:9~f7>e290?6=4?{%3:3A;j=6F>9`9'5d1=n11/i5480e9j<`<72-;j;7k?;:k:f?6=,8k<6ih4;h3;`?6=,8k<6<6j;:m21a<72-;j;7?90:9~f7>f290?6=4?{%3:3A;j=6F>9`9'5d1=n11/i5480e9j<`<72-;j;7k?;:k:f?6=,8k<6ih4;h3;`?6=,8k<6<6j;:m21a<72-;j;7?90:9~f7>>290?6=4?{%3:3A;j=6F>9`9'5d1=n11/i5480e9j<`<72-;j;7k?;:k:f?6=,8k<6ih4;h3;`?6=,8k<6<6j;:m21a<72-;j;7?90:9~f7>?290?6=4?{%3:3A;j=6F>9`9'5d1=n11/i5480e9j<`<72-;j;7k?;:k:f?6=,8k<6ih4;h3;`?6=,8k<6<6j;:m21a<72-;j;7?90:9~f7>b29086=4?{%3:9`9'5d1=91h0(h6571f8 `4==k1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=h9a68225=54;294~"6110m56F>a09K5a68f4>=n1k0;6)?n7;fe?>o60m0;6)?n7;3;a>=h9a68225=53;294~"6110ni6F>a09K526c3-o968l4ie:94?"6i>0a483?>oc13:1(1<729q/=465f89K5d7<@83j7)?n7;d;?!c?2>:o7d6j:18'5d1=m910e4l50;&2e26<729q/=465ed9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;7a?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;n36`?6=,8k<6<8?;:a625=83>1<7>t$0;;>c?<@8k:7E?6a:&2e24;h;a>5<#9h=1hk54i0:g>5<#9h=1=5k4;n36`?6=,8k<6<8?;:a62?=8391<7>t$0;;>`c<@8k:7E?6a:&2e2<60k1/i5480e9'a7<2j2co47>5$0c4>2c6=54ie;94?"6i>0a482?>i6=m0;6)?n7;354>=zj;=96=4;:183!7>03l27E?n1:J2=d=#9h=1j55+e9844a=n0l0;6)?n7;g3?>o>j3:1(i6=m0;6)?n7;354>=zj;=36=4<:183!7>03on7E?n1:J2=d=#9h=1=5l4$d:935b<,l819o5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921d=8j50;&2e2<6>910qo<81;290?6=8r.:554i9:J2e4=O90k0(=?9n0e5k50;&2e2910qo<87;297?6=8r.:554je:J2e4=O90k0(:o7)k=:4`8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54o07g>5<#9h=1=;>4;|`135<72=0;6=u+18:9b<=O9h;0D<7n;%3b3?`?3-o36:>k;h:f>5<#9h=1i=54i8`94?"6i>0oj65f19f94?"6i>0:4h54o07g>5<#9h=1=;>4;|`133<72:0;6=u+18:9a`=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?3e3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?j72l3:1({e:?l1<7:50;2x 4??2o30D;I3:e>"6i>0m46*j8;53`>o?m3:1(c290/=l9519g8?j72l3:1({e:>?1<7=50;2x 4??2lo0D;I3:e>"6i>0:4o5+e9844a=#m;0>n6gk8;29 4g02>o0b5<7s-;247h6;I3b5>N61h1/=l95f99'a=<08m1b4h4?:%3b3?c732c2n7>5$0c4>a`<3`;3h7>5$0c4>4>b32e:9i4?:%3b3?71821vn?9;:180>5<7s-;247kj;I3b5>N61h1/=l9519`8 `>=?9n0(h<55c9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65`14f94?"6i>0::=54}c04b?6=<3:1998e=>N6i81C=4o4$0c4>c><,l21;=j4i9g94?"6i>0n<65f9c83>!7f?3nm76g>8e83>!7f?3;3i65`14f94?"6i>0::=54}c0;1?6=;3:1998fa>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>0da684a>h6i<0;76gk9;29 4g02>o0b=n01C=l?4H0;b?!7f?3l37)k7:62g?l>b290/=l95e198m8d98k43c290/=l951728?xd50=0;6>4?:1y'5<>=ml1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3?i7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3f;>h7>5$0c4>40732wi>:j50;694?6|,8336k74H0c2?M7>i2.:m:4i8:&f5$0c4>`6<3`3i6=4+1`59`c=h7>5$0c4>40732wi>5=50;194?6|,8336hk4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4:b:kg5=a684a>h6i<0:76a>5e83>!7f?3;=<65rb35`>5<3290;w)?68;d:?M7f92B:5l5+1`59b==#m10<!7f?3o;76g6b;29 4g02ml07d?7d;29 4g0282n76a>5e83>!7f?3;=<65rb3:1>5<4290;w)?68;gf?M7f92B:5l5+1`595=d<,l21;=j4$d091g=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9l50b=83.:m:4>6198yg40j3:187>50z&2==6198yg4?93:1?7>50z&2==4=5}#9021j45G1`38L4?f3-;j;7h7;%g;>26c3`2n6=4+1`59a5=a68gb>=n91n1<7*>a682<`=5}#9021ih5G1`38L4?f3-;j;7?7b:&f7;m;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm1e794?2=83:p(<77:g38L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9021jl5G1`38L4?f3-;j;7h7;%g;>26c3`n36=4+1`59`c=a68f4>=n91n1<7*>a682<`=5}#9021j<5G1`38L4?f3-;j;7?7b:&f7?i;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9l50b=83.:m:4>6198yg7c?3:187>50z&2===83.:m:4kf:9j`<<72-;j;7k?;:k26198yg7ej3:187>50z&2===83.:m:4kf:9j`<<72-;j;7k?;:k26198yg4693:187>50z&2==6198yg46?3:1?7>50z&2==4=5}#9021j45G1`38L4?f3-;j;7h7;%g;>26c3`2n6=4+1`59a5=a68gb>=n91n1<7*>a682<`=5}#9021ih5G1`38L4?f3-;j;7?7b:&f7;m;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm21d94?2=83:p(<77:g;8L4g63A;2m6*>a68e<>"b03=;h6g7e;29 4g02l:07d7m:18'5d1=lo10e<6k:18'5d1=91o07b?:d;29 4g028<;76sm20794?5=83:p(<77:dg8L4g63A;2m6*>a682oc03:1(;:m21a<72-;j;7?90:9~f76b290?6=4?{%3:3A;j=6F>9`9'5d1=n11/i5480e9j<`<72-;j;7k?;:k:f?6=,8k<6ih4;h3;`?6=,8k<6<6j;:m21a<72-;j;7?90:9~f77329086=4?{%3:9`9'5d1=91h0(h6571f8 `4==k1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=h9a68225=54;294~"6110m56F>a09K5a68f4>=n1k0;6)?n7;fe?>o60m0;6)?n7;3;a>=h9a68225=53;294~"6110ni6F>a09K526c3-o968l4ie:94?"6i>0a483?>oc13:1(1<729q/=465f89K5d7<@83j7)?n7;d;?!c?2>:o7d6j:18'5d1=m910e4l50;&2e26<729q/=465ed9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;7a?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;n36`?6=,8k<6<8?;:a062=83<1<7>t$0;;>ge<@8k:7E?6a:&f6?4<,8k<6n84$d:935ba68:4>=n0m0;6)?n7;:g?>o>93:1(o><3:1(3:1(o60o0;6)?n7;3;b>N61010qo:m6;292?6=8r.:554mb:J2e4=O90k0(o>93:1(o><3:1(3:1(o?l3:1({e;I3:e>"6i>0h:6*j2;08L4>>3-o36:>k;h::>5<#9h=15=54i8394?"6i>0:4n54i8694?"6i>0oi65`19494?"6i>0::=54i9f94?"6i>03h65f19694?"6i>0:4k54}c6a0?6=>3:1998af>N6i81C=4o4$0c4>f0<,l81>6F>889'a=<08m1b444?:%3b3??732c2=7>5$0c4>4>d32c287>5$0c4>ac<3f;3:7>5$0c4>40732c3h7>5$0c4>=b<3`;387>5$0c4>4>a32wi8>=50;494?6|,8336om4H0c2?M7>i2.n>7<4$0c4>f0<,l21;=j4i9;94?"6i>02<65f8e83>!7f?32o76g61;29 4g0282h76g64;29 4g02mo07b?76;29 4g028<;76g>8g83>!7f?3;3j6F>9898yg2493:1:7>50z&2==5$0c4><6<3`2o6=4+1`59a6821<7*>a68ga>=h91<1<7*>a68225=8>7>56;294~"6110io6F>a09K56*>a68`2>"b03=;h6g79;29 4g020:07d6k:18'5d1=0m10e4?50;&2e2<60j10e4:50;&2e2910e<6i:18'5d1=91l0D<76;:a07`=83<1<7>t$0;;>g`<@8k:7E?6a:&f6?4<,8k<6n84$d:935ba68:4>=n0m0;6)?n7;:g?>o><3:1(i60?0;6)?n7;354>=n91i1<7*>a6823<729q/=465bb9K5d7<@83j7)k=:39'5d1=k?1/i5480e9j<<<72-;j;77?;:k;`?6=,8k<65j4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h3;b?6=,8k<6<6i;I3:=>=zj=oo6=49:183!7>03hh7E?n1:J2=d=#m;097)?n7;a5?!c?2>:o7d66:18'5d1=1910e5j50;&2e27=#9h=1o;5+e9844a=n000;6)?n7;;3?>o?l3:1(1290/=l951728?l7?n3:1(121vn9km:185>5<7s-;247ll;I3b5>N61h1/i?4=;%3b3?e13-o36:>k;h::>5<#9h=15=54i9f94?"6i>03h65f9083>!7f?3;3o65f9583>!7f?3nn76a>8783>!7f?3;=<65f19d94?"6i>0:4k5G18;8?xd3mh0;6;4?:1y'5<>=jj1C=l?4H0;b?!c52;1/=l95c79'a=<08m1b444?:%3b3??732c3h7>5$0c4>=b<3`3:6=4+1`595=e<3`3?6=4+1`59``=5$0c4>4>a3A;2565rb4;`>5<1290;w)?68;``?M7f92B:5l5+e381?!7f?3i=7)k7:62g?l>>290/=l959198m=b=83.:m:47d:9j=4<72-;j;7?7c:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j5=`=83.:m:4>8g9K55o4?:783>5}#9021nn5G1`38L4?f3-o96?5+1`59g3=#m10<!7f?33;76g7d;29 4g021n07d7>:18'5d1=91i07d7;:18'5d1=ll10c<69:18'5d1=9?:07d?7f;29 4g0282m7E?69:9~f0?f290=6=4?{%3:9`9'a7<53-;j;7m9;%g;>26c3`226=4+1`59=5=a68;`>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n91l1<7*>a6823<729q/=465bb9K5d7<@83j7)k=:39'5d1=k?1/i5480e9j<<<72-;j;77?;:k;`?6=,8k<65j4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h3;b?6=,8k<6<6i;I3:=>=zj<286=49:183!7>03hi7E?n1:J2=d=#9h=1o;5+e381?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`6<4<72?0;6=u+18:9f`=O9h;0D<7n;%3b3?e13-o96<64$d:935ba68:4>=n1=0;6)?n7;ff?>o60=0;6)?n7;3;b>=h91<1<7*>a68225=a68;`>N61010e<6l:18'5d1=91i0D<76;:a1=4=83<1<7>t$0;;>gd<@8k:7E?6a:&2e22.n>7<4H0::?!c?2>:o7d66:18'5d1=1910e4?50;&2e2<60j10e4:50;&2e2910e5j50;&2e2o>93:1(o><3:1(3:1(o?l3:1({e=1>1<7850;2x 4??2ko0D;I3:e>"6i>0h:6*j2;3;?!c?2>:o7d66:18'5d1=1910e4:50;&2e2=zj<2>6=49:183!7>03hi7E?n1:J2=d=#9h=1o;5+e381?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`672<72?0;6=u+18:9ff=O9h;0D<7n;%3b3?e13-o96?5+e9844a=n000;6)?n7;;3?>o?l3:1(1290/=l951728?l7?n3:1(121vn8=::185>5<7s-;247li;I3b5>N61h1/=l95c79'a7<602.n479?d:k;=?6=,8k<64>4;h:g>5<#9h=14i54i8694?"6i>0oi65f19694?"6i>0:4k54o0:5>5<#9h=1=;>4;h3;g?6=,8k<6<6l;I3:=>=zj<9=6=49:183!7>03hh7E?n1:J2=d=#9h=1o;5+e381?!c?2>:o7d66:18'5d1=1910e5j50;&2e2o?l3:1(1290/=l951728?l7?n3:1(121vn8=7:185>5<7s-;247li;I3b5>N61h1/=l95c79'a7<602.n479?d:k;=?6=,8k<64>4;h:g>5<#9h=14i54i8694?"6i>0oi65f19694?"6i>0:4k54o0:5>5<#9h=1=;>4;h3;g?6=,8k<6<6l;I3:=>=zj<926=49:183!7>03hh7E?n1:J2=d=#9h=1o;5+e381?!c?2>:o7d66:18'5d1=1910e5j50;&2e27=#9h=1o;5+e9844a=n000;6)?n7;;3?>o?l3:1(3290/=l9519d8?j7?>3:1(o60j0;6)?n7;3;g>N61010qo:61;292?6=8r.:554mc:J2e4=O90k0(h<52:&2e22.n479?d:k;=?6=,8k<64>4;h:g>5<#9h=14i54i8394?"6i>0:4n54i8694?"6i>0oi65`19494?"6i>0::=54i0:e>5<#9h=1=5h4H0;:?>{e<<<1<7850;2x 4??2ki0D;I3:e>"b:3;37)?n7;a5?!c?2>:o7d66:18'5d1=1910e5j50;&2e27=#9h=1o;5+e9844a=n000;6)?n7;;3?>o?l3:1(1290/=l951728?l7?n3:1(121vn9;::185>5<7s-;247ll;I3b5>N61h1/i?4>8:&2e22.n479?d:k;=?6=,8k<64>4;h:g>5<#9h=14i54i8394?"6i>0:4n54i8694?"6i>0oi65`19494?"6i>0::=54i0:e>5<#9h=1=5h4H0;:?>{e<<31<7850;2x 4??2kl0D;I3:e>"b:380(=?9n0e5750;&2e2<>821b4i4?:%3b3?>c32c287>5$0c4>ac<3`;387>5$0c4>4>a32e:4;4?:%3b3?71821b=5m50;&2e2<60j1C=474;|`712<72?0;6=u+18:9ff=O9h;0D<7n;%g1>4><,8k<6n84$d:935ba68:4>=n0m0;6)?n7;:g?>o>93:1(o><3:1(3:1(o60o0;6)?n7;3;b>N61010qo::8;292?6=8r.:554mc:J2e4=O90k0(h<5199'5d1=k?1/i5480e9j<<<72-;j;77?;:k;`?6=,8k<65j4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h3;b?6=,8k<6<6i;I3:=>=zj=nj6=49:183!7>03hi7E?n1:J2=d=#9h=1o;5+e381?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`7`=<72?0;6=u+18:9f`=O9h;0D<7n;%3b3?e13-o96<64$d:935ba68:4>=n1=0;6)?n7;ff?>o60=0;6)?n7;3;b>=h91<1<7*>a68225=a68;`>N61010e<6l:18'5d1=91i0D<76;:a0a?=83<1<7>t$0;;>gd<@8k:7E?6a:&2e22.n>7<4H0::?!c?2>:o7d66:18'5d1=1910e4?50;&2e2<60j10e4:50;&2e2910e5j50;&2e2o>93:1(o><3:1(3:1(o?l3:1({e;I3:e>"6i>0h:6*j2;3;?!c?2>:o7d66:18'5d1=1910e4:50;&2e2=zj=nh6=49:183!7>03hi7E?n1:J2=d=#9h=1o;5+e381?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`61=<72?0;6=u+18:9ff=O9h;0D<7n;%3b3?e13-o96?5+e9844a=n000;6)?n7;;3?>o?l3:1(1290/=l951728?l7?n3:1(121vn8;9:185>5<7s-;247li;I3b5>N61h1/=l95c79'a7<602.n479?d:k;=?6=,8k<64>4;h:g>5<#9h=14i54i8694?"6i>0oi65f19694?"6i>0:4k54o0:5>5<#9h=1=;>4;h3;g?6=,8k<6<6l;I3:=>=zj03hh7E?n1:J2=d=#9h=1o;5+e381?!c?2>:o7d66:18'5d1=1910e5j50;&2e2o?l3:1(1290/=l951728?l7?n3:1(121vn8;6:185>5<7s-;247li;I3b5>N61h1/=l95c79'a7<602.n479?d:k;=?6=,8k<64>4;h:g>5<#9h=14i54i8694?"6i>0oi65f19694?"6i>0:4k54o0:5>5<#9h=1=;>4;h3;g?6=,8k<6<6l;I3:=>=zj03hh7E?n1:J2=d=#9h=1o;5+e381?!c?2>:o7d66:18'5d1=1910e5j50;&2e2=?9n0e5750;&2e2<>821b4i4?:%3b3?>c32c287>5$0c4>ac<3f;3:7>5$0c4>40732c:494?:%3b3?7?n21b5<4?:%3b3?7?k21vn9l8:185>5<7s-;247ll;I3b5>N61h1/=l95c79'a7<53-o36:>k;h::>5<#9h=15=54i9f94?"6i>03h65f9083>!7f?3;3o65f9583>!7f?3nn76a>8783>!7f?3;=<65f19d94?"6i>0:4k5G18;8?xd3;?0;6;4?:1y'5<>=jm1C=l?4H0;b?!7f?3i=7)k=:39K5=?<,l21;=j4i9;94?"6i>02<65f8e83>!7f?32o76g64;29 4g02mo07b?76;29 4g028<;76g>8583>!7f?3;3j65f9083>!7f?3;3o65rb3f1>5<1290;w)?68;`b?M7f92B:5l5+1`59g3=#m;097E?79:&f5$0c4><6<3`3?6=4+1`59``=5}#9021o=5G1`38L4?f3-;j;7?60:&f6?4<@8227)k7:62g?l>>290/=l959198m<2=83.:m:4ke:9j5=>=83.:m:4>9598k4>1290/=l951728?l>c290/=l958e98m4>a290/=l9519d8L4?>32c:4n4?:%3b3?7?k2B:5454i0:g>5<#9h=1=4;4;|`63=<72?0;6=u+18:9fd=O9h;0D<7n;%3b3?e13-o96?5G19;8 `>=?9n0e5750;&2e2<>821b594?:%3b3?bb32e:4;4?:%3b3?71821b4i4?:%3b3?>c32c:494?:%3b3?7?n21b5<4?:%3b3?7?k21vn89::185>5<7s-;247ln;I3b5>N61h1/=l95c79'a7<53A;356*j8;53`>o?13:1(1290/=l951728?l>c290/=l958e98m4>3290/=l9519d8?l?6290/=l9519a8?xd2?;0;6;4?:1y'5<>=jh1C=l?4H0;b?!7f?3i=7)k=:39K5=?<,l21;=j4i9;94?"6i>02<65f9583>!7f?3nn76a>8783>!7f?3;=<65f8e83>!7f?32o76g>8583>!7f?3;3j65f9083>!7f?3;3o65rb44e>5<1290;w)?68;`b?M7f92B:5l5+1`59g3=#m;097E?79:&f5$0c4><6<3`3?6=4+1`59``=5}#9021nn5G1`38L4?f3-;j;7m9;%g1>7=#m10<!7f?33;76g7d;29 4g021n07d7>:18'5d1=91i07d7;:18'5d1=ll10c<69:18'5d1=9?:07d?7f;29 4g0282m7E?69:9~f326290=6=4?{%3:9`9'5d1=k?1/i?4=;%g;>26c3`226=4+1`59=5=a68;`>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n91l1<7*>a6823<729q/=465bc9K5d7<@83j7)?n7;a5?!c52;1C=574$d:935ba68:4>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n0m0;6)?n7;:g?>o60=0;6)?n7;3;b>=zj?:36=49:183!7>03hi7E?n1:J2=d=#9h=1o;5+e381?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`563<72?0;6=u+18:9fg=O9h;0D<7n;%3b3?e13-o96?5G19;8 `>=?9n0e5750;&2e2<>821b5<4?:%3b3?7?k21b594?:%3b3?bb32e:4;4?:%3b3?71821b4i4?:%3b3?>c32c:494?:%3b3?7?n21vn;<8:185>5<7s-;247lm;I3b5>N61h1/=l95c79'a7<53A;356*j8;53`>o?13:1(1290/=l951728?l>c290/=l958e98m4>3290/=l9519d8?xd1:10;6;4?:1y'5<>=jk1C=l?4H0;b?!7f?3i=7)k=:39K5=?<,l21;=j4i9;94?"6i>02<65f9083>!7f?3;3o65f9583>!7f?3nn76a>8783>!7f?3;=<65f8e83>!7f?32o76g>8583>!7f?3;3j65rb70:>5<1290;w)?68;`a?M7f92B:5l5+1`59g3=#m;097E?79:&f5$0c4><6<3`3:6=4+1`595=e<3`3?6=4+1`59``=l4?:783>5}#9021no5G1`38L4?f3-;j;7m9;%g1>7=O9130(h6571f8m=?=83.:m:460:9j=4<72-;j;7?7c:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j9`9'5d1=k?1/i?4=;I3;=>"b03=;h6g79;29 4g020:07d7>:18'5d1=91i07d7;:18'5d1=ll10c<69:18'5d1=9?:07d6k:18'5d1=0m10e<6;:18'5d1=91l07pl92b83>3<729q/=465bc9K5d7<@83j7)?n7;a5?!c52;1C=574$d:935ba68:4>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n0m0;6)?n7;:g?>o60=0;6)?n7;3;b>=zj?8o6=49:183!7>03hi7E?n1:J2=d=#9h=1o;5+e381?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`56`<72?0;6=u+18:9fg=O9h;0D<7n;%3b3?e13-o96?5G19;8 `>=?9n0e5750;&2e2<>821b5<4?:%3b3?7?k21b594?:%3b3?bb32e:4;4?:%3b3?71821b4i4?:%3b3?>c32c:494?:%3b3?7?n21vn8h7:185>5<7s-;247lm;I3b5>N61h1/=l95c79'a7<53A;356*j8;53`>o?13:1(1290/=l951728?l>c290/=l958e98m4>3290/=l9519d8?xd2n00;6;4?:1y'5<>=jk1C=l?4H0;b?!7f?3i=7)k=:39K5=?<,l21;=j4i9;94?"6i>02<65f9083>!7f?3;3o65f9583>!7f?3nn76a>8783>!7f?3;=<65f8e83>!7f?32o76g>8583>!7f?3;3j65rb4db>5<1290;w)?68;`a?M7f92B:5l5+1`59g3=#m;097E?79:&f5$0c4><6<3`3:6=4+1`595=e<3`3?6=4+1`59``=jo4?:783>5}#9021no5G1`38L4?f3-;j;7m9;%g1>7=O9130(h6571f8m=?=83.:m:460:9j=4<72-;j;7?7c:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j9`9'5d1=k?1/i?4=;I3;=>"b03=;h6g79;29 4g020:07d6k:18'5d1=0m10e4:50;&2e2910e<6;:18'5d1=91l07d7>:18'5d1=91i07pl:e`83>3<729q/=465bb9K5d7<@83j7)?n7;a5?!c52;1/i5480e9j<<<72-;j;77?;:k;`?6=,8k<65j4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h3;b?6=,8k<6<6i;I3:=>=zj03hh7E?n1:J2=d=#9h=1o;5+e381?!c?2>:o7d66:18'5d1=1910e5j50;&2e2o?l3:1(1290/=l951728?l7?n3:1(121vn8kk:185>5<7s-;247ll;I3b5>N61h1/=l95c79'a7<53-o36:>k;h::>5<#9h=15=54i9f94?"6i>03h65f9083>!7f?3;3o65f9583>!7f?3nn76a>8783>!7f?3;=<65f19d94?"6i>0:4k5G18;8?xd2ml0;6;4?:1y'5<>=jj1C=l?4H0;b?!7f?3i=7)k=:39'a=<08m1b444?:%3b3??732c3h7>5$0c4>=b<3`3:6=4+1`595=e<3`3?6=4+1`59``=5$0c4>4>a3A;2565rb4ge>5<1290;w)?68;``?M7f92B:5l5+1`59g3=#m;097)k7:62g?l>>290/=l959198m=b=83.:m:47d:9j=4<72-;j;7?7c:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j5=`=83.:m:4>8g9K5j=4?:783>5}#9021nn5G1`38L4?f3-;j;7m9;%g1>7=#m10<!7f?33;76g7d;29 4g021n07d7>:18'5d1=91i07d7;:18'5d1=ll10c<69:18'5d1=9?:07d?7f;29 4g0282m7E?69:9~f0`6290=6=4?{%3:9`9'5d1=k?1/i?4=;%g;>26c3`226=4+1`59=5=a68;`>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n91l1<7*>a6823<729q/=465bc9K5d7<@83j7)?n7;a5?!c52;1C=574$d:935ba68:4>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n0m0;6)?n7;:g?>o60=0;6)?n7;3;b>=zj?;;6=49:183!7>03hi7E?n1:J2=d=#9h=1o;5+e381?M7?12.n479?d:k;=?6=,8k<64>4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h:g>5<#9h=14i54i0:7>5<#9h=1=5h4;|`554<72?0;6=u+18:9fg=O9h;0D<7n;%3b3?e13-o96?5G19;8 `>=?9n0e5750;&2e2<>821b5<4?:%3b3?7?k21b594?:%3b3?bb32e:4;4?:%3b3?71821b4i4?:%3b3?>c32c:494?:%3b3?7?n21vn;?=:185>5<7s-;247lm;I3b5>N61h1/=l95c79'a7<53A;356*j8;53`>o?13:1(1290/=l951728?l>c290/=l958e98m4>3290/=l9519d8?xd19?0;6;4?:1y'5<>=jk1C=l?4H0;b?!7f?3i=7)k=:39K5=?<,l21;=j4i9;94?"6i>02<65f9083>!7f?3;3o65f9583>!7f?3nn76a>8783>!7f?3;=<65f8e83>!7f?32o76g>8583>!7f?3;3j65rb734>5<1290;w)?68;`a?M7f92B:5l5+1`59g3=#m;097E?79:&f5$0c4><6<3`3:6=4+1`595=e<3`3?6=4+1`59``=5}#9021no5G1`38L4?f3-;j;7m9;%g1>7=O9130(h6571f8m=?=83.:m:460:9j=4<72-;j;7?7c:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j2290=6=4?{%3:9`9'5d1=k?1/i?4=;%g;>26c3`226=4+1`59=5=a68;`>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n91l1<7*>a6823<729q/=465bg9K5d7<@83j7)?n7;a5?!c52820(h6571f8m=?=83.:m:460:9j8b9K55}#9021nn5G1`38L4?f3-;j;7m9;%g1>7=#m10<!7f?33;76g7d;29 4g021n07d7>:18'5d1=91i07d7;:18'5d1=ll10c<69:18'5d1=9?:07d?7f;29 4g0282m7E?69:9~f0e5290=6=4?{%3:9`9'a7<53-;j;7m9;%g;>26c3`226=4+1`59=5=a68;`>=n180;6)?n7;3;g>=n1=0;6)?n7;ff?>i60?0;6)?n7;354>=n91l1<7*>a6823<729q/=465bb9K5d7<@83j7)k=:39'5d1=k?1/i5480e9j<<<72-;j;77?;:k;`?6=,8k<65j4;h;2>5<#9h=1=5m4;h;7>5<#9h=1hh54o0:5>5<#9h=1=;>4;h3;b?6=,8k<6<6i;I3:=>=zj??86=49:183!7>03hh7E?n1:J2=d=#m;097)?n7;a5?!c?2>:o7d66:18'5d1=1910e5j50;&2e27=#9h=1o;5+e9844a=n000;6)?n7;;3?>o?l3:1(1290/=l951728?l7?n3:1(121vn;:m:185>5<7s-;247li;I3b5>N61h1/=l95c79'a7<602.n479?d:k;=?6=,8k<64>4;h:g>5<#9h=14i54i8694?"6i>0oi65f19694?"6i>0:4k54o0:5>5<#9h=1=;>4;h3;g?6=,8k<6<6l;I3:=>=zj<<36=4<:183!7>03on7E?n1:J2=d=#9h=1=5l4$d:935b<,l814=5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921d=8j50;&2e2<6>910qo;;d;297?6=8r.:554je:J2e4=O90k0(:o7)k=:928ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54o07g>5<#9h=1=;>4;|`2g2<72=0;6=u+18:9b4=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?e43`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21d=8j50;&2e2<6>910qo?l0;290?6=8r.:554i1:J2e4=O90k0(:o7)k=:728ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>i6=m0;6)?n7;354>=zj>:?6=4<:183!7>03on7E?n1:J2=d=#9h=1=5l4$d:935b<,l818k5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921d=8j50;&2e2<6>910qo8lb;297?6=8r.:554je:J2e4=O90k0(:o7)k=:5`8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54o07g>5<#9h=1=;>4;|`5g2<72:0;6=u+18:9a`=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?2a3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?j72l3:1({e>1>1<7=50;2x 4??2lo0D;I3:e>"6i>0:4o5+e9844a=#m;03<6gk8;29 4g02>o0b5<7s-;247kj;I3b5>N61h1/=l9519`8 `>=?9n0(h<54g9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65`14f94?"6i>0::=54}c40a?6=;3:1998fa>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>1`a684a>h6i<0;76gk9;29 4g02>o0b4?:1y'5<>=ml1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3>m7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3f;>h7>5$0c4>40732wi:>o50;194?6|,8336hk4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4;f:kg5=a684a>h6i<0:76a>5e83>!7f?3;=<65rb71;>5<4290;w)?68;gf?M7f92B:5l5+1`595=d<,l21;=j4$d090c=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9l50b=83.:m:4>6198yg04>3:1?7>50z&2==4=5}#9021ih5G1`38L4?f3-;j;7?7b:&f7:i;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm62094?5=83:p(<77:dg8L4g63A;2m6*>a682oc03:1(;:m21a<72-;j;7?90:9~f35729086=4?{%3:9`9'5d1=91h0(h6571f8 `4=4;hf:>5<#9h=1;h5a1`795>=h9a68225=53;294~"6110ni6F>a09K526c3-o96><4ie:94?"6i>0a483?>oc13:1(6<729q/=465ed9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;3;?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;n36`?6=,8k<6<8?;:a1a1=8391<7>t$0;;>`c<@8k:7E?6a:&2e2<60k1/i5480e9'a7<4:2co47>5$0c4>2c6=54ie;94?"6i>0a482?>i6=m0;6)?n7;354>=zj:3j6=4<:183!7>03on7E?n1:J2=d=#9h=1=5l4$d:935b<,l818k5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921d=8j50;&2e2<6>910qo=68;297?6=8r.:554je:J2e4=O90k0(:o7)k=:5d8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54o07g>5<#9h=1=;>4;|`0=3<72:0;6=u+18:9a`=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?2a3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?j72l3:1({e;0>1<7=50;2x 4??2lo0D;I3:e>"6i>0:4o5+e9844a=#m;0?j6gk8;29 4g02>o0b7=:180>5<7s-;247kj;I3b5>N61h1/=l9519`8 `>=?9n0(h<54g9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65`14f94?"6i>0::=54}c1:4?6=;3:1998fa>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>1`a684a>h6i<0;76gk9;29 4g02>o0b4?:1y'5<>=ml1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3>m7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3f;>h7>5$0c4>40732wi?>m50;194?6|,8336hk4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4;f:kg5=a684a>h6i<0:76a>5e83>!7f?3;=<65rb21b>5<4290;w)?68;gf?M7f92B:5l5+1`595=d<,l21;=j4$d090c=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9l50b=83.:m:4>6198yg5403:1?7>50z&2==4=5}#9021ih5G1`38L4?f3-;j;7?7b:&f7:i;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm32694?5=83:p(<77:dg8L4g63A;2m6*>a682oc03:1(;:m21a<72-;j;7?90:9~f65529086=4?{%3:9`9'5d1=91h0(h6571f8 `4=4;hf:>5<#9h=1;h5a1`795>=h9a68225=53;294~"6110ni6F>a09K526c3-o96<64ie:94?"6i>0a483?>oc13:1(6<729q/=465ed9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;1g?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;n36`?6=,8k<6<8?;:a21b=83>1<7>t$0;;>c7<@8k:7E?6a:&2e2<60k1/i5480e9'a7<1n2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(1<729q/=465f09K5d7<@83j7)?n7;3;f>"b03=;h6*j2;10?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=h9a68225=7>54;294~"6110m=6F>a09K526c3-o96?94ie:94?"6i>0a483?>oc13:1(9`9'5d1=91h0(h6571f8 `4=9j1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807b?:d;29 4g028<;76sm5e:94?2=83:p(<77:g38L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=4h4?:583>5}#9021j<5G1`38L4?f3-;j;7?7b:&f7=8;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9l50b=83.:m:4>6198yg2cn3:187>50z&2==4=a684a>h6i<0976a>5e83>!7f?3;=<65rb3`1>5<3290;w)?68;d2?M7f92B:5l5+1`595=d<,l21;=j4$d095f=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3f;>h7>5$0c4>40732wi>n?50;694?6|,8336k?4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4;6:kg5=a684a>h6i<0:76gka;29 4g02>o0b=n81C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3>=7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65`14f94?"6i>0::=54}c7:4?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>7?a684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`7a4<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?4>3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a6f6=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<4n2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(6=4?{%3:9`9'5d1=91h0(h6571f8 `4=;o1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg0783:197>50z&2==4=a684a>h6i<0976gkb;29 4g02>o0b=n=1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3;o7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65fdc83>!7f?3=n7c?n5;18?j72l3:1({e=m31<7;50;2x 4??2o>0D;I3:e>"6i>0:4o5+e9844a=#m;0946gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj;i96=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l818<5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=55;294~"6110m86F>a09K526c3-o969?4ie:94?"6i>0a483?>oc13:1(6=5}#9021ih5G1`38L4?f3-;j;7?7b:&f7==;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm3cf94?5=83:p(<77:dg8L4g63A;2m6*>a682oc03:1(;:m21a<72-;j;7?90:9~f0`4290?6=4?{%3:9`9'5d1=91h0(h6571f8 `4==91bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807b?:d;29 4g028<;76sm61a94?5=83:p(<77:dg8L4g63A;2m6*>a682oc03:1(;:m21a<72-;j;7?90:9~f36f29086=4?{%3:9`9'5d1=91h0(h6571f8 `4=;;1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=h9a68225=54;294~"6110m=6F>a09K526c3-o96i5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;n36`?6=,8k<6<8?;:a21?=83>1<7>t$0;;>c7<@8k:7E?6a:&2e2<60k1/i5480e9'a7<282co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;c1?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm65494?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb4c3>5<4290;w)?68;gf?M7f92B:5l5+1`595=d<,l21;=j4$d090c=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9l50b=83.:m:4>6198yg3>l3:197>50z&2==4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg30i3:197>50z&2==4=a684a>h6i<0976gkb;29 4g02>o0b0;684?:1y'5<>=n=1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3337dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65fdc83>!7f?3=n7c?n5;18?j72l3:1({e=>>1<7;50;2x 4??2o>0D;I3:e>"6i>0:4o5+e9844a=#m;0246gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj<=:6=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l81m:5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=55;294~"6110m86F>a09K526c3-o96:j4ie:94?"6i>0a483?>oc13:1(6=5}#9021j<5G1`38L4?f3-;j;7?7b:&f75<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9l50b=83.:m:4>6198yg0?k3:197>50z&2==30ei650;&2e2<0m2d:m84?;:kg=?6=,8k<6:k4n0c6>4=a684a>h6i<0976gkb;29 4g02>o0b4?:1y'5<>=ml1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:33o7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3f;>h7>5$0c4>40732wi9n950;694?6|,8336k?4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4<3:kg5=a684a>h6i<0:76gka;29 4g02>o0b=n=1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3?0ei650;&2e2<0m2d:m84?;:kg=?6=,8k<6:k4n0c6>4=a684a>h6i<0976gkb;29 4g02>o0b=n81C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3kn7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65`14f94?"6i>0::=54}c7:6?6=<3:1998e5>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>7ba684a>h6i<0;76gk9;29 4g02>o0b5<7s-;247h>;I3b5>N61h1/=l9519`8 `>=?9n0(h<5ad9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?j72l3:1({e;I3:e>"6i>0:4o5+e9844a=#m;09h6gk8;29 4g02>o0b5$0c4>2c6?54o07g>5<#9h=1=;>4;|`510<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?ed3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a133=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<412co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(6=4?{%3:9`9'5d1=91h0(h6571f8 `4=;01bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg3?13:187>50z&2==4=a684a>h6i<0976a>5e83>!7f?3;=<65rb4:;>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d0911=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c70`?6=<3:1998e5>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>g7a684a>h6i<0;76gk9;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5559j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo;lf;291?6=8r.:554i4:J2e4=O90k0(:o7)k=:`9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo;k0;290?6=8r.:554i1:J2e4=O90k0(:o7)k=:c38ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>i6=m0;6)?n7;354>=zj03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l81m6gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj03l:7E?n1:J2=d=#9h=1=5l4$d:935b<,l81n<5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;n36`?6=,8k<6<8?;:a23c=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a75$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(9`9'5d1=91h0(h6571f8 `4=1<1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807b?:d;29 4g028<;76sm67c94?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb74e>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d09e>oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb741>5<3290;w)?68;d2?M7f92B:5l5+1`595=d<,l21;=j4$d09f4=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3f;>h7>5$0c4>40732wi:9h50;194?6|,8336hk4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?470:kg5=a684a>h6i<0:76a>5e83>!7f?3;=<65rb7:3>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d0971=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c75e?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>a7a684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`60c<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?b63`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a200=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a1=e=83>1<7>t$0;;>c7<@8k:7E?6a:&2e2<60k1/i5480e9'a75$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;d8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(1<729q/=465f09K5d7<@83j7)?n7;3;f>"b03=;h6*j2;a0?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=h9a68225=55;294~"6110m86F>a09K526c3-o96k5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=53;294~"6110ni6F>a09K526c3-o96<64ie:94?"6i>0a483?>oc13:1(0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;7b?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm3g;94?2=83:p(<77:g38L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9021j95G1`38L4?f3-;j;7?7b:&f7;n;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi8<=50;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4le:kg5=a684a>h6i<0:76gka;29 4g02>o0b>6:186>5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5969j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo=?8;291?6=8r.:554i4:J2e4=O90k0(:o7)k=:378ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(1<729q/=465f09K5d7<@83j7)?n7;3;f>"b03=;h6*j2;f8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>i6=m0;6)?n7;354>=zj:;=6=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l8146gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj==:6=4;:183!7>03l:7E?n1:J2=d=#9h=1=5l4$d:935b<,l81;85fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;n36`?6=,8k<6<8?;:a0=>=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<4k2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(4290>6=4?{%3:9`9'5d1=91h0(h6571f8 `4=ko1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg2el3:197>50z&2==4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg27m3:1?7>50z&2==4=94?:483>5}#9021j95G1`38L4?f3-;j;7?7b:&f7o4ie:94?"6i>0a483?>oc13:1(6=:>4?:483>5}#9021j95G1`38L4?f3-;j;7?7b:&f784ie:94?"6i>0a483?>oc13:1(6=854?:483>5}#9021j95G1`38L4?f3-;j;7?7b:&f784ie:94?"6i>0a483?>oc13:1(6=5}#9021j95G1`38L4?f3-;j;7?7b:&f7l:;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi8=<50;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4k0:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<58:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;247kj;I3b5>N61h1/=l9519`8 `>=?9n0(h<54g9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65`14f94?"6i>0::=54}c63g?6=<3:1998e5>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>47a684a>h6i<0;76gk9;29 4g02>o0bm:187>5<7s-;247h>;I3b5>N61h1/=l9519`8 `>=?9n0(h<5329j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?j72l3:1({e;ol1<7;50;2x 4??2o>0D;I3:e>"6i>0:4o5+e9844a=#m;0>m6gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj:lh6=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l819l5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=55;294~"6110m86F>a09K526c3-o968o4ie:94?"6i>0a483?>oc13:1(6=4?:483>5}#9021j95G1`38L4?f3-;j;7?7b:&f7;n;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi84l50;194?6|,8336hk4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?470:kg5=a684a>h6i<0:76a>5e83>!7f?3;=<65rb5;7>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d093>oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb52b>5<3290;w)?68;d2?M7f92B:5l5+1`595=d<,l21;=j4$d09g6=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3f;>h7>5$0c4>40732wi?<=50;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4k2:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5649j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo:o7)k=:8g8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>i6=m0;6)?n7;354>=zj<8j6=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l81=>5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=55;294~"6110m86F>a09K526c3-o968k4ie:94?"6i>0a483?>oc13:1(6==l4?:483>5}#9021j95G1`38L4?f3-;j;7?7b:&f7;j;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi9i2.:m:4>8c9'a=<08m1/i?4:e:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<55d9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo;>f;291?6=8r.:554i4:J2e4=O90k0(:o7)k=:4g8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(6<729q/=465ed9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;6e?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;n36`?6=,8k<6<8?;:a177=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<2l2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(6=4?{%3:9`9'5d1=91h0(h6571f8 `4==81bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg35;3:187>50z&2==4=a684a>h6i<0976a>5e83>!7f?3;=<65rb442>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d09g==nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c772?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>f>a684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`61c<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?5>3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a112=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<412co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(6=4?{%3:9`9'5d1=91h0(h6571f8 `4=:l1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg7d>3:197>50z&2==4=a684a>h6i<0976gkb;29 4g02>o0b=n=1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3?m7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65fdc83>!7f?3=n7c?n5;18?j72l3:1({e0D;I3:e>"6i>0:4o5+e9844a=#m;0>;6gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj=l:6=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l81i6gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj<:;6=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l81oi5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=mj7>55;294~"6110m86F>a09K526c3-o96o=4ie:94?"6i>0a483?>oc13:1(6==4?:483>5}#9021j95G1`38L4?f3-;j;7?7b:&f75<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi=h950;694?6|,8336k?4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4;6:kg5=a684a>h6i<0:76gka;29 4g02>o0b4?:1y'5<>=ml1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:39o7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3f;>h7>5$0c4>40732wi98m50;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4<9:kg5=a684a>h6i<0:76gka;29 4g02>o0b:186>5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5389j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo:<8;297?6=8r.:554je:J2e4=O90k0(:o7)k=:208ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54o07g>5<#9h=1=;>4;|`7g=<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?eb3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a0f?=83>1<7>t$0;;>c7<@8k:7E?6a:&2e2<60k1/i5480e9'a7<5l2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(e583>0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;6;?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm1d294?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb53b>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d097g=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c3f7?6=<3:1998e5>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>10a684a>h6i<0;76gk9;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5c59j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo?j2;297?6=8r.:554je:J2e4=O90k0(:o7)k=:2f8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54o07g>5<#9h=1=;>4;|`7e1<72=0;6=u+18:9b4=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?>63`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21d=8j50;&2e2<6>910qo:n5;290?6=8r.:554i1:J2e4=O90k0(:o7)k=:`28ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>i6=m0;6)?n7;354>=zj=k=6=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l814o5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=j;7>55;294~"6110m86F>a09K526c3-o96l;4ie:94?"6i>0a483?>oc13:1(6=5}#9021ih5G1`38L4?f3-;j;7?7b:&f76?;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm48a94?5=83:p(<77:dg8L4g63A;2m6*>a682oc03:1(;:m21a<72-;j;7?90:9~f1g6290>6=4?{%3:9`9'5d1=91h0(h6571f8 `4=;11bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg25=3:197>50z&2==4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg20<3:187>50z&2==4=a684a>h6i<0976a>5e83>!7f?3;=<65rb55g>5<4290;w)?68;gf?M7f92B:5l5+1`595=d<,l21;=j4$d095==nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9l50b=83.:m:4>6198yg3e>3:1>7>50z&2==0Dh;4$d49``=#m10<!7f?3nn76a>5e83>!7f?3;=<65rb4`;>5<5290;w)?68;f`?M7f92B:5l5+1`59<1=Om<1/i;4ke:&f5$0c4>ac<3f;>h7>5$0c4>40732wi=o950;094?6|,8336im4H0c2?M7>i2.:m:474:Jf1>"b>3nn7)k7:62g?lb0290/=l95dd98k43c290/=l951728?xd1no0;6?4?:1y'5<>=9;I3:e>"6i>0386Fj5:&f2?7182.n479?d:kg3?6=,8k<6ik4;n36`?6=,8k<6<8?;:a2<2=8381<7>t$0;;>43b3A;j=6F>9`9'5d1=0=1Ci85+e78225=#m10<!7f?3nn76a>5e83>!7f?3;=<65rb7;5>5<5290;w)?68;36a>N6i81C=4o4$0c4>=2<@l?0(h851728 `>=?9n0ei950;&2e2910qo9?c;296?6=8r.:554>5d9K5d7<@83j7)?n7;:7?Mc23-o=6<8?;%g;>26c3`n<6=4+1`59``=5}#9021=8k4H0c2?M7>i2.:m:474:Jf1>"b>3;=<6*j8;53`>oc?3:1({e>0k1<7<50;2x 4??28?n7E?n1:J2=d=#9h=1495Ge49'a3<6>91/i5480e9j`2<72-;j;7jj;:m21a<72-;j;7?90:9~f3?b29096=4?{%3:0Dh;4$d49536<,l21;=j4ie594?"6i>0oi65`14f94?"6i>0::=54}c4ef?6=:3:199821`=O9h;0D<7n;%3b3?>33Ao>7)k9:043?!c?2>:o7dj8:18'5d1=ll10c<;k:18'5d1=9?:07pl9a183>7<729q/=46514g8L4g63A;2m6*>a68;0>Nb=2.n:7?90:&f5$0c4>ac<3f;>h7>5$0c4>40732wi:l<50;094?6|,8336<;j;I3b5>N61h1/=l95859Ka0=#m?0::=5+e9844a=nl>0;6)?n7;ff?>i6=m0;6)?n7;354>=zj?3h6=4=:183!7>03;>i6F>a09K56198yg17i3:1>7>50z&2==<6=l1C=l?4H0;b?!7f?32?7Ek:;%g5>4073-o36:>k;hf4>5<#9h=1hh54o07g>5<#9h=1=;>4;|`5ba<72;0;6=u+18:950c<@8k:7E?6a:&2e2"b03=;h6gk7;29 4g02mo07b?:d;29 4g028<;76sm1c794?4=83:p(<77:07f?M7f92B:5l5+1`59<1=Om<1/i;4>619'a=<08m1bh:4?:%3b3?bb32e:9i4?:%3b3?71821vn5<7s-;247?:e:J2e4=O90k0(4$d:935ba68ga>=h9a68225=52;294~"6110:9h5G1`38L4?f3-;j;76;;Ig6?!c128<;7)k7:62g?lb0290/=l95dd98k43c290/=l951728?xd6j;0;6?4?:1y'5<>=9;I3:e>"6i>0386Fj5:&f2?7182.n479?d:kg3?6=,8k<6ik4;n36`?6=,8k<6<8?;:a1g4=8381<7>t$0;;>43b3A;j=6F>9`9'5d1=0=1Ci85+e78225=#m10<!7f?3nn76a>5e83>!7f?3;=<65rb4`2>5<5290;w)?68;36a>N6i81C=4o4$0c4>=2<@l?0(h851728 `>=?9n0ei950;&2e2910qo;m0;296?6=8r.:554>5d9K5d7<@83j7)?n7;:7?Mc23-o=6<8?;%g;>26c3`n<6=4+1`59``=mk4?:383>5}#9021=8k4H0c2?M7>i2.:m:474:Jf1>"b>3;=<6*j8;53`>oc?3:1({e=ho1<7<50;2x 4??28?n7E?n1:J2=d=#9h=1495Ge49'a3<6>91/i5480e9j`2<72-;j;7jj;:m21a<72-;j;7?90:9~f0gc29096=4?{%3:0Dh;4$d49536<,l21;=j4ie594?"6i>0oi65`14f94?"6i>0::=54}c7bg?6=:3:199821`=O9h;0D<7n;%3b3?>33Ao>7)k9:043?!c?2>:o7dj8:18'5d1=ll10c<;k:18'5d1=9?:07pl:ac83>7<729q/=46514g8L4g63A;2m6*>a68;0>Nb=2.n:7?90:&f5$0c4>ac<3f;>h7>5$0c4>40732wi:k750;:94?6|,8336n>4H0c2?M7>i2.n>7?7;%3b3?7>82B:445+e9844a=n000;6)?n7;;3?>o><3:1(i60?0;6)?n7;354>=n0m0;6)?n7;:g?>o60o0;6)?n7;3;b>N61010e<6l:18'5d1=91i0D<76;:k29`9'a7<602.:m:4>919K5=?<,l21;=j4i9;94?"6i>02<65f9583>!7f?3nn76g>8983>!7f?3;2865`19494?"6i>0::=54i9f94?"6i>03h65f19d94?"6i>0:4k5G18;8?l7?k3:1(121b=5j50;&2e2<61<10qo8kd;296198m=b=83.:m:47d:9j5=`=83.:m:4>8g9K55$0c4>4>d3A;2565f18694?"6i>0:595G18;8?xd1lj0;654?:1y'5<>=k;1C=l?4H0;b?!7f?3;2<6*j2;3;?!c?2>:o7d66:18'5d1=1910e4:50;&2e2=83:p(<77:b08L4g63A;2m6*>a682=5=#m;0:46*j8;53`>o?13:1(c290/=l951878?j7?>3:1(o?l3:1(121b=5m50;&2e2<60j1C=474;h3:0?6=,8k<6<7;;I3:=>=zj8nm6=47:183!7>03i:7E?n1:J2=d=#9h=1=4>4$d096>N6001/i5480e9j<<<72-;j;77?;:k:0?6=,8k<6ik4;h3;6198m=b=83.:m:47d:9j5=`=83.:m:4>8g9K55$0c4>4>d3A;2565rb523>5<1290;w)?68;``?M7f92B:5l5+e381?!7f?3i=7)k7:62g?l>>290/=l959198m=b=83.:m:47d:9j=4<72-;j;7?7c:9j=1<72-;j;7jj;:m2<3<72-;j;7?90:9j5=`=83.:m:4>8g9K55}#9021ii5G1`38L4?f3-;j;7?7b:&f786;hf;>5<#9h=1;h5a1`794>=h9a68225=a684a>h6i<0:7c?67;28?xd1j;0;6>4?:1y'5<>=mm1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3<27dj7:18'5d1=?l1e=l;50:9l50b=83.:m:4>6198ma?=83.:m:48e:l2e0<63g;2;7>4;|`5f5<72:0;6=u+18:9aa=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?0>3`n36=4+1`593`=i9h?1<65`14f94?"6i>0::=54ie;94?"6i>0a482?k7>?3:07pl9ad83>6<729q/=465ee9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;4:?lb?290/=l957d9m5d3=821d=8j50;&2e2<6>910ei750;&2e2<0m2d:m84>;o3:3?6<3th=mn4?:283>5}#9021ii5G1`38L4?f3-;j;7?7b:&f786;hf;>5<#9h=1;h5a1`794>=h9a68225=a684a>h6i<0:7c?67;28?xd1ih0;6>4?:1y'5<>=mm1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3<27dj7:18'5d1=?l1e=l;50:9l50b=83.:m:4>6198ma?=83.:m:48e:l2e0<63g;2;7>4;|`5e=<72:0;6=u+18:9aa=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?0>3`n36=4+1`593`=i9h?1<65`14f94?"6i>0::=54ie;94?"6i>0a482?k7>?3:07pl9a783>6<729q/=465ee9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;4:?lb?290/=l957d9m5d3=821d=8j50;&2e2<6>910ei750;&2e2<0m2d:m84>;o3:3?6<3th8?k4?:283>5}#9021ii5G1`38L4?f3-;j;7?7b:&f786;hf;>5<#9h=1;h5a1`794>=h9a68225=a684a>h6i<0:7c?67;28?xd4<80;6>4?:1y'5<>=mm1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3<27dj7:18'5d1=?l1e=l;50:9l50b=83.:m:4>6198ma?=83.:m:48e:l2e0<63g;2;7>4;|`006<72:0;6=u+18:9aa=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?0>3`n36=4+1`593`=i9h?1<65`14f94?"6i>0::=54ie;94?"6i>0a482?k7>?3:07pl<4483>6<729q/=465ee9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;4:?lb?290/=l957d9m5d3=821d=8j50;&2e2<6>910ei750;&2e2<0m2d:m84>;o3:3?6<3th88:4?:283>5}#9021ii5G1`38L4?f3-;j;7?7b:&f786;hf;>5<#9h=1;h5a1`794>=h9a68225=a684a>h6i<0:7c?67;28?xd4<00;6>4?:1y'5<>=mm1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3<27dj7:18'5d1=?l1e=l;50:9l50b=83.:m:4>6198ma?=83.:m:48e:l2e0<63g;2;7>4;|`00g<72:0;6=u+18:9aa=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?0>3`n36=4+1`593`=i9h?1<65`14f94?"6i>0::=54ie;94?"6i>0a482?k7>?3:07pl<4e83>6<729q/=465ee9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;4:?lb?290/=l957d9m5d3=821d=8j50;&2e2<6>910ei750;&2e2<0m2d:m84>;o3:3?6<3th89i4?:283>5}#9021ii5G1`38L4?f3-;j;7?7b:&f786;hf;>5<#9h=1;h5a1`794>=h9a68225=a684a>h6i<0:7c?67;28?xd4=o0;6>4?:1y'5<>=mm1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3<27dj7:18'5d1=?l1e=l;50:9l50b=83.:m:4>6198ma?=83.:m:48e:l2e0<63g;2;7>4;|`024<72:0;6=u+18:9aa=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?0>3`n36=4+1`593`=i9h?1<65`14f94?"6i>0::=54ie;94?"6i>0a482?k7>?3:07pl<6283>6<729q/=465ee9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;4:?lb?290/=l957d9m5d3=821d=8j50;&2e2<6>910ei750;&2e2<0m2d:m84>;o3:3?6<3th8:84?:283>5}#9021ii5G1`38L4?f3-;j;7?7b:&f786;hf;>5<#9h=1;h5a1`794>=h9a68225=a684a>h6i<0:7c?67;28?xd4>>0;6>4?:1y'5<>=mm1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3<27dj7:18'5d1=?l1e=l;50:9l50b=83.:m:4>6198ma?=83.:m:48e:l2e0<63g;2;7>4;|`02<<72:0;6=u+18:9aa=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?0>3`n36=4+1`593`=i9h?1<65`14f94?"6i>0::=54ie;94?"6i>0a482?k7>?3:07pl<6c83>6<729q/=465ee9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;4:?lb?290/=l957d9m5d3=821d=8j50;&2e2<6>910ei750;&2e2<0m2d:m84>;o3:3?6<3th=o<4?:283>5}#9021ii5G1`38L4?f3-;j;7?7b:&f786;hf;>5<#9h=1;h5a1`794>=h9a68225=a684a>h6i<0:7c?67;28?xd4=h0;6>4?:1y'5<>=mm1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3<27dj7:18'5d1=?l1e=l;50:9l50b=83.:m:4>6198ma?=83.:m:48e:l2e0<63g;2;7>4;|`03=<72:0;6=u+18:9aa=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?0>3`n36=4+1`593`=i9h?1<65`14f94?"6i>0::=54ie;94?"6i>0a482?k7>?3:07pl=6083>0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;47?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm27294?2=83:p(<77:g38L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9021j95G1`38L4?f3-;j;7?7b:&f788;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi>9850;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4;0:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5449j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo<:4;291?6=8r.:554i4:J2e4=O90k0(:o7)k=:518ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(1<729q/=465f09K5d7<@83j7)?n7;3;f>"b03=;h6*j2;40?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=h9a68225=54;294~"6110m=6F>a09K526c3-o96;=4ie:94?"6i>0a483?>oc13:1(9`9'5d1=91h0(h6571f8 `4=<;1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807b?:d;29 4g028<;76sm24194?2=83:p(<77:g38L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9021ih5G1`38L4?f3-;j;7?7b:&f7=k;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm25694?5=83:p(<77:dg8L4g63A;2m6*>a682oc03:1(;:m21a<72-;j;7?90:9~f70f29086=4?{%3:9`9'5d1=91h0(h6571f8 `4=;m1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=h9a68225=>7>53;294~"6110ni6F>a09K526c3-o96>j4ie:94?"6i>0a483?>oc13:1(0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;5b?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm23d94?2=83:p(<77:g38L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=h4?:283>5}#9021ih5G1`38L4?f3-;j;7?7b:&f7=k;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm46g94?2=83:p(<77:g38L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9021j95G1`38L4?f3-;j;7?7b:&f79?;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi8>750;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?48a:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<56b9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo<=1;291?6=8r.:554i4:J2e4=O90k0(:o7)k=:528ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(1<729q/=465f09K5d7<@83j7)?n7;3;f>"b03=;h6*j2;40?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=h9a68225=53;294~"6110ni6F>a09K526c3-o96>j4ie:94?"6i>0a483?>oc13:1(1<729q/=465f09K5d7<@83j7)?n7;3;f>"b03=;h6*j2;61?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=h9a68225=<:7>53;294~"6110ni6F>a09K526c3-o96?<4ie:94?"6i>0a483?>oc13:1(6<729q/=465ed9K5d7<@83j7)?n7;3;f>"b03=;h6*j2;1g?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;n36`?6=,8k<6<8?;:a5`e=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a75$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(290?6=4?{%3:9`9'5d1=91h0(h6571f8 `4=:81bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807b?:d;29 4g028<;76sm25:94?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb307>5<3290;w)?68;d2?M7f92B:5l5+1`595=d<,l21;=j4$d0964=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3f;>h7>5$0c4>40732wi>?=50;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?496:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5459j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo:m9;290?6=8r.:554i1:J2e4=O90k0(:o7)k=:318ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>i6=m0;6)?n7;354>=zj<:86=4<:183!7>03on7E?n1:J2=d=#9h=1=5l4$d:935b<,l815i5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921d=8j50;&2e2<6>910qo;?2;291?6=8r.:554i4:J2e4=O90k0(:o7)k=:248ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(1<729q/=465f09K5d7<@83j7)?n7;3;f>"b03=;h6*j2;35?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=h9a68225=54;294~"6110m=6F>a09K526c3-o968m4ie:94?"6i>0a483?>oc13:1(6=4?{%3:9`9'5d1=91h0(h6571f8 `4=4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg2>;3:197>50z&2==20ei650;&2e2<0m2d:m84?;:kg=?6=,8k<6:k4n0c6>4=a684a>h6i<0976gkb;29 4g02>o0b=n=1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3k?7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65fdc83>!7f?3=n7c?n5;18?j72l3:1({e<>31<7;50;2x 4??2o>0D;I3:e>"6i>0:4o5+e9844a=#m;027dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65fdc83>!7f?3=n7c?n5;18?j72l3:1({e<>k1<7;50;2x 4??2o>0D;I3:e>"6i>0:4o5+e9844a=#m;0=n6gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj=l>6=4;:183!7>03l:7E?n1:J2=d=#9h=1=5l4$d:935b<,l81n<5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;n36`?6=,8k<6<8?;:a0c0=83>1<7>t$0;;>c7<@8k:7E?6a:&2e2<60k1/i5480e9'a75$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;c`?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm48794?3=83:p(<77:g68L4g63A;2m6*>a6825<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm4bd94?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb5f3>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d091<=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c64g?6=;3:1998fa>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>=6a684a>h6i<0;76gk9;29 4g02>o0b=n=1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3;?7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65fdc83>!7f?3=n7c?n5;18?j72l3:1({e;o:1<7;50;2x 4??2o>0D;I3:e>"6i>0:4o5+e9844a=#m;0o<6gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj;9;6=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l818>5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=55;294~"6110m86F>a09K526c3-o96i=4ie:94?"6i>0a483?>oc13:1(6=5}#9021jl5G1`38L4?f3-;j;7h7;%g;>26c3`n36=4+1`59`c=a68f4>=n91n1<7*>a682<`=5}#9021j95G1`38L4?f3-;j;7?7b:&f7;n;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi89950;694?6|,8336k?4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?498:kg5=a684a>h6i<0:76gka;29 4g02>o0b=n=1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3?0ei650;&2e2<0m2d:m84?;:kg=?6=,8k<6:k4n0c6>4=a684a>h6i<0976gkb;29 4g02>o0b=n81C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3>97dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65`14f94?"6i>0::=54}c4;`?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>f?a684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`2`3<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?2d3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a15>=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<23`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a6ag=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<33`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a0db=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a75$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(9`9'5d1=91h0(h6571f8 `4=im1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807b?:d;29 4g028<;76sm55a94?2=83:p(<77:g38L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9021ih5G1`38L4?f3-;j;7?7b:&f7;m;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07b?:d;29 4g028<;76sm67294?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb75f>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d09e>oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb2d0>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d0960=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c0ee?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>6ga684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`144<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?g63`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a5c5=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a75$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(6=4?{%3:9`9'5d1=91h0(h6571f8 `4=1o1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg21i3:187>50z&2==4=a684a>h6i<0976a>5e83>!7f?3;=<65rb54:>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d097`=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c134?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>0ga684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`532<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?eb3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a1fg=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<23`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a1d7=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a75$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(6290?6=4?{%3:9`9'5d1=91h0(h6571f8 `4=:k1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807b?:d;29 4g028<;76sm1bd94?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb3f4>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d09`5=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c455?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>==nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c6fb?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>07a684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`14<<72=0;6=u+18:9b4=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?4a3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21d=8j50;&2e2<6>910qo?ib;290?6=8r.:554i1:J2e4=O90k0(:o7)k=:3d8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>i6=m0;6)?n7;354>=zj;:i6=4;:183!7>03l:7E?n1:J2=d=#9h=1=5l4$d:935b<,l81>k5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;n36`?6=,8k<6<8?;:a5cb=83>1<7>t$0;;>c7<@8k:7E?6a:&2e2<60k1/i5480e9'a7<5n2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(1<729q/=465f09K5d7<@83j7)?n7;3;f>"b03=;h6*j2;0e?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=h9a68225=54;294~"6110m=6F>a09K526c3-o96?h4ie:94?"6i>0a483?>oc13:1(6=4?{%3:9`9'5d1=91h0(h6571f8 `4==81bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg57=3:197>50z&2==4=a684a>h6i<0976gkb;29 4g02>o0b=n81C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3?;7dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65`14f94?"6i>0::=54}c447?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>4da684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`7g2<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?d13`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a031=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<2;2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(6=4?{%3:9`9'5d1=91h0(h6571f8 `4=i;1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg22n3:197>50z&2==4=a684a>h6i<0976gkb;29 4g02>o0b=n=1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3>87dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65fdc83>!7f?3=n7c?n5;18?j72l3:1({e:0i1<7:50;2x 4??2o;0D;I3:e>"6i>0:4o5+e9844a=#m;0?>6gk8;29 4g02>o0b5$0c4>2c6?54o07g>5<#9h=1=;>4;|`1=g<72:0;6=u+18:9a`=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?5c3`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?j72l3:1({e:0;1<7;50;2x 4??2o>0D;I3:e>"6i>0:4o5+e9844a=#m;0??6gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj;3;6=4;:183!7>03l:7E?n1:J2=d=#9h=1=5l4$d:935b<,l818?5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;n36`?6=,8k<6<8?;:a6=`=8391<7>t$0;;>`c<@8k:7E?6a:&2e2<60k1/i5480e9'a7<4l2co47>5$0c4>2c6=54ie;94?"6i>0a482?>i6=m0;6)?n7;354>=zj8ni6=4<:183!7>03on7E?n1:J2=d=#9h=1=5l4$d:935b<,l814=5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921d=8j50;&2e2<6>910qo?ka;291?6=8r.:554i4:J2e4=O90k0(:o7)k=:028ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;;5?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm1e:94?3=83:p(<77:g68L4g63A;2m6*>a6825<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm67794?3=83:p(<77:g68L4g63A;2m6*>a6825<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm67694?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb43f>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d09<6=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c72`?6=<3:1998e5>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>47a684a>h6i<0;76gk9;29 4g02>o0b<=:186>5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<58:kg5=a684a>h6i<0:76gka;29 4g02>o0b:186>5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5469j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo:o7)k=:c:8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(f483>0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;`;?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm54f94?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb461>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d0963=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c71a?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>f=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c4;b?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>4?a684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`1eg<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?133`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a6de=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<5i2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(6=4?{%3:9`9'5d1=91h0(h6571f8 `4=<>1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg47?3:187>50z&2==4=a684a>h6i<0976a>5e83>!7f?3;=<65rb0d:>5<3290;w)?68;d2?M7f92B:5l5+1`595=d<,l21;=j4$d096c=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3f;>h7>5$0c4>40732wi=kh50;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4m8:kg5=a684a>h6i<0:76gka;29 4g02>o0b:186>5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5b99j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo?m9;296?4=;r.:55476:J2e4=O90k0(h6571f8ma1=831d=8j50;9a`a<72;0;6=u+18:9`a=#9h=14k5+e78ga>Nb=2.n479?d:kg3?6=,8k<6ik4;n36`?6=,8k<6<8?;:a<0<72;0;6=u+18:9<0=#9h=14k5+e9844a=nl>0;6)?n7;ff?>i6=m0;6)?n7;354>=z{m=1<71v<;k:181[72l27397?:d:p`a<72;q6hi4>5e9><05<7s-;2476:;I3b5>N61h1/=l958g9'a=<08m1bh:4?:%3b3?bb32e:9i4?:%3b3?71821vn>j?:181>5<7s-;2476:;I3b5>N61h1/=l958g9'a=<08m1bh:4?:%3b3?bb32e:9i4?:%3b3?71821vn;l9:181>5<7s-;247k<;I3b5>N61h1/=l95859'a=<08m1bh:4?:%3b3?bb32e:9i4?:%3b3?7182B:m;54}c17b?6=:3:1998f7>N6i81C=4o4$0c4>=2<,l21;=j4ie594?"6i>0oi65`14f94?"6i>0::=5G1`48?xd4>m0;6?4?:1y'5<>=m:1C=l?4H0;b?!7f?32?7)k7:62g?lb0290/=l95dd98k43c290/=l951728L4g132wi9h?50;094?6|,8336h=4H0c2?M7>i2.:m:474:&f5$0c4>ac<3f;>h7>5$0c4>4073A;j:65rb5:g>5<5290;w)?68;g0?M7f92B:5l5+1`59<1=#m10<!7f?3nn76a>5e83>!7f?3;=<6F>a798yg5683:1>7>50z&2==0(h6571f8ma1=83.:m:4ke:9l50b=83.:m:4>619K5d0<3th9nk4?:383>5}#9021i>5G1`38L4?f3-;j;76;;%g;>26c3`n<6=4+1`59``=a68;0>"b03=;h6gk7;29 4g02mo07b?:d;29 4g028<;7E?n6:9~f4c229096=4?{%3:9`9'5d1=0=1/i5480e9j`2<72-;j;7jj;:m21a<72-;j;7?90:J2e3=52;294~"6110n?6F>a09K5a68ga>=h9a68225=O9h<07pl9c883>7<729q/=465e29K5d7<@83j7)?n7;:7?!c?2>:o7dj8:18'5d1=ll10c<;k:18'5d1=9?:0Dt$0;;>`5<@8k:7E?6a:&2e2=zj;3m6=4=:183!7>03o87E?n1:J2=d=#9h=1495+e9844a=nl>0;6)?n7;ff?>i6=m0;6)?n7;354>N6i?10qo:i7;290?6=8r.:554ia:J2e4=O90k0(=?9n0ei650;&2e2910qo:i8;291?6=8r.:554i4:J2e4=O90k0(:o7)k=:228ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;36?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm4ga94?2=83:p(<77:gc8L4g63A;2m6*>a68e<>"b03=;h6gk8;29 4g02ml07dj6:18'5d1=m910e<6k:18'5d1=91o07b?:d;29 4g028<;76sm4gf94?2=83:p(<77:g38L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9021j<5G1`38L4?f3-;j;7?7b:&f7oj;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9l50b=83.:m:4>6198yg06i3:187>50z&2===83.:m:4kf:9j`<<72-;j;7k?;:k26198yg06j3:187>50z&2==4=a684a>h6i<0976a>5e83>!7f?3;=<65rb73`>5<3290;w)?68;d2?M7f92B:5l5+1`595=d<,l21;=j4$d0976=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3f;>h7>5$0c4>40732wi:5750;694?6|,8336ko4H0c2?M7>i2.:m:4i8:&f5$0c4>a`<3`n26=4+1`59a5=h7>5$0c4>40732wi:5o50;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4ld:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5d19j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo=j9;290?6=8r.:554ia:J2e4=O90k0(=?9n0ei650;&2e2910qo=ja;291?6=8r.:554i4:J2e4=O90k0(:o7)k=:468ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(0<729q/=465f59K5d7<@83j7)?n7;3;f>"b03=;h6*j2;55?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm47194?2=83:p(<77:gc8L4g63A;2m6*>a68e<>"b03=;h6gk8;29 4g02ml07dj6:18'5d1=m910e<6k:18'5d1=91o07b?:d;29 4g028<;76sm47694?3=83:p(<77:g68L4g63A;2m6*>a682oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb546>5<2290;w)?68;d7?M7f92B:5l5+1`595=d<,l21;=j4$d0910=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c65`?6==3:1998e0>N6i81C=4o4$0c4>4>e3-o36:>k;%g1>41a684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`72`<72<0;6=u+18:9b1=O9h;0D<7n;%3b3?7?j2.n479?d:&f6?113`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a03`=83>1<7>t$0;;>cg<@8k:7E?6a:&2e25<#9h=1i=54i0:g>5<#9h=1=5k4;n36`?6=,8k<6<8?;:a1cb=83?1<7>t$0;;>c2<@8k:7E?6a:&2e2<60k1/i5480e9'a7<3i2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(9`9'5d1=n11/i5480e9j`=<72-;j;7ji;:kg=?6=,8k<6h>4;h3;`?6=,8k<6<6j;:m21a<72-;j;7?90:9~f0e2290>6=4?{%3:9`9'5d1=91h0(h6571f8 `4=kl1bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807djm:18'5d1=?l1e=l;53:9l50b=83.:m:4>6198yg3d>3:187>50z&2===83.:m:4kf:9j`<<72-;j;7k?;:k26198yg22j3:197>50z&2===0ei650;&2e2<0m2d:m84?;:kg=?6=,8k<6:k4n0c6>4=a684a>h6i<0976gkb;29 4g02>o0b=n=1C=l?4H0;b?!7f?3;3n6*j8;53`>"b:3>27dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65fdc83>!7f?3=n7c?n5;18?j72l3:1({e<;I3:e>"6i>0m46*j8;53`>oc03:1(290/=l95e198m4>c290/=l9519g8?j72l3:1({e<:h1<7;50;2x 4??2o>0D;I3:e>"6i>0:4o5+e9844a=#m;0<>6gk8;29 4g02>o0b5$0c4>2c6?54ie`94?"6i>0a480?>i6=m0;6)?n7;354>=zj=9h6=4::183!7>03l?7E?n1:J2=d=#9h=1=5l4$d:935b<,l815:5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=8h7>54;294~"6110mm6F>a09K5a68gb>=nl00;6)?n7;g3?>o60m0;6)?n7;3;a>=h9a68225=55;294~"6110m86F>a09K526c3-o9695fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=54;294~"6110mm6F>a09K5a68gb>=nl00;6)?n7;g3?>o60m0;6)?n7;3;a>=h9a68225=55;294~"6110m86F>a09K526c3-o969o4ie:94?"6i>0a483?>oc13:1(6=5}#9021j95G1`38L4?f3-;j;7?7b:&f76l;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi>lo50;694?6|,8336ko4H0c2?M7>i2.:m:4i8:&f5$0c4>a`<3`n26=4+1`59a5=h7>5$0c4>40732wi>l=50;794?6|,8336k:4H0c2?M7>i2.:m:4>8c9'a=<08m1/i?4;e:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;247h;;I3b5>N61h1/=l9519`8 `>=?9n0(h<5539j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo=?9n0ei650;&2e2910qo9?5;293?4=0r.:554>909K5d7<@83j7)k=:29K5=?<,l21;=j4i7c94?=n>l0;66g9f;29?l142900e4>50;9j=1<722e:4;4?::`2=7<7200;6=u+18:95<5<,8k<6<7<;%3;1?b33A;2:6F>889'a=<08m1/i?4<;%3;e?7>l2c287>5;h:g>5M7?;21b;>4?::k5b?6=3`:183!7f?3;>j6a>6083>!7f?3;=<65rs8694?4|V0>01<7=:868yv?72909wS7?;<3:6??73ty52z\47>;61;07}Y>o16=4<56g9~w3c=838pR;k4=0;1>3c:38783>7}Y91<01<7=:0:5?xu?l3:1>v3>938;`>;61k0::<5r}c536?6=>3:1998ae>N6i81C=4o4$0c4>f0<,l81>6F>889'a=<08m1b444?:%3b3??732c287>5$0c4>ac<3f;3:7>5$0c4>40732c3h7>5$0c4>=b<3`;387>5$0c4>4>a32c2=7>5$0c4>4>d32wi;=850;596?>|,8336<7>;I3b5>N61h1/i?4<;I3;=>"b03=;h6g9a;29?l0b2900e;h50;9j36<722c2<7>5;h;7>5<5<>290;w)?68;3:7>"6i>0:5>5+1979`1=O90<0D<66;%g;>26c3-o96>5+19c951<75f8e83>k7>?3;07d7?:18K5=5<3`=86=44i7d94?=n>l0;66g9a;29?j7?>3:17b?77;29?xd61k0;6<4?:1y'5d1=9:18'5d1=9?:07p}64;296~X><27:5?464:p=5<72;qU5=521809=5=z{>91<790q~8i:181[0a34;2>78i;|q5a?6=:rT=i63>9385a>{t>h0;6?uQ6`9>5<4=>h1v<69:181[7?>27:5?4>879~w=b=838p1<7=:9f894?e28<:7psm71:94?0=83:p(<77:cc8L4g63A;2m6*>a68`2>"b:380D<66;%g;>26c3`226=4+1`59=5=1<7*>a68ga>=h91<1<7*>a68225=a68;`>=n91>1<7*>a682a6827>52;294~"6i>0386*>998ff>N61h1bh:4?:%3b3?bb32e:9i4?:%3b3?71821vn8j>:186>5<7s-;j;7?7b:J2=d=O9h;0(h6571f8 4??2o<0(h<5b49j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo;lc;296?6=8r.:m:474:&2==!7f?3nn76a>5e83>!7f?3;=<65rb4aa>5<2290;w)?n7;3;f>N61h1C=l?4$d:935b<,8336k84$d09f0=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c45a68;0>"6110nn6F>9`9j`2<72-;j;7jj;:m21a<72-;j;7?90:9~f30029086=4?{%3b3?7?j2B:5l5G1`38 `>=?9n0(<77:g28 `4=091bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=h9a68225=52;294~"6i>0386*>998ff>N61h1bh:4?:%3b3?bb32e:9i4?:%3b3?71821vn;8m:186>5<7s-;j;7?7b:J2=d=O9h;0(h6571f8 4??2o<0(h<57c9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo8:8;296?6=8r.:m:474:&2==!7f?3nn76a>5e83>!7f?3;=<65rb774>5<3290;w)?n7;3;f>N61h1C=l?4$d:935b<,8336k=4$d09=`=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3f;>h7>5$0c4>40732wi:8l50;094?6|,8k<65:4$0;;>`d<@83j7dj8:18'5d1=ll10c<;k:18'5d1=9?:07pl95`83>1<729q/=l9519`8L4?f3A;j=6*j8;53`>"6110m?6*j2;`4?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=h9a68225=:>7>52;294~"6i>0386*>998ff>N61h1bh:4?:%3b3?bb32e:9i4?:%3b3?71821vn9?>:186>5<7s-;j;7?7b:J2=d=O9h;0(h6571f8 4??2o?0(h<5509j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo:>0;296?6=8r.:m:474:&2==!7f?3nn76a>5e83>!7f?3;=<65rb52e>5<2290;w)?n7;3;f>N61h1C=l?4$d:935b<,8336k;4$d09e>oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb23b>5<5290;w)?n7;:7?!7>03oi7E?6a:kg3?6=,8k<6ik4;n36`?6=,8k<6<8?;:a74?=83?1<7>t$0c4>4>e3A;2m6F>a09'a=<08m1/=465f49'a7<292co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(33-;247km;I3:e>oc?3:1({e;8=1<7=50;2x 4g0282i7E?6a:J2e4=#m10<o0b:181>5<7s-;j;76;;%3:i2B:m<5+e9844a=#9021j?5+e38:a>oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9h=1495+18:9ag=O90k0ei950;&2e2910qo:79;297?6=8r.:m:4>8c9K503l;7)k=:5d8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54o07g>5<#9h=1=;>4;|`7<0<72;0;6=u+1`59<1=#9021io5G18c8ma1=83.:m:4ke:9l50b=83.:m:4>6198yg2?<3:1?7>50z&2e2<60k1C=4o4H0c2?!c?2>:o7)?68;d3?!c520n0ei650;&2e2<0m2d:m84?;:kg=?6=,8k<6:k4n0c6>4=5}#9h=1495+18:9ag=O90k0ei950;&2e2910qo:me;291?6=8r.:m:4>8c9K503l=7)k=:b`8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(7<729q/=l95859'5<>=mk1C=4o4ie594?"6i>0oi65`14f94?"6i>0::=54}c13g?6=<3:1a682;%g;>26c3-;247h<;%g1>g7a684a>h6i<0;76gk9;29 4g02>o0b;:181>5<7s-;j;76;;%3:i2B:m<5+e9844a=#9021j;5+e384<>oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb75:>5<5290;w)?n7;:7?!7>03oi7E?6a:kg3?6=,8k<6ik4;n36`?6=,8k<6<8?;:a22>=83>1<7>t$0c4>4>e3A;2m6F>a09'a=<08m1/=465f29'a7<6m2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(7<729q/=l95859'5<>=mk1C=4o4ie594?"6i>0oi65`14f94?"6i>0::=54}c621?6=<3:1a682;%g;>26c3-;247h=;%g1>06a684a>h6i<0;76gk9;29 4g02>o0b?::181>5<7s-;j;76;;%3:i2B:m<5+e9844a=#9021j?5+e3825>oc03:1(;:kge?6=,8k<6:k4n0c6>7==4?:383>5}#9h=1495+18:9ag=O90k0ei950;&2e2910qo=>f;291?6=8r.:m:4>8c9K503l>7)k=:`9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo:?9;296?6=8r.:m:474:&2==!7f?3nn76a>5e83>!7f?3;=<65rb52;>5<2290;w)?n7;3;f>N61h1C=l?4$d:935b<,8336k;4$d090>oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb231>5<5290;w)?n7;:7?!7>03oi7E?6a:kg3?6=,8k<6ik4;n36`?6=,8k<6<8?;:a747=83?1<7>t$0c4>4>e3A;2m6F>a09'a=<08m1/=465f49'a7<33`n36=4+1`593`=i9h?1<65fd883>!7f?3=n7c?n5;38?lbf290/=l957d9m5d3=:21bho4?:%3b3?1b3g;j97=4;n36`?6=,8k<6<8?;:a6`7=8381<7>t$0c4>=2<,8336hl4H0;b?lb0290/=l95dd98k43c290/=l951728?xd5m90;684?:1y'5d1=91h0D<7n;I3b5>"b03=;h6*>998e2>"b:3h97dj7:18'5d1=?l1e=l;50:9j`<<72-;j;79j;o3b1?7<3`nj6=4+1`593`=i9h?1>65fdc83>!7f?3=n7c?n5;18?j72l3:1({e=;?1<7<50;2x 4g021>0(<77:d`8L4?f3`n<6=4+1`59``=>94?:483>5}#9h=1=5l4H0;b?M7f92.n479?d:&2==2.n>7;>;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi9?650;094?6|,8k<65:4$0;;>`d<@83j7dj8:18'5d1=ll10c<;k:18'5d1=9?:07pl:2683>1<729q/=l9519`8L4?f3A;j=6*j8;53`>"6110m?6*j2;73?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=h9a68225=52;294~"6i>0386*>998ff>N61h1bh:4?:%3b3?bb32e:9i4?:%3b3?71821vn8?9:186>5<7s-;j;7?7b:J2=d=O9h;0(h6571f8 4??2o<0(h<55d9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo?l3;296?6=8r.:m:474:&2==!7f?3nn76a>5e83>!7f?3;=<65rb0a1>5<2290;w)?n7;3;f>N61h1C=l?4$d:935b<,8336k84$d0924=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c6ef?6=:3:1a68;0>"6110nn6F>9`9j`2<72-;j;7jj;:m21a<72-;j;7?90:9~f1`f290?6=4?{%3b3?7?j2B:5l5G1`38 `>=?9n0(<77:g08 `4=981bh54?:%3b3?1b3g;j97>4;hf:>5<#9h=1;h5a1`795>=nlh0;6)?n7;5f?k7f=3807b?:d;29 4g028<;76sm58d94?4=83:p(5<#9h=1hh54o07g>5<#9h=1=;>4;|`6=`<72<0;6=u+1`595=d<@83j7E?n1:&fa684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`7ff<72;0;6=u+1`59<1=#9021io5G18c8ma1=83.:m:4ke:9l50b=83.:m:4>6198yg2ej3:197>50z&2e2<60k1C=4o4H0c2?!c?2>:o7)?68;d6?!c52;>0ei650;&2e2<0m2d:m84?;:kg=?6=,8k<6:k4n0c6>4=a684a>h6i<0976gkb;29 4g02>o0ba68ga>=h9a68225=257>55;294~"6i>0:4o5G18c8L4g63-o36:>k;%3:0a483?>oc13:1(6=5}#9h=1495+18:9ag=O90k0ei950;&2e2910qo:6e;291?6=8r.:m:4>8c9K503l=7)k=:9c8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(c`83>7<729q/=l95859'5<>=mk1C=4o4ie594?"6i>0oi65`14f94?"6i>0::=54}c3`=?6=<3:1a682;%g;>26c3-;247h<;%g1>a684a>h6i<0;76gk9;29 4g02>o0b5<7s-;j;76;;%3:i2B:m<5+e9844a=#9021j?5+e387`>oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9h=1495+18:9ag=O90k0ei950;&2e2910qo:8f;291?6=8r.:m:4>8c9K503l=7)k=:c;8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>ocj3:1(e`83>7<729q/=l95859'5<>=mk1C=4o4ie594?"6i>0oi65`14f94?"6i>0::=54}c3f=?6=<3:1a682;%g;>26c3-;247h=;%g1>a684a>h6i<0;76gk9;29 4g02>o0b5<7s-;j;76;;%3:i2B:m<5+e9844a=#9021j>5+e38a5>oc03:1(;:kge?6=,8k<6:k4n0c6>7=5}#9h=1495+18:9ag=O90k0ei950;&2e2910qo:;c;290?6=8r.:m:4>8c9K503l97)k=:8;8ma>=83.:m:48e:l2e0<732co57>5$0c4>2c6<54iec94?"6i>0a481?>i6=m0;6)?n7;354>=zj==36=4=:183!7f?32?7)?68;ga?M7>i2co;7>5$0c4>ac<3f;>h7>5$0c4>40732wi8:950;794?6|,8k<6<6m;I3:e>N6i81/i5480e9'5<>=n<1/i?4m0:kg5=a684a>h6i<0:76gka;29 4g02>o0b::181>5<7s-;j;76;;%3:i2B:m<5+e9844a=#9021j;5+e38b2>oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb5;4>5<5290;w)?n7;:7?!7>03oi7E?6a:kg3?6=,8k<6ik4;n36`?6=,8k<6<8?;:a0<0=8391<7>t$0c4>4>e3A;2m6F>a09'a=<08m1/=465f19'a7<3j2co47>5$0c4>2c6=54ie;94?"6i>0a482?>i6=m0;6)?n7;354>=zj=io6=4=:183!7f?32?7)?68;ga?M7>i2co;7>5$0c4>ac<3f;>h7>5$0c4>40732wi8nm50;794?6|,8k<6<6m;I3:e>N6i81/i5480e9'5<>=n?1/i?4>2:kg5=a684a>h6i<0:76gka;29 4g02>o0b5<7s-;j;76;;%3:i2B:m<5+e9844a=#9021j;5+e384b>oc03:1(;:kge?6=,8k<6:k4n0c6>7=a684a>h6i<0876a>5e83>!7f?3;=<65rb5cb>5<5290;w)?n7;:7?!7>03oi7E?6a:kg3?6=,8k<6ik4;n36`?6=,8k<6<8?;:a0d?=83?1<7>t$0c4>4>e3A;2m6F>a09'a=<08m1/=465f79'a7<>i2co47>5$0c4>2c6=54ie;94?"6i>0a482?>oci3:1(33-;247km;I3:e>oc?3:1({e=9n1<7;50;2x 4g0282i7E?6a:J2e4=#m10<65fdc83>!7f?3=n7c?n5;18?j72l3:1({e=9k1<7<50;2x 4g021>0(<77:d`8L4?f3`n<6=4+1`59``=<44?:583>5}#9h=1=5l4H0;b?M7f92.n479?d:&2==7l8;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9l50b=83.:m:4>6198yg4ck3:1>7>50z&2e20;6)?n7;ff?>i6=m0;6)?n7;354>=zj;ni6=4;:183!7f?3;3n6F>9`9K5d7<,l21;=j4$0;;>c5<,l81o>5fd983>!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;n36`?6=,8k<6<8?;:a0d`=8381<7>t$0c4>=2<,8336hl4H0;b?lb0290/=l95dd98k43c290/=l951728?xd3il0;684?:1y'5d1=91h0D<7n;I3b5>"b03=;h6*>998e2>"b:365fdc83>!7f?3=n7c?n5;18?j72l3:1({e>0(<77:d`8L4?f3`n<6=4+1`59``=5}#9h=1=5l4H0;b?M7f92.n479?d:&2==2.n>7m:;hf;>5<#9h=1;h5a1`794>=nl00;6)?n7;5f?k7f=3;07djn:18'5d1=?l1e=l;52:9j`g<72-;j;79j;o3b1?5<3f;>h7>5$0c4>40732wi::m50;094?6|,8k<65:4$0;;>`d<@83j7dj8:18'5d1=ll10c<;k:18'5d1=9?:07pl97c83>0<729q/=l9519`8L4?f3A;j=6*j8;53`>"6110m:6*j2;ab?lb?290/=l957d9m5d3=821bh44?:%3b3?1b3g;j97?4;hfb>5<#9h=1;h5a1`796>=nlk0;6)?n7;5f?k7f=3907b?:d;29 4g028<;76sm30f94?4=83:p(5<#9h=1hh54o07g>5<#9h=1=;>4;|`05f<72<0;6=u+1`595=d<@83j7E?n1:&fa684a>h6i<0;76gk9;29 4g02>o0b5$0c4>2c6>54o07g>5<#9h=1=;>4;|`7g6<72;0;6=u+1`59<1=#9021io5G18c8ma1=83.:m:4ke:9l50b=83.:m:4>6198yg2d:3:197>50z&2e2<60k1C=4o4H0c2?!c?2>:o7)?68;d5?!c52k<0ei650;&2e2<0m2d:m84?;:kg=?6=,8k<6:k4n0c6>4=a684a>h6i<0976gkb;29 4g02>o0ba68ga>=h9a68225=55;294~"6i>0:4o5G18c8L4g63-o36:>k;%3:!7f?3=n7c?n5;28?lb>290/=l957d9m5d3=921bhl4?:%3b3?1b3g;j97<4;hfa>5<#9h=1;h5a1`797>=h9a68225=52;294~"6i>0386*>998ff>N61h1bh:4?:%3b3?bb32e:9i4?:%3b3?71821vn;7?:186>5<7s-;j;7?7b:J2=d=O9h;0(h6571f8 4??2o<0(h<52b9j`=<72-;j;79j;o3b1?6<3`n26=4+1`593`=i9h?1=65fd`83>!7f?3=n7c?n5;08?lbe290/=l957d9m5d3=;21d=8j50;&2e2<6>910qo?jf;296?6=8r.:m:474:&2==!7f?3nn76a>5e83>!7f?3;=<65rb0gf>5<2290;w)?n7;3;f>N61h1C=l?4$d:935b<,8336k;4$d09e4=nl10;6)?n7;5f?k7f=3:07dj6:18'5d1=?l1e=l;51:9j`d<72-;j;79j;o3b1?4<3`ni6=4+1`593`=i9h?1?65`14f94?"6i>0::=54}c3b`?6=93:1a6823c=O90k0Dh?4$d49536<,lk1=;h4o05e>5<#9h=1=:h4;|`2e`<7280;6=u+1`5952`<@83j7Ek>;%g5>4073-oj6<8j;n34b?6=,8k<6<9i;:a5d`=83;1<7>t$0c4>41a3A;2m6Fj1:&f2?7182.nm7?81:m23c<72-;j;7?8f:9~f4d6290:6=4?{%3b3?70n2B:5l5Ge09'a3<6>91/il4>719l52`=83.:m:4>7g98yg7e>3:1=7>50z&2e2<6?o1C=4o4Hd38 `0=ll1/il4>789j52`=83.:m:4>7g98yg7e03:1=7>50z&2e2<6?o1C=4o4Hd38 `0=ll1/il4>6b9j52`=83.:m:4>7g98yg3f:3:1=7>50z&2e2<6?o1C=4o4Hd38 `0=9?:0(ho516c8k41a290/=l9516d8?xd2i:0;6<4?:1y'5d1=9>l0D<7n;Ig2?!c128<;7)kn:05a?j70n3:1({e=h>1<7?50;2x 4g028=m7E?6a:Jf5>"b>3;=<6*ja;34g>i6?o0;6)?n7;34b>=zj6=4>:183!7f?3;9`9Ka4=#m?0::=5+e`823a=h9>l1<7*>a6823c=51;294~"6i>0:;k5G18c8L`7<,l<1=;>4$dc952cm:4?:083>5}#9h=1=:h4H0;b?Mc63-o=6<8?;%gb>40e3f;5$0c4>41a32wi9l650;394?6|,8k<6<9i;I3:e>Nb92.n:7?90:&fe?71l2e:;k4?:%3b3?70n21vn8on:182>5<7s-;j;7?8f:J2=d=Om81/i;4>619'ad<6>01d=:h50;&2e2<6?o10qo;m5;295?6=8r.:m:4>7g9K5?1b=:h50;&2e2<6?o10qo;m7;295?6=8r.:m:4>7g9K511b=:h50;&2e2<6?o10qo863;295?6=8r.:m:4>7g9K5>0c<9i:18'5d1=9>l07pl99483>4<729q/=l9516d8L4?f3Ao:7)k9:043?!cf28=87b?8f;29 4g028=m76sm68594?7=83:p(i2Bn=6*j6;354>"bi3;<;6a>7g83>!7f?3;5<6290;w)?n7;34b>N61h1Ci<5+e78225=#mh0:;85`16d94?"6i>0:;k54}c4:f?6=93:1a6823c=O90k0Dh?4$d49536<,lk1=:84o05e>5<#9h=1=:h4;|`5=a<7280;6=u+1`5952`<@83j7Ek>;%g5>4073-oj6<8n;n34b?6=,8k<6<9i;:a2<`=83;1<7>t$0c4>41a3A;2m6Fj1:&f2?7182.nm7?82:m23c<72-;j;7?8f:9~f3g6290:6=4?{%3b3?70n2B:5l5Ge09'a3<6>91/il4>799l52`=83.:m:4>7g98yg0ai3:1=7>50z&2e2<6?o1C=4o4Hd38 `0=9?:0(ho51758k41a290/=l9516d8?xd1nj0;6<4?:1y'5d1=9>l0D<7n;Ig2?!c128<;7)kn:046?j70n3:1({e>oo1<7?50;2x 4g028=m7E?6a:Jf5>"b>3;=<6*ja;350>i6?o0;6)?n7;34b>=zj>:26=4>:183!7f?3;9`9Ka4=#m?0::=5+e`8226=h9>l1<7*>a6823c=51;294~"6i>0:;k5G18c8L`7<,l<1=;>4$dc953452ey>5d4=l<16:l:58d9>2g1=0l16:l;59c9>2d1=1k16:l759c9>2dd=1k16:lj59c9>2d`=1k16:o?59c9>2g5=1k16?>j58d9>706=0l16?>k59c9>716=1k16?9<59c9>712=1k16?9859c9>71>=1k16?9o59c9>71e=1k16?8l58d9>73c=0l16?8m59c9>70c=1k16?;>59c9>734=1k16?;:59c9>730=1k16?;659c9>73g=1k168=85959>75`=1=16:4:5d69>2<0=l>16:l>5d69>1cc=l116?hh5d89>353=>l16;=;56g9>353=?:16;=856`9>350=>l16;=856g9>350=?:1vkk50;3787f;3;==639a58:f>;58j03i63=7`8;a>;5>l03i63=878;a>;51;03i63=248;a>;5;m03i63=4`8;a>;5=?03i63=308;a>;5>;03i63=198;a>;4;m02n63<5c8:f>;11j0o;639f98:0>;2k?0o56380485e>{tno0;6?u2511950b<5<:96i74}r334?6=:r7:nh4>5e9>5fb=lk1v<>>:18182di3n270:l3;36`>{t9981<7ad<5=i>6<;k;|q246<72;q68:7514f891102mh0q~??4;296~;3?h0:9i524659`d=z{8:>6=4={<6e1?72l27><94ka:p550=838p19h9:07g?83793nj7p}>0683>7}:<0?1ho52485950b52z?7gc<6=m168nm5d`9~w46>2909w0:k0;36`>;3kj0on6s|11c94?4|5==h6<;k;<64f?bf3ty:15`=9k:e`8yv77k3:1>v3;c7821a=:5<5s4>h97j6;<6`3?72l2wx==k50;0x912028?o70:;6;fa?xu68o0;6?u259;950b<5<236il4}r324?6=:r7><54kb:?64d<6=m1v:18184ci3ni70{t9881<7ad<5=km6<;k;|q256<72;q6=i7514f894b?2mh0q~?>4;290~;51l0:9i522`a9`g=::h<1ho522`c95=b52z?67a<6=m169>m5dc9~w4712909w0890;36`>;1=m0o56s|10594?4|5?=n6<;k;<44f?be3ty:=54?:3y>6dd=lh16>lm514f8yv7613:1>v3;4mo0:9i5rs03b>5<5s4>=m7?:d:?72<5e9>5ag=lk1vh639658g=>{t98l1<743c34?:h7jn;|q265<72;q69?k514f8904e2mh0q~?=1;296~;10o0o563990821a=z{8896=4={<6e2583>7}:a>52z?7b`<6=m168km5d89~w4412909w08>b;36`>;19h0o46s|13594?4|5?;h6<;k;<42e?b>3ty:>54?:3y>2=g=9v398c821a=:>131h45rs00b>5<5s49nm7?:d:?0a<5e9>035=l01v<2;36`>{t9;l1<7a><5=;;6<;k;|q275<72;q6?=85dc9>74g=9n7?:d:p565=838p199>:e;8910628?o7p}>3583>7}:;ol1h552404950b52z?04=5e9~w4512909w0h6s|12594?4|5=:h6i64=52:>43c3ty:?54?:3y>772=l116?<<514f8yv7413:1>v3;f48g=>;3nk0:9i5rs01b>5<5s4>287jn;<7:b?72l2wx=>l50;0x91ea2m3019ll:07g?xu6;j0;6?u24`59`g=:<0k1=8j4}r30`?6=:r7?;o4kb:?70d<6=m1v<=j:18187bk3ni70?ja;36`>{t9:l1<7ad<5=>o6<;k;|q205<72;q68:k5d`9>02>=996=4={<137?b?349:h7?:d:p515=838p19;i:e`894ca28?o7p}>4583>7}:=1i1=8j4=4:a>ad52z?605<6=m169>h5dc9~w4212909w0:>3;36`>;38o0on6s|15594?4|5::26<;k;<130=>=lk1685o514f8yv7313:1>v3;828gf>;30<0:9i5rs06b>5<5s4>ih7jm;<6ab?72l2wx=9l50;0x966e2m201>>k:07g?xu61=8j4}r37`?6=:r7?5o4>5e9>0<2=lk1v<:j:181827i3;>h63;098gf>{t9=l1<743c349:=7jm;|q215<72;q694j5dc9>1d7=95583>7}:==;1hl52550950b97>52z?73`<6=m168:;5dc9~w4312909w0:85;fb?82?83;>h6s|14594?4|5=926il4=51g>43c3ty:954?:3y>0de=lk168lo514f8yv7213:1>v39778gf>;1?>0:9i5rs07b>5<5s48?57?:d:?10=uQ1`f894d528?o70?nd;34b>{t9ho1<7=t^0cf?87e;3;>h63>ad823c=z{8km6=4<{_3bb>;6j=0:9i521`d952`53z\2f4=:9k?1=8j4=0`2>41a3ty:n;4?:2y]5g0<58h<6i94=0`5>41a3ty:n:4?:66x91d020;018;7:8389031282?70;:7;;2?832j33:70;:9;3;0>;2=h02=63;d`8:5>;3l10:49524e;9=4=:<7<5=??64?4=576><7<5=?26<6;;<663??634>>477>;<6:4?7?<27?5<461:?672<>927>?84>859>160=18169>o5909>16>=91>018=6:83890>420;0186>:0:7?83?:33:70;76;;2?83?<3;3863:848:5>;21002=63:9`8:5>;21k02=63:9b8:5>;3mh02=63;ec8:5>;3mj02=63;ee8:5>;3:l02=63;2g82<1=:<:815<524229=4=:<:;15<524219=4=:15<524c79=4=:4>3349hi77>;<1e5?7?<279i>4>859>7`0=91>01>h;:83896`020;01>hn:83896`c20;019>9:0:7?827933:70;48>02=63<0`8:5>;1<915<525b39=4=:=j815<526909=4=:>>;1=5:4=7:6><7<5?;264?4=762><7<5?:<64?4=72;><7<5?8=64?4=704><7<5?8364?4=70:><7<5?8j64?4=70a><7<5?8h64?4=70g><7<5?8n64?4=4d;><7<5<7<5<7<5<7<5<7<5<7<5<7<5?;;64?4=732><7<5?;964?4=735><7<5?;<64?4=73;><7<5:io6i64=2`g>a><5=936i64=0`4>43c34>;<77>;<7f5?b03ty:n54?:2y]5g><58h26i94=0`;>41a3ty:n44?:4gx93bf21301;h9:9;893`021301;k7:9;893c>21301;kn:9;893ce21301;kl:9;893cc21301;kj:9;893ca21301;h?:9;893`621301:>?:9;8926621301:>8:9;8900a2130189=:9;8901221301897:9;894bb21301?j=:9;897b221301?h7:9;896>121301>68:9;896>?21301>66:9;896>f21301>6m:9;896>d21301>mj:9;897c421301>m::9;896e121301>m8:9;896e?21301>m6:9;896ef21301>mm:9;897cb21301?ki:9;897`721301?h>:9;897`521301?h<:9;897`321301?h::9;897`121301?h8:9;897`e21301?hj:9;8966621301>>;:9;8966a21301>>8:9;8966f21301;:m:9;8933521301;;<:9;890e6213018m=:9;893>521301;9>:9;893>221301;?6:9;8932621301;>8:9;8936?213018kn:9;890ce213018kl:9;890cc213018kj:9;890ca213018h?:9;890`621301;??:9;8937621301;?=:9;8937121301;?8:9;8937?21301;h6:9;893`?21301;jk:9;893bd21301;jm:9;894ba2130133;709?8;::?xu6jh0;6>u21b5950b<5<:;6io4=0f5>ad52z?2fg<6=m168kj5d89~w4dd2908w0?mb;f:?87dk3;>h63>c88ge>{t9kn1<7=t=0`a>a><58io6<;k;<3`=?b>3ty:nk4?:3y>5f6=9v3>cb8g=>;6k:0:9i5rs0a6>5<5s4;ho7jn;<3`2?72l2wx=n650;0x91`62mh01{t9m>1<743c34>m=7j6;|q2`0<72;q6=i;514f894b32m30q~?k6;296~;6l=0o463>d7821a=z{8n<6=4={<3g3?72l27?ji4ka:p5a>=838p1d`83>7}:9m=1h5521ec950b53z?442<><27:hh4>879>352=l11v43c34;nm7j8;|q2ag<72;3=j0o563>ed821a=:9ll1h:5rs0d3>5<5s4??47j6;<3e5?72l2wx=k<50;1x90212mk01f583>6}:==>1hl521g7950b<5<>96io4}r3e2?6=:r7>8l4k9:?2b2<6=m1v{t9ok1<7a?<58li6<;k;|q2bf<72;q699:5d89>5cb=9:0o563>fg821a=z{;:;6=4<{<755?bf348;=7?:d:?146=<50;1x903a2mk01?><:07g?832l3nj7p}=0583>7}:=??1h452217950b52z?6265e9~w76?2909w0;91;f:?84713;>h6s|21c94?4|543c3ty965e=9k:9g8yv47l3:1>v3=0e821a=::9o14h5rs32f>5<5s48;i7?:d:?14c=h50;0x976a28?o70<>0;:f?xu5990;6?u2202950b<5;;:65k4}r026?6=:r79=?4>5e9>65e=91n0q~<>3;296~;59:0:9i5221f95=b52z?151<6=m16>=k519f8yv46=3:1>v3=14821a=::9l1=5j4}r022?6=:r79=;4>5e9>646=91n0q~<>7;296~;59>0:9i5220395=b52z?15=<6=m16><758d9~w77>2909w0<>9;36`>;59h03i6s|20c94?4|5;;j6<;k;<02f?>b3ty9=o4?:3y>64d=9v3=1b821a=::8n14h5rs33f>5<5s48:i7?:d:?15=<60m1v??i:18184613;3h63=1g821a=z{;8;6=4={<02e?7?l279>=4>5e9~w7462909w0<>b;3;`>;5:80:9i5rs301>5<5s489>7?:d:?15f<60m1v?<<:181846l3;3h63=22821a=z{;8>6=4={<011?72l279>;47e:p670=838p1?<9:07g?845?32n7p}=2683>7}::;=1=8j4=30;>=c52z?16=<6=m16>?758d9~w74>2909w0<=9;36`>;5:h03i6s|23c94?0|5;8j6<;k;<60f?bf34>8o7jm;<6`b?b?34>o<7j7;<67=?b?3ty9>o4?:3y>67d=9?j514f89740282o7p}=2d83>7}::;21=5j4=30f>43c3ty9>k4?:3y>67?=91n01?>?514f8975521o0q~<<2;296~;5;;0:9i522219<`=z{;986=4={<007?72l279?947e:p662=838p1?=;:07g?844=32n7p}=3483>7}:::?1=8j4=315>=c52z?172<6=m16>>?519f8yv4403:1>v3=39821a=:::81=5j4}r00=?6=:r79?44>5e9>665=91n0q~<52z?170<60m16>>l514f8yv44k3:1>v3=37825e9>66c=0l1v?=j:181844m3;>h63=3g8;a>{t::l1<743c348?<76j;|q105<72;q6>9>514f8972621o0q~<;1;296~;5<80:9i522509<`=z{;>96=48{<076?72l27??o4k9:?77f9=50;0x972428?o70<{t:=>1<74>c348?87?:d:p613=838p1?=i:0:g?843=3;>h6s|25494?4|5;>;6<6k;<072?72l2wx>9950;0x972028?o70<;1;3;`>{t:=21<74>c348?47?:d:p61g=838p1?:n:07g?843j32n7p}=4c83>7}::=h1=8j4=36`>=c52z?10f<6=m16>9j58d9~w72c2909w0<;d;36`>;5n6<;k;<07b?>b3ty98k4?:8y>61`=97}::<:1=8j4=36b>4>c3ty99<4?:3y>607=99j519f8973428?o7p}=5583>7}::=o1=5j4=377>43c3ty9984?:3y>603=95e9>60>=0l1v?;7:18184203;>h63=588;a>{t:<31<743c348>m76j;|q11d<72;q6>8o514f8973e21o0q~<:b;292~;5=k0:9i524819`d=:<>i1h45245a9`d=:5e9~w73c2909w0<:7;3;`>;5=m0:9i5rs37f>5<5s48>47?7d:?11`<6=m1v?;i:181842n3;>h63=58825e9~w7062909w0<:b;3;`>;5>80:9i5rs341>5<5s48=>7?:d:?126;=50;0x970428?o70<94;:f?xu5>=0;6?u2276950b<5;<>65k4}r051?6=:r79:84>5e9>630=0l1v?89:181841>3;>h63=668;a>{t:?=1<76t=344>43c34><:7j6;<6b6?bf34><57jm;<64e?be34>297jn;<677?be34>ji7jm;|q12=<72;q6>;6514f89705282o7p}=6883>7}::?31=8j4=340>4>c3ty9:l4?:3y>632=91n01?8n:07g?xu5>k0;6?u227795=b<5;;8519f8970d28?o7p}=6e83>7}::?n1=8j4=344>4>c3ty9:h4?:3y>63c=9v3=6g821a=::>:14h5rs353>5<5s48<<7?:d:?134:?50;0x971628?o70<82;:f?xu5?;0;6?u2260950b<5;=865k4}r040?6=:r79;94>5e9>63c=91n0q~<85;296~;5?<0:9i5227d95=b52z?133<6=m16>:>519f8yv40?3:1>v3=76821a=::>;1=5j4}r045e9>624=91n0q~<89;296~;5?00:9i5226195=b52z?13d<6=m16>:l58d9~w71e2909w0<8b;36`>;5?j03i6s|26a94?4|5;=h6<;k;<04`?>b3ty9;i4?:3y>62b=9v3=7d821a=::>l14h5rs3:3>5<5s483<7?:d:?13d<60m1v?6>:18184?93;>h63=7c828e9~w7>42909w0<73;36`>;5?m0:4i5rs3:7>5<5s48387?:d:?13`<60m1v?6::18184?=3;>h63=7g827}::121=8j4=3::>=c52z?1<<<6=m16>5o58d9~w7>f2909w0<7a;36`>;50k03i6s|29a94?4|5;2h6<;k;<0;2?7?l2wx>5j50;0x97>c28?o70<77;3;`>{t:1o1<743c348347?7d:p6=`=838p1?66:0:g?84?n3;>h6s|28294?4|5;2j6<6k;<0:4?72l2wx>4?50;0x97>e282o70<61;36`>{t:081<743c3482?76j;|q1=6<72;q6>4=514f897?321o0q~<64;296~;51=0:9i522879<`=z{;3>6=4={<0:1?72l2795;47e:p6<0=838p1?79:07g?84>?32n7p}=9983>7}::021=8j4=3;1>4>c3ty9544?:3y>64;519f897?e28?o7p}=9b83>7}::0<1=5j4=3;`>43c3ty95i4?:3y>6<1=91n01?7k:07g?xu5i;0;6?u22`1950b<5;k>6i74}r0b7?6=:r79m94>5e9>6d3=l11v?o8:18184f03;>h63=a`8g=>{t:h21<743c348jm7j7;|q1ea<72;q68o:5959>6g7=97}:52z?7af<><279n44>5e9~w7d12909w0:jd;;7?84ei3;>h6s|2c`94?4|5<3264:4=3`e>43c3ty9nn4?:3y>1n>514f8yv4el3:1>v3:9c8:0>;5k80:9i5rs3`f>5<5s4?2o77;;<0`6?72l2wx>n:50;0x90652mh019h<:07g?xu5k<0;6>u24g29`==:ag56z?6=a5h4>5e9>1<`=l>168ol5dc9~w7e0290?w0:97;f;?822n3n370:90;36`>;3>80o;6s|2b:94?1|5=3?6<;k;<64=?bf34>j:7j6;<6b6?b>34>2:7j6;|q1g<<72;q69<:514f8906c2mk0q~5<5s4>9i77;;<0:b?72l2wx>nm50;0x914a20>01?o?:07g?xu5km0;6?u24229=1=::h;1=8j4}r0`a?6=:r7??<464:?1e0<6=m1v?mi:181824:33?70{t:m:1<7<2<5;kj6<;k;|q1`4<72;q68>:5959>6dd=981445254:9<<=:=<<144525459<<=:=144524479<<=:<<3144524459<<=:<<2144524829<<=:<0;144525259<<=:=:?144525249<<=:=:k1445252:9<<=:=:3144525919<<=:=1;144525909<<=:=1<144525969<<=:=1?1445258;9<<=:=0k1445258`9<<=:=0i144524dc9<<=:144522e6950b53z?1`7<60?16>i:5d69>350=1=1v?j::18a84c=3;3:63=ed8:5>;5mo02=63=f18:5>;5n802=63=f38:5>;5n:02=63=f58:5>;5n<02=63=f78:5>;5n>02=6s|2e494?4|5;n>64:4=3f4>43c3ty9h44?:3y>6a?=9v3=d`821a=::mo1h55rs3ff>5<5s48o;7j7;<0ga?72l2wx>ih50;0x97ba28?o70899>6`5=91<01ad<5::i6il4=3fe>a><5:>m6i94=23:>ad<5:;m6io4=23`>ag57z?1ac<60?16??:5d89>76`=l116?<75d`9>742=lh16?74e=lk1v?k9:18784a83;3:63<178g<>;49:0o563<408g<>{t:l=1<7;t=2a6><2<5;l:6<69;<127?b?349??7j7;<12=?b>3ty9i54?:4y>7f0=1=16>k<5194896742mk01>:::e:8967>2m20q~02863=f282<3=:;931hl523369`d=::m31h5523559`==::ok1hl523179`==:;;81ho523069`<=:;8l1ho5230a9`<=z{;oj6=4:{<1`4kb:?00<hl50;7x96e>20>01?h::0:5?856>3n270=;b;f;?85693nj7p}=eb83>0}:;jk159522g495=0<5:;=6il4=26g>a><5:;:6i74}r0f`?6=1r78oo464:?1b2<60?16?=75d89>6a?=l016?8o5d99>6cg=lk16?=85d99>774=l016?;08<0286s|2g;94?4|5;l364:4=3db>43c3ty9jo4?:4y>6cd=91<01?hk:e`897bf2m201>>l:e:897c72m30q~279ji4k9:?1`<3}:;9;1=584=3f;>a?<5::86il4=3fb>ag<5::;6i74=3f4>ag7>52z?044<><278<>4>5e9~w663290>w0=?4;3;2>;48:0o563=dg8ge>;48<0on63=dc8g<>{t;9?1<7<2<5::>6<;k;|q042<72lq6?=95194896?f2m201>77:e:896?12m201>7;:e:896?52m201>7?:e:896>b2m201>mk:e;8966>2m201?j6:e`896612m301>??:e5897be2m30q~=?8;296~;48>02863<09821a=z{::j6=4:{<13e?7?>278<54k8:?04g01>>m:07g?xu48o0;6?u231d95=0<5::h6io4}r122?6==r78=;4>5e9>750=lh16>ik5d`9>774=l116?<95d89~w67>290>w09;36`>;49h0o;63<168g<>{t;8h1<7=t=226>ag<5:;h6<;k;<12`?b03ty8=h4?:4y>755=lh16?=>5d99>6a1=l016?;4:;0:9i5rs200>5<3s48mh7jn;<110?72l278c68g<>;6k90om63:1c8g<>;6l:0on63>c78ge>;6l80o463;fg8g<>;6jl0om63>cg8ge>;6lh0o563>d98g=>;29l0o463;f98gf>;3n00o463>c38ge>{t;;<1<7?9{<0;5?b?348348257j6;<11g?7?>27:o:4ka:?2g5=o4ka:?2`6i3n270==d;3;2>;6k>0o563>c18g<>;29j0o463>d28g<>;6k?0o463>d08gf>;3no0o563>bd8g<>;6ko0o463>d`8g<>;6l10om63:1e8g=>;3n10o563;f88g=>;6k;0o46s|33:94?d|5;286i64=35g>34?:h7j7;|q06<<72kq6>5:5d99>62c=1k16>:65d99>624=1k16??h51948906d2m2018?i:e:8906a2mk01?7l:ec897?72mk018?j:ec8yv55i3:1nv3=848g<>;5?o02n63=788g<>;5?:02n63<3182<3=:=9i1h45250d9`d=:=9l1h45228f9`==::0;1h55250g9`g=z{:9:6=4={<11f??33498>7?:d:p765=838p1>7}:;;n15952324950b52z?06`<><278?54>5e9~w65>2909w0==f;;7?854i3;>h6s|32`94?4|5:9;64:4=21`>43c3ty8?i4?:2y>76b=9=j:9g8963621o0q~=5<4s498j7?:d:?07`<60m16?8?5e69~w6272908w0=;0;36`>;4<;03i63<528;a>{t;=;1<7=t=262>43c349?<7?7d:?0176}:;=91=8j4=261>4>c349>?7k8;|q001<72:q6?9:514f8962121o01>;::9g8yv53=3:1?v3<44821a=:;=>1=5j4=277>`153z?003<6=m16?9658d9>700=0l1v>:8:180853?3;>h63<47825<4s49?47?:d:?00d:6:07g?85303;3h63<578f3>{t;=k1<7=t=26b>43c349?o76j;<16b3ty88o4?:2y>71d=9:n:0:g?852?3o<7p}<4b83>7}:;=i1=8j4=27:>=c53z?00a<6=m16?9m519f8963?2l=0q~=;e;297~;4;m0:4i523429a2=:;=l1=8j4}r16e?6=:r789l4>5e9>70?=m>1v>;m:180852j3;>h63<5b8;a>;4>o03i6s|34a94?5|5:?h6<;k;<16a?>b349<<76j;|q01a<72:q6?8j514f8963d282o70=9f;g4?xu4=l0;6>u234g950b<5:<;65k4=252>=cj7>53z?01c<6=m16?8k519f896172l=0q~=90;297~;4>90:9i523709<`=:;>814h5rs242>5<4s49==7?:d:?025<60m16?:?5e69~w6052908w0=92;36`>;4>=03i63<728;a>{t;?91<7=t=240>43c349=>7?7d:?0376}:;??1=8j4=247>4>c3499::9g8yv51?3:1?v3<66821a=:;?<1=5j4=257>`153z?02=<6=m16?;o58d9>720=0l1v>86:18085113;>h63<6982?1i:5rs24b>5<5s49=m7?:d:?032;4??0n;6s|37a94?5|5:?i6<6k;<15a?c0349=h7?:d:p72>=838p1>97:07g?850?3o<7p}<7883>0}:;8>1h55230d9`==:;8;1=8j4=231>a1<5:;h6i64}r14e?6=5e9>05?=l>1v>9m:18084ai3n270=>7;36`>;4910o;6s|36a94?>|5:l36i74=52`>43c34>;n7jn;<1e7?bf349nm7j7;<1ff?b?34>;?7j6;<621?bf3ty8;i4?:5y>05c=l016?hm5dc9>047=9;49=0:9i523079`2=::l:1hl5rs25e>5<=4k9:?7b2<60m168kj5d99>0cc=l0169<85d99>17d=l11v>6?:18485??3;3:63;f48ge>;3n?0om63:2`8ge>;28;0o463:258g<>;29?0om6s|39394?0|5:236<69;<72=?b?34?;j7j7;<7334?9m7j7;<710?b>3ty84?4?:7y>7=?=91<018?6:ec8906?2m2018k:e;8yv5?;3:19v3<8`82<3=:=8>1h55250c9`==:=921hl5253`9`<=z{:2?6=4:{<1;f?7?>27>=94k9:?65d<44ka:?66gd282=70;=1;f;?835m3n370;=7;fb?837l3n370;?9;f;?xu40m0;6?u23949=1=:;1o1=8j4}r1;b?6=:r784:464:?0=5<6=m1v>7>:18185?033?70=62;36`>{t;091<7<2<5:3?6<;k;|q0=0<72;q6?5o5959>7<0=969:9f896>021n01>67:9f896>>21n01>6n:9f896>e21n01>6l:9f8964e21n01>=?:9f8932021n018k>:07g?xu41j0;6?u22dg9=1=:;<:1=8j4}r1:`?6=:r78hn464:?02`<6=m1v>7j:18184bn33?70=:1;36`>{t;0l1<7<2<5:k>5959>704=9?7?:d:p7d5=838p1>ji:868961628?o7p}7}::o815952346950b52z?0a5<><278;?4>5e9~w6g12909w0h6s|3`594?4|5:o:64:4=250>43c3ty8m54?:3y>6c2=1=16?88514f8yv5f13:1>v3;4?=0:9i5rs2cb>5<5s48m977;;<163?72l2wx?ll50;0x96c420>01>9::07g?xu4ij0;6?u22g49=1=:;<21=8j4}r1b`?6=:r78i9464:?033<6=m1v>oj:18184a?33?70=:9;36`>{t;hl1<7<2<5:=<6<;k;|q0f5<72;q6?o85194896552m30q~=m1;296~;4j>0:4;523269`<=z{:h96=4={<1a278?;4k9:p7g5=838p1>l6:0:5?85403n27p}7}:;kk1=584=21b>a?52z?0fg<60?16?>m5d89~w6dd290{t;ko1<74>13493i7j6;|q0fc<72;q6?n85194896?72m30q~=l0;296~;4k>0:4;523809`<=z{:i:6=4={<1`278594k9:p7f4=838p1>m6:0:5?85>>3n27p}7}:;jk1=584=2;;>a?52z?0gg<60?16?4o5d89~w6ed2903w0=l5;:g?85d>32o70=l7;:g?85d032o70=l9;:g?85di32o70=lb;:g?85dl3;>h6s|3bg94?47s499n766;<11g?>>3499h766;<11a?>>3499j766;<104?>>349m=766;<1f2?>>349i:766;<1a3?>>349i4766;<1a=?>>349im766;<1af?>>349oo766;<1g`?>>349oi766;<1gb?>>349n<766;<1f5?>>349n>766;<1f7?>>349n8766;<1f1?>>349m8766;<1e3?>>349mm766;<1e`?>>34>;:766;<635?>>34>;<766;<1g4?72l2wx?nh50;0x96eb282=70=k0;f4?xu4l80;6?u23bg9=1=::m21=8j4}r1g6?6=ir78hn4>879>7c?=l1168=l5d89>7c5=l116?;j5d69>7`g=l016?hl5d89>047=lh168==5dc9>043=l11v>j<:18785cl3;3:63;0b8ge>;4=m0o463;108gf>{t;m>1<77t=2ff>4>1349m57j6;<63f?b?349>j7j7;<1e7?b>349n57?7d:?7544ka:?75001>ji:0:5?826;3n270:?a;fb?85193n37p}0}:;k=159523d295=0<5=:h6i74=240>a><5=;:6i74}r1g3?6==r78n5464:?0a4<60?168<=5d99>05g=l116?;;5d99~w6b?290>w0=m9;;7?85b:3;3:63<668g<>;38o0om63;098ge>{t;m31<7;t=2`b><2<5:o86<69;<15=?b?34>;j7j6;<633ty8hl4?:4y>7gd=1=16?h:51948960e2m2019>i:e:8916?2m20q~=kb;290~;4m<0:4;524019`g=:<9k1h45236:9`==z{:o=6=4m{<1f2?7?>278hn461:?0`a<>9278hh461:?0`c<>9278i=461:?0a4<>9278i?461:?0a6<>9278i9461:?0a0<>92wx?h950;0x96c120>01>h?:07g?xu4m10;6?u23g;950b<5:l36i64}r1f=?6=:r78j=4k8:?0a<<6=m1v>kl:18185a83ni70=jc;36`>{t;ln1<743c349nj7j7;|q0b4<72;q6>i<5959>7c7=91<0q~=i2;296~;4n802863278jk4k9:?0b301>h9:07g?xu4n>0;6nu23g595=0<5:9h6i64=21b>a><5:936i64=215>a><5:9?6i64=211>a><5:ho6i74=2d;>ad<5:l=6i74=2d3>ag<5:on6i74}r1ehn:18785ai3;3:63;038ge>;4nj0on63{t;oh1<7<2<5:lh6<;k;|q0ba<72?:86896cf2mh01>kj:ec8yv5am3:1>v3;4no0:9i5rs523>5<2s49mo7j6;<634?7?>278j>4kb:?0ad>:0:5?85a13nj70=jb;fb?85bn3;3h63;028g<>{t<981<7<2<5=:96<;k;|q743<72;q68=85194891652m20q~:?7;290~;38k0:9i523gd9`d=:;oi1h5524129h?:e;8916a28?o70:>0;f4?xu39=0;6>u23g49`d=:<8?1=8j4=535>a1:;7>52z?1a6<><278==4>5e9~w17?2909w0<:5;f:?87b83;>h6s|40;94?4|5;><6i74=53b>43c3ty?=o4?:2y>6=?=1k16>?659c9>5`7=9c;297~;50h02n63=288:f>;6m;0:9i5rs53g>5<4s483n77m;<01e??e34;n?7?:d:p04c=838p1?8k:e;894c328?o7p};1g83>7}::;81h452432950b9=7>53z?1=0<>j279?946b:?2a0<6=m1v9<=:18084>>33i70<<5;;a?87b>3;>h6s|43194?5|5;3<64l4=315>8h5d89>6f5=959i7?76:?7fa4kb:?1e1=;4k9:?6=`m3ni70<3n370;>6;fa?82ej3n27p};2883>=}:<::1=584=43:>a?<5;3n6i74=3c2>a><5;k86i74=3c7>ad<5=hn6i64=4;f>ag9m7>5dz?774<60?1684l5d89>14?=lk168n65d99>011=l0169l?5d99>6de=lh16>l85d`9>6d>=lk16>l75dc9>6d3=91n019lj:ec891de2mk0q~:=b;29<~;3;;0:4;5250c9`<=::hi1h4522`49`==::h21hl522`;9`d=:27?ni4ka:?65d3n270;n1;fa?84fj3n370:me;f:?82ej3n37p};3483>=}:<;o14i5243d914i525`2950b8:7>51cy>060=91<0187k:e;891?32m3019m6:e;891b62mk019ol:e;891?42m2019m9:e`891212mk01?o?:e:891ca2mh019m8:ec897?b2m201?o>:e;897ge2m301?ol:e:897g12m3019=m:e`8915d2m201?o7:e:897g>2m201?o<:e:897g32m2019o9:e:891?b2m20199i:e:891e52mk0q~:<7;296~;3;?03h63;38821a=z{=936=4<{<60o50;0x915e28?o70:5e9>0d0=lh1v9=i:18;84693;>h63;948g<>;3??0o463;a38g<>;3?00o563;7`8g=>;3<:0o563;ad8g=>{t<=:1<7;t=33g>43c34>297j6;<643?b>34>??7jn;<6ba?bf3ty?8<4?:4y>660=986=4={<673?bf34>?87?:d:p01>=83?p19mn:ec891?>2mk019:6:07g?823i3n<70:n9;fa?xu3a1?i7>513y>002=91<01?>l:8`8974e2m301?=k:8`8972f20h01?;9:8`897502m301?8=:8`8977b2m201??7:8`8907e2m30198i:0:g?84103n270<:0;f:?843;3n270<>2;f:?842k3n270?j9;fb?xu34>1348;h77m;<01g?b>3488i77m;<065?b?348?n77m;<063??e348847j6;<057??e348:577m;<6;34>3?7j7;|q715<728a;;a?82?03n270;>c;f:?842m3n370<:2;f;?84583n370:97;f:?821<3nj70:95;fb?846<3n270:73;fb?843=3nj70<9a;f:?87bm3nj7p};5083>43|5=?<6<69;<021?b?348;j77m;<074??e348?h77m;<06b?b?348>577m;<051??e348:n77m;<6;?7j7;<015?b?34>=87j6;<651?b>34>3?7j6;<05f?b>3489i7j7;<00e?b?34;ni7j6;|q717<728?p19;7:0:5?846833i70<;7;f;?843933i70<;e;;a?842i33i70<96;;a?845:3n370<>c;;a?836n3n270<90;f;?842<3n370<=f;fb?822n3n270::b;f:?822k3nj70<>6;f:?841k3nj70<4>1348:=77m;<076??e348>97j7;<07b??e348>n77m;<05`?b?348=;77m;<02`??e34?:j7jm;<073489?7j6;<004?b?34>>n7j7;<66g?b?348:;7j6;<055?b>3488o7jn;<6;=?b?34>387j7;|q71d<72;q68875959>00b=97}:<<=15952471950b=:7>52z?713<><27?::4>5e9~w10?2909w0::5;;7?82113;>h6s|47`94?4|5=??64:4=54e>43c3ty?:n4?:3y>03b=9v3;6d821a=:5<0s4>>:76k;<660?>c34>>976k;<66=?>c34>>;76k;<66c34><=7?:d:p024=83;>w0:82;3;2>;3i=0o563;a48ge>;31m0o463;9b8g<>;3?=0o463>eb8ge>;3>00o563;668ge>;3=o0om63;8e8g3>;3>:0:4i5247f9`==:a><5==i6i64=543>a><58o26i74=0gf>a>52z?7375e9~w1132908w0:84;36`>;3?l0o563;7g8ge>{t<>=1<7=t=55e>ad<5==<6<;k;<64023=l0168:l514f8yv20l3:1?v3;7e821a=:<>o1h55246d9`<=z{=296=47{<6;7?72l27?;94ka:?72<7}:<>81595249f950b3i7>58z?7=5<60?168l:5d`9>0d3=l1168:j5d99>03b=lh168;k5d99>0d7=l116=h75d99~w1>a2903w0:61;3;2>;3i=0o463;a48g=>;31m0o563;9b8g=>;3?m0o563>eb8g=>;3>h0o56s|48094?4|5=3;64:4=5c2>43c3ty?5>4?:3y>0<5=9=3:1>v3;94821a=:<031ho5rs5;`>5<5s4>2o7?:d:?7=`5e9>0933?70:n7;36`>{t1<743c34>j;7j6;|q7e0<72;q68l;514f891g02m20q~:n6;296~;3i?0:9i524`59`d=z{=k36=4<{<6:4?>c34>2=76k;<6bg?72l2wx8lj50;0x91gc28?o70:nc;fb?xu3j80;69u24c695=0<5;h:6i74=3`3>a?<5;h96i74}r6a6?6=;r7?n84>879>6g6=lh16>o<5d`9~w1d4290iw0:m6;3;2>;5j80o463=b18g<>;5j;0o463:a18g<>;3?80o463;398g=>;3l80o463;ab8g<>;2i80o563;eg8g<>{t4>134?j<7j6;<6`=?bf34?j=7jn;<6fb?b>34>h;7jm;<6b`?b>34>h>7jm;|q7f=<72:q68o7514f891e2282o70:69;f:?xu3jh0;6ou24819`<=:<:h1h55242a9`<=:h1h4524c`950b<5=hh6i94=56:>a?<5=>h6i64=5c:>a>ih7>55z?7fa<6=m168>75d89>0f0=lh168hh5d`9>0fe=l11v9m>:18182e?33?70:la;36`>{t43c34>h:7j6;<6`3?b?34>h>7j7;|q7g<<72;q68n7514f891e12m20q~:lb;296~;3j>03h63;d0821a=z{=ih6=4={<6g5?be34>hh7?:d:p0a4=833p19j7:0:5?84?83n270<68;f;?84>:33i70<<7;f;?844933i70<>e;f:?84103n370<>2;f;?xu3l:0;6iu24e;95=0<5;2:6i74=3;:>a><5;3864l4=31;>a><5;9964l4=302>ad<5;8;6io4=33e>a?<5;8?6i64=34:>a><5;;86i64=503>a>o87>5fz?7`d<60?16>5<5d89>64:59c9>66?=l116>>=59c9>076=l016>??5d`9>676=l016>?:5d89>642=l116=h:5d`9>63e=l116>;l5d99>63g=l11v9j::1826~;3lk0:4;522079`<=::191h4524329`g=:9l=1hl521d49`<=::?i1ho522339`<=::;>1hl5228f9`d=::0i1h45228`9`==:9l?1h:521d69`g=::?h1hl5222`9`d=:::k1h45222a9`g=z{=n=6=4k{<6gg?7?>279494k9:?2a2l3n270<>7;f;?87b<3n370<{t1<7<2<5=o>6<;k;|q7a3<72?q68ho5194897d>2mk01?l7:e;897df2mh0189>:e`897d02m=0q~:j7;291~;3mk0:4;522c;9`<=::k21h5522cc9`==:=>>1h45rs5g;>5<2s4>no7?76:?1f<;:4k9:p0`?=83>p19kk:0:5?84e03ni700}::18782a93;>h63:008gf>;3n;0om63:058gf>{ta><5<::6i74=5d4>43c34>m>7j7;|q7bd<72=q69=?5d99>0cg=9=}:=>k1h5525659`==:=>>1h5525639`==:=991h4524g49`<=:=921=8j4=427>a>52z?64f<6=m169=75d89~w06c2903w0;8a;fa?830?3ni70;84;fa?83093nj70;?3;f;?837<3n270;?d;36`>;28l0o;6s|50294?4|5;=86<;k;<713?b>3ty>=<4?:3y>62`=9v3=8c821a=:=;=1h55rs430>5<5s482;7?:d:?66143c3ty>=44?:3y>14?=9v3:1`821a=:=;81hl5rs43a>5<5s4?:n7?:d:?664=k4>5e9>176=l01v8h63:208gf>{t=;;1<743c34?9>7jm;|q667<72;q69?<514f890442m30q~;=4;296~;2:l0om63:24821a=z{<8<6=4={<71e?be34?947?:d:p17g=838p187}:=;91hl5253a950b58z?670<60?168h;5d99>16b=l11699>5d99>11g=lh1699m5d89>5c5=lh16=k?5dc9~w057290;3m:0o463:3e8g=>;2<90o563>f28gf>;6n>0o563>f08g<>{t=:;1<78t=414>4>134>n=7j7;<70g?bf34??<7jn;<3e7?b>34;m57j6;|q677<72>q69>65194891ba2m3019k>:e`8905c2mk018=i:ec894`e2m3015<0s4?8m7?76:?7`a<><27?hk4ka:?7a4?n4k8:?67c8<4k9:p16d=83kp19k::e;891c42mk018:n:e`8905d28?o70;;f;fa?83303ni70;;6;f;?833<3ni70;;1;fa?833k3n37p}:3d83>d}:ag<5<>=6il4=467>a><5<>:6i64=46`>ag52z?67d<><27>8<4>5e9~w0242909w0;<9;;7?833<3;>h6s|55794?4|5<9364:4=465>43c3ty>8:4?:3y>161=1=16996514f8yv3313:1>v3:378:0>;25<5s4?8977;;<77g?72l2wx99j50;0x902c28?o70?i3;f;?xu2<7>58z?613<60?1694:5d99>1=?=l11695m5d99>133=lh169;95d89>657=lh16=kh5dc9~w036290;21;0o463:888g=>;20j0o563=008gf>;58<0o563>fg8g<>{t=<81<78t=47;>4>134?2<7j7;<7;348;;7j6;|q616<72>q69875194890>b2m30187?:e`890>>2mk0186m:ec8976>2m301?><:e:8yv32<3:14v3:5`82<3=:=1?1595259g9`==:=0:1hl5259:9`<=:=1h1h55221`9`<=:=5<0s4?>n7?76:?6<3<><27>4h4ka:?6=5454k8:?69n4k9:p10e=838p18;m:868903d28?o7p}:5d83>7}:=52z?61<<><27>:<4>5e9~w0052909w0;:8;;7?831;3;>h6s|57694?4|543c3ty>:;4?:3y>100=1=169;9514f8yv3103:1>v3:69821a=::9;1h55rs44:>5<0s4?>476k;<762?>c34?>;76k;<76f?>c34?>576k;<76e?>c34?=m7?:d:p13d=83np188i:0:5?87c;3n270?l6;fa?87c93nj70:if;fa?87dl3nj70?k6;fb?87c13n370?lf;fa?87cj3n370:i8;fb?82a13ni70?l2;fa?xu2?90;6?u257d9=1=:=>;1=8j4}r75g?6=1r7>;?4>879>5fe=l116=i;5d99>156=l116=nj5d99>5a0=l116=i75d89>5ad=l0168ko5d`9~w0142909w0;82;;7?830<3;>h6s|57f94?1|5<=>6<69;<3af?7?l27:h:4>8e9>5a2=91n018>?:e`891`f2m3013:1>v3:748:0>;2?>0:9i5rs44f>5<3s4?<47?76:?7b48e9>0cg=l11v896:181830033?70;8a;36`>{t=>h1<7jt=4:2>4>1348<87j6;<0;g?b?3483:77m;<01f?b?3489977m;<611?b?348>i7jm;<06`?bf348><7j7;<077?b?348h?7j7;<06g?b?3ty>;n4?:02x90>5282=70<85;f:?84?l3n370<77;;a?845k3n370<=6;;a?84293n270:>a;fb?825=3n270<:e;fb?843>3ni70<:d;f:?843<3n270<;9;f;?84d;3n270<;5;f;?xu2?m0;64>1348<:7j6;<0;a?b?3483477m;<01`?b?3489;77m;<62e?b>34>997jn;<06a?b>348?:7jn;<060?bf348?97j6;<067?bf348>>7j6;<07=?b>34;n<7jn;<0`7?bf3ty>;h4?:01x90>3282=70<87;f:?826i3ni70?j3;fb?87b:3n270:=5;fa?843>3n270<:4;fa?842;3n270<=f;f:?84303nj70<<0;fb?84>93nj70<60;f:?84?n3n370?j1;f4?87b83ni70`}:=1?1=584=35;>a?<5=;j6i64=0g0>a?<58o96i64=343>a?<5;??6i74=30e>a><5;>26io4=313>ad<5;3:6il4=3;3>a><58o;6i74=342>ag58z?6<3<60?16>:75d89>5`5=l116>965d99>666=l016>4?5d89>5`6=l116>;?5d99~w0>0290jw0;64;f:?83>:3nj70;95;fa?83?03;>h63:6`8gf>;2>:0on63:608g<>;2=o0on63:5b8gf>;2>>0o46s|59c94?g|5<3?6io4=4;1>a?<5<<>6i64=44b>ag<5<2i6<;k;<757?bf34?==7jm;<76b?b?34?>o7j7;<753?bf3ty>4i4?:3y>1=2=1=1695k514f8yv3?n3:1>v3:828:0>;2190:9i5rs4;2>5<5s4?3>77;;<7:6?72l2wx94=50;0x90>620>0187;:07g?xu21<0;6;u258;95=0<5;i:6i74=3a3>a?<5;i96il4=452>a?<5;hm6i94}r7:2?6==r7>5l4>879>6f7=lh16>n>5d99>6f4=l0169::5d`9~w0?0290>w0;6b;3;2>;5k80o463=c18ge>;5k;0o463:768ge>{t=021<7:t=4;`>4>1348h<7jm;<0`6?bf34?111dd=9uQ5`1890gd28?o70;n3;34b>{t=h>1<7=t^4c7?83fl3;>h63:a5823c=z{6=4<{_7b1>;2il0:9i525`7952`53z\6e3=:=hl1=8j4=4c5>41a3ty>m:4?:2y]1d1<5n?4>5e9>1dg=9>l0q~;m5;297~X2j<169o85d69>1g3=9>l0q~;m6;29=~;19002863:de8g<>;2l>0o563:dd8g<>;2l10o463:dg8g<>;2l00o463:b7821a=:>8k1=5j4}r7a3?6=;rT>n:525c:9`2=:=k=1=:h4}r7an54>5e9~w0d>2908w0;l7;36`>;2ko0o563:c98g=>{t=kk1<7=t=755>43c34<3<7j6;<447?bf3ty>no4?:2y>200=9:ec893?72m20q~;mc;297~;2ko0o463:c98g<>;2kh0:9i5rs4`g>5<4s4<>97?:d:?6g0o6i64=4f3>a><5<>m6i64=0da>ag<58lo6io4=0d4>ag<58l>6io4=461>a?<58l26io4=0d2>ag<5o?4>879>11b=l0169n75d99>11`=l016=kl5d99>5cb=l116=k95d99>5c3=l01699<5dc9>5c?=l116=k?5d89>1a7=lk1v8m<:18183d:33?70;l9;36`>{t=j>1<743c34?h:7j7;|q6g=<72;q69n6514f890e>2mk0q~;lb;296~;2k00o563:cb821a=z{7}:=m:1h4525e0950b52z?555<><27>h:4>5e9~w0b22909w08>1;;7?83c03;>h6s|5e494?4|5?;964:4=4f:>43c3ty>hl4?:3y>240=1=169ij514f8yv3cj3:1>v39168:0>;2ll0:9i5rs4f`>5<5s4<:477;;<7gb?72l2wx9h>50;1x932f28?o708;9;f;?803>3nj7p}:e383>`}:=lk1=584=7:;>a?<5?2h6i74=4a4>ag<5?<=6io4=74b>a?<5??=6i74=756>ag<5ag<5?a?<5?=36i64=77g>ag513y>1`d=91<01;67:e:893>d2m201;99:e;893322m2018mi:e`890e?2mk01;89:e;890gd2m=01;8>:e:893032mh01;6n:ec890ee2m301;88:e;8931?2mk01;;k:e`8931e2m201;7?:ec8yv3b<3:1jv3:eb82<3=:>1i1ho526649`d=:>?l1hl525`f9`2=:>131=5j4=4f2>a?<5??<6i64=77b>a><5?=36i74=75a>a?5ez?6aa<60?16:595d`9>2=>=lh169n95d89>23c=lk16:;h5dc9>223=l1169lk5d69>236=l116::k5dc9>221=l016:5l5d`9>1f3=lh16:8o5d`9~w0c1290iw0;je;3;2>;1=<0om6396d8ge>;1>o0o563:ag8g3>;10m0om639768g<>;2kh0o4639758g<>;10h0o56395`8g=>{t=l=1<7kt=4ge>4>134<3o7jn;<442?b?34<=i7j6;<45b?b?34<>:7jn;<441?b>34?i<7j8;<4;`?b>34<=<7j6;<44a?b>34?hm7j6;<4;f?b>34?h97jm;|q6a=<72mq69k>5194893>02m3018m8:e:893012m201;;9:e:893122mh018l>:e5893>c2m201;8?:ec8931b2mk01;98:e`893022m2018m9:0:g?xu2m00;6ou25g395=0<5?2<6i64=776>ad<5?a><5ad<5?<:6il4=750>a><5?<>6i74=7;3>ad7>5az?504<><27>il47d:?6agin47d:?6aaih47d:?6acj=47d:?6b4j>4>5e9~w0`3290=w0;i8;3;2>;18=0o4639038g=>;1890om6394`8ge>;2nm0om6s|5g794?3|534?mh7j7;|q6b3<72=q69ko5194893672m301;:n:e:890`c2mh0q~;i7;290~;2nk0:4;5265;9`d=:>=<1h4525gf9`<=z{7}:=ok15952612950b52z?6b<<><27=5e9~w3642909w0;i8;;7?807<3;>h6s|61794?5|5?:<6<69;<43g?b?34<;m7j6;|q543<72;q6:=65194893242m20q~8?9;296~;1810286390`821a=z{?:i6=4={<433??334<;o7?:d:p25b=83?p1;??:0:5?83c?3n370;k8;f:?83c13nj708>c;f:?xu18l0;69u260395=0<5ad<5?;h6io4}r43b?6=;r7==?4>879>1a?=l016:w08>6;3;2>;2lm0o563:dd8g=>;2lo0om6391c8ge>{t>8>1<7:t=734>4>134?oi7jn;<7gb?be34<:n7j6;|q550<72:q6:<65194890ba2m301;?m:e:8yv0613:1=?u260;95=0<5?8=6574=704>=?<5?836574=70:>=?<5?8j6574=70a>=?<5?8h6574=70g>=?<5?8n6574=4d;>=?<5=?<5=?<5?>?6574=4d0>a?<5?>86io4}r42e?6=:r7==447d:?55d<6=m1v;?k:181805>3;3:63:e`8:0>{t>8o1<7=t=704>4>134?nn77;;<474?b>3ty==k4?:2y>27>=91<018kl:868935b2m30q~8=0;297~;1:00:4;525df9=1=:>:i1h45rs702>5<4s4<9m7?76:?6a`<><27=?l4k9:p274=839p1;u263a95=0<5a?53z?56a<60?169k?5959>262=l01v;<::181805m3;3:639338g=>{t>;l1<7<2<5?9;6<;k;|q574<72;q6:?j5959>264=96=4={<41f??334<8:7?:d:p261=838p1;7}:>;31595262c950b52z?56=<><27=?n4>5e9~w35c2909w08=7;;7?804m3;>h6s|62d94?4|5?8=64:4=763>43c3ty=8<4?:5y>217=91<01;:i:e:893>62mk01;6i:ec8yv03:3:18v39408;`>;18j0o56390`8g<>;1<:0:9i5rs767>5<68r7=894>879>216=l116:>k5d99>26e=l116:>o5d99>26>=l116:>85d99>262=l116:><5d99>266=l116:=:5d89>254=l116:=>5d99>21?=l016:985d99>1cc=91n0q~8;5;296~;1<=02863947821a=z{?><6=4:{<473?7?>27=494k8:?50aj>4k8:?50601;:6:07g?xu1o6io4=4d0>ag<5?>86il4=76e>a?<5?2:6i64=7:e>ad52z?50g<><27=8i4>5e9~w32b2908w08;b;:g?80?:32o708;f;36`>{t><:1<7mt=771>4>134?=47j7;<75e?b?348;57jn;<03f?bf348;97jn;<037?bf34?>h7j6;<033?bf34;mj7jn;<45f?b?34<>;7j6;|q514<72jq6:8=51948900?2m301;8n:e`893052m20188n:e;8976>2m201?>m:e:897622m201?><:e;8903c2mh01?>8:e:894`a2m30q~8:4;296~;1=:02863963821a=z{??<6=4={<454?be34<>47?:d:p20b=838p1;8=:e;8933b28?o7p}96083>7}:>?81hl52673950b52z?517<><27=:94>5e9~w3012909w0896;36`>;1>k0om6s|67594?4|5?43c3ty=:l4?:3y>23g=9v39658g<>;1>j0:9i5rs74f>5<5s4<=i7?:d:?52g<914i525b391;1=8j4}r445?6=0r7=;<4>879>027=lh168n75d99>0a7=l016:::5d89>0f1=l0168lj5d99>0f4=l01v;9=:181800933?70883;36`>{t>>?1<743c34<22?=9c34<3<7?:d:p2=4=83?p1;6=:0:5?80?<3n2708;d;f;?80?93n27087f;f;?xu10:0;6?u26909=1=:>1>1=8j4}r4;1?6=kr7=484>879>2=1=lk16:5>5dc9>1fg=lh16:;?5d89>225=l016:;;5dc9>1a7=lh169nl5d`9>231=l116:895d`9>2<6=l01v;69:18180?=33?7087e;36`>{t>1=1<7=t=7:4>43c34<3m7j7;<4;f?b?3ty=454?:2y>2=>=9e2mh0q~879;296~;10l0o463988821a=z{?2h6=4={<4;g?72l27=4i4kb:p2=b=838p1;6j:e;893>c28?o7p}98g83>7}:>1?14i5269d950b53z\5=6=:>0>1=8j4=7;0>41a3ty=584?:2y]2<3<5?3=6<;k;<4:1?70n2wx:4950;1xZ3?034<247?:d:?5=2<6?o1v;76:180[0>127=5l4>5e9>2l0q~86b;297~X11k16:4m514f893?e28=m7p}99e83>6}Y>0n01;7j:07g?80>l3;;11o0:;k5rs7c2>5<4sWh;1=:h4}r4b0?6=;r7=m94>5e9>2d3=0l16:o658d9~w3g22908w08n5;36`>;1i>03i639b88;a>{t>h<1<7=t=7c5>43c346}:>h21=8j4=7c4>4>c34h31=5j4=7`b>`153z?5eg<6=m16:lj58d9>2ge=0l1v;ol:18080fk3;>h639ac82kh1i:5rs7cg>5<4s4{t>hl1<7=t=7ce>43c34b3ty=n=4?:2y>2g6=96}:>k;1=8j4=7`0>=c<5?hm65k4}r4a6?6=;r7=n?4>5e9>2g7=91n01;lj:d58yv0e;3:1>v39b2821a=:>j:14h5rs7`7>5<4s4;1j>0n;639b7821a=z{?i:6=4={<4`5?72l27=o=4j7:p2f4=838p1;h9:86893e228?o7p}9c283>7}:>mi159526b6950b52z?5b2<><27=o:4>5e9~w3e?2908w08i9;;7?80cj33?708l9;36`>{t>jk1<7<2<5?ii6<;k;|q5gf<72;q6:h65959>2g1=97}:>li159526c`950b52z?5aa<><27=nn4>5e9~w3b52909w08je;;7?80el3;>h6s|6e194?4|5?om64:4=7`f>43c3ty=h94?:3y>2c6=1=16:oh514f8yv0c=3:1>v39f08:0>;1k90:9i5rs7f5>5<5s4mi1=584}r4g=?6=:r7:n84k7:?5`a<60?1v;jj:18180b03;3:639b78g3>{t>ml1<74>13427=mn4k8:p2`5=838p1;kk:0:5?80fm3n37p}9e583>7}:>lo1=584=7`3>a>52z?5ac<60?16:o<5d99~w3c1290jw08i0;3;2>;1kk0o4639c68g<>;1k<0o4639f882<==:>o21=564=7fg><2<5?ni6<6k;<4a0?b?34;1k00o;6s|6g094?4|5?l=6<69;<4b6?b03ty=j>4?:3y>2c1=91<01;7j:e58yv0a<3:1>v399`8g3>;1n10:4;5rs7d6>5<5s4<247j8;<4e=?7?>2wx:ko50;1xZ3`f345e9>2ce=9>l0q~8ie;297~X1nl16:kh514f893`b28=m7p}80183>7}:?9:1=584=7da>a152z?444<60?16:kj5d69~w2652909w08if;f4?817:3;3:6s|71194?4|5>::64:4=627>43c3ty<<84?:3y>353=91<01:>=:868yv17>3:1>v380782<3=:?921595rs624>5<5s4=;;7?76:?44d7:0:5?xu0800;6>uQ71;8926f28?o709?9;34b>{t?9h1<7=t^62a?817k3;>h6380c823c=z{h?4k7:p1fb=838p18mm:07g?83dk3n<7p}96883>7}:>?=1=8j4=74;>a152z?52g<6=m16:;m5d69~w33>2909w08:7;36`>;1=10o;6s|64a94?4|5??j6<;k;<46f?b03ty?4o4?:3y>0=?=93:1>v3;85821a=:<1?1h:5rs5a3>5<5s4>ii7?:d:?7fc5e9>22?=l>1v?k=:18184b83;>h63=e08g3>{t=;<1<743c34?997j8;|q66<<72;q69?9514f8904?2m=0q~;>8;296~;29?0:9i525059`2=z{8i?6=4={<3`6?72l27:o>4k7:p0d6=838p197j:07g?82>n3n<7p}>cc83>7}:9j31=8j4=0ab>a13=7>52z?73c<6=m1685>5d69~w1`32909w0:i2;36`>;3n:0o;6s|51494?4|5<:?6<;k;<731?b03ty?554?:3y>0<0=9v3;cb821a=:5<5s4>??7?:d:?70128?o70:na;f4?xu28k0;6?u251;950b<5<:j6i94}r0g`?6=:r79ho4>5e9>6ae=l>1v9l?:18182fm3;>h63;ag8g3>{t>43c34<>i7j8;|q53a<72;q6::l514f8931d2m=0q~:l4;296~;3k;0:9i524b19`2=z{<8o6=4={<71f?72l27>>n4k7:p2<4=838p1;7?:07g?80>93n<7psa123`>55<5sA;2m6sa123f>5<6sA;2m6sa123e>5<6sA;2m6sa1203>5<6sA;2m6sa1202>5<6sA;2m6sa1201>5<6sA;2m6sa1200>5<6sA;2m6sa1207>5<6sA;2m6sa1206>5<6sA;2m6sa1205>5<6sA;2m6sa1204>5<6sA;2m6sa120;>5<6sA;2m6sa120:>5<6sA;2m6sa120b>5<6sA;2m6sa120a>5<6sA;2m6sa120`>5<6sA;2m6sa120g>5<6sA;2m6sa120f>5<6sA;2m6sa120e>5<6sA;2m6sa1213>5<6sA;2m6sa1212>5<6sA;2m6sa1211>5<6sA;2m6sa1210>5<6sA;2m6sa1217>5<6sA;2m6sa1216>5<6sA;2m6sa1215>5<6sA;2m6sa1214>5<6sA;2m6sa121;>5<6sA;2m6sa121:>5<6sA;2m6sa121b>5<6sA;2m6sa121a>5<6sA;2m6sa121`>5<6sA;2m6sa121g>5<6sA;2m6sa121f>5<6sA;2m6sa121e>5<6sA;2m6sa1263>5<6sA;2m6sa1262>5<6sA;2m6sa1261>5<6sA;2m6sa1260>5<6sA;2m6sa1267>5<6sA;2m6sa1266>5<6sA;2m6sa1265>5<6sA;2m6sa1264>5<6sA;2m6sa126;>5<6sA;2m6sa126:>5<6sA;2m6sa126b>5<6sA;2m6sa126a>5<6sA;2m6sa126`>5<6sA;2m6sa126g>5<6sA;2m6sa126f>5<6sA;2m6sa126e>5<6sA;2m6sa1273>5<6sA;2m6sa1272>5<6sA;2m6sa1271>5<6sA;2m6sa1270>5<6sA;2m6sa1277>5<6sA;2m6sa1276>5<6sA;2m6sa1275>5<6sA;2m6sa1274>5<6sA;2m6sa127;>5<6sA;2m6sa127:>5<6sA;2m6sa127b>5<6sA;2m6sa127a>5<6sA;2m6sa127`>5<6sA;2m6sa127g>5<6sA;2m6sa127f>5<6sA;2m6sa127e>5<6sA;2m6sa1243>5<6sA;2m6sa1242>5<6sA;2m6sa1241>5<6sA;2m6sa1240>5<6sA;2m6sa1247>5<6sA;2m6sa1246>5<6sA;2m6sa1245>5<6sA;2m6sa1244>5<6sA;2m6sa124;>5<6sA;2m6sa124:>5<6sA;2m6sa124b>5<6sA;2m6sa124a>5<6sA;2m6sa124`>5<6sA;2m6sa124g>5<6sA;2m6sa124f>5<6sA;2m6sa124e>5<6sA;2m6sa1253>5<6sA;2m6sa1252>5<6sA;2m6sa1251>5<6sA;2m6sa1250>5<6sA;2m6sa1257>5<6sA;2m6sa1256>5<6sA;2m6sa1255>5<6sA;2m6sa1254>5<6sA;2m6sa125;>5<6sA;2m6sa125:>5<6sA;2m6sa125b>5<6sA;2m6sa125a>5<6sA;2m6G>7g8274}O90k0E<9i:0y1>x{i9:=m6=4>{I3:e>O6?o0:w<4r}o30<5<728qC=4o4}o30<4<72;qC=4o4I05e>4}62twe=>6=:187M7>i2we=>6<:182M7>i2we=>6;:182M7>i2we=>6::182M7>i2we=>69:182M7>i2we=>68:182M7>i2we=>67:182M7>i2we=>66:182M7>i2we=>6n:182M7>i2we=>6m:182M7>i2we=>6l:182M7>i2we=>6k:182M7>i2we=>6j:182M7>i2we=>6i:182M7>i2we=>7?:182M7>i2we=>7>:182M7>i2we=>7=:182M7>i2we=>7<:182M7>i2we=>7;:182M7>i2we=>7::182M7>i2we=>79:182M7>i2we=>78:182M7>i2we=>77:182M7>i2we=>76:182M7>i2we=>7n:182M7>i2we=>7m:182M7>i2we=>7l:182M7>i2we=>7k:182M7>i2we=>7j:182M7>i2we=>7i:182M7>i2we=>o?:182M7>i2we=>o>:182M7>i2we=>o=:182M7>i2we=>o<:182M7>i2we=>o;:182M7>i2we=>o::182M7>i2we=>o9:182M7>i2we=>o8:182M7>i2we=>o7:182M7>i2we=>o6:182M7>i2we=>on:182M7>i2we=>om:182M7>i2we=>ol:182M7>i2we=>ok:182M7>i2we=>oj:182M7>i2we=>oi:182M7>i2we=>l?:182M7>i2we=>l>:182M7>i2we=>l=:182M7>i2we=>l<:182M7>i2we=>l;:182M7>i2we=>l::182M7>i2we=>l9:182M7>i2we=>l8:182M7>i2we=>l7:182M7>i2we=>l6:182M7>i2we=>ln:182M7>i2we=>lm:182M7>i2we=>ll:182M7>i2we=>lk:182M7>i2we=>lj:182M7>i2we=>li:182M7>i2we=>m?:182M7>i2we=>m>:182M7>i2we=>m=:182M7>i2we=>m<:182M7>i2we=>m;:182M7>i2we=>m::182M7>i2we=>m9:182M7>i2we=>m8:182M7>i2we=>m7:182M7>i2we=>m6:182M7>i2we=>mn:182M7>i2we=>mm:182M7>i2we=>ml:182M7>i2we=>mk:182M7>i2we=>mj:182M7>i2we=>mi:182M7>i2we=>j?:182M7>i2we=>j>:182M7>i2we=>j=:182M7>i2we=>j<:182M7>i2we=>j;:182M7>i2we=>j::182M7>i2we=>j9:182M7>i2we=>j8:182M7>i2we=>j7:182M7>i2we=>j6:182M7>i2we=>jn:182M7>i2we=>jm:182M7>i2we=>jl:182M7>i2we=>jk:182M7>i2we=>jj:182M7>i2we=>ji:182M7>i2we=>k?:182M7>i2we=>k>:182M7>i2we=>k=:182M7>i2we=>k<:182M7>i2we=>k;:182M7>i2we=>k::182M7>i2we=>k9:182M7>i2we=>k8:182M7>i2we=>k7:182M7>i2we=>k6:182M7>i2we=>kn:182M7>i2we=>km:182M7>i2we=>kl:182M7>i2we=>kk:182M7>i2we=>kj:182M7>i2we=>ki:182M7>i2we=>h?:182M7>i2we=>h>:182M7>i2we=>h=:182M7>i2we=>h<:182M7>i2we=>h;:182M7>i2we=>h::182M7>i2we=>h9:182M7>i2we=>h8:182M7>i2we=>h7:182M7>i2we=>h6:182M7>i2we=>hn:182M7>i2we=>hm:182M7>i2we=>hl:182M7>i2we=>hk:182M7>i2we=>hj:182M7>i2we=>hi:182M7>i2we=9>?:182M7>i2we=9>>:182M7>i2we=9>=:182M7>i2we=9><:182M7>i2we=9>;:182M7>i2we=9>::182M7>i2we=9>9:182M7>i2we=9>8:182M7>i2we=9>7:182M7>i2we=9>6:182M7>i2we=9>n:182M7>i2we=9>m:182M7>i2we=9>l:182M7>i2we=9>k:182M7>i2we=9>j:182M7>i2we=9>i:182M7>i2we=9??:182M7>i2we=9?>:182M7>i2we=9?=:182M7>i2we=9?<:182M7>i2we=9?;:182M7>i2we=9?::182M7>i2we=9?9:182M7>i2we=9?8:182M7>i2we=9?7:182M7>i2we=9?6:182M7>i2we=9?n:182M7>i2we=9?m:182M7>i2we=9?l:182M7>i2we=9?k:182M7>i2we=9?j:182M7>i2we=9?i:182M7>i2we=9i2we=9<>:182M7>i2we=9<=:182M7>i2we=9<<:182M7>i2we=9<;:182M7>i2we=9<::182M7>i2we=9<9:182M7>i2we=9<8:182M7>i2we=9<7:182M7>i2we=9<6:182M7>i2we=9i2we=9i2we=9i2we=9i2we=9i2we=9i2we=9=?:182M7>i2we=9=>:182M7>i2we=9==:182M7>i2we=9=<:182M7>i2we=9=;:182M7>i2we=9=::182M7>i2we=9=9:182M7>i2we=9=8:182M7>i2we=9=7:182M7>i2we=9=6:182M7>i2we=9=n:182M7>i2we=9=m:182M7>i2we=9=l:182M7>i2we=9=k:182M7>i2we=9=j:182M7>i2we=9=i:182M7>i2we=9:?:182M7>i2we=9:>:182M7>i2we=9:=:182M7>i2we=9:<:182M7>i2we=9:;:182M7>i2we=9:::182M7>i2we=9:9:182M7>i2we=9:8:182M7>i2we=9:7:182M7>i2we=9:6:182M7>i2we=9:n:182M7>i2we=9:m:182M7>i2we=9:l:182M7>i2we=9:k:182M7>i2we=9:j:181M7>i2we=9:i:182M7>i2we=9;?:182M7>i2we=9;>:182M7>i2we=9;=:182M7>i2we=9;<:182M7>i2we=9;;:182M7>i2we=9;::182M7>i2we=9;9:182M7>i2we=9;8:182M7>i2we=9;7:182M7>i2we=9;6:182M7>i2we=9;n:182M7>i2we=9;m:182M7>i2we=9;l:182M7>i2we=9;k:182M7>i2we=9;j:182M7>i2we=9;i:182M7>i2we=98?:182M7>i2we=98>:181M7>i2we=98=:182M7>i2we=98<:182M7>i2we=98;:182M7>i2we=98::182M7>i2we=989:182M7>i2we=988:182M7>i2we=987:182M7>i2we=986:182M7>i2we=98n:182M7>i2we=98m:182M7>i2we=98l:182M7>i2we=98k:182M7>i2we=98j:182M7>i2we=98i:182M7>i2we=99?:182M7>i2we=99>:182M7>i2we=99=:182M7>i2we=99<:182M7>i2we=99;:182M7>i2we=99::182M7>i2we=999:182M7>i2we=998:182M7>i2we=997:182M7>i2we=996:182M7>i2we=99n:182M7>i2we=99m:182M7>i2we=99l:182M7>i2we=99k:182M7>i2we=99j:182M7>i2we=99i:182M7>i2we=96?:182M7>i2we=96>:182M7>i2we=96=:182M7>i2we=96<:182M7>i2we=96;:182M7>i2we=96::182M7>i2we=969:182M7>i2we=968:182M7>i2we=967:182M7>i2we=966:182M7>i2we=96n:182M7>i2we=96m:182M7>i2we=96l:182M7>i2we=96k:182M7>i2we=96j:182M7>i2we=96i:182M7>i2we=97?:182M7>i2we=97>:182M7>i2we=97=:182M7>i2we=97<:182M7>i2we=97;:182M7>i2we=97::182M7>i2we=979:182M7>i2we=978:182M7>i2we=977:182M7>i2we=976:182M7>i2we=97n:182M7>i2we=97m:182M7>i2we=97l:182M7>i2we=97k:182M7>i2we=97j:182M7>i2we=97i:182M7>i2we=9o?:182M7>i2we=9o>:182M7>i2we=9o=:182M7>i2we=9o<:182M7>i2we=9o;:182M7>i2we=9o::182M7>i2we=9o9:182M7>i2we=9o8:182M7>i2we=9o7:182M7>i2we=9o6:182M7>i2we=9on:182M7>i2we=9om:182M7>i2we=9ol:182M7>i2we=9ok:182M7>i2we=9oj:182M7>i2we=9oi:182M7>i2we=9l?:182M7>i2we=9l>:182M7>i2we=9l=:182M7>i2we=9l<:182M7>i2we=9l;:182M7>i2we=9l::182M7>i2we=9l9:182M7>i2we=9l8:182M7>i2we=9l7:182M7>i2we=9l6:182M7>i2we=9ln:182M7>i2we=9lm:182M7>i2we=9ll:182M7>i2we=9lk:182M7>i2we=9lj:182M7>i2we=9li:182M7>i2we=9m?:182M7>i2we=9m>:182M7>i2we=9m=:182M7>i2we=9m<:182M7>i2we=9m;:182M7>i2we=9m::182M7>i2we=9m9:182M7>i2we=9m8:182M7>i2we=9m7:182M7>i2we=9m6:182M7>i2we=9mn:182M7>i2we=9mm:182M7>i2we=9ml:182M7>i2we=9mk:182M7>i2we=9mj:182M7>i2we=9mi:182M7>i2we=9j?:182M7>i2we=9j>:182M7>i2we=9j=:182M7>i2we=9j<:182M7>i2we=9j;:182M7>i2we=9j::182M7>i2we=9j9:182M7>i2we=9j8:182M7>i2we=9j7:182M7>i2we=9j6:182M7>i2we=9jn:182M7>i2we=9jm:182M7>i2we=9jl:182M7>i2we=9jk:182M7>i2we=9jj:182M7>i2we=9ji:182M7>i2we=9k?:182M7>i2we=9k>:182M7>i2we=9k=:182M7>i2we=9k<:182M7>i2we=9k;:182M7>i2we=9k::182M7>i2we=9k9:182M7>i2we=9k8:182M7>i2we=9k7:182M7>i2we=9k6:182M7>i2we=9kn:182M7>i2we=9km:182M7>i2we=9kl:182M7>i2we=9kk:182M7>i2we=9kj:182M7>i2we=9ki:182M7>i2we=9h?:182M7>i2we=9h>:182M7>i2we=9h=:182M7>i2we=9h<:182M7>i2we=9h;:182M7>i2we=9h::182M7>i2we=9h9:182M7>i2we=9h8:182M7>i2we=9h7:182M7>i2we=9h6:182M7>i2we=9hn:182M7>i2we=9hm:182M7>i2we=9hl:182M7>i2we=9hk:182M7>i2we=9hj:182M7>i2we=9hi:182M7>i2we=8>?:182M7>i2we=8>>:182M7>i2we=8>=:182M7>i2we=8><:182M7>i2we=8>;:182M7>i2we=8>::182M7>i2we=8>9:182M7>i2we=8>8:182M7>i2we=8>7:182M7>i2we=8>6:182M7>i2we=8>n:182M7>i2we=8>m:182M7>i2we=8>l:182M7>i2we=8>k:182M7>i2we=8>j:182M7>i2we=8>i:182M7>i2we=8??:182M7>i2we=8?>:182M7>i2we=8?=:182M7>i2we=8?<:182M7>i2we=8?;:182M7>i2we=8?::182M7>i2we=8?9:182M7>i2we=8?8:182M7>i2we=8?7:182M7>i2we=8?6:182M7>i2we=8?n:182M7>i2we=8?m:182M7>i2we=8?l:182M7>i2we=8?k:182M7>i2we=8?j:182M7>i2we=8?i:182M7>i2we=8i2we=8<>:182M7>i2we=8<=:182M7>i2we=8<<:182M7>i2we=8<;:182M7>i2we=8<::182M7>i2we=8<9:182M7>i2we=8<8:182M7>i2we=8<7:182M7>i2we=8<6:182M7>i2we=8i2we=8i2we=8i2we=8i2we=8i2we=8i2we=8=?:182M7>i2we=8=>:182M7>i2we=8==:182M7>i2we=8=<:182M7>i2we=8=;:182M7>i2we=8=::182M7>i2we=8=9:182M7>i2we=8=8:182M7>i2we=8=7:182M7>i2we=8=6:182M7>i2we=8=n:182M7>i2we=8=m:182M7>i2we=8=l:182M7>i2we=8=k:182M7>i2we=8=j:182M7>i2we=8=i:182M7>i2we=8:?:182M7>i2we=8:>:182M7>i2we=8:=:182M7>i2we=8:<:182M7>i2we=8:;:182M7>i2we=8:::182M7>i2we=8:9:182M7>i2we=8:8:182M7>i2we=8:7:182M7>i2we=8:6:182M7>i2we=8:n:182M7>i2we=8:m:182M7>i2we=8:l:182M7>i2we=8:k:182M7>i2we=8:j:182M7>i2we=8:i:182M7>i2we=8;?:182M7>i2we=8;>:182M7>i2we=8;=:182M7>i2we=8;<:182M7>i2we=8;;:182M7>i2we=8;::182M7>i2we=8;9:182M7>i2we=8;8:182M7>i2we=8;7:182M7>i2we=8;6:182M7>i2we=8;n:182M7>i2we=8;m:182M7>i2we=8;l:182M7>i2we=8;k:182M7>i2we=8;j:182M7>i2we=8;i:182M7>i2we=88?:182M7>i2we=88>:182M7>i2we=88=:182M7>i2we=88<:182M7>i2we=88;:182M7>i2we=88::182M7>i2we=889:182M7>i2we=888:182M7>i2we=887:182M7>i2we=886:182M7>i2we=88n:182M7>i2we=88m:182M7>i2we=88l:182M7>i2we=88k:182M7>i2we=88j:182M7>i2we=88i:182M7>i2we=89?:182M7>i2we=89>:182M7>i2we=89=:182M7>i2we=89<:182M7>i2we=89;:182M7>i2we=89::182M7>i2we=899:182M7>i2we=898:182M7>i2we=897:182M7>i2we=896:182M7>i2we=89n:182M7>i2we=89m:182M7>i2we=89l:182M7>i2we=89k:182M7>i2we=89j:182M7>i2we=89i:182M7>i2we=86?:182M7>i2we=86>:182M7>i2we=86=:182M7>i2we=86<:182M7>i2we=86;:182M7>i2we=86::182M7>i2we=869:182M7>i2we=868:182M7>i2we=867:182M7>i2we=866:182M7>i2we=86n:182M7>i2we=86m:182M7>i2we=86l:182M7>i2we=86k:182M7>i2we=86j:182M7>i2we=86i:182M7>i2we=87?:182M7>i2we=87>:182M7>i2we=87=:182M7>i2we=87<:182M7>i2we=87;:182M7>i2we=87::182M7>i2we=879:182M7>i2we=878:182M7>i2we=877:182M7>i2we=876:182M7>i2we=87n:182M7>i2we=87m:182M7>i2we=87l:182M7>i2we=87k:182M7>i2we=87j:182M7>i2we=87i:182M7>i2we=8o?:182M7>i2we=8o>:182M7>i2we=8o=:182M7>i2we=8o<:182M7>i2we=8o;:182M7>i2we=8o::182M7>i2we=8o9:182M7>i2we=8o8:182M7>i2we=8o7:182M7>i2we=8o6:182M7>i2we=8on:182M7>i2we=8om:182M7>i2we=8ol:182M7>i2we=8ok:182M7>i2we=8oj:182M7>i2we=8oi:182M7>i2we=8l?:182M7>i2we=8l>:182M7>i2we=8l=:182M7>i2we=8l<:182M7>i2we=8l;:182M7>i2we=8l::182M7>i2we=8l9:182M7>i2we=8l8:182M7>i2we=8l7:182M7>i2we=8l6:182M7>i2we=8ln:182M7>i2we=8lm:182M7>i2we=8ll:182M7>i2we=8lk:182M7>i2we=8lj:182M7>i2we=8li:182M7>i2C:;k4>{38~yk72k90;65<6sA;2m6G>7g827o?4?:0yK54}O90k0E<9i:0y1>x{i96=4>{I3:e>O6?o0:w?4r}o36g3<728qC=4o4I05e>4}52twe=8m8:182M7>i2C:;k4>{08~yk72k10;65<6sA;2m6sa14aa>5<6sA;2m6sa14a`>5<6sA;2m6sa14ag>5<6sA;2m6sa14af>5<6sA;2m6sa14ae>5<6sA;2m6sa14f3>5<6sA;2m6sa14f2>5<6sA;2m6sa14f1>5<6sA;2m6sa14f0>5<6sA;2m6sa14f7>5<6sA;2m6sa14f6>5<6sA;2m6sa14f5>5<6sA;2m6sa14f4>5<6sA;2m6sa14f;>5<6sA;2m6sa14f:>5<6sA;2m6sa14fb>5<6sA;2m6sa14fa>5<6sA;2m6sa14f`>5<6sA;2m6sa14fg>5<6sA;2m6sa14ff>5<6sA;2m6sa14fe>5<6sA;2m6sa14g3>5<6sA;2m6sa14g2>5<6sA;2m6sa14g1>5<6sA;2m6sa14g0>5<6sA;2m6sa14g7>5<6sA;2m6sa14g6>5<6sA;2m6sa14g5>5<6sA;2m6sa14g4>5<6sA;2m6sa14g;>5<6sA;2m6sa14g:>5<6sA;2m6sa14gb>5<6sA;2m6sa14ga>5<6sA;2m6sa14g`>5<6sA;2m6sa14gg>5<6sA;2m6sa14gf>5<6sA;2m6sa14ge>5<6sA;2m6sa14d3>5<6sA;2m6sa14d2>5<6sA;2m6sa14d1>5<6sA;2m6sa14d0>5<6sA;2m6sa14d7>5<6sA;2m6sa14d6>5<6sA;2m6sa14d5>5<6sA;2m6sa14d4>5<6sA;2m6sa14d;>5<6sA;2m6sa14d:>5<6sA;2m6sa14db>5<6sA;2m6sa14da>5<6sA;2m6sa14d`>5<6sA;2m6sa14dg>5<6sA;2m6sa14df>5<6sA;2m6sa14de>5<6sA;2m6sa1723>5<6sA;2m6sa1722>5<6sA;2m6sa1721>5<6sA;2m6sa1720>5<6sA;2m6sa1727>5<6sA;2m6sa1726>5<6sA;2m6sa1725>5<6sA;2m6sa1724>5<6sA;2m6sa172;>5<6sA;2m6sa172:>5<6sA;2m6sa172b>5<6sA;2m6sa172a>5<6sA;2m6sa172`>5<6sA;2m6sa172g>5<6sA;2m6sa172f>5<6sA;2m6sa172e>5<6sA;2m6sa1733>5<6sA;2m6sa1732>5<6sA;2m6sa1731>5<6sA;2m6sa1730>5<6sA;2m6sa1737>5<6sA;2m6sa1736>5<6sA;2m6sa1735>5<6sA;2m6sa1734>5<6sA;2m6sa173;>5<6sA;2m6sa173:>5<6sA;2m6sa173b>5<6sA;2m6sa173a>5<6sA;2m6sa173`>5<6sA;2m6sa173g>5<6sA;2m6sa173f>5<6sA;2m6sa173e>5<6sA;2m6sa1703>5<6sA;2m6sa1702>5<6sA;2m6sa1701>5<6sA;2m6sa1700>5<6sA;2m6sa1707>5<6sA;2m6sa1706>5<6sA;2m6sa1705>5<6sA;2m6sa1704>5<6sA;2m6sa170;>5<6sA;2m6sa170:>5<6sA;2m6sa170b>5<6sA;2m6sa170a>5<6sA;2m6sa170`>5<6sA;2m6sa170g>5<6sA;2m6sa170f>5<6sA;2m6sa170e>5<6sA;2m6sa1713>5<6sA;2m6sa1712>5<6sA;2m6sa1711>5<6sA;2m6sa1710>5<6sA;2m6sa1717>5<6sA;2m6sa1716>5<6sA;2m6sa1715>5<6sA;2m6sa1714>5<6sA;2m6sa171;>5<6sA;2m6G>7g8274}O90k0E<9i:0y1>x{i9?9h6=4>{I3:e>O6?o0:w?4r}o357a<728qC=4o4I05e>4}52twe=;=j:182M7>i2C:;k4>{38~yk71;o0;65<6sA;2m6sa1762>5<6sA;2m6sa1761>5<6sA;2m6sa1760>5<6sA;2m6sa1767>5<6sA;2m6sa1766>5<6sA;2m6sa1765>5<6sA;2m6sa1764>5<6sA;2m6sa176;>5<6sA;2m6sa176:>5<6sA;2m6sa176b>5<6sA;2m6sa176a>5<6sA;2m6sa176`>5<6sA;2m6sa176g>5<6sA;2m6sa176f>5<6sA;2m6sa176e>5<6sA;2m6sa1773>5<6sA;2m6sa1772>5<6sA;2m6sa1771>5<6sA;2m6sa1770>5<6sA;2m6sa1777>5<6sA;2m6sa1776>5<6sA;2m6sa1775>5<6sA;2m6sa1774>5<6sA;2m6sa177;>5<6sA;2m6sa177:>5<6sA;2m6sa177b>5<6sA;2m6sa177a>5<6sA;2m6sa177`>5<6sA;2m6sa177g>5<6sA;2m6sa177f>5<6sA;2m6sa177e>5<6sA;2m6sa1743>5<6sA;2m6sa1742>5<6sA;2m6sa1741>5<6sA;2m6sa1740>5<6sA;2m6sa1747>5<6sA;2m6sa1746>5<6sA;2m6sa1745>5<6sA;2m6sa1744>5<6sA;2m6sa174;>5<6sA;2m6sa174:>5<6sA;2m6sa174b>5<6sA;2m6sa174a>5<6sA;2m6sa174`>5<6sA;2m6sa174g>5<6sA;2m6sa174f>5<6sA;2m6sa174e>5<6sA;2m6sa1753>5<6sA;2m6sa1752>5<6sA;2m6sa1751>5<6sA;2m6sa1750>5<6sA;2m6sa1757>5<6sA;2m6G>7g8274}O90k0qc?97983>4}O90k0qc?97883>4}O90k0qc?97`83>4}O90k0qc?97c83>7}O90k0qc?97b83>7}O90k0qc?97e83>4}O90k0qc?97d83>4}O90k0qc?97g83>4}O90k0E<9i:0y1>x{i9?2;6=4>{I3:e>O6?o0:w?4r}od`5?6=9rwe=;6>:182xh6>181<7?t}o35<6<728qvb<874;295~{i9?2>6=4>{|l22=0=83;pqc?98683>4}zf8<347>51zm53>>290:wp`>69c94?7|ug;=4o4?:0y~j40?k3:1=vsa17:g>5<6std::5k50;3xyk710o0;6:182xh6>081<7?t}o35=6<728qvb<864;295~{i9?3>6=4>{|l22<0=83;pqc?99683>4}zf8<247>51zm53?>290:wp`>68c94?7|ug;=5o4?:0y~j40>k3:1=vsa17;g>5<6std::4k50;3xyk711o0;6:182xh6>h81<7?t}o33a7<728qvb - 7-segment display for used to display game title, - an(3:0) - anode control to determine active seven segment display - vga_red - red color signal to VGA monitor - vga_blue - blue color signal to VGA monitor - vga_green - green color signal to VGA monitor - vga_vs - vertical synchronization signal to VGA monitor - vga_hs - horizontal synchronization signal to VGA monitor - -DESCRIPTION: This simple design receives the input from a ps2 keyboard to control the paddles -and serve for a pong game. The game is displayed on a VGA monitor. -The following keyboard keys are used as controls - up arrow key - right paddle up - down arrow key - right paddle down - w key - left paddle up - s key - left paddle down - space bar key - serve ball - -Source Files: - -pong_top.sch - The top level schematic contains symbolic layout of the pong design including - the FPGA pin connections to many of the Spartan3 demo board input, display - and port features. - -pong_cntrl.vhd - This Entity contains the pong game logic - -testram.vhd - This Entity contains an array of data elements representing VGA display patterns - -vgacore_multi.vhd - This Entity contains the VGA display control. - -game_title.v - This module displays the title, PONG, on the four seven segment LEDs of the - Spartan3 Demo Board - -read_ps2.v - This module interprets the scan code from the keyboard and outputs the - corresponding signal to move a paddle or serve. - -ps2_cntrl.v - This module receives the Clock and serial data input from the PS2 port and - outputs a Scan Code representing the key entered on the keyboard - -vga_interface.vhd - This Entity interprets the color output to the VGA monitor - - - -Behavioral and RTL Simulation done using Test bench, pong_tb.v. - - -NOTE: If you are trying to run this example in a read-only location, the design hierarchy will - not display properly. Please copy the example project to a new location by using either - Project Save As... from the File menu pulldown in ISE or some other method of your choice. - Copy the example to a location where you have write permissions and the hierarchy will - display properly. - - -For support information and contacts please see: - - http://www.xilinx.com/support -or - http://www.xilinx.com/support/services/contact_info.htm
pong/readme Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/pong_top_summary.html =================================================================== --- pong/pong_top_summary.html (revision 427) +++ pong/pong_top_summary.html (nonexistent) @@ -1,183 +0,0 @@ -Xilinx Design Summary - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
pong_top Project Status (05/07/2014 - 20:15:24)
Project File:pong.xiseParser Errors: No Errors
Module Name:pong_topImplementation State:Programming File Generated
Target Device:xc3s200a-4vq100
  • Errors:
-No Errors
Product Version:ISE 12.3
  • Warnings:
21 Warnings (21 new)
Design Goal:Balanced
  • Routing Results:
-All Signals Completely Routed
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
-All Constraints Met
Environment: - -System Settings -
  • Final Timing Score:
0  (Timing Report)
- - - - 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Device Utilization Summary [-]
Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Flip Flops1903,5845% 
Number of 4 input LUTs4423,58412% 
Number of occupied Slices2711,79215% 
    Number of Slices containing only related logic271271100% 
    Number of Slices containing unrelated logic02710% 
Total Number of 4 input LUTs4703,58413% 
    Number used as logic440   
    Number used as a route-thru28   
    Number used as Shift registers2   
Number of bonded IOBs296842% 
Number of BUFGMUXs32412% 
Average Fanout of Non-Clock Nets3.79   
- - - - 
- - - - - - - - - - - - - - - - - -
Performance Summary [-]
Final Timing Score:0 (Setup: 0, Hold: 0)Pinout Data:Pinout Report
Routing Results: -All Signals Completely RoutedClock Data:Clock Report
Timing Constraints: -All Constraints Met  
- - - - 
- - - - - - - - - - -
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis ReportCurrentmié may 7 20:14:45 2014019 Warnings (19 new)2 Infos (2 new)
Translation ReportCurrentmié may 7 20:14:49 2014000
Map ReportCurrentmié may 7 20:14:54 201401 Warning (1 new)2 Infos (2 new)
Place and Route ReportCurrentmié may 7 20:15:15 201401 Warning (1 new)3 Infos (3 new)
Power Report     
Post-PAR Static Timing ReportCurrentmié may 7 20:15:17 2014005 Infos (5 new)
Bitgen ReportCurrentmié may 7 20:15:21 2014000

- - - - -
Secondary Reports [-]
Report NameStatusGenerated
WebTalk ReportCurrentmié may 7 20:15:22 2014
WebTalk Log FileCurrentmié may 7 20:15:24 2014
- - -
Date Generated: 05/07/2014 - 21:58:59
-2%cc`k lfwbjpeh'fznbna}er-slw)sz|d`#nakifm,``kh{&zdbj!yg`alv`Yj}e~b"{fk1:22?44<9990==>;;02342=689:;<=941123447338:;=95>00324>772AIDYCZJR=;94;7738:1CXZ_UU8R8<<76880==4[ELR\TL^BD531<3??;029RFIRF]OY044?>c924?ei|531<3=41025?47788:876:3255779>1:>7M@NE]0[wij89:;025?69928h7?4LOMMQ84<761196I2>:1<26>4=AGZ^X7M@LNP?5?69m281EC^ZT;F?5?699;196D@_UU8GeqgF480;2<<42;KMTPR=imnyM1?50?36?7wo``}Uoe2>:1<27>4=G\^[YY4LOMMQ84<76o196B[[PTV9U97=87;?7?4@UURVP?Tb{|f0<4?>0486?IR\Y__6akit^fjv97=87;<7?4@UURVP?tnoa~Thd|31;2=<>4=Y5;1<3k42;ZO\KY495;1<3?>;38aeijXq{ki1?50?3`?70a86?dfdeUr~lzj_`fgwpd789;7=7>11891>ggkdVsymykPmtz3456;93:5=45=:ccohZui}oTaxv?013?5?6990196oocl^{qeqcXe|r;<=<31;2=5<=52kkg`Rw}aug\ip~78997=7>11891>ggkdVsymykPmtz3452;93:5==5=:bnfewYumn6:6=0>b:09gicfzVxnkRokdrwa4567480;2<642;aoadtXzlmTaxv?012?4?79n281`hh{_ekq84<768=0>7bjfu]gmwYj}q:;<=2?:03;0eiuzPCNNLVZkrp9:;;1>51?3:?72>5863:;?7>4LOMMQ[wij89:;0?4?>d90>LHW]]0O0?4?>0087?OIX\^1HlznA=094;`<;3E^X][[:P>1>5869291CXZ_UU8gkD:5294::6=5cmi\llaoWocgxh|32;2=50=42jf`Saax_gkop`t;:3:5o6=5ddnb}94=87n0?~4?>g97>JSSX\^1]1=50?37?1dh;;3:5=?5;:NWWTPR=lh~j0>4?>0380?IR\Y__6iaN<283:<=32hd7?7>11197>gosWje~by2<:1<2f>2=j`~Tob{at^cg`vse89:;0>4?>0:80?dn|VidyczPmtz3456;8395n6:5d`vb86<76m1?6a}losg?7?699;1?6c{bbdp\`drf4:0;2i5;:sq`kwc;;3:5=6;7;78G80<76l1=6D@_UU8G80<76880:7GAPTV9@drfI5?1<3?=;78JJUSS2MkmO2::111295>IOIMVg~t=>?1=:97;753?0DYY^ZT;NJJ@:2294m7;4@UURVP?W;=3:5=959:NWWTPR=Zly~`y2::1<;?36=0i;78\eab7898797>1f:49[dbc89:8084?>g95>Zgcl9:;81;50?d82?Yflm:;<82::135;2=`>0=jhfgSt2::1<21>0=jhfgStQbuy2345:72<4:;685b`no[|Yt`hxn<=>?<483:a=12eomxRw35;2=50=12eomxRwPmtz3454;83?5=<59:og{pica|Vs797>11b95>kc|eomxRwPaefpqg67896>6=0>9:49j`~sdllStQbuy2341:72<4:>685ndzwvlao|Vs797>11e95>kc|{cldyQv_`fgwpd789:797>11`95>kc|{cldyQv_lw{4563490>2h59:skdlqY~4<0;2<846;pjcmrXqVg~t=>?2=291;753>0BB][[:EcweD:1294:>695IORVP?Bf|hH7:7>11394>LHW]]0jhi|N<783:423:5=>58:NWWTPR=X@RN@1850?d83?IR\Y__6\29:1<`?20683?VNPLFTaxv?013?=?59m2=1mij}erq?2?69l2=1nlbc_y>5>586=2=1bxcmes]b`atb{z6=6=0>2::9MKVR\3NjxlO37;2=52=?2F__\XZ5Sbcm[Ficm5=1<3??;98LQQVR\3fo0:4?>0484>58>310gh1950?d8>={l|nbR}jg=594;77300_I@^PLHLF8=<76j126~Q}ef>;>586<231R|jg^ov|56785:143=4AD@0?DAE<2KLIO=4AM@7?DJBJ=1JBI<9;@LG6G713HDO>O<;;@LG73=FFM9I=;5NNE1A63=FFM9I?95NNE65?DHCGd{}UM_@84AefPqg2EKC:1H@_64CNNLV969i2ID@B\31;2=<>EHDFX7=394CNNLVZ603JEGC_Q>119@KIIUW{ef<=>?<1<24>EHDFXT~bc?012?5;753JEGC_Q}ol2345:5294:<6M@LNP\vjk789:7>374CNONMQRBL8;0OB@K_2]qkh67896;2<<4CNLG[6Yugd:;<=2>0?37?FIILV9T~bc?012?54<76880OB@K_2]qkh67896:=3?>;BMM@Z5Xzfg;<=>31?32?FIILV9T~bc?012?6;763JEEHR=Prno3456;;7;:7NAAD^1\vjk789:783?>;BMM@Z5Xzfg;<=>35?32?FIILV9T~bc?012?2;763JEEHR=Prno3456;?7;:7NAAD^1\vjk789:743?>;BMM@Z5Xzfg;<=>39?f8GJSI\LXT^H]JT078GJSI\LXT^H]JT^ov|56798<0OB[ATDP\V@UB\Vg~t=>?1034?FIRF]OYS_K\EU]nq}6788;:=;5LOTLWAWYUMZO_S`{w01226416:ALQKRBZVXN_HZPmtz345749>1HCX@[ES]QAVCSWds<=>>3035?FIRF]OYS_K\EU]nq}6788>:;6M@UOVFVZTB[L^Taxv?0137540>6058GJSI\LXT^H]JT^ov|5679?;:;6M@UOVFVZTB[L^Taxv?01356416:ALQKRBZVXN_HZPmtz34570901HCX@[ES]QAVCSWds<=>>_hos51=DG\D_I_Q]ERGW[jt789:9i6M@UOVFVZTB[L^Tc>?01]jiuYDG\D_I_Q]ERGW[jt789::;6M]E@VF@6=Ddb?0OaeJn69@hnYA[D;0H95K<1<5?A:6294?7I2>>79G87<76=1O0?09;E>0>5833M68295K<5<5?A:2294?7I2:>49GeqgF02NjxlO30?c8@drfI5;1<364D`vbE979i2NjxlO32;2=<>Bf|hK7>3o4D`vbE95=8720HlznA=1=<>Bf|hK783o4D`vbE93=8720HlznA=7=e>Bf|hK7:7>18:FbpdG;>7k0HlznA=594;>99GeqgE48437Io{aC>1:==Ci}kI0>07;EcweG:36h1OmyoM<483:==Ci}kI080n;EcweG:129437Io{aC>5:3=Cg[ojh?5ID29E@F2-Hl25<=ANm;&Ec<>8:DE`4+Nf:h0JKj>-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;27KHk2,Km64>189EBa5*Ag8:46HId2/Jj6d1MJi:"Io:8BCb3%@d:56HId5/Jj47>3OLo8 Ga20:8BCb3%@d8n6HId5/JjZojx=1MJi;8;GDg1(Oi02LMh8#Fn0;8BCb2%@d:=45IFe7.Mk4602LMh8#Fn2`8BCb2%@dTe`~;;GDg22=ANm<&Ec64FGf5)Lh612LMh;#Fn03:?C@c>$Ce><64FGf5)Lh4j2LMh;#Fn^knt1=ANm=<7KHk7,Km7>@TEj1M_@Q?-qcqakrd3OYFS<#asgmpf=A[DU9!}o}eov2?B57J\JEO48LGJFFB30DNAZNUGQ5g=OKF_EXH\30?`8LFIRF]OY0<0m;IALQKRBZ585n6FLOTLWAW:46k1COB[ATDP?0;d<@JE^BYK]<4?00d8LV_IKAUfyu>?003e?MU^FJBTaxv?013157=O[PDHDRczx1235Zojx8:0Db|fznlweiYT|h~n=o5GoskykkrfdVYmykPclr\at6789;h7Ea}i{mmpdjX[}kiRmbp^gr456798i0Db|fznlweiYT|h~nSnc_ds345659j1CcguoovbhZUsi}oTo`~Pep234556n2Bd~dt`nuco[Vrf|lUha}Qjq1234Zojx8h0Db|fznlweiYT|h~nSnc_ds34576k2Bd~dt`nuco[Vrf|lUha}Qjq123547d3Aeyewaat`n\WqgsmVif|Rk~012264e<@fxbvb`{am]PpdrbWjg{Sh?01305c=Og{cqccznl^QweqcXkdzTi|>?00]jiu7e3Aeyewaat`n\WqgsmVif|Rk~01215f=Og{cqccznl^QweqcXkdzTi|>?0332g>Nhz`pdbyoc_Rvbp`YdeyUn}=>?233`?MiuaseexlbPSucwaZejxVoz<=>=30d8LjtnrfdmaQ\t`vf[fkwWl{;<=Nhz`pdbyoc_Rvbp`YdeyUn}=>?30a8LjtnrfdmaQ\t`vf[fkwWl{;<==>1b9Kkwo}gg~j`R]{aug\ghvXmx:;<><>c:Jlvl|hf}kgS^zntd]`iuYby9:;?>?i;Imqmii|hfT_yo{e^antZcv89:8Sdc1c9Kkwo}gg~j`R]{aug\ghvXmx:;<9?l;Imqmii|hfT_yo{e^antZcv89:?=9=n5GoskykkrfdVYmykPclr\at678=9:j6F`rhxljqgkWZ~jxhQlmq]fu567?083a?MiuaseexlbPSucwaZejxVoz<=??1c9Kkwo}gg~j`R]{aug\ghvXmx:;=5F1518M4353@897D==;H61?L353@<97D9=;H:1?L?33@DBX>5FNW18Mk643@d:?6Ga229Jj6587D`:e:IFAUYOALXJ^NFV7:NJJ@:76>1GECK31?58HLHB4;4<7AGAE=1=3>JNFL6?245CIOG?1?69?2FBBH2:>79OMKCX8?1GECKP179OMKCX:?1GECKP379OMKCX?1=1=[lkwWECEIRczx12359599o1GECKPmtz3457;<78?7AGAE^ov|56795>5Sdc_MKMAZkrp9:;=1:11g9OMKCXe|r;<=?35?07?IOIMVg~t=>?1=7=[lkwWECEIRczx12359399o1GECKPmtz3457;>78?7AGAE^ov|56795<5Sdc_MKMAZkrp9:;=1811g9OMKCXe|r;<=?37?07?IOIMVg~t=>?1=5=[lkwWECEIRczx123591998;0@D@J_lw{456641082k5CIOG\ip~789;743<;;MKMAZkrp9:;=161_hos[IOIMVg~t=>?1=:=56=KGJ=0@BIFC@Nb?IVJWMLMS<9n;MRN[A@AW83j7A^B_EDE[77f3EZFSIHI_36b?IVJWMLMS>?n;MRN[A@AW:8<7@ 80e]Oa>KflmUTmij?0122a>KflmUTmij?0132a>KflmUTmij?0102a>KflmUTmij?0112a>KflmUTmij?0162a>KflmUTmij?01725g=JimnTnlbc_xpbp`Yflmy~n=>?103b?HgclVhbxRm`uov\eabt}k:;<=?>a:Ob`aYddlkySkh_`fgwpd789::=45BaefpqgYeiefTuR}gasg345669l1Fmij|uc]ma}rkmo~TuRokdrwa456798l0Aljkst`\j`~sz`mcxRwPaefpqg6789;:h6ClolrbvZdfdeUshd|Pclr\c`6789;:h6ClolrbvZdfdeUshd|Pclr\c`6788;:h6ClolrbvZdfdeUshd|Pclr\c`678;;:h6ClolrbvZdfdeUshd|Pclr\c`678:;:h6ClolrbvZdfdeUshd|Pclr\c`678=;:h6ClolrbvZdfdeUshd|Pclr\cq6789;:h6ClolrbvZdfdeUshd|Pclr\h`6789;:h6ClolrbvZdfdeUshd|Pclr\hq6789;:h6ClolrbvZdfdeUshd|Pclr\hq6788;:h6ClolrbvZdfdeUshd|Pclr\hq678;;:h6ClolrbvZdfdeUshd|Pclr\hq678:;:h6ClolrbvZdfdeUshd|Pclr\hq678=;:m6ClolrbvZdfdeUrSnc_fg345669h1Fobcas]aeijXqVif|Rbj012354b?003b?HeheykySh`nbmg\ghvXdl:;<=?>a:O`khvfzVfxob|j_bos[ir789::=h5BcnosewYimq~TOBB@R^antZcv89:?=e:O`khvfzVdntyQLOMMQ[fkwWno;<=?>1d9Ngjkwi{UeiuzPCNNLVZejxVmn<=>=10g8IfijxhxTbhv{_BMOKWYdeyUli=>?303f?HeheykySckwt^ALHJTXkdzT`h>?0132a>Kdgdzj~R`jxu]@KIIUWjg{Sak?01325`=Jkfg{mQaeyv\GJJHZVif|Rbj012154c?01326>KugdUomyoPrno34566m2GxyoQPst`345669k1FxlPb`no[|tf|lUjhi}zb123444>5A1218J4243G;>?6@>629M524<4N508J045@R578KW2E9<1D^9L=5:MQ0G523FX>N<=4OS40?JT?<2E~x=k4Otv3[lkwWF<<:4Otv20>Ir|;>0Cxz<4:Mvp1286Azt7g8Kpr1W`g{SB{{6018T4443Y;8?6^>529S53590\?6=;Q10?U24:2Z>?6^:729S1<53:R566=W>=90\;;<;Q537>V09:1[;?=4P610?U>4;2Z38>5_8718T=?43Y38?6^6859SEWRf3YCESO[\IEZa?UOIWK_XBLCJ8:RJ\@J;8720\DVJL=3=<>VNPLF7>364PHZFH95902ZBTHB34?:8TL^BD5?5m6^FXDN?2?6902ZBTHB36?58TL^BDV:<7]GWEM]23>VNPLFT>:5_IYGO[61?1=1=63=WAQOGS`{w0122868XadzT\DVJL^ov|5679595=<>4PHZFHZkrp9:;=1:1279SM]CKWds<=>><5<\mhvXX@RN@Rczx123592998:0\DVJL^ov|56795?5>;5_IYGO[hs89::080Pilr\TL^BDVg~t=>?1=7=546?1=5=63=WAQOGS`{w0122828XadzT\DVJL^ov|56795=5=<>4PHZFHZkrp9:;=161279SM]CKWds<=>><9<\mhvXX@RN@Rczx12359>99880\DVJL^ov|5679531?3??;QK[AIYj}q:;<<26>348TL^BDVg~t=>?1=;=[lkwWYCSIAQbuy2344:>6890\_K7;QQ1[FRUD>1[_?QLlj:8TV4XLh~j=6_;;P>3:3=V480;295^<0<5?T:5294?7\2=>79R86<76=1Z0>0;;P>7:3=V4<0;295^<4<5?T:1294?7\29>59R82833X632;5^<883:1=V404:7_=4RDF6?WCTM]30^H]JT^kmr4>3:PWH0=Umzo56\jsdv\mkp602XnhzPiot\mhvXZlynxRgav048V`urd}30^h}zlu>3:g=Umzgx1?50?;8V`urd}6:245]erwop949j2Xnxb{<283:<=Umzgx1=19:Pfwpjs4=4i7_k|umv?1?6912Xnxb{<4<0?Wus=2YN^[Km;RKDFPUXAGLDm6]FG^@VWLB_j2YBKRLZSOCNAf=TkhdTObjj<1<`?VeffVIdhh2>>b9PgdhXKfnn0?0l;RabjZEhll682n5\c`l\Gjbb4=4h7^mnn^Al``:26j1Xol`PCnff838b3ZijbRM`dd>4>58d3ZijbRM`dd>4:g=TkhdTObjj_1`8WfgiWJeoiR?m;RabjZEhllU9n6]lao]@kacX;k1Xol`PCnff[1d<[jkeSNake^7a?VeffVIdhhQ9b:Q`ekYDgmoT;?03:8WfgiWJeoiRoad1234ZojxVYhmcQLoeg\ekb789::96]co`f`?QCJXVFBBH2<>b9WAHVXD@DN090l;UGNTZJNFL6>2n5[ELR\HLHB4?4h7YKBP^NJJ@:06l1_I@^PLHLF8=<76j1_I@^PLHLF8=8e3]OF\RBFND]0f>RBEYUGECKP4c9WAHVXD@DNS8l4TDOS[IOIMVRBEYU[EUKC<2e9WAHVXX@RN@181d:VFIUYWAQOG0:0k;UGNTZVNPLF743h4TDOS[UO_ME626=0k;UGNTZVNPLF753m4TDOS[UO_MEU8o6ZJMQ]SM]CKW=i0XHC__QK[AIY2k2^NA]Q_IYGO[3e<\LG[S]GWEM]4g>RBEYU[EUKC_9a8P@KWWYCSIAQ6139WAHVXX@RN@Road12347g<\LG[S]GWEM]bja6789Uba}Q[ELR\TL^BDVkeh=>?0068P\VB>2_{Hbya4:T@HNe<^JF@S`{w01225`=QKEATaxv?013\mhvX^JF@S`{w01225<=QKF_EXH\>b:T@KPHSM[6;2o5YCNWMP@T;97h0ZNAZNUGQ878e3_IDYCZJR=1=f>PDG\D_I_2;>c9UGJSI\LX793l4VBMVJQCU4?4i7[M@UOVFV919j2\HCX@[ES>;:a=QKF_EXH\39;2=f>PDG\D_I_26>048R@TSAJKGSNAZNUGQ[WCTM];n7[K]THABHZEH]G^N^R\JSDV\ip~789;:j6XJRUK@EIYDG\D_I_Q]ERGW[hs89::=?>4VDPWMFGKWJE^BYK]_SGPAQYj}q:;<1g9UAWRNKHFTOB[ATDP\V@UB\Vg~t=>?1303?SCU\@IJ@RM@UOVFVZTB[L^Taxv?013154`<^LX_ENOC_BMVJQCUW[OXIYQbuy23445582\N^YGLAM]@KPHSM[UYI^K[_lw{4566;8;m7[K]THABHZEH]G^N^R\JSDV\ip~789;?>=5YESVJGDJXKF_EXH\PRDQFPZkrp9:;=9?>f:TFVQODIEUHCX@[ES]QAVCSWds<=>>5328R@TSAJKGSNAZNUGQ[WCTM]Ufyu>?00725c=QM[^BOLBPCNWMP@TXZLYNXRczx12353473_OYXDMNL^ALQKRBZVXN_HZPmtz345719;:0ZH\[IBCO[FIRF]OYS_K\EU]nq}6788<9>=5YESVJGDJXKF_EXH\PRDQFPZkrp9:;=;=>f:TFVQODIEUHCX@[ES]QAVCSWds<=>>7308R@TSAJKGSNAZNUGQ[WCTM]Ufyu>?00]jiu7c3_OYXDMNL^ALQKRBZVXN_HZPos2345273_OYXDMNL^ALQKRBZVXN_HZPos2345YneyU]I_ZFC@N\GJSI\LXT^H]JT^mq45679=1]KL?6;WKFSZR^XL<0Z^WACI;8RV_IKAUBBl5YSXL@LZIR\k1]_T@LH^RJT@c<^ZSEOEQbuy2344`<^ZSEOEQbuy23447a3_YRBNFPmtz345759;1]_T@LH^ov|5679Vcf|h5YesvjgdjX[}ki<74VdpwmfgkWZ~jxhQlmq]fu56788k0Zh|{ibco[Vrf|lUha}Qjq123447f3_oyxdmnl^QweqcXkdzTi|>?0102e>Pbz}chmaQ\t`vf[fkwWl{;<=><1e9UawrnkhfT_yo{e^antZcv89:;Sdc189UawrnkhfT_yo{e^antZcv89::=l5YesvjgdjX[}kiRmbp^gr456698k0Zh|{ibco[Vrf|lUha}Qjq123577f3_oyxdmnl^QweqcXkdzTi|>?0012`>Pbz}chmaQ\t`vf[fkwWl{;<=?Pilr2=>Pbz}chmaQ\t`vf[fkwWl{;<=<>a:TfvqodieUXxlzj_bos[`w7898:=l5YesvjgdjX[}kiRmbp^gr4565:8k0Zh|{ibco[Vrf|lUha}Qjq123667c3_oyxdmnl^QweqcXkdzTi|>?03]jiu7>3_oyxdmnl^QweqcXkdzTi|>?023b?Scu|`ij`R]{aug\ghvXmx:;<>?>a:TfvqodieUXxlzj_bos[`w78999=l5YesvjgdjX[}kiRmbp^gr4564;8n0Zh|{ibco[Vrf|lUha}Qjq1237Zojx830Zh|{ibco[Vrf|lUha}Qjq12304g<^lxenoc_Rvbp`YdeyUn}=>?403b?Scu|`ij`R]{aug\ghvXmx:;<9<>a:TfvqodieUXxlzj_bos[`w789>8=i5YesvjgdjX[}kiRmbp^gr4563W`g{=45YesvjgdjX[}kiRmbp^gr4562901]izfc`n\WqgsmVif|Rk~01255<=Qm{~bolbPSucwaZejxVoz<=>8189UawrnkhfT_yo{e^antZcv89:3=45YesvjgdjX[}kiRmbp^gr456>901]izfc`n\WqgsmVif|Rk~01335a=_AECET VKB!2-5%US]K*;"<.NSBKJe>^KPGU8=1>1c:ZO\KY495;1<3o4XMZM[67;97<0T^ZCIC58\VRXOGN:i6V\T^KNTICJMG^JXDAA_BMMWQTFAG^X==5WSU]QPIYWZFZN^YW9;Yfa[Lb682RoaRCnjnpUawungg;;7Ujb_LkmkwPbzzcdb>5Wsu;8\vr@Efnn`o5Paef3456;87h0Sljk0123848e3Vkoh=>?0=0=f>Yflm:;<=2<>c9\eab789:783j4_`fg45674<0;2o5Paef3456;=7h0Sljk0122858e3Vkoh=>?1=3=f>Yflm:;<<2=>c9\eab789;7?3l4_`fg45664=4o7Rokd123593=87h0Sljk0122808e3Vkoh=>?2=2=f>Yflm:;>c9\eab78987>3l4_`fg45654:4i7Rokd1236929l2Ujhi>?03>6>58e3Vkoh=>?2=7=f>Yflm:;<>2?>c9\eab78997=3l4_`fg45644;4i7Rokd1237959j2Ujhi>?02>7:a=Ximn;<==35;2=f>Yflm:;<>2:>c9\eab789>7<3l4_`fg4563484i7Rokd1230949j2Ujhi>?05>0:g=Ximn;<=:34?f8[dbc89:?084?>c9\eab789>793l4_`fg4562494i7Rokd1231979j2Ujhi>?04>1:g=Ximn;<=;33?`8[dbc89:>090k;^cg`567=5?1<3l4_`fg45624<437Rczx1234g=X{|h;<=>30?`8[vse89:;0<0m;^qvf5678585n6Q|uc2345:46k1Txl?012?0;b?<483:g=X{|h;<=>35?78eabbz<1jhi|N8:cg`wG;87k0mij}A=394;>gcl{K78364aefqE939i2kohO36;2=<>gcl{K7:3o4aefqavu;87k0mij}erq?5;ggcl{ox1816:cg`vse=2ke0=0:;`l?5;3dfdeUs0?06;ccohZ~;;730nlbc_y>7:<=eiefTt1;1b:`bhiY4?0;245mamn\|909m2hj`aQw_omw4566:;1imabPx^llp5679Vcf|Rlnlm]{[kis89::=i5mamn\|Ziu89:;>=5mamn\|Ziu89:;Sdc_ccohZ~Xg{:;<=?6;ccohZ~ca{;:7oocl^zgmwYffm:;<=<7;ccohZ~ca{Ujbi>?01]jiuYeiefTtig}_`lg456798;0nlbc_yfjvZgil9:;=?64b`no[}bnzVkeh=>?1^kntZdfdeUshd|Paof34576981imabPxekq[dhc89:9>55mamn\|aouWhdo<=>=_hos[ggkdVroeQnne23477692hj`aQwdhp\ekb7899946lnlm]{`ltXign;<==Pilr\fdjkWqnb~Road12374763kkg`Rvkis]bja678=837oocl^zgmwYffm:;<9Qfmq]aeijXpmcySl`k0127547?01]jiuYeiefTtig}_bos[`w789::=95mamn\|aouWjg{Sh?0131a>dfdeUshd|Pclr\at6788Uba}Qmamn\|aouWjg{Sh?013251=eiefTtig}_bos[`w78989i6lnlm]{`ltXkdzTi|>?03]jiuYeiefTtig}_bos[`w7898:=95mamn\|aouWjg{Sh?0111a>dfdeUshd|Pclr\at678:Uba}Qmamn\|aouWjg{Sh?011251=eiefTtig}_bos[bc789::86lnlm]{`ltXkdzTkh>?0037?ggkdVroeQlmq]da567:8>0nlbc_yfjvZejxVmn<=><159aeijXpmcySnc_fg34526<2hj`aQwdhp\ghvXo}:;<=?;;ccohZ~ca{Uha}Qce123442dfdeUshd|Pclr\hq678;;?7oocl^zgmwYdeyUgx=>?3068fdjkWqnb~Rmbp^nw4563981imabPxekq[hs89:;=?5mamn\|aouWds<=>?1068fdjkWqnb~Rczx12344669:1imabPxekq[hs89:;=?0132542?12327>dfdeUshd|Pmtz34566<890nlbc_yfjvZkrp9:;<<;>3:`bhiYl`xTaxv?0121545;1018fdjkWqnb~Rczx1234076;2hj`aQwdhp\ip~789:==<<4b`no[}bnzVg~t=>?0630?ggkdVroeQbuy2345169;1imabPxekq[hs89:;4<=4b`no[}bnzVg~t=>?09327>dfdeUshd|Pmtz3456>98?0nlbc_yfjvZkrp9:;4b`no[}bnzVey<=>>279aeijXpmcySb|?013\mhvXjhfgSujfr^mq456698:0nlbc_yfjvZiu89:9>;5mamn\|aouWfx;<=<179aeijXq01imabPy=2==>dfdeUr0<06;ccohZ;:730nlbc_x>0:<=eiefTu1:1b:`bhiY~4<0;245mamn\}939m2hj`aQv_`lg4567:;1imabPy^cm`5678Vcf|Rlnlm]z[dhc89:;=h5mamn\}Zgil9:;=?<4b`no[|Yffm:;<?1032?ggkdVsTo`~Pgd2345763kkg`RwPclr\h`6789;87oocl^{\ip~789:7<7;1109aeijXqVg~t=>?0=2=57=eiefTuRczx12349699890nlbc_x]nq}67896;23:`bhiY~Wds<=>?<1<1544129aeijXqVg~t=>?0=2=04743kkg`RwPmtz3456;87?:=>5mamn\}Zkrp9:;<1>16031?ggkdVsTaxv?012?4;16=2hj`aQv_lw{4567494Te`~>1:`bhiY~Wds<=>?<0<26>dfdeUrS`{w012384869:1imabPy^ov|56785;5=31?0257=eiefTuRczx1234979;8?0nlbc_x]nq}67896:2Rgbp038fdjkWpUfyu>?01>1:443?>129aeijXqVg~t=>?0=0=64753kkg`RwPmtz3456;:79:96lnlm]z[hs89:;0?0Pilr25>dfdeUrS`{w01238686:2hj`aQv_lw{45674:4:=>5mamn\}Zkrp9:;<1=11030?ggkdVsTaxv?012?7;469;1imabPy^ov|5678595?<;4b`no[|Yj}q:;<=2<>^knt4734?3256=eiefTuRczx1234929:8;97oocl^{\ip~789:783=>5:`bhiY~Wds<=>?<5<\mhv692hj`aQv_lw{45674<4:>6lnlm]z[hs89:;080>129aeijXqVg~t=>?0=7=54743kkg`RwPmtz3456;=78:=?5mamn\}Zkrp9:;<1;13078fdjkWpUfyu>?01>6:Zojxl1imabPy^llp567:;80nlbc_x]mkq678;Uba}Qmamn\}Zhh|9:;>4b`no[|Yhz9:;>129aeijXqVycmk?012?4;743kkg`RwPsicqa56785;5=>5mamn\}Zuoi{o;<=>32?30?ggkdVsTeo}e12349599:1imabPy^qkewc789:783?:;ccohZX{akyi=>?0=794;743kkg`RwPsicqa56785?556lnlm]z`lt692hj`aQvdhp\ekb789:946lnlm]z`ltXign;<=>Pilr\fdjkWpnb~Road12344763kkg`Rwkis]bja6788837oocl^{gmwYffm:;<Rgbp^`bhiY~l`xTmcj?010254=eiefTuig}_`lg4564:11imabPyekq[dhc89:8Sdc_ccohZca{Ujbi>?02325>dfdeUrhd|Paof3452502hj`aQvdhp\ekb789>Te`~Pb`no[|bnzVkeh=>?4032?ggkdVsoeQnne23404?3kkg`Rwkis]bja678:1038fdjkWpnb~Road12327>Vcf|Rlnlm]z`ltXign;<=8>109aeijXqmcySl`k01246==eiefTuig}_`lg4560W`g{Soocl^{gmwYffm:;<:?>4:`bhiY~l`xTo`~Pgu2345733kkg`Rwkis]`iuYk|9:;<?03:8fdjkWpnb~Rczx1234ZojxVhj`aQvdhp\ip~789::==5mamn\}aouWfx;<=>=6:`bhiY~l`xTc>?01]jiuYeiefTuig}_np34566991imabPyekq[jt789;9:6lnlm]z`ltXg{:;<2hj`aQvdhp\kw678;Uba}Qmamn\}aouWfx;<=<>119aeijXqmcySb|?01112>dfdeUrhd|Pos2346YneyUimabPyekq[jt7899:==5mamn\}aouWfx;<=:=6:`bhiY~l`xTc>?05]jiuYeiefTuig}_np34526991imabPyekq[jt789?9:6lnlm]z`ltXg{:;<8Qfmq]aeijXqmcySb|?017255=eiefTuig}_np34505>2hj`aQvdhp\kw678?Uba}Qmamn\}aouWfx;<=8>a:`bhiY~zh~nh6lnlm]zvdrb494m7oocl^{qeqc;93:5h6lnlm]zvdrb484:46lnlm]zvdrbWhnoxl?012?4;7f3kkg`Rw}aug\eabt}k:;<=2>:1<2<>dfdeUr~lzj_`fgwpd789:7=3?7;ccohZui}oTmij|uc2344:768k0nlbc_xpbp`Yflmy~n=>?1=394;7?3kkg`Rw}aug\eabt}k:;<<2>>008fdjkWpxjxhQnne23454f3kkg`Rw}aug\ekb789:Te`~Pb`no[|tf|lUjbi>?01326>dfdeUr~lzj_`lg4566:h1imabPyscwaZgil9:;=Rgbp^`bhiY~zh~nSl`k0122544l5mamn\}wgsmVkeh=>?3^kntZdfdeUr~lzj_`lg45649880nlbc_xpbp`Yffm:;<96lnlm]zvdrbWhdo<=>:2`9aeijXq{kiRoad1231ZojxVhj`aQvr`vf[dhc89:>=<;4b`no[|tf|lUha}Qjq1234402hj`aQvr`vf[fkwWl{;<=><189aeijXq{kiRmbp^gr4567W`g{=85mamn\}wgsmVif|Ri{012350=eiefTuo{e^antZas89::=85mamn\}wgsmVif|Rb{012350=eiefTuo{e^antZjs89::=85mamn\}wgsmVg~t=>?0=2=53=eiefTuo{e^ov|56785:5=<84b`no[|tf|lUfyu>?01>3:77>3kkg`Rw}aug\ip~789:7<3Qfmq34?ggkdVsymykPmtz3456;93:5=85mamn\}wgsmVg~t=>?0=3=75=eiefTuo{e^ov|56785;5Sdc_ccohZui}oTaxv?012?5;76=2hj`aQvr`vf[hs89::0=0<0:`bhiY~zh~nS`{w0122858XadzTnlbc_xpbp`Yj}q:;<<2?>034?ggkdVsymykPmtz3457;93:5=85mamn\}wgsmVg~t=>?1=3=53=eiefTuo{e^ov|56795;5=<84b`no[|tf|lUfyu>?00>2:77>3kkg`Rw}aug\ip~789;7=3Qfmq36?ggkdVsymykPmtz3454;87;=7oocl^{qeqcXe|r;<=<30?323>dfdeUr~lzj_lw{4565494:=<94b`no[|tf|lUfyu>?03>3:776>2hj`aQvr`vf[hs89:90=0<189aeijXq{kiRczx1236969W`g{=:5mamn\}wgsmVg~t=>?2=394;723kkg`Rw}aug\ip~78987=3?9;ccohZui}oTaxv?010?5;76?2hj`aQvr`vf[hs89:90<0>1058fdjkWpxjxhQbuy2347:66;;::6lnlm]zvdrbWds<=>=<0<05<=eiefTuo{e^ov|567:5;5Sdc149aeijXq{kiRczx12379699?1imabPyscwaZkrp9:;?1>11058fdjkWpxjxhQbuy2346:768;:;6lnlm]zvdrbWds<=><<1<1540?6;ccohZui}oTaxv?011?4;Yney;<7oocl^{qeqcXe|r;<==31;2=50=eiefTuo{e^ov|567;5;5=;5mamn\}wgsmVg~t=>?3=3=5417:`bhiY~zh~nS`{w0120848598<0nlbc_xpbp`Yj}q:;<>2>>23:?ggkdVsymykPmtz3455;97Uba}?:;ccohZui}oTaxv?016?4;713kkg`Rw}aug\ip~789>7<3?>7:`bhiY~zh~nS`{w0127858698=0nlbc_xpbp`Yj}q:;<92?>3323>dfdeUr~lzj_lw{45634948=<84b`no[|tf|lUfyu>?05>3:1703kkg`Rw}aug\ip~789>7<3:>179aeijXq{kiRczx1230969=8=0nlbc_xpbp`Yj}q:;<92?>4323>dfdeUr~lzj_lw{4563494==<94b`no[|tf|lUfyu>?05>3:276?2hj`aQvr`vf[hs89:?0=071048fdjkWpxjxhQbuy2341:760;27oocl^{qeqcXe|r;<=:30?]jiu703kkg`Rw}aug\ip~789>7=7>1149aeijXq{kiRczx12309799?1imabPyscwaZkrp9:;81?11058fdjkWpxjxhQbuy2341:668;:;6lnlm]zvdrbWds<=>;<0<1541?>6:`bhiY~zh~nS`{w012784839>1imabPyscwaZkrp9:;81?14035?ggkdVsymykPmtz3452;97?:;6lnlm]zvdrbWds<=>;<0<65417:`bhiY~zh~nS`{w0127848098=0nlbc_xpbp`Yj}q:;<92>>9322>dfdeUr~lzj_lw{45634842=45mamn\}wgsmVg~t=>?4=3=[lkw9;1imabPyscwaZhh|9:;=?o4b`no[|tf|lUecy>?00]jiuYeiefTuo{e^llp56798;:7oocl^{qeqcXg{:;<=<7;ccohZui}oTc>?01]jiuYeiefTuo{e^mq456798;0nlbc_xpbp`Yhz9:;=?64b`no[|tf|lUd~=>?1^kntZdfdeUr~lzj_np34576981imabPyscwaZiu89:9>55mamn\}wgsmVey<=>=_hos[ggkdVsymykPos23477692hj`aQvr`vf[jt7899946lnlm]zvdrbWfx;<==Pilr\fdjkWpxjxhQ`r12374763kkg`Rw}aug\kw678=837oocl^{qeqcXg{:;<9Qfmq]aeijXq{kiRa}0127547dn|Vidycz30?a8flrXkfex1?1c:`jpZeh}g~7>3k4bhv\gjsi|591<3m4bhv\gjsi|595n6lft^alqkrX8k1ieyQlotlw[4d?0=3=52=ea}Uhcx`{_`fgwpd789:7>3?6;ckw[firf}Ujhi}zb123495=87;<7og{_bmvjqYflmy~n=>?0=1=53=ea}Uhcx`{_lw{456749082<:4bhv\gjsi|Vg~t=>?0=2=50=ea}Uhcx`{_lw{4567494:=;5miu]`kphsWds<=>?<1<2540?01>3:67?3kcSnaznu]nq}67896;2Rgbp068flrXkfexRczx12349799<1ieyQlotlw[hs89:;0<0>179amqYdg|dS`{w0123848698<0ndzPcnwmpZkrp9:;<1?12036?gosWje~byQbuy2345:66:;37og{_bmvjqYj}q:;<=2>>^knt42?<3<253=ea}Uhcx`{_lw{45674;4:=<84bhv\gjsi|Vg~t=>?0=0=64723kcSnaznu]nq}6789692>?7;ckw[firf}Ufyu>?01>1:Zojx8>0ndzPcnwmpZkrp9:;<1=1149amqYdg|dS`{w012386869?1ieyQlotlw[hs89:;0>0>1048flrXkfexRczx1234959:8;>7og{_bmvjqYj}q:;<=2<>23;?gosWje~byQbuy2345:46Vcf|95mto1`?fjbi{Uyij2?>d9`h`guW{ol0<4?>b9`h`guW{ol0<0m;bnfewYumnU;n6mce`p\v`aX98=0oaknr^pfcZgclzi<=>?<1<2=>ekmhxT~hiPaefpqg67896:6=0>7:aoadtXzlmTmij|uc2345:668>0oaknr^pfcZejxVmn<=>?179`h`guW{olS`{w012385<668>0oaknr^pfcZkrp9:;<1>12d9`h`guW{olS`{w0123858XadzToaknr^pfcZkrp9:;<1>11068gicfzVxnkRczx1234979:l1h`ho}_sgd[hs89:;0<0Pilr\gicfzVxnkRczx12349799:1h`f??;bnh[mo``Vlb`yk}1008gimX``mcSkgctdp?4;753jf`Seghh^djhqcu484:86mck^jjcmYaae~n~1<50?31?fjlWacldRhflugq87803jf`Sdm;f:aooZjhVlb`yk}1038gimXdf}Tjdb{es>3:471:aooZjhVlb`yk}<3<7?fhs9?1hby27>99`jq:>294=7n`{<8<6?fhszek0ocz}l^kmwq1>69geqg;:730hlzn<283:2=ci}k7?3o4d`vb[wcflp<0hhbny0:8``jfq5:546jjl`{?5;g<;ede=>bnzlieb`<;emB2>bhI5:5;6j`A=33:<=cgH6:=7>17:flE9766?1ocL2>>99gkD:5294=7iaN<3<;?aiF4:0;2;5ko@>0:3=cgH6?2;5ko@>6:3=cgH6=2;5ko@>4:3=cgH632;5ko@>::3=bfhhgih5jn``oaZgil9:;?00g8akgedlUjbi>?0001?`hfjeoTmcj?013\mhvXmgki`hQnne23447b3ldjnakPaof34545:2oemobj_`lg4565W`g{Sh`nbmg\ekb7898:i6kaacnf[dhc89:8>?5jn``oaZgil9:;?Rgbp^gmegjbWhdo<=><1d9fjddkmVkeh=>?4308akgedlUjbi>?05]jiuYbfhhgiRoad12304c6kaacnf[dhc89:=Sdc_dlbficXign;<=8>e:gmegjbWhdo<=>8239fjddkmVkeh=>?7^kntZciikfnSl`k01245`=bfhhgiRoad123<741:gmegjbWjg{Sh?01226>ciikfnSnc_ds345669;1nbllce^antZcv89:;><<4eocah`YdeyUn}=>?0236?`hfjeoTo`~Pep2345Yney;:7h`nbmg\ghvXmx:;<?00326>ciikfnSnc_ds345759;1nbllce^antZcv89::?<;4eocah`YdeyUn}=>?1^knt47;dlbficXe|r;<=>>0033?`hfjeoTaxv?0122547?0137547=119fjddkmVg~t=>?03324>ciikfnS`{w012374773ldjnakPmtz345639o1nbllce^ov|5678<;;7h`nbmg\ip~789:>=<>4eocah`Yj}q:;<=8>119fjddkmVg~t=>?063e?`hfjeoTaxv?012;55=bfhhgiRczx1234=7682oemobj_lw{456718;97h`nbmg\ip~789:Te`~j;dlbficXe|r;<=?i;dlbficXe|r;<=?>f:gmegjbWds<=>>2008akgedlUfyu>?00]jiuc=5jn``oaZiu89::Sdc_dlbficXg{:;<=1e9fjddkmVey<=><219fjddkmVey<=><_hos[`hfjeoTc>?0236?`wriek0jdb{es]`hn753ocgxh|Pcmi\ekb789:9m6hflugq[fjlWhdo<=>?_hos[cok|lxToaePaof345669;1meazjr^aooZgil9:;=?o4fhnwawYddbUjbi>?00]jiuYaae~n~Rmck^cm`56798;97kgctdp\gimXign;<=<=a:djhqcuWjf`Sl`k0121[lkwWocgxh|Pcmi\ekb7898:=?5iimvfvZekcVkeh=>?33c8bljsm{Uh`fQnne2346YneyUmeazjr^aooZgil9:;?`nd}oySnbd_omw4566W`g{Skgctdp\gimXff~;<=?>109emirbzViggRa}01236==aae~n~Rmck^mq4567W`g{Skgctdp\gimXg{:;<=?n;fcnaZrn|eoh7jobe^vjpic)~>1l~ho{esg8cwcf|lxd~hzam78lvik<1gh1>15:ng84823en7>3;4le>0:0=kl5>596bk<4<6?ib;>7=0`i28:1<6?ib;?7h0`hh{_ekq858c3eomxRjfr=394;dn;mgepZbnzV;:<6bjfu]gmwYffm:;<=?>;mgepZbnzVkeh=>?0032?ica|Vnb~Road12347763eomxRjfr^cm`5678:;?7akit^fjvZgil9:;n5cegv\`ltXkdzTi|>?00]jiuYkmo~Thd|Pclr\at6788;:?6bjfu]gmwYdeyUn}=>?23a8h``sWmcySnc_ds3454XadzT`hh{_ekq[fkwWl{;<=<>129oacrXl`xTo`~Pep23464d3eomxRjfr^antZcv89:8Sdc_mgepZbnzVif|Rk~01205459o6bjfu]gmwYdeyUn}=>?4^kntZjbn}UoeQlmq]fu567<8;87akit^fjvZejxVoz<=>:2b9oacrXl`xTo`~Pep2340YneyUgikzPdhp\ghvXmx:;<8?>5:nfbqYca{Ufyu>?01>3>486;2fnjyQkis]nq}67896;2<:4lddw[aouWds<=>?<1<253=kmo~Thd|Pmtz3456;87;;=<84lddw[aouWds<=>?<1<254713eomxRjfr^ov|56785:5=??>5:nfbqYca{Ufyu>?01>3:456=2fnjyQkis]nq}67896;2<:>5:nfbqYca{Ufyu>?01>3:776<2fnjyQkis]nq}67896;2>?:;mgepZbnzVg~t=>?0=2=74733eomxRjfr^ov|56785:58<;4lddw[aouWds<=>?<1<7542=85cegv\`ltXe|r;<=>30?7251=kmo~Thd|Pmtz3456;87<:96bjfu]gmwYj}q:;<=2?>7321>jbn}UoeQbuy2345:76>;:96bjfu]gmwYj}q:;<=2?>9320>jbn}UoeQbuy2345:760;>7akit^fjvZkrp9:;<1>19034?ica|Vnb~Rczx1234969W`g{=>5cegv\`ltXe|r;<=>31?37?ica|Vnb~Rczx123497998<0`hh{_ekq[hs89:;0<0>0035?ica|Vnb~Rczx123497998;::6bjfu]gmwYj}q:;<=2>>00250=kmo~Thd|Pmtz3456;97;8=85cegv\`ltXe|r;<=>31?3750=kmo~Thd|Pmtz3456;978:=95cegv\`ltXe|r;<=>31?121>jbn}UoeQbuy2345:66:;:86bjfu]gmwYj}q:;<=2>>536?ica|Vnb~Rczx1234979<8;?7akit^fjvZkrp9:;<1?15078h``sWmcyS`{w0123848298>0`hh{_ekq[hs89:;0<09149oacrXl`xTaxv?012?5;069<1gikzPdhp\ip~789:7=39>149oacrXl`xTaxv?012?5;>69=1gikzPdhp\ip~789:7=37>5:nfbqYca{Ufyu>?01>2:<76?2fnjyQkis]nq}67896:2Rgbpg9oacrXl`xTc>?0133?ica|Vnb~Ra}0123546?5018h``sWmcySb|?012\mhv13eomxRw6;mgepZ;8730`hh{_x>2:<=kmo~Tu1<19:nfbqY~4:427akit^{?0;d0327>jbn}UrS`{w012185859880`hh{_x]nq}678;6;2>?:;mgepZXe|r;<=<30?]jiu763eomxRwPmtz3454;97;97akit^{\ip~78987=3?>3:nfbqY~Wds<=>=<0<25456bjfu]z[hs89:90<0<149oacrXqVg~t=>?2=3=[lkw981gikzPy^ov|567:585=?5cegv\}Zkrp9:;>1<11018h``sWpUfyu>?03>1:476:2fnjyQv_lw{45654;49=85cegv\}Zkrp9:;>1<1_hos54=kmo~TuRczx1236959:11gikzPy^ov|567:595Sdc_mgepZXe|r;<=<33?325>jbn}UrS`{w01218186:2fnjyQv_lw{45654=4:=>5cegv\}Zkrp9:;>1:11030?ica|VsTaxv?010?0;469;1gikzPy^ov|567:5>5?<;4lddw[|Yj}q:;^knt4722Rgbp59oavue3eoxb|jqtco=>jaWmcy0=0m;md\`lt;93:556bi_ekq84803eyhck>9:npgjtb49427a}losg?5;?c9owfium591<374lralv`:468;0`~m`rd]`iuYby9:;?0^kntZjtkfxnSnc_ds34566981gna}e^antZjs89:;i6b|cnpf[kis89::>?5csbmqaZhh|9:;=Rgbp^npgjtbWge<=>>1e9owfiumVey<=>?219owfiumVey<=>?_hos[iudg{oTc>?013g?kc|VID@B\30?g8j`~sWJEGC_2?>0d8j`~sWJEGC_2?>03f?kc|VID@B\30?0e?kc|VID@B\30?02a>hbp}UHCAA]<1<0b>hbp}UHCAA]<1<05c=imq~TOBB@R=2=04`3:07b3gosxRM@LNP?4;0a3gosxRM@LNP?4;06n2dntyQLOMMQ85809l1eiuzPCNNLV96908;0bhv{_BMOKW:76Vcf|k5aeyv\GJJHZ5;1<3j4ndzw[FIKG[6:2h5aeyv\GJJHZ5;5=<>4ndzw[FIKG[6:2<>>119ma}rXKFFD^1?110324>hbp}UHCAA]<0<264773gosxRM@LNP?5;749o1eiuzPCNNLV9799=;;7ckwt^ALHJT;97;?=<>4ndzw[FIKG[6:2<;>f:lf|qYDGEEY0<0>6g9ma}rXKFFD^1?116d8j`~sWJEGC_2>>33f?kc|VID@B\31?1e?kc|VID@B\31?12b>hbp}UHCAA]<0<75c=imq~TOBB@R=3=14c2:3`2:37a3gosxRM@LNP?5;16n2dntyQLOMMQ848?9l1eiuzPCNNLV9791o1eiuzPCNNLV97918;:7ckwt^ALHJT;97Uba}?=;og{pZEHDFXTmcj?0121e>hbp}UHCAA]_`lg4567W`g{Sckwt^ALHJTXign;<=>>139ma}rXKFFD^Road12357g?1031?kc|VID@B\Paof34545i2dntyQLOMMQ[dhc89:9Sdc_og{pZEHDFXTmcj?010257=imq~TOBB@R^cm`567;;k0bhv{_BMOKWYffm:;<>Qfmq]ma}rXKFFD^Road12374753gosxRM@LNP\ekb789>9m6`jxu]@KIIUWhdo<=>;_hos[kc|VID@B\Paof345269;1eiuzPCNNLVZgil9:;9?o4ndzw[FIKG[Ujbi>?04]jiuYimq~TOBB@R^cm`567=8;97ckwt^ALHJTXign;<=8=a:lf|qYDGEEYSl`k0125[lkwWgosxRM@LNP\ekb789<:=?5aeyv\GJJHZVkeh=>?73c8j`~sWJEGC_Qnne2342YneyUeiuzPCNNLVZgil9:;;hbp}UHCAA]_`lg456?W`g{Sckwt^ALHJTXign;<=6>139ma}rXKFFD^Road123=7g?9031?kc|VID@B\Paof34465i2dntyQLOMMQ[dhc89;;Sdc_og{pZEHDFXTmcj?002257=imq~TOBB@R^cm`5669;k0bhv{_BMOKWYffm:;=?12]jiuYimq~TOBB@R^cm`566;8;97ckwt^ALHJTXign;<<:=a:lf|qYDGEEYSl`k0137[lkwWgosxRM@LNP\ekb788>:=?5aeyv\GJJHZVkeh=>>53c8j`~sWJEGC_Qnne2350YneyUeiuzPCNNLVZgil9::9hbp}UHCAA]_`lg4571W`g{Sckwt^ALHJTXign;<<8>139ma}rXKFFD^Road12237gUba}Qaeyv\GJJHZVkeh=>>7036?kc|VID@B\Pclr\at67899;7ckwt^ALHJTXkdzTi|>?01]jiuYimq~TOBB@R^antZcv89:;=<;4ndzw[FIKG[Uha}Qjq123566=319ma}rXKFFD^Rmbp^gr4565W`g{Sckwt^ALHJTXkdzTi|>?03321>hbp}UHCAA]_bos[`w78998<6`jxu]@KIIUWjg{Sh?011\mhvXflrSNACOS]`iuYby9:;?:1078j`~sWJEGC_Qlmq]fu567>::0bhv{_BMOKWYdeyUn}=>?6^kntZhbp}UHCAA]_bos[`w789<:=85aeyv\GJJHZVif|Rk~012475=imq~TOBB@R^antZcv89:XadzTbhv{_BMOKWYdeyUn}=>?8036?kc|VID@B\Pclr\at6780;>7ckwt^ALHJTXkdzTkh>?0136?kc|VID@B\Pclr\c`6788;>7ckwt^ALHJTXkdzTkh>?0336?kc|VID@B\Pclr\c`678:;>7ckwt^ALHJTXkdzT`h>?0136?kc|VID@B\Pclr\h`6788;>7ckwt^ALHJTXkdzT`h>?0336?kc|VID@B\Pclr\h`678:;>7ckwt^ALHJTXkdzT`h>?0534?kc|VID@B\Pmtz3451;83;5=85aeyv\GJJHZVg~t=>?7=2=53=imq~TOBB@R^ov|567?5:5=<64ndzw[FIKG[Ufyu>?06>3:466911eiuzPCNNLVZkrp9:;;1>11022<>hbp}UHCAA]_lw{4560494:=11332<>hbp}UHCAA]_lw{4560494:?072<>hbp}UHCAA]_lw{4560494:905252=imq~TOBB@R^ov|567?5:5=5?7;og{pZEHDFXTaxv?015?4;7?9820bhv{_BMOKWYj}q:;<:2?>0;25==imq~TOBB@R^ov|567?5:5>=?>7:lf|qYDGEEYS`{w012485859820bhv{_BMOKWYj}q:;<:2?>3325==imq~TOBB@R^ov|567?5:5>??>8:lf|qYDGEEYS`{w01248585;8;37ckwt^ALHJTXe|r;<=930?0754>6;2?;>199ma}rXKFFD^Rczx1233969:?;:;6`jxu]@KIIUWds<=>8<1<13416;2?6>6:lf|qYDGEEYS`{w012485849>1eiuzPCNNLVZkrp9:;;1>13034?kc|VID@B\Pmtz3451;87>:=:5aeyv\GJJHZVg~t=>?7=2=14713gosxRM@LNP\ip~789=7<38>7:lf|qYDGEEYS`{w0124858198=0bhv{_BMOKWYj}q:;<:2?>6323>hbp}UHCAA]_lw{45604943=<84ndzw[FIKG[Ufyu>?06>3:<703gosxRM@LNP\ip~789=7<37>189ma}rXKFFD^Rczx1233969W`g{=85aeyv\GJJHZVg~t=>?7=3=53=imq~TOBB@R^ov|567?5;5=<64ndzw[FIKG[Ufyu>?06>2:466911eiuzPCNNLVZkrp9:;;1?11022<>hbp}UHCAA]_lw{4560484:=hbp}UHCAA]_lw{4560484:?>072<>hbp}UHCAA]_lw{4560484:9>05252=imq~TOBB@R^ov|567?5;5=5?7;og{pZEHDFXTaxv?015?5;7?9820bhv{_BMOKWYj}q:;<:2>>0;25==imq~TOBB@R^ov|567?5;5>=?>7:lf|qYDGEEYS`{w012484859820bhv{_BMOKWYj}q:;<:2>>3325==imq~TOBB@R^ov|567?5;5>??>8:lf|qYDGEEYS`{w01248485;8;37ckwt^ALHJTXe|r;<=931?0754>6:2?;>199ma}rXKFFD^Rczx1233979:?;:;6`jxu]@KIIUWds<=>8<0<13416:2?6>6:lf|qYDGEEYS`{w012484849>1eiuzPCNNLVZkrp9:;;1?13034?kc|VID@B\Pmtz3451;97>:=:5aeyv\GJJHZVg~t=>?7=3=14713gosxRM@LNP\ip~789=7=38>7:lf|qYDGEEYS`{w0124848198=0bhv{_BMOKWYj}q:;<:2>>6323>hbp}UHCAA]_lw{45604843=<84ndzw[FIKG[Ufyu>?06>2:<703gosxRM@LNP\ip~789=7=37>189ma}rXKFFD^Rczx1233979W`g{=:5aeyv\GJJHZVg~t=>>0=295;723gosxRM@LNP\ip~788:7<3?9;og{pZEHDFXTaxv?002?4;7602dntyQLOMMQ[hs89;;0=0>003;?kc|VID@B\Pmtz3446;87;:=<64ndzw[FIKG[Ufyu>?11>3:446911eiuzPCNNLVZkrp9::<1>112323>hbp}UHCAA]_lw{4577494:8<64ndzw[FIKG[Ufyu>?11>3:426911eiuzPCNNLVZkrp9::<1>114323>hbp}UHCAA]_lw{4577494::<94ndzw[FIKG[Ufyu>?11>3:416?2dntyQLOMMQ[hs89;;0=0=1048j`~sWJEGC_Qbuy2355:76:;<7ckwt^ALHJTXe|r;<<>30?1252=imq~TOBB@R^ov|56685:5816058j`~sWJEGC_Qbuy2355:76?;:;6`jxu]@KIIUWds<=??<1<45416:lf|qYDGEEYS`{w0133858>9>1eiuzPCNNLVZkrp9::<1>1903:?kc|VID@B\Pmtz3446;87Uba}?:;og{pZEHDFXTaxv?002?5;713gosxRM@LNP\ip~788:7=3?>7:lf|qYDGEEYS`{w0133848698<0bhv{_BMOKWYj}q:;==2>>334?kc|VID@B\Pmtz3446;978:=;5aeyv\GJJHZVg~t=>>0=3=741?>7:lf|qYDGEEYS`{w0133848398=0bhv{_BMOKWYj}q:;==2>>4322>hbp}UHCAA]_lw{4577484==:5aeyv\GJJHZVg~t=>>0=3=24703gosxRM@LNP\ip~788:7=39>179ma}rXKFFD^Rczx12249790830bhv{_BMOKWYj}q:;==2>>^knt415:lf|qYDGEEYS`{w0130858482dntyQLOMMQ[hs89;80=0Pilr\j`~sWJEGC_Qbuy2356:768;:7ckwt^ALHJTXg{:;<=<7;og{pZEHDFXTc>?01]jiuYimq~TOBB@R^mq456798;0bhv{_BMOKWYhz9:;=?64ndzw[FIKG[Ud~=>?1^kntZhbp}UHCAA]_np34576j2dntyQGSXL@Lg=imq~TZ^WACIc8j`~sdllStj4ndzwh``sWp6;2i5aeyvoacrXq5;5h6`jxunfbqY~4;4o7ckwtmgepZ;;7n0bhv{lddw[|:36o1eiuzcegv\}93=87n0bhv{lddw[|:26820bhv{lddw[|Yflmy~n=>?0=2=5==imq~gikzPy^cg`vse89:;0<0>8:lf|qjbn}UrSljkst`3456;:7;37ckwtmgepZXimnxyo>?01>0:4>?01]jiuYimq~gikzPy^cm`56788;>7ckwtmgepZXkdzTi|>?0113?kc|eomxRwPclr\at6789Uba}QaeyvoacrXqVif|Rk~0123543>4ndzwh``sWpUha}Qjq1235ZojxVdntybjfu]z[fkwWl{;<=?>149ma}rkmo~TuRmbp^ew45679<1eiuzcegv\}ZejxVf<=>?169ma}rkmo~TuRczx123096==7;>7ckwtmgepZXe|r;<=:30?35?kc|eomxRwPmtz3452;87;:;6`jxunfbqY~Wds<=>;<1<25416:lf|qjbn}UrS`{w01278584901eiuzcegv\}Zkrp9:;81>1_hos50=imq~gikzPy^ov|567<5;5=;5aeyvoacrXqVg~t=>?4=3=5417:lf|qjbn}UrS`{w0127848598<0bhv{lddw[|Yj}q:;<92>>23:?kc|eomxRwPmtz3452;97Uba}?:;og{pica|VsTaxv?016?6;713gosxakit^{\ip~789>7>3?>7:lf|qjbn}UrS`{w0127878698<0bhv{lddw[|Yj}q:;<92=>33:?kc|eomxRwPmtz3452;:7Uba}?:;og{pica|VsTaxv?016?7;573gosxakit^{\ip~789>7?3Qfmq]ma}rkmo~TuRczx123095998?0bhv{lddw[|Yj}q:;<92;>048j`~sdllStQbuy2341:368;<7ckwtmgepZXe|r;<=:34?3252=imq~gikzPy^ov|567<5>5>hbp}fnjyQv_lw{45634<4::6`jxunfbqY~Wds<=>;<4<252=imq~gikzPy^ov|567<5?5=hbp}fnjyQv_lw{4562:h1eiuzcegv\}Zkrp9:;9Rgbp^lf|qjbn}UrS`{w0126544hbp}xbkezPy=2=a>hbp}xbkezPy=3=a>hbp}xbkezPy=0=a>hbp}xbkezPy=1=a>hbp}xbkezPy=6=55=imq~yejf{_x>6>58b3gosxghhu]z808612dnty|fgiv\}Zgclzi<=>?<1<2=>hbp}xbkezPy^cg`vse89:;0<0>9:lf|qtnoa~TuRokdrwa45674;4:56`jxupjcmrXqVkoh~{m0123868612dnty|fgiv\}Zgclzi<=>?<5<2f>hbp}xbkezPy^cg`vse89:;084?>0;8j`~sz`mcxRwPaefpqg67896>2<=4ndzwvlao|VsTmcj?0121g>hbp}xbkezPy^cm`5678Vcf|R`jxupjcmrXqVkeh=>?0035?kc|{cldyQv_bos[`w789:8>6`jxupjcmrXqVif|Rk~0123[lkwWgosxghhu]z[fkwWl{;<=>>179ma}ruanbStQlmq]fu5679:80bhv{rhekpZXkdzTi|>?00]jiuYimq~yejf{_x]`iuYby9:;=?05>3:47602dnty|fgiv\}Zkrp9:;81>12034?kc|{cldyQv_lw{45634948=l5aeyvqmbnsWpUfyu>?05>3:Zojx8<0bhv{rhekpZXe|r;<=:31?34?kc|{cldyQv_lw{4563484:=55aeyvqmbnsWpUfyu>?05>2:47602dnty|fgiv\}Zkrp9:;81?12034?kc|{cldyQv_lw{45634848=l5aeyvqmbnsWpUfyu>?05>2:Zojx8<0bhv{rhekpZXe|r;<=:32?34?kc|{cldyQv_lw{45634;4:=55aeyvqmbnsWpUfyu>?05>1:476?2dnty|fgiv\}Zkrp9:;81<120c8j`~sz`mcxRwPmtz3452;:7Uba}?9;og{pwo``}UrS`{w01278684:2dnty|fgiv\}Zkrp9:;81=1_hos[kc|{cldyQv_lw{45634:4:=;5aeyvqmbnsWpUfyu>?05>7:41783?>8:lf|qtnoa~TuRczx123092998;37ckwtskdlqY~Wds<=>;<5<1541783=>a:lf|qtnoa~TuRczx1230929W`g{=;5aeyvqmbnsWpUfyu>?05>6:41793?>8:lf|qtnoa~TuRczx123093998;<7ckwtskdlqY~Wds<=>;<4<15d=imq~yejf{_x]nq}678=6>2Rgbp018j`~sz`mcxRwPmtz34535k2dnty|fgiv\}Zkrp9:;9Rgbp^lf|qtnoa~TuRczx12314743gosxghhu]z[kis89:9>n5aeyvqmbnsWpUecy>?03]jiuYimq~yejf{_x]mkq678;;:=6`zmcgq[dbczlyx0>0>1:lvigcuWhno~h}|<5<25>hrekoySljkrdqp8086;2d~aok}_`fgv`ut4?0;2028jpkem{Ujhi|jsr]05==i}dhn~RokdsgpwZ5Xe|r;<=<>9:lvigcuWhno~h}|_2]nq}678;;:m6`zmcgq[dbczlyxS>Qbuy2347769h1ey`ljr^cg`wct{V9Taxv?010154g=303b?ksjjlxTmij}erq\7Zkrp9:;>9?>9:lvigcuWhno~h}|_2]nq}678;?:o6`zmcgq[dbczlyxS>Qbuy2347Yney;;7c{bbdp\eabumzyT8<64ntoaawYflmxn~Q;_lw{4565901ey`ljr^cg`wct{V>Taxv?01025d=i}dhn~RokdsgpwZ2Xe|r;<=<>10c8jpkem{Ujhi|jsr]7[hs89:9>=55aul`fvZgcl{oxR;Pmtz3454612d~aok}_`fgv`utW?0332e>hrekoySljkrdqp[0Yj}q:;1`9mqhdbzVkohk|s^7\ip~78989=<74ntoaawYflmxn~Q:_lw{4565;8i0bxcmes]b`atb{zU>S`{w0121[lkw991ey`ljr^cg`wct{V<:46`zmcgq[dbczlyxS;Qbuy23477>3gfnh|PaefqavuX>Vg~t=>?203b?ksjjlxTmij}erq\2Zkrp9:;>a:lvigcuWhno~h}|_7]nq}678;8:=45aul`fvZgcl{oxR8Pmtz345449j1ey`ljr^cg`wct{Vvhz}Ujhi|m;qmqpZgclzi46~`ru]`hngvhz}Uyi~{ct`9skwrX{eejho5osv\qubhgh0|~vt:Vidhh2>>c9sw7Ydgmo7>3l4pr0\gjbb4:4i7}}=_bmga929j2zx>Rm`dd>6:g=w{;Uhcik36?f8tv4Xkfnn0:4?>c9sw7Ydgmo7;364pr0\gqtk<2zx>n:4pr0g0>tbimi0~hok_lw{4567l2xnmiQbuy23457b3{ojhRczx123447b3{ojhRczx123477c3{ojhRczx12346773{ojhRczx1234Zojxk1yiljPos23457d3{ojhRa}0123[lkwW{ojhRa}01235==umhnT|~109qmbnsWmcySl`k01236==uanbSig}_`lg4567W`g{Sghhu]gmwYffm:;<=?>1:pjcmrXl`xTmcj?0131<>tnoa~Thd|Paof3457XadzT~digt^fjvZgil9:;=;skdlqYca{Ujbi>?030;?wo``}UoeQnne2347YneyUyejf{_ekq[dhc89:9=?33:8vlao|Vnb~Road1237ZojxVxbkezPdhp\ekb7899:=<5}ifjw[aouWhdo<=>;299qmbnsWmcySl`k0127[lkwW{cldyQkis]bja678=;:=6|fgiv\`ltXign;<=;=8:pjcmrXl`xTmcj?017\mhvXz`mcxRjfr^cm`567=8;:7ghhu]gmwYffm:;<;<7;skdlqYca{Ujbi>?07]jiuYuanbSig}_`lg456198;0~digt^fjvZgil9:;;?64rhekpZbnzVkeh=>?7^kntZtnoa~Thd|Paof34516981yejf{_ekq[dhc89:3>55}ifjw[aouWhdo<=>7_hos[wo``}UoeQnne234=7692xbkezPdhp\ekb7893946|fgiv\`ltXign;<=7Pilr\vlao|Vnb~Road123=4763{cldyQkis]bja6799837ghhu]gmwYffm:;==Qfmq]qmbnsWmcySl`k0133547?13325>tnoa~Thd|Paof3445502xbkezPdhp\ekb7889Te`~PrhekpZbnzVkeh=>>3032?wo``}UoeQnne23514?3{cldyQkis]bja679=Uba}Q}ifjw[aouWhdo<=?;1038vlao|Vnb~Road12217>109qmbnsWmcySl`k01356==uanbSig}_`lg4571W`g{Sghhu]gmwYffm:;=;?>1:pjcmrXl`xTmcj?0051<>tnoa~Thd|Paof3441XadzT~digt^fjvZgil9::;;skdlqYca{Ujbi>?190;?wo``}UoeQnne235=YneyUyejf{_ekq[dhc89;3=>93:8vlao|Vnb~Road122=ZojxVxbkezPdhp\ekb7883:=<5}ifjw[aouWhdo<=?<7;skdlqYca{Ujbi>?23]jiuYuanbSig}_`lg454598;0~digt^fjvZgil9:9??64rhekpZbnzVkeh=>=3^kntZtnoa~Thd|Paof34756981yejf{_ekq[dhc898?>55}ifjw[aouWhdo<=<;_hos[wo``}UoeQnne23617692xbkezPdhp\ekb78;?946|fgiv\`ltXign;;Qfmq]qmbnsWmcySl`k0105547?29325>tnoa~Thd|Paof347?502xbkezPdhp\ekb78;3Te`~PrhekpZbnzVkeh=>=9032?wo``}UoeQnne23754?3{cldyQkis]bja67;9Uba}Q}ifjw[aouWhdo<==?1038vlao|Vnb~Road12057>?>109qmbnsWmcySl`k01116==uanbSig}_`lg4555W`g{Sghhu]gmwYffm:;???>1:pjcmrXl`xTmcj?0211<>tnoa~Thd|Paof3465XadzT~digt^fjvZgil9:8?;skdlqYca{Ujbi>?350;?wo``}UoeQnne2371YneyUyejf{_ekq[dhc899?=<53:8vlao|Vnb~Road1201ZojxVxbkezPdhp\ekb78:?:=<5}ifjw[aouWhdo<==9299qmbnsWmcySl`k0115[lkwW{cldyQkis]bja67;?;:=6|fgiv\`ltXign;<>9=8:pjcmrXl`xTmcj?025\mhvXz`mcxRjfr^cm`564?8;:7ghhu]gmwYffm:;?5<7;skdlqYca{Ujbi>?39]jiuYuanbSig}_`lg455?98;0~digt^fjvZgil9:85?64rhekpZbnzVkeh=><9^kntZtnoa~Thd|Paof346?6981yejf{_ekq[dhc89>;>55}ifjw[aouWhdo<=:?_hos[wo``}UoeQnne23057692xbkezPdhp\ekb78=;946|fgiv\`ltXign;<9?Pilr\vlao|Vnb~Road12754763{cldyQkis]bja67<;837ghhu]gmwYffm:;8?Qfmq]qmbnsWmcySl`k0161547?Sdc_skdlqYca{Ujbi>?45325>tnoa~Thd|Paof3413502xbkezPdhp\ekb78=?Te`~PrhekpZbnzVkeh=>;5037?wo``}UoeQlmq]fu56788?0~digt^fjvZejxVoz<=>?1078vlao|Vnb~Rmbp^gr4567:8?0~digt^fjvZejxVoz<=>?30:8vlao|Vnb~Rmbp^gr4567W`g{=95}ifjw[aouWjg{Sh?01321>tnoa~Thd|Pclr\at6788;:96|fgiv\`ltXkdzTi|>?00021>tnoa~Thd|Pclr\at67889:46|fgiv\`ltXkdzTi|>?00]jiu733{cldyQkis]`iuYby9:;><;4rhekpZbnzVif|Rk~0121543<;4rhekpZbnzVif|Rk~012174>?>5:pjcmrXl`xTo`~Pep234646=2xbkezPdhp\ghvXmx:;<>=>8:pjcmrXl`xTo`~Pep2346Yney;?7ghhu]gmwYdeyUn}=>?4078vlao|Vnb~Rmbp^gr456398?0~digt^fjvZejxVoz<=>;2078vlao|Vnb~Rmbp^gr4563;820~digt^fjvZejxVoz<=>;_hos51=uanbSig}_bos[`w789?:96|fgiv\`ltXkdzTi|>?04321>tnoa~Thd|Pclr\at678<8:96|fgiv\`ltXkdzTi|>?0412<>tnoa~Thd|Pclr\at678?01>3>486<2xbkezPdhp\ip~789:7<3?:;skdlqYca{Ufyu>?01>3:4703{cldyQkis]nq}67896;2<>>169qmbnsWmcyS`{w0123858698;=7ghhu]gmwYj}q:;<=2?>0022>tnoa~Thd|Pmtz3456;87;8=;5}ifjw[aouWds<=>?<1<1543?0=2=74723{cldyQkis]nq}67896;29?9;skdlqYca{Ufyu>?01>3:176=2xbkezPdhp\ip~789:7<3;>6:pjcmrXl`xTaxv?012?4;369?1yejf{_ekq[hs89:;0=091048vlao|Vnb~Rczx1234969?8;>7ghhu]gmwYj}q:;<=2?>935?wo``}UoeQbuy2345:761;::6|fgiv\`ltXe|r;<=>30?;25==uanbSig}_lw{4567494Te`~>4:pjcmrXl`xTaxv?012?5;723{cldyQkis]nq}67896:2?01>2:4669>1yejf{_ekq[hs89:;0<0>1035?wo``}UoeQbuy2345:6688::6|fgiv\`ltXe|r;<=>31?3053=uanbSig}_lw{45674849=<;4rhekpZbnzVg~t=>?0=3=740?01>2:1713{cldyQkis]nq}67896:29?>5:pjcmrXl`xTaxv?012?5;36>2xbkezPdhp\ip~789:7=3;>179qmbnsWmcyS`{w0123848198<0~digt^fjvZkrp9:;<1?17036?wo``}UoeQbuy2345:661;=7ghhu]gmwYj}q:;<=2>>9322>tnoa~Thd|Pmtz3456;973:=55}ifjw[aouWds<=>?<0<\mhv692xbkezPdhp\jjr789;946|fgiv\`ltXff~;<=?Pilr\vlao|Vnb~R``t12354773{cldyQkis]lv5678;<0~digt^fjvZiu89:;Sdc_skdlqYca{Ud~=>?0033?wo``}UoeQ`r123547>3038vlao|Vnb~Ra}01220470~digt^fjvZiu89::Sdc119qmbnsWmcySb|?01012>tnoa~Thd|Pos2347YneyUyejf{_ekq[jt7898:;6|fgiv\}d=uanbSt2?>`9qmbnsWp6:2l5}ifjw[|:56h1yejf{_x>0:d=uanbSt2;>b9qmbnsWp6>6=0n;skdlqY~4<4:>6|fgiv\}ZejxVm<=>?139qmbnsWpUha}Qct123442018vlao|VsTaxv?010?4;76<2xbkezPy^ov|567:5:5==<1<15451>1_hos57=uanbStQbuy2347:66890~digt^{\ip~78987=3?>4:pjcmrXqVg~t=>?2=3=54733{cldyQv_lw{45654849=<=4rhekpZXe|r;<=<31?122>tnoa~TuRczx1236979W`g{=?5}ifjw[|Yj}q:;018vlao|VsTaxv?010?6;76<2xbkezPy^ov|567:585==<3<153=uanbStQbuy2347:56Vcf|<<4rhekpZXe|r;<=<33?0b?wo``}UrS`{w0121868XadzT~digt^{\ip~78987?3?>2:pjcmrXqVg~t=>?2=6=56=uanbStQbuy2347:368;?7ghhu]z[hs89:9090>1068vlao|VsTaxv?010?0;469:1yejf{_x]nq}678;6?2>?9;skdlqY~Wds<=>=<5<\mhv6:2xbkezPy^ov|567:5?5=>5}ifjw[|Yj}q:;037?wo``}UrS`{w012180869890~digt^{\ip~7898793<>6:pjcmrXqVg~t=>?2=7=[lkw;2xda:5}sbmqa4?89qwfium5;556||cnpf878e3{yhck33;2==>ttkfxn0>0j;sq`kwcXign;<=>i;sq`kwcXign;<=>>f:ppgjtbWhdo<=>?2g9qwfiumVkeh=>?0231?wudg{oTmcj?012\mhv692xxob|j_bos[`w789:946||cnpf[fkwWl{;<=>Pilr\vvehzlUha}Qjq12344cuXzlm7=364s^pfc94902yT~hi33?:8wZtbo5>546}Prde?1;><{Vxnk1818:q\v`a;?7k0R|jg=:94;><{Vxnk1617:q\v`aX8>1xSkh_058wZtboV8<7~Q}ef]03>uXzlmT8:5|_sgd[01<{VxnkR88;r]qabY0?2yT~hiP8008wZtboVg~t=>?0=29<;773zUyijQbuy2345:768;0R|jg^ov|56785:5=<<4s^pfcZkrp9:;<1>11031?vYumnUfyu>?01>3:77692yT~hiPmtz3456;879:86}Prde\ip~789:7<3Qfmq33?vYumnUfyu>?01>2:47<{VxnkRczx12349799880R|jg^ov|56785;5=>3325>uXzlmTaxv?012?5;56<2yT~hiPmtz3456;97Uba}??;r]qabYj}q:;<=2=>038wZtboVg~t=>?0=0=544<{VxnkRczx123494998;97~Q}ef]nq}6789692??>1:q\v`aXe|r;<=>32?120>uXzlmTaxv?012?6;Yney;;7~Q}ef]nq}6789682?0=1=54753zUyijQbuy2345:46;;:=6}Prde\ip~789:7?3=>4:q\v`aXe|r;<=>33?]jiu773zUyijQbuy2345:368;0R|jg^ov|56785>5=<<4s^pfcZkrp9:;<1:11031?vYumnUfyu>?01>7:77692yT~hiPmtz3456;<79:86}Prde\ip~789:783Qfmq33?vYumnUfyu>?01>6:47<{VxnkRczx12349399880R|jg^ov|56785?5=3325>uXzlmTaxv?012?1;56<2yT~hiPmtz3456;=7Uba}??;r]qabYj}q:;<=29>038wZtboVg~t=>?0=4=544<{VxnkRczx123490998;97~Q}ef]nq}67896=2??>1:q\v`aXe|r;<=>36?120>uXzlmTaxv?012?2;Yney;;7~Q}ef]nq}67896<2?0=5=54753zUyijQbuy2345:06;;:=6}Prde\ip~789:7;3=>4:q\v`aXe|r;<=>37?]jiu773zUyijQbuy2345:?68;0R|jg^ov|5678525=<<4s^pfcZkrp9:;<1611031?vYumnUfyu>?01>;:77692yT~hiPmtz3456;079:86}Prde\ip~789:743Qfmqa8wZtboVey<=>?1d9p[wc`Wfx;<=>Pilr\wZtboVey<=>?1`9pgdhXzlkou<<4sbcm[wcflpUjbi>?010b?veffVxnmiwPaof3456XadzTnoa_sgb`|Yffm:;<=?>2:q`ekYumhnrSca{01226d=tkhdT~hoky^llp5679Vcf|R}lao]qadb~Wge<=>>1`9pgdhX{}ki<;4sbcm[vrf|lUha}Qjq123443<{jkeS~zntd]`iuYby9:;=<;4sbcm[vrf|lUha}Qhe12340=tmnUj96}jg^`6?vc`Wj?0hiPd79pabYcx<1xijQj5:qfcZ`23zolSj;4sdpuaa=tm{|nSl`k012365=tm{|nSl`k0123[lkwWzoyzhQnne23457c3zoyzhQbuy2345c<{lx}iRczx12344`<{lx}iRczx123447a3zoyzhQbuy234546m2yn~{kPmtz34564981xixj_lw{4567W`g{h6}jrwg\jjr789;9<6}jrwg\jjr789;Te`~PsdpuaZhh|9:;=ub~ldThi34?a8w`pbfVynk1;1c:qfr`hX{lm7:3k4sdtfjZubo5=1<3m4sdtfjZubo5=5n6}jvdl\w`aX8k1xi{ka_rgd[4d<{l|nbR}jg^0a?vcqmgUxijQunog>0dtjf:qlqwebWocgicobee9pkptdmVfbbh`m5:qweqcc3z~jxhQbuy2345c<{}kiRczx12344c<{}kiRczx12347763z~jxhQbuy2345Yney=0yakd{e?vrhxborSl`k012361=t|fz`itQnne2345YneyUxxb~dex]bja6789;m7~z`pjgz[dhc89::>95|tnrha|Yffm:;<?003e?vrhxborSl`k012161=t|fz`itQnne2347YneyUxxb~dex]bja678;;m7~z`pjgz[dhc89:8>95|tnrha|Yffm:;<>Qfmq]ppjvlmpUjbi>?023e?vrhxborSl`k012761=t|fz`itQnne2341YneyUxxb~dex]bja678=;m7~z`pjgz[dhc89:>>95|tnrha|Yffm:;<8Qfmq]ppjvlmpUjbi>?043e?vrhxborSl`k012561=t|fz`itQnne2343YneyUxxb~dex]bja678?;m7~z`pjgz[dhc89:<>95|tnrha|Yffm:;<:Qfmq]ppjvlmpUjbi>?063e?vrhxborSl`k012;61=t|fz`itQnne234=YneyUxxb~dex]bja6781;:>6}{oqif}ZejxVoz<=>?129ppjvlmpUha}Qjq12344743z~d|fkv_bos[`w789:9=>5|tnrha|YdeyUn}=>?0235?vrhxborSnc_ds3456Xadzm7~z`pjgz[hs89:;==5|tnrha|Yj}q:;<=?>1:qwkumbqVg~t=>?00325>usgyanuRczx123477692yc}ejy^ov|5678:;:<6}{oqif}Zkrp9:;<9?<;rvltnc~Wds<=>?_hosb>usgyanuR``t123572<{}e{ghwPnnv3457XadzTyakd{\jjr789;:i6}{oqif}Ziu89:;>?5|tnrha|Yhz9:;?1d9ppjvlmpUd~=>?2g9ppjvlmpUd~=>?20d8wqiwclsTc>?030e?vrhxborSb|?0100b>usgyanuRa}01210c=t|fz`itQ`r12360753z~d|fkv_np3454Xadzj7~{mtsc`pjt03}oxxoba:vjpicXagy;6z}ifefv44<|{clkh|Pclr\at67898j7y|fgfgq[fkwWl{;<=>Pilr\pwo`olxTo`~Pep23457a3}xbkjk}_lw{4567991~dihes]nq}6789;:=6z}ifefvZkrp9:;<1:vqmbabzVg~t=>?03324>ruanmn~Rczx12346743}xbkjk}_lw{4567W`g{46{ashemaa4<}y<0y}j`wo;8rdjrmVibb45yamwf[jve02|lmRlcud;8rbgXo{onb;5yg`]kw==qohUbb~z8;web[lhs?2|lmR|jd79ucdYq{>1}klm`rd78rvik<1|eizg119{g6u589k&8 x~112~DEv59?i0LMv<118E>7<6sZn26>>i:0`1>454=8ni6>6711ym75b=92d8=:1e`97=>682|M>;k50;395?6|[m31?=h51c0956529mh1?56>0:&1`g<6ik1/>>=5479a31g=83;o694=6zN04d<6s-88?77<7:O75d=9r.3io484c9~ 7d22>>j7Wo7:3y7g?>42tP9;h4:{5d9<2<4=39h6?95}n;1b?6=D::j6{%3be?46k2w0c5m>:18O75g=9r.:ml4=299~?j>d:3:1@>>n:0y'5dg=:;=0q6a63283>I57i3;p(5a`816<=z3f2h:7>5L22b>4}#9hk1>77e3t1d4n950;N04d<6s-;jm7<=6:8k=e?290G?=o51z&2ed<5:j1v7d:me;29H66f28q/=lo52328y>i?k<0;6A=?a;3x 4gf2;8j7p5`92094?J48h0:w)?na;023>{>:50:9l70?=83F8{%3be?45=2w/>>;534c8j7532810c>;7:18O75g=9r.:ml4=239~ 7522:?j7c<<4;08?j52?3:1@>>n:0y'5dg=:;90q)<<5;16e>h5;=0876a:4`83>I57i3;p(845a22694>=h==21<7B<0`82!7fi389=6s+227911?5a`815c=z,;9>68:6;o000?4<3f??:7>5L22b>4}#9hk1>><4}%001?3312d9?94<;:m600<72E9;m7?t$0cb>7563t.9?84:489m662=<21d99:50;N04d<6s-;jm7<<0:'663===30b?=;:498k024290G?=o51z&2ed<5:o1v(?=::46:?k44<3<07b;;2;29H66f28q/=lo523g8y!44=3??56`=3584?>d3k00;6o4i1;352~J48h0:wE?7f:O75d=:rd3in4:9:&;ag<060=:009n724=?:09479l:6d92<<1;300=<<0<87sfab83>>i5lj0;66g=6c83>>i6<00;66g=7e83>>ie83:1(?=::`d8j7532910clk50;&170;:k431<72-8897983:l171<732c<;?4?:%001?10;2d9?94>;:k60a<72-8897;;c:l171<732c>8o4?:%001?33k2d9?94>;:`04<<7280;6=u+2c7956g<@::37b?<9;29?xd5lh0;6<<547851~J48h0:wE?7f:O75d=:rd3in49e:&;ag<73t.9n846359Ye=<5s=}2k3=h68j57e824?b=0h0m6pgnc;29?j4ck3:17d<9b;29?j7313:17b?>3:1(?=::0;6?k44<3:07b?64;29 752283>7c<<4;38?j7>;3:1(?=::0;6?k44<3807b?62;29 752283>7c<<4;18?j7>93:1(?=::0;6?k44<3>07b?60;29 752283>7c<<4;78?j44l3:1(?=::31`?k44<3:07b<07b<<7;29 7522;9h7c<<4;78?j44>3:1(?=::31`?k44<3<07o?:1;29b?7=nrF8{I3;b>{K;9h1>v*7ec80?k>bk3?>7p*=b48273=nlh0;66g=7b83>>i58m0;66a=0g83>>i5990;66a=1083>>i59;0;66a=1283>>i59=0;66g>8883>>o60h0;66g>8c83>>o60j0;66g>8e83>>o60l0;66l>3483>c>n:0yK5=`6=44o32g>5<5<5<5<:183!4e=3;8m6F<099l56?=831vn<>6:187>5<7s-8i97??3:J04==K;9k1=v*>8687?xof13:17dok:188mab=831d>8650;9~f477290?6=4?{%0a1?77;2B8<55C31c95~"60>0?7pgn9;29?lgc2900eij50;9l60>=831vn5<7s-8i97??3:J04==K;9k1=v*>8687?xof13:17dok:188mab=831d>8650;9~f47b290?6=4?{%0a1?77;2B8<55C31c95~"60>0?7pgn9;29?lgc2900eij50;9l60>=831vn<=<:187>5<7s-8i97??6:J04==K;9k1=v*>8686?xof13:17djk:188m7332900c?;7:188yg75=3:187>50z&1f0<68:1C?=64L22b>4}#91=186sfa883>>ofl3:17djk:188k73?2900qo?=c;290?6=8r.9n84>029K75>{ni00;66gnd;29?lbc2900c?;7:188yg77n3:197=57z&1f0<68o1b=5j50;9j644=831b=5k50;9j645=831d==o50;9a55c=8391<7>t$3`6><5<@::37d?87;29?l7003:17b?if;29?xd68j0;6>4?:1y'6g3=1;1C?=64i054>5<5<53;294~"5j<09vP=139>55e=9>20q~?7e;296~X60l16==k51658yv46;3:1>vP=129>55c=9>20q~??a;296~X68h16==j51gd8yv77j3:1>v3>0d82bc=:99n1=:94}r33g?6=:r7:fg9>55b=9>20qpl>1783>0<42>q/>o;51048m4>d2900e??>:188m4>c2900e??=:188k4762900n5<7s-8i977<;I13<>o6?>0;66g>7983>>i6no0;66sm10194?5=83:p(?l::808L66?3`;<;7>5;h345;|`251<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xu60j0;6?uQ19a8947428=<7p}=1083>7}Y:8;017}Y:88011383>7}:98?1=kh4=037>4103ty:=>4?:3y>545=9ol018;29?g76k3:1?7>50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj8;j6=4<:183!4e=3397E=?8:k232<722c:;54?::m2bc<722wi=6?>=;I13<>o6?>0;66g>7983>>i6no0;66s|19`94?4|V82i70?>a;343>{t:8:1<7c;343>{t:8;1<7b;3eb>{t9831<74`a34;:n7?87:p54g=838p15<22:0o60h0;66g=0e83>>o60k0;66g=1183>>i69o0;66l>2283>6<729q/>o;5929K75>5<N4811b=:950;9j52>=831d=kh50;9~f44529086=4?{%0a1?47:2B8<55f16594?=n9>21<75`1gd94?=z{82j6=4={_3;e>;6:80:;:5rs32g>5<5sW8;h63>20823==z{82i6=4={_3;f>;6::0:;:5rs333>5<5sW8:<63>22823==z{8;m6=4={_32b>;6:;0:jk5rs003>5<5s4;9?7?if:?267<6?>1v<<>:18187593;mj63>23823==zuk;9n7>55;193~"5j<0:>o5f19;94?=n:9l1<75f19c94?=n:9n1<75`13494?=e9;k1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th:>54?:283>5}#:k?15?5G31:8m4102900e<97:188k4`a2900qo?=9;297?6=8r.9n84=039K75>5<52z\14c=:9;21=:64}r3;e?6=:rT:4l5213c952152z\14a=:9;k1=:64}r312?6=:rT:>;5213;95c`52z?26d<6no16=?751658yv7503:1>v3>2982bc=:9;31=:64}|`277<72?0865u+2c795645<5<5<64<4H22;?l70?3:17d?88;29?j7an3:17pl>3183>1<729q/>o;52168L66?3`;<;7>5;h345;n3eb?6=3ty:4h4?:3y]5=c<588m6<98;|q156<72;qU><=4=00e>41?3ty:?>4?:3y]565<589;6<98;|q2<<<72;qU=574=012>4103ty94`a3ty:>h4?:3y>567=9ol01<=?:05;?xu6:o0;6?u213d95c`<589;6<9i;|a643=8381<7>t$3`6>4>?3A9;46g>7783>>i6no0;66s|12194?4|58986?;7;<306?74;2wx=5750;1xZ4>>34;9n7?79:?277<6001v<6n:180[7?i27:>94>8`9>57d=91k0q~?7b;297~X60k16=8b83>6}Y91i01;69?0:4i5rs0:f>5<4sW;3i63>0g82<`=:9:81=5k4}rf`>57;c:?876m3k270?<3;c:?875=3k270?=c;c:?xuf=3:14vPn5:?24<57e=im1v?>k:186[47l27:>84=599>572=:9n01<;6:k0954z\155=:98o1>864=03g>77734;987<>0:p647=83>pR??>;<323?42027:=;4=109>54b=:8;0q~<>2;290~X59;16=<>524:8946a2;;970?>6;026>{t:891<7:t^330?877138>463>0g8156=:9:81><=4}r020?6=:rT9=95220795c`52z?24<0`9~w4762909w0?>0;fg?876>3;:=6s|10:94?4|58;<6ij4=03g>47?3ty:=k4?:3y>54c=lm16=?:510d8yv75>3:1>v3>248g`>;6:k0:>;5rs00g>5<5s4;9o7jk;<306?75l2wx=>:50;0x966>289270?<3;fg?x{tlh0;6?uQd`9>563=lj1v?9l:181[40k27:?84n5:p65b=838pR?>k;<301?47l2wx>=h50;0xZ76a34;8971:p644=838pR??=;<301?46:2wx><=50;0xZ77434;897<>3:p642=838pR??;;<301?46<2wx=5750;0xZ4>>34;897?79:p5=g=838pR<6n;<301?7?i2wx=5l50;0xZ4>e34;897?7b:p5=e=838pR<6l;<301?7?k2wx=5j50;0xZ4>c34;897?7d:p5=c=838pR<6j;<301?7?m2wvn?k;:18g>4oci3:17d<8c;29?j47l3:17b1;29?j46:3:17b<>3;29?l7?13:17d?7a;29?l7?j3:17d?7c;29?l7?l3:17o?<5;29`?c=96?k;;h3;=?6=3`;3m7>5;h3;f?6=3`;3o7>5;h3;`?6=3`nh6=44i`794?=h:9n1<75`21d94?=h:8:1<75`20394?=h:881<75`20194?=e;931<7?50;2x 7d2289j7E=?8:m27<<722wi==750;694?6|,;h>6<><;I13<>J48h0:w)?77;68ylg>2900elj50;9j`a<722e9954?::a546=83>1<7>t$3`6>4643A9;46B<0`82!7??3>0qdo6:188mdb=831bhi4?::m11=<722wi=<950;694?6|,;h>6<><;I13<>J48h0:w)?77;68ylg>2900elj50;9j`a<722e9954?::a54c=83>1<7>t$3`6>4643A9;46B<0`82!7??3>0qdo6:188mdb=831bhi4?::m11=<722wi=>=50;694?6|,;h>6<>9;I13<>J48h0:w)?77;78ylg>2900eij50;9j602=831d>8650;9~f442290?6=4?{%0a1?77;2B8<55C31c95~"60>0?7pgn9;29?lgc2900eij50;9l60>=831vn<5<7s-8i97??3:J04==K;9k1=v*>8687?xof13:17dok:188mab=831d>8650;9~f46a290>6>48{%0a1?77n2c:4n4?::k14a<722c:4i4?::k156<722e:50z&1f0<>:2B8<55f16594?=n9>21<75`1gd94?=zj8:o6=4<:183!4e=38;>6F<099j521=831b=:650;9l5c`=831v<6l:181[7?k27:769~w76c2909wS769~w7742909wS<>3:?24`<6?11v<>n:181[77i27:fg9~w46e2909w0??e;3eb>;68m0:;:5rs02`>5<5s4;;o7?if:?24a<6?11vqo?>6;291?5=?r.9n84>179j5=d=831b><<50;9j5=e=831b>=j50;9l547=831i=<;50;194?6|,;h>64=4H22;?l70?3:17d?88;29?j7an3:17pl>1283>6<729q/>o;5939K75>5<vP>8c9>545=9>=0q~<>2;296~X59;16=<=516:8yv7?k3:1>vP>8b9>543=9>=0q~vP>109>542=9ol0q~?>2;296~;69<0:jk52106952152z?256<6no16=<:516:8yxd69m0;684<:6y'6g3=98n0e<66:188m76a2900e<6n:188m7762900c7683>>o6?10;66a>fg83>>{e98k1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th:=o4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17p}>8883>7}Y913018`83>7}Y91k011983>7}Y982013;29?l7?13:17d<>0;29?j76n3:17o?=3;297?6=8r.9n8463:J04==n9>=1<75f16:94?=h9ol1<75rb002>5<4290;w)t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{t91n1<7{t9131<7{t98l1<7{zj88i6=4;:285!4e=3;9n6g>8883>>o5990;66g=0g83>>i6:?0;66l>2`83>6<729q/>o;5929K75>5<N4811b=:950;9j52>=831d=kh50;9~f44>29086=4?{%0a1?47:2B8<55f16594?=n9>21<75`1gd94?=z{8226=4<{_3;=>;6:h0:;:5213:952152z\155=:9;21=:64}r03b?6=:rT952z\263=:9;31=kh4}r313?6=:r7:>l4>fg9>57?=9>=0q~?=8;296~;6:10:jk5213;952>=}#:k?1=><4i0:b>5<5<5<5<4290;w)t$3`6><4<@::37d?87;29?l7003:17b?if;29?xd6;90;694?:1y'6g3=:9>0D>>7;h343?6=3`;<47>5;h34b?6=3f;mj7>5;|q24103ty9=<4?:3y]647<588m6<97;|q276<72;qU=>=4=013>4103ty:4o4?:3y]5=d<589:6<98;|q157<72;qU><<4=012>41?3ty:>i4?:3y]57b<589;6?51gd8945728=37p}>2g83>7}:9;l1=kh4=013>41a3twx=>=50;0x94542;?370?<2;307>{t9131<7:t^0::?876l3;3563>2582<<=:9;h1=574}r3;e?6=;rT:4l5210f95=g<58996<6n;|q24>e34;8>7?7b:p5=e=839pR<6l;<33b?7?k27:=;4>8b9~w4>c2908wS?7d:?24c<60m16=?:519f8yvbd2903wSjl;<33=?g>34;:<7o6;<323?g>34;:i7o6;<307?g>34;997o6;<31g?g>3tyj97>58z\b1>;6800jh63>118b`>;69>0jh63>1d8b`>;6;:0999521379ea=:9;i1mi5rs32g>5<3sW8;h63>11811==:99l1>=j4=035>76c3ty96?;7;<32`?47n27:>o4=0g9~w777290?wS<>0:?25`<5=116=?:52028944e2;;;7p}=1083>1}Y:8;01338154=z{;;96=4;{_026>;6:j0995521049644<58996??=;|q156<72=qU><=4=02:>73?34;;j7<>3:?261<59:1v<>n:18187713no70??f;33e>{t98;1<7ab<58;=6;|q25=<72;q6=<95de9>54b=9820q~?>f;296~;69l0oh63>25825c=z{88=6=4={<311?bc34;9n7?=6:p57b=838p1<3583>7}:;931=>74=010>ab52z\ge>;6;<0oo6s|26a94?4|V;=h70?<5;c6?xu58m0;6?uQ21f894522;:o7p}=0g83>7}Y:9l01<=::32e?xu5990;6?uQ202894522;;;7p}=1083>7}Y:8;01<=::332?xu59;0;6?uQ200894522;;97p}=1283>7}Y:8901<=::330?xu6000;6?uQ19;8945228227p}>8`83>7}Y91k01<=::0:b?xu60k0;6?uQ19`89452282i7p}>8b83>7}Y91i01<=::0:`?xu60m0;6?uQ19f89452282o7psmc483>1<729q/>o;51148L66?3E9;n76=i0li19;5ri`;94?=nlm0;66g=5583>>i5=10;66sm12a94?2=83:p(?l::025?M5702F85<5<3290;w)N4811G?=l52z&;ag<43g2no7;8;|kb=?6=3`no6=44i377>5<>m:3y'<`d=;2d3in4:e:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4:e:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4:e:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4:e:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4:e:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4:e:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4:e:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4:8:je<<722cjh7>5;hfg>5<>m:3y'<`d=;2d3in4k3:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4k3:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4k3:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4k3:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4k3:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4k3:je<<722cjm7>5;hfg>5<>m:3y'<`d=;2d3in4lf:je<<722coh7>5;h060?6=3f8>47>5;|`1g3<72=0;6=u+2c79550<@::37A=?b;0x =ce2:1e4hm5cd9~md?=831bhi4?::k111<722e9954?::a6de=83>1<7>t$3`6>4623A9;46B<0c81!>bj390b5kl:658ylg>2900elo50;9j`a<722e9954?::a6db=83>1<7>t$3`6>4623A9;46B<0c81!>bj390b5kl:658ylg>2900elo50;9j`a<722e9954?::a6dc=83>1<7>t$3`6>4623A9;46B<0c81!>bj390b5kl:658ylg>2900elo50;9j`a<722e9954?::a6d`=83>1<7>t$3`6>4623A9;46B<0c81!>bj390b5kl:658ylg>2900elo50;9j`a<722e9954?::a6g6=83>1<7>t$3`6>4623A9;46B<0c81!>bj390b5kl:658ylg>2900elo50;9j`a<722e9954?::a6g7=83>1<7>t$3`6>4623A9;46B<0c81!>bj390b5kl:658ylg>2900elo50;9j`a<722e9954?::a6g4=83>1<7>t$3`6>4623A9;46B<0c81!>bj390b5kl:658ylg>2900elo50;9j`a<722e9954?::a61<7>t$3`6>4623A9;46B<0c81!>bj390b5kl:02f?xof13:17don:188mab=831d>8650;9~f7?c290?6=4?{%0a1?77=2B8<55C31`96~"?mk087c6jc;33a>{ni00;66gna;29?lbc2900c?;7:188yg4>m3:187>50z&1f0<68<1C?=64L22a>7}#0lh1?6`7eb824`=zah31<75fa`83>>ocl3:17b<:8;29?xd51o0;694?:1y'6g3=99?0D>>7;M13f?4|,1oi6>5a8da955c>{e:h:1<7:50;2x 7d228:>7E=?8:N04g<5s-2nn7=4n9g`>46b3tcj57>5;hcb>5<5<5c;294~J48k02w)69b;6`e>"3l102>45+7439`a=#?=i1m?5+747960><,>>m6io4n665>4=#0lh1?6`7eb80=>{#:k?1=>j4Z`:95~c=u`nj6=44i`194?=h:<31<7*=348122=i::>1565`24`94?"5;<09::5a2269<>=h:1;65`24d94?"5;<09::5a22692>=h:?:1<7*=348122=i::>1965`27094?"5;<09::5a22690>=h:?>1<7*=348122=i::>1?65`27794?"5;<09::5a22696>=h:?<1<7*=348122=i::>1=65`27:94?"5;<09::5a22694>=zj;hj6=4l:183I57j33p(58m:5ab?!2c033956*8508g`>"06*854811==#?=l1hl5a75495>"?mk087c6jc;61?x"5j<09nl5Ua982`>i5=00;6)<<5;053>h5;=0276a=5c83>!44=38=;6`=358;?>i5=m0;6)<<5;053>h5;=0<76a=5g83>!44=38=;6`=3585?>i5>90;6)<<5;053>h5;=0>76a=6383>!44=38=;6`=3587?>i5>=0;6)<<5;053>h5;=0876a=6483>!44=38=;6`=3581?>i5>?0;6)<<5;053>h5;=0:76a=6983>!44=38=;6`=3583?>{e9<<1<7l53;fx 7d228?=7b?:2;29?l74m3:17d?3:17d?;8;29?g72;3:197>50z&1f0<>k2B8<55f16594?=n9>21<75f16d94?=n91:1<75`1gd94?=zj8??6=4::183!4e=33o7E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi=8;50;794?6|,;h>64l4H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17p}>5383>7}Y9<801<;::0de?xu6;l0;6?uQ12g8943428=37p}>3g83>7}Y9:l01<;<:054?xu6<90;6?uQ15289434282;7p}>4083>7}Y9=;01<;<:05e?xu6<;0;6?uQ1508943328=<7p}>4283>7}Y9=901<;;:05e?xu6<=0;6?uQ1568943328=37p}>4483>7}Y9=?01<;;:0:3?xu64983>7}Y9=201<;::05;?xu6=:0;6?u214195c`<58?>6<9i;|q211<72;q6=8:51gd89432282;7psm14`94?d=;3np(?l::07a?j72?3:17d?=1<75f16:94?=n9>l1<75f19294?=n91;1<75f19094?=n9191<75f19694?=h9ol1<75rb07:>5<4290;w)t$3`6><4<@::37d?87;29?l7003:17b?if;29?xu6=>0;6?uQ1458943f28lm7p}>3d83>7}Y9:o01<;7:05;?xu6;o0;6?uQ12d8943?28=<7p}>4183>7}Y9=:01<;7:05e?xu6<80;6?uQ1538943?282;7p}>4383>7}Y9=801<;7:0:2?xu6<:0;6?uQ1518943?28287p}>4583>7}Y9=>01<;7:0:1?xu6<<0;6?uQ1578943>28=<7p}>4783>7}Y9=<01<;6:05;?xu6<10;6?uQ15:8943?282?7p}>5983>7}:9<21=kh4=07b>4103ty:944?:3y>50?=9ol01<;n:05;?x{e9?:1<7l53;fx 7d228<;7b?:c;29?l74m3:17d?3:17d?;8;29?g72l3:197>50z&1f0<>j2B8<55f16594?=n9>21<75f16d94?=n91:1<75`1gd94?=zj8?n6=4::183!4e=33o7E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi=8h50;794?6|,;h>64l4H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17p}>5b83>7}Y93g83>7}Y9:l01<;k:054?xu6<90;6?uQ1528943c28=m7p}>4083>7}Y9=;01<;k:05;?xu6<;0;6?uQ1508943b28=<7p}>4283>7}Y9=901<;j:05e?xu6<=0;6?uQ1568943b28=37p}>4483>7}Y9=?01<;j:0:3?xu64983>7}Y9=201<;i:05;?xu6=m0;6?u214f95c`<58?m6<9i;|q21`<72;q6=8k51gd8943a282;7psm17794?d=;3np(?l::046?j7193:17d?=1<75f16:94?=n9>l1<75f19294?=h9ol1<75rb040>5<2290;w)t$3`6>80;6?uQ1738940328lm7p}>3d83>7}Y9:o01<8=:05e?xu6;o0;6?uQ12d8940528=37p}>4183>7}Y9=:01<8=:0:3?xu6<80;6?uQ1538940528=<7p}>4383>7}Y9=801<8<:054?xu6<:0;6?uQ1518940428=m7p}>4583>7}Y9=>01<8<:05;?xu6<<0;6?uQ15789404282;7p}>4783>7}Y9=<01<8;:054?xu6<10;6?uQ15:8940328=37p}>6383>7}:9?81=kh4=047>41a3ty::>4?:3y>535=9ol01<8;:0:3?x{e9?k1<7l53;fx 7d2283:17d?;8;29?g71?3:197>50z&1f0<>12B8<55f16594?=n9>21<75f16d94?=n91:1<75`1gd94?=zj8<36=4::183!4e=33i7E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi=;750;794?6|,;h>64l4H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17p}>6783>7}Y9?<01<86:0de?xu6;l0;6?uQ12g8940028=37p}>3g83>7}Y9:l01<88:054?xu6<90;6?uQ1528940028=m7p}>4083>7}Y9=;01<88:0:3?xu6<;0;6?uQ1508940?28=m7p}>4283>7}Y9=901<87:054?xu6<=0;6?uQ1568940?282;7p}>4483>7}Y9=?01<87:05;?xu628=<7p}>4983>7}Y9=201<86:05;?xu6>>0;6?u217595c`<58<26<9i;|q22=<72;q6=;651gd8940>282;7psm17d94?d=;3np(?l::04e?j71j3:17d?=1<75f16:94?=n9>l1<75f19294?=h9ol1<75rb04g>5<2290;w)t$3`6>k0;6?uQ17`8940b28lm7p}>3d83>7}Y9:o01<8l:054?xu6;o0;6?uQ12d8940d28=37p}>4183>7}Y9=:01<8l:05e?xu6<80;6?uQ1538940c28=<7p}>4383>7}Y9=801<8k:05;?xu6<:0;6?uQ1518940d282;7p}>4583>7}Y9=>01<8k:05e?xu6<<0;6?uQ1578940c282;7p}>4783>7}Y9=<01<8j:054?xu6<10;6?uQ15:8940b28=37p}>6b83>7}:9?i1=kh4=04f>41a3ty::i4?:3y>53b=9ol01<8j:0:3?x{e:l31<7l53;fx 7d22;o27b3:197>50z&1f0<>k2B8<55f16594?=n9>21<75f16d94?=n91:1<75`1gd94?=zj;o<6=4::183!4e=33o7E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi>h650;794?6|,;h>64l4H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17p}=e483>7}Y:l?01?k7:0de?xu5jk0;6?uQ2c`897c1282;7p}=bb83>7}Y:ki01?k9:054?xu5jm0;6?uQ2cf897c128=37p}=bd83>7}Y:ko01?k9:05e?xu5jo0;6?uQ2cd897c028=<7p}=c183>7}Y:j:01?k8:05e?xu5k80;6?uQ2b3897c028=37p}=c383>7}Y:j801?k8:0:3?xu5k:0;6?uQ2b1897c?28=<7p}=c483>7}Y:j?01?k7:05;?xu5m?0;6?u22d495c`<5;o36<9i;|q1a2<72;q6>h951gd897c?282;7psm2dg94?d=;3np(?l::3gf?j4bi3:17d=1<75f16:94?=n9>l1<75f19294?=h9ol1<75rb3g`>5<2290;w)t$3`6>7}Y:kh01?km:05;?xu5jj0;6?uQ2ca897ce28=<7p}=be83>7}Y:kn01?km:05e?xu5jl0;6?uQ2cg897cd28=<7p}=bg83>7}Y:kl01?km:0:3?xu5k90;6?uQ2b2897cd28=m7p}=c083>7}Y:j;01?kl:05;?xu5k;0;6?uQ2b0897cd282;7p}=c283>7}Y:j901?kk:054?xu5k<0;6?uQ2b7897cc28=37p}=ec83>7}::lh1=kh4=3gg>41a3ty9in4?:3y>6`e=9ol01?kk:0:3?x{e:o91<7l53;fx 7d22;l87b50z&1f0<>k2B8<55f16594?=n9>21<75f16d94?=n91:1<75`1gd94?=zj;l:6=4::183!4e=33o7E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi>k<50;794?6|,;h>64l4H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17p}=eg83>7}Y:ll01?h=:0de?xu5jk0;6?uQ2c`897`728=37p}=bb83>7}Y:ki01?h?:054?xu5jm0;6?uQ2cf897`7282;7p}=bd83>7}Y:ko01?h?:05e?xu5jo0;6?uQ2cd897`628=<7p}=c183>7}Y:j:01?h>:05e?xu5k80;6?uQ2b3897`628=37p}=c383>7}Y:j801?h>:0:3?xu5k:0;6?uQ2b1897`528=<7p}=c483>7}Y:j?01?h=:05;?xu5n90;6?u22g295c`<5;l96<9i;|q1b4<72;q6>k?51gd897`5282;7psm2g:94?d=;3np(?l::3d;?j4a<3:17d=1<75f16:94?=n9>l1<75f19294?=n91;1<75f19094?=n9191<75f19694?=h9ol1<75rb3d5>5<4290;w)t$3`6><4<@::37d?87;29?l7003:17b?if;29?xu5n=0;6?uQ2g6897`028lm7p}=bc83>7}Y:kh01?h::05;?xu5jj0;6?uQ2ca897`228=<7p}=be83>7}Y:kn01?h::05e?xu5jl0;6?uQ2cg897`2282;7p}=bg83>7}Y:kl01?h::0:2?xu5k90;6?uQ2b2897`128=<7p}=c083>7}Y:j;01?h::0:1?xu5k;0;6?uQ2b0897`228287p}=c283>7}Y:j901?h::0:7?xu5k<0;6?uQ2b7897`128=37p}=f483>7}::o?1=kh4=3d4>4103ty9j;4?:3y>6c0=9ol01?h8:05;?x{e:on1<7l53;fx 7d22;lo7b50z&1f0<>j2B8<55f16594?=n9>21<75f16d94?=n91:1<75`1gd94?=zj;li6=4::183!4e=33o7E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi>km50;794?6|,;h>64l4H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17p}=f883>7}Y:o301?hl:0de?xu5jk0;6?uQ2c`897`f282;7p}=bb83>7}Y:ki01?hn:054?xu5jm0;6?uQ2cf897`f28=m7p}=bd83>7}Y:ko01?hn:05;?xu5jo0;6?uQ2cd897`e28=<7p}=c183>7}Y:j:01?hm:05e?xu5k80;6?uQ2b3897`e28=37p}=c383>7}Y:j801?hm:0:3?xu5k:0;6?uQ2b1897`d28=<7p}=c483>7}Y:j?01?hl:05;?xu5nh0;6?u22gc95c`<5;lh6<9i;|q1bg<72;q6>kl51gd897`d282;7psm2d194?5=:3>p(?l::3g0?j4b83:17d=1<75f16:94?=h9ol1<75rb3g1>5<4290;w)N4811b=:950;9j52>=831d=kh50;9~w7c72909wS769>6`4=9>=0q~h?516:8yv4b93:1>v3=e082bc=::l81=:64}|`g2?6=m331=;u+2c79`3=hk?0;66g>7083>>o6=;0;66g>7183>>o6=>0;66g>7383>>o6=j0;66g>7283>>o6>80;66g>7583>>o6>?0;66g>7483>>o6>k0;66gk7;29?gb229086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{ek10;6>4?:1y'6g3=1;1C?=64i054>5<5<5}#:k?15?5G31:8m4102900e<97:188k4`a2900qomj:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66smd183>6<729q/>o;5939K75>5<5<2290;w)N4811b=:950;9j52>=831b=:h50;9j5=6=831d=kh50;9~fa5=83>1<7>t$3`6>7623A9;46g>7683>>o6?10;66g>7g83>>i6no0;66smd583>6<729q/>o;52108L66?3`;<;7>5;h345;|q`2?6=:rTh:63k4;3eb>{t9>;1<75383>7}Y9<801i;516:8yv7083:1>vP>719>g=<6?>1v<;8:181[72?27h47?88:p524=838pR<9=;4103ty:9n4?:3y]50e<5jk1=:64}r347?6=:rT:;>52cb8232=z{8<:6=4={_355>;dk3;<46s|16694?4|V8=?70mj:054?xu6>?0;6?uQ17489fc=9>20q~?85;296~X6?<16h=4>769~w40e2909wS?9b:?g4?7002wxh:4?:3y]`2=:l:0:;:5rsb594?4|5m?1=kh4=e095214`a34n96<97;|q`=?6=:r7hm7?if:?g6?70n2wxoo4?:3y>gf<6no16h?4>819~wfb=838p1nk51gd89a5=9>l0q~mi:1818b728lm70j<:05;?xuc93:1>v3k2;3eb>;c<3;<;6s|d383>7}:l:0:jk52d5823==zuk;><7>53;090~"5j<0:9=5`15f94?=n9>>1<75f17494?=e9=o1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th:8k4?:283>5}#:k?1>==4H22;?l70?3:17d?88;29?j7an3:17p}>4e83>7}Y9=n01<:i:0de?xu6?=0;6>uQ1668942b28=<70?;f;343>{t9?<1<7n6<<6=r.9n84=d69l6f1=831b?=>50;9j6`3=831b>kh50;9j757=831b>ho50;9j754=831b>hh50;9j6cc=831b>k:50;9j755=831b>k750;9j6a>=831i>i850;194?6|,;h>64<4H22;?l70?3:17d?88;29?j7an3:17pl=c883>6<729q/>o;5939K75>5<N4811b=:950;9j52>=831d=kh50;9~f7ec29086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e:jl1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th9h<4?:283>5}#:k?15?5G31:8m4102900e<97:188k4`a2900qo5<5<5<52z\045=::m<1=:94}r0f1?6=;rT9i8522e4952><5;i26<97;|q1bc<72;qU>kh4=3a:>4103ty8<<4?:3y]757<5;ii6<98;|q1ad<72;qU>ho4=3aa>41?3ty8hh4=3ag>41?3ty9jh4?:3y]6cc<5;im6<98;|q1b1<72;qU>k:4=3ae>41?3ty8<>4?:3y]755<5;n:6<98;|q1b<<72;qU>k74=3f2>41?3ty9h54?:3y]6a><5;n?6<98;|q1g=<72;q6>i851gd897b428=<7p}=c883>7}::j31=kh4=3f0>41?3ty9ol4?:3y>6fd=9ol01?j<:05e?xu5kj0;6?u22bf95c`<5;n86<6?;|q1g`<72;q6>nh51gd897b328=m7p}=d183>7}::m;1=kh4=3f7>41?3ty9h?4?:3y>6a5=9ol01?j::054?xu5l:0;6?u22e695c`<5;n>6<97;|a5d?=8391<7>t$3`6><4<@::37d?87;29?l7003:17b?if;29?xd6i?0;6>4?:1y'6g3=1;1C?=64i054>5<5<53;294~"5j<02>6F<099j521=831b=:650;9l5c`=831vn5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm1`294?5=83:p(?l::808L66?3`;<;7>5;h345;|`2=`<72:0;6=u+2c79=7=O;920e<98:188m41?2900c50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj;k86=4<:183!4e=3387E=?8:k232<722c:;54?::m2bc<722wi>o750;194?6|,;h>64<4H22;?l70?3:17d?88;29?j7an3:17pl=5283>6<729q/>o;5939K75>5<N4811b=:950;9j52>=831d=kh50;9~f72b29086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e:=i1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th98l4?:283>5}#:k?15?5G31:8m4102900e<97:188k4`a2900qo<;8;297?6=8r.9n8462:J04==n9>=1<75f16:94?=h9ol1<75rb365>5<4290;w)0;6=u+2c7965d<@::37d?87;29?l7003:17d?8f;29?l7?83:17d?71;29?l7?:3:17b?if;29?xd5l00;6:4?:1y'6g3=:9h0D>>7;h343?6=3`;<47>5;h34b?6=3`;3<7>5;h3;5?6=3`;3>7>5;n3eb?6=3th9:i4?:383>5}#:k?1=564H22;?l70>3:17b?if;29?xufk3:1=8uQab9>507=lh16o84n9:?27f4n9:?101;l50;axZ70e34;>=7<8c:?1a1<5?j16o84=559>56e=:<>01<:6:377?84e?3ko70;5k?0999522c69521<5;k86<98;<05`?70>2wx=9750;0xZ42>34;?57<:8:p56e=838pR<=l;<30g?4202wx>i650;0x97b02;n370{tk<0;6?u2c4811==:9:n1m>5rs3a4>5<5s48h:7jk;<0g3?4d?2wx?>l50;0x94362;;?70?1=kh4}r366?6=;r7:9<4>889>500=9<801i851408yv72?3:1?v3>5082o7>53z?214<60k16=;>514a89a0=941?3ty::;4?:5y>507=91n01<8n:045?8b128<=70?:0;352>{t9?h1<7=t=072>4>b34;=j7?9b:?g2?71j2wx=::50;6x94362;;970j9:057?87283;<863k8;3;5>{t9>?1<7=t=072>77434n=6<9:;4>53ty:;=4?:cy>507=:9n01i85162897d328=370<:3;343>;5=90:;:5225g9521<5;>h6<98;<07e?70?279854>769>610=9>=01i6516:8yv7093:1?v3>50814c=:l?0:;<52d98232=z{8=96=4<{<365?46827o:7?82:?g{t:h81<79t=3;`>dg<5;3o6lo4=3;f>dg<5;3m6lo4=3c3>dg<5;k:6lo4=3c0>4`a3tyo;7>52z?g2?b034n36o95de9>6g?=9ol0q~1hl522c5960><583<6l74=0;;>d?<58326l74=0;b>d?<583i6l74=0;`>d?<5;nh6l74=3a5>d?<5;3h6l74=3;g>d?<5;3n6l74=3;e>d?<5;k;6l74=3c2>d?<5;hj6io4}r0f1?6=889>6`?=:l?01?k<:3g6?84c?38n96s|2d294?4|5;nh6ij4=3g0>7c73ty9il4?:2y>6`2=91k01?kj:3gb?84c?38nm6s|2dd94?5|5;o?6<6m;<0e7?4bn279h:4=eg9~wf0=838p1n;5de9>`32wx>k:50;1x97c3282h70;5l>09j95rs3d:>5<4s48n87?7d:?1ba<5n016>i952g;8yv4am3:1mv3=e5814a=::m=1>kk4=0c:>41034;j:7?87:?2e1<6?>16=l<5165894g728=<70?6e;343>;5i:0:;5522e;95=752z?20<4e9~w6642908w0;5l>08<>522e;95=454z?1a1<58o16>h=52gd897b02;lm70{t;9:1<7=t=3g7>777348o;7=?0:?1`<<6?>1v>>>:18084b<38:=63=d68044=::m31=:h4}r136?6=;r79i94=139>6a1=;9801?j6:0:3?xu5k?0;6?u22b4960><5;hj6l=4}r3:2?6=:rT:5;5218a960><,;2;6<7:;o04b?652z\2=1=:90h1>864$3:3>4?23g85218c960><,;2;6<7:;o04b?47>52z\2=7=:9031>864$3:3>4?23g8<,;2;6<7:;o04b?252z\2=5=:90=1>864$3:3>4?23g8<,;2;6?=l;o04b?652z\17g=::=91>864$3:3>75d3g8<,;2;6?=l;o04b?452z\17<=::=;1>864$3:3>75d3g8<,;2;6?=l;o04b?252z\172=:::l1>864$3:3>75d3g8<,;2;6?=l;o04b?052z?2=ffg9'6=6=9h=0b?9i:19~w4g22909w0?6b;fg?87f>3;mj6*=8182e2=i:>l1=6s|1`194?4|583j6ij4=0c7>4`a3-83<7?n7:l13c<53ty:m<4?:3y>5728k<7c<8f;18yv7>n3:1>v3>998g`>;6i90:jk5+29295d15<5s4;2;7jk;<3:a?7an2.94=4>a69m62`==2wx>8<50;0x97232mn01?;<:0de?!4?838>=6`=7g83?xu57363g85>52438j71a2;1v?:m:18184393no70<;c;3eb>"509099<5a26d97>{t:=31<7ab<5;>j6>h5de9>61>=9ol0(?6?:372?k40n3?0q~<;5;296~;5;l0oh63=4782bc=#:1:1>8?4n35e>3=z{;i>6=49{<0ae?410279i44=c49>6`c=:j?01?h<:3a6?84a038h963=fe81g0=#:1:1>n:4n35e>5=z{;i86=48{<0b5?bc348im7<96:?1a<<5k:16>hk52b1897`42;i870;5nm09o>5+29296f25<0s48j<7jk;<0ae?41=279i44=c39>6`c=:j801?h<:3a1?84a038h>63=fe81g7=#:1:1>n:4n35e>7=z{;i:6=48{<0:b?bc348im7<94:?1a<<5k816>hk52b3897`42;i:70;5nm09o<5+29296f25rs3a3>5<0s482i7jk;<0ae?41:279i44=c19>6`c=:j:01?h<:3a3?84a038h<63=fe81g5=#:1:1>n:4n35e>1=z{;hm6=48{<0:`?bc348im7<90:?1a<<5jo16>hk52cd897`42;hm70;5nm09nk5+29296f25<0s482o7jk;<0ae?42n279i44=bd9>6`c=:ko01?h<:3`f?84a038ii63=fe81f`=#:1:1>n:4n35e>3=z{;ho6=49{<0ae?42l279i44=be9>6`c=:kn01?h<:3`g?84a038ih63=fe81fa=#:1:1>n:4n35e>2=z{;hh6=49{<0ae?42j279i44=bb9>6`c=:ki01?h<:3``?84a038io63=fe81ff=#:1:1>n:4n35e>==z{;hi6=49{<0ae?421279i44=bc9>6`c=:kh01?h<:3`a?84a038in63=fe81fg=#:1:1>n:4n35e><=z{8>36=47{<0a6?bc34;8h7<98:?213<6<116=8l515:8940728>370?95;37<>;6>h0:855217d951><,;2;6<:8;o04b?658z?1f4500=9=<01<;m:065?87183;?:63>648203=:9?k1=984=04e>4213-83<7?;7:l13c<63ty:884?:9y>6g6=lm16=>j52778943128>>70?:b;371>;6>90:88521779513<58469m62`=:2wx=9:50;:x97ga2mn01<=k:347?872>3;?863>5c8201=:9?:1=9:4=046>42334;=m7?;4:?22c<6<=1/>5>51558j71a2:1v<:<:18;84fm3no70?;6=?0:8>5214`9515<58<;6<:<;<351?73;27::l4>429>53`=9=90(?6?:064?k40n3>0q~?;2;29<~;5im0oh63>3e8125=:9<<1=9<4=07a>42534;=<7?;2:?220<6<;16=;o51508940a28>97)<70;373>h5?o0>7p}>4083>=}::hi1hi5212f960`<58?=6<:>;<36f?73927::=4>409>533=9=;01<8n:062?871n3;?=6*=818202=i:>l1:6s|15294?1|589o6?;k;<362?73827:9o4>419>536=9=:01<8::063?871i3;?<63>6g8205=#:1:1=994n35e>2=z{89m6=48{<30`?42j27:9;4>3g9>50d=9:l01<8?:01e?871=3;8j63>6`827c=:9?l1=>h4$3:3>4203g8500=9:o01<;m:01f?87183;8i63>64827`=:9?k1=>k4=04e>45b3-83<7?;7:l13c<>3ty95o4?:3y>6d7=:<201<58k=6<97;%0;4?4>i2d9;k4>;|q1==<72;q6>4h524:894g328=37)<70;0:e>h5?o097p}=9683>7}::0o1>864=0c1>41?3-83<7<6a:l13c<43ty95;4?:3y>6<583n6<97;%0;4?4>i2d9;k4:;|q1eg<72;q6>o<524:8973428=37)<70;0be>h5?o0;7p}=a883>7}::k;1>864=373>41?3-83<76g6=:<201?:j:05;?!4?838jm6`=7g81?xu5i>0;6?u22`d960><5;>h6<97;%0;4?4fi2d9;k4<;|q1e3<72;q6>lk524:8972f28=37)<70;0be>h5?o0?7p}=a483>7}::hn1>864=36;>41?3-83<76de=:<201?:9:05;?!4?838jm6`=7g85?xu5>j0;6hu222g9ed=:::l1ml522529ed=::=;1ml522509ed=::=91ml522569ed=:90=1ml5218:9ed=:9031ml5218c9ed=:90h1ml5218a9ed=::?n1=kh4}|`bf?6=j3;1nvB<0`82M7?n2wG?=l52zl;af<012.3io4?;|&1f0<>9m1Qm54={5f93<xi3ko0;6)<<5;6`a>h5;=0;76a;ce83>!44=3>hi6`=3582?>i3kj0;6)<<5;6`a>h5;=0976a;cc83>!44=3>hi6`=3580?>o4=<0;6)<<5;160>h5;=0;76g<5283>!44=39>86`=3582?>o4=;0;6)<<5;160>h5;=0976g<5083>!44=39>86`=3580?>o4=90;6)<<5;160>h5;=0?76g<4g83>!44=39>86`=3586?>o4h5;=0=76l>e`83>g<729qG?=l57z&;2g3>0(5km:09m<`e=0k1v(?l::0gb?_g?2;q<47:j:|k000<72-8897=;c:l171<132c88:4?:%001?53k2d9?94:;:k00=<72-8897=;c:l171<332c8844?:%001?53k2d9?94<;:k00d<72-8897=;c:l171<532c88o4?:%001?53k2d9?94>;:k00a<72-8897=;c:l171<732e?hl4?:%001?2b92d9?94<;:m7``<72-8897:j1:l171<532e?i=4?:%001?2b92d9?94>;:m7a7<72-8897:j1:l171<732wx8nh50;0xZ1ea34;nm7:j2:&1<5<3kl1e>:h50:p0fb=838pR9mk;<3fe?2b82.94=4;cd9m62`=92wx8nm50;0xZ1ed34;nm7:ke:&1<5<3kl1e>:h52:p0fd=838pR9mm;<3fe?2ci2.94=4;cd9m62`=;2wx?8;50;0xZ63234;nm7=;d:&1<5<4==1e>:h50:p705=838pR>;<;<3fe?53j2.94=4<559m62`=92wx?8<50;0xZ63534;nm7=;a:&1<5<4==1e>:h52:p707=838pR>;>;<3fe?5312.94=4<559m62`=;2wx?8>50;0xZ63734;nm7=;8:&1<5<4==1e>:h54:p71`=838pR>:i;<3fe?53?2.94=4<559m62`==2wx?9k50;0xZ62b34;nm7=;5:&1<5<4==1e>:h56:~f=4c290n6;4j{M13e?7|@82m7pB<0c84k>bk3l27)69b;6g3>"0=80oh6*854811==#?=l1hl5a75495>"?mk0;7p*=b482ef=]i109w8h5588~^71b2;q==7;7:|kge?6=3`k?6=44o341>5<#::?1>;?4n317>5=5<#::?1>;?4n317>7=54o37a>5<#::?1>;?4n317>1=ob=3:1(?=::d48j7532810eh:50;&1702d9?94=;:kf6?6=,;9>6h84n317>6=h5;=0?76gke;29 7522l<0b?=;:498f4>=83>1<7>t$3`6>4643A9;46gn9;29?lgc2900eij50;9l60>=831vn94?:583>5}#:k?1==84H22;?lg>2900eij50;9j602=831d>8650;9~f0<72=0;6=u+2c79555<@::37do6:188mdb=831bhi4?::m11=<722wi9:4?:583>5}#:k?1===4H22;?lg>2900elj50;9j`a<722e9954?::a7a<72=0;6=u+2c79550<@::37do6:188mab=831b>8:50;9l60>=831vn9950;694?6|,;h>6<><;I13<>of13:17dok:188mab=831d>8650;9~wag=83=pRio4=0:9e<=:<3k270;5a89>12d?1?gc34?<6lj4=2f9602<5==1mi5rs341>5<5sW8=>63:7;06<>"50909:<5a26d94>{t:?:1<7h5?o0:7p}=5g83>7}Y:j524:8 7>72;<:7c<8f;08yv42l3:1>vP=5e9>5=<5=11/>5>52738j71a2:1v?;m:181[42j27>6?;7;%0;4?4192d9;k4;;|q11<<72;qU>874=5811==#:1:1>;?4n35e>0=z{l=1<75>5e79m62`=82wxi84?:3y]a0=:<>0oh6*=818f2>h5?o0:7p}j4;296~Xb<278h7jk;%0;4?c13g85<5sWo970?7:ef8 7>72l<0b?9i:29~w`6=838pRh>4=48g`>"5090n:6`=7g87?xucm3:1>vPke:?7>ab<,;2;6h84n35e>0=zuk5f;49b~J48h0:wE?7f:O75d=1rd3in4ia:&;2g<3l>1/;8?5de9'303=:<20(::i:ec8j221281/;8>5dc9m311=92.3io4?;|&1f0<6im1Qm54={4d91<xoci3:17djm:188md2=831d>;<50;&170<5>81e>>:50:9l636=83.9?84=609m662=921d>8h50;&170<5>81e>>:52:9l60b=83.9?84=609m662=;21d>8l50;&170<5>81e>>:54:9l60?=83.9?84=609m662==21bi:4?:%001?c13g8887>4;hg6>5<#::?1i;5a22695>=nm=0;6)<<5;g5?k44<3807dk=:18'663=m?1e>>:53:9ja5<72-8897k9;o000?2<3`nn6=4+2279a3=i::>1965m1983>0<729q/>o;51168L66?3`k26=44i`c94?=nim0;66gkd;29?j4203:17pl;:186>5<7s-8i97??7:J04==ni00;66gna;29?lbc2900e?;;:188k73?2900qo;50;794?6|,;h>6<>;;I13<>of13:17don:188mdb=831bhi4?::m11=<722wi9:4?:483>5}#:k?1==:4H22;?lg>2900elo50;9jea<722coh7>5;n0655;294~"5j<0:<:5G31:8md?=831bml4?::kg`?6=3`8>87>5;n0655;294~"5j<0:<95G31:8md?=831bml4?::kb`?6=3`no6=44o37;>5<d?<5<=1m4523e8b=>;3?3k27p}kb;293~Xcj27:47on;<69ed=:=3kj70;8:`c896b=ih168:4na:pe1<72>qUm952198b`>;32;??70;5ae9>12864$3:3>7063g84}r054?6=:rT9:=5246811==#:1:1>;?4n35e>4=z{;?m6=4={_06b>;4l38>46*=818124=i:>l1>6s|24f94?4|V;?o70?7:37;?!4?838==6`=7g80?xu5=k0;6?uQ24`890<5=11/>5>52738j71a2=1v?;6:181[42127?6?;7;%0;4?4192d9;k4:;|qf3?6=:rTn;63:7;fg?!4?83o=7c<8f;28yvc22909wSk:;<64>ab<,;2;6h84n35e>4=z{l>1<75>5e79m62`=:2wxi?4?:3y]a7=:910oh6*=818f2>h5?o087p}j0;296~Xb827>6ij4$3:3>`02d9;k4:;|a046=83=1>79tL22b>4}O91l0qA=?b;;xj=cd288j7)69b;6g3>"0=80oh6*854811==#?=l1hl5a75495>"0=90on6`84682?!>bj3:0q)\f038p>?4>c;Y62c=:r8=65<>i5=k0;6)<<5;06e>h5;=0;76a=5883>!44=38>m6`=3582?>ob83:1(?=::ed8j7532910eik50;&170;:`7>5<2290;w)N4811G?=o51z&2<2<33tcj57>5;hcb>5<0<729q/>o;51168L66?3E9;m7?t$0:4>1=zah31<75fa`83>>ofl3:17djk:188k73?2900q~jn:180[bf34>1m4525;c:?xucj3:1?vPkb:?7>dg<5<0jm6s|a583>6}Yi=1687<:4:?6>dbn7>52z\11g=:=38>46*=81811d=i:>l1<6s|24;94?4|V;?270:524:8 7>72;?j7c<8f;38yvc72909wSk?;<79`a=#:1:1hk5a26d94>{tll0;6?uQdd9>0?bc3-83<7ji;o04b?7c}K;9k1=vF>8g9~H66e20qe4hm513;8 =0e2=n<7)9:1;fg?!12=38>46*84g8ge>h02tP9;h4={7391=>of<3:17b<92;29 7522;<:7c<<4;28?j4183:1(?=::342?k44<3;07b<:f;29 7522;<:7c<<4;08?j42l3:1(?=::342?k44<3907b<:b;29 7522;<:7c<<4;68?j4213:1(?=::342?k44<3?07dk8:18'663=m?1e>>:50:9ja0<72-8897k9;o000?7<3`o?6=4+2279a3=i::>1>65fe383>!44=3o=7c<<4;18?lc7290/>>;5e79m662=<21bhh4?:%001?c13g8887;4;c3;>5<2290;w)N4811G?=o51z&2<2<23tcj57>5;hcb>5<>i5=10;66sm4;291?6=8r.9n84>059K75>{ni00;66gna;29?lgc2900eij50;9l60>=831vn84?:483>5}#:k?1==:4H22;?I57i3;p(<68:49~md?=831bml4?::kb`?6=3`no6=44o37;>5<1<75`24:94?=zj:n1<7;50;2x 7d228:?7E=?8:N04d<6s-;3;7;4}hc:>5<>ocl3:17b<:8;29?xd3?3:197>50z&1f0<68=1C?=64L22b>4}#91=196sfa883>>ofi3:17dok:188mab=831d>8650;9~wag=83=pRio4=0:9e<=:<3k270;5a89>12d?dg<5<=1ml523e8be>;3?3kj7p}n4;293~Xf<27:47ok;<69ea=:=3ko70;8:377?85c2hn01995ae9~w7052909wS<92:?63?4202.94=4=609m62`=82wx>;>50;0xZ70734><6?;7;%0;4?4192d9;k4>;|q11c<72;qU>8h4=2f960><,;2;6?8>;o04b?4h7>52z\11a=:9109955+29296375rs37a>5<5sW8>n63::37;?!4?838==6`=7g87?xu5=00;6?uQ24;891<5=11/>5>52738j71a2<1vh950;0xZ`1<5<=1hi5+2929a3=i:>l1<6s|e483>7}Ym<168:4kd:&1<52d9;k4>;|qf0?6=:rTn863ab<,;2;6h84n35e>6=z{l:1<752z\ga>;32mn0(?6?:d48j71a2<1vqo;63;29a?0=mrF8{I3;b>{K;9h1;v`7eb8e<>"?>k0?h:5+7439`a=#?864$66e>ag>=6<5+8d`94>{#:k?1=o>4Z`:96~3a2<31qW<8e;0x24<203wbhl4?::kb0?6=3f8=>7>5$316>7063g8887>4;n054?6=,;9>6?8>;o000?7<3f8>j7>5$316>7063g8887<4;n06`?6=,;9>6?8>;o000?5<3f8>n7>5$316>7063g8887:4;n06=?6=,;9>6?8>;o000?3<3`o<6=4+2279a3=i::>1<65fe483>!44=3o=7c<<4;38?lc3290/>>;5e79m662=:21bi?4?:%001?c13g8887=4;hg3>5<#::?1i;5a22690>=nll0;6)<<5;g5?k44<3?07o?7:187>5<7s-8i97??3:J04==ni00;66gnd;29?lbc2900c?;7:188yg2=83>1<7>t$3`6>4613A9;46gn9;29?lbc2900e?;;:188k73?2900qo;50;694?6|,;h>6<><;I13<>of13:17dok:188mab=831d>8650;9~f01=83>1<7>t$3`6>4643A9;46gn9;29?lgc2900eij50;9l60>=831vn>j50;694?6|,;h>6<>9;I13<>of13:17djk:188m7332900c?;7:188yg20290?6=4?{%0a1?77;2B8<55fa883>>ofl3:17djk:188k73?2900q~jn:184[bf34;36l74=58b=>;22h301895a89>7a8;cg?82=:<>0184nd:?63?gc349o6?;;;<64>db7>52z\127=:=>09955+29296375<5sW8=<63;7;06<>"50909:<5a26d95>{t:h5?o097p}=5e83>7}Y:72;<:7c<8f;18yv42j3:1>vP=5c9>1?4202.94=4=609m62`=<2wx>8750;0xZ73>34>1>864$3:3>7063g85<5sWo<70;8:ef8 7>72l<0b?9i:19~w`3=838pRh;4=559`a=#:1:1i;5a26d95>{tm=0;6?uQe59>7a7>52z\f6>;603no7)<70;g5?k40n390q~k?:181[c734?1hi5+2929a3=i:>l186s|dd83>7}Yll1687jk;%0;4?c13g8>m:8ym<`e=nk1/4;l54e58 2362mn0(:;::37;?!13n3nj7c9;6;38 2372mh0b::8:09'<`d=82w/>o;51c38^d>=:r?m6875}[04a?4|>80>47sfd`83>>ocj3:17do;:188k705290/>>;52738j7532910c?8?:18'663=:?;0b?=;:098k73a290/>>;52738j7532;10c?;k:18'663=:?;0b?=;:298k73e290/>>;52738j7532=10c?;6:18'663=:?;0b?=;:498m`1=83.9?84j6:l171<732cn97>5$316>`0ob:3:1(?=::d48j7532:10eh>50;&1702d9?94;;:kga?6=,;9>6h84n317>0=>{e<3:197>50z&1f0<68>1C?=64i`;94?=nih0;66gkd;29?l42<3:17b<:8;29?xd2290>6=4?{%0a1?77<2B8<55fa883>>ofi3:17dok:188mab=831d>8650;9~f01=83?1<7>t$3`6>4633A9;46gn9;29?lgf2900elj50;9j`a<722e9954?::a7a<72<0;6=u+2c79551<@::37do6:188mdg=831bhi4?::k111<722e9954?::a02<72<0;6=u+2c79552<@::37do6:188mdg=831bmi4?::kg`?6=3f8>47>5;|qge?6=?rTom63>8;c:?82=i01697o6;<74>d?<5:n1m452468b=>{tlk0;6:uQdc9>5=;2?3kj70=k:`c8911=ih1vl:50;5xZd2<5821mi524;060>;22hn01895ae9>7a<5==168:4nd:p634=838pR?8=;<74>73?3-83<7<91:l13c<73ty9:=4?:3y]636<5==1>864$3:3>7063g8;?4n35e>7=z{;?o6=4={_06`>;6038>46*=818124=i:>l1?6s|24`94?4|V;?i70;524:8 7>72;<:7c<8f;68yv4213:1>vP=589>0?4202.94=4=609m62`==2wxi:4?:3y]a2=:=>0oh6*=818f2>h5?o0;7p}j5;296~Xb=27?;7jk;%0;4?c13g85<5sWo?70=k:ef8 7>72l<0b?9i:39~w`4=838pRh<4=0:9`a=#:1:1i;5a26d97>{tm90;6?uQe19>1?bc3-83<7k9;o04b?25>5e79m62`==2wvn:5<7s-8i97??2:J04==K;9h1>v*7ec83?k>bk3h27pgn9;29?lbc2900c?;7:188yg15:3:1?7>50z&1f0<68;1C?=64L22a>7}#0lh1<6`7eb8a=>{ni00;66gkd;29?j4203:17pl83383>6<729q/>o;51108L66?3E9;n75=i0li1n45ri`;94?=nlm0;66a=5983>>{e?:31<7=50;2x 7d228:97E=?8:N04g<5s-2nn7>4n9g`>g?5<4290;w)N4811G?=l52z&;ag<73g2no76;;|kb=?6=3`no6=44o37;>5<13tcj57>5;hfg>5<5<13tcj57>5;hfg>5<5<53;294~"5j<0:47>5;|`02a<72=0;6=u+2c79553<@::37A=?b;0x =ce291e4hm51508ylg>2900elo50;9j`a<722e9954?::a0`?=83?1<7>t$3`6>4633A9;46B<0c81!>bj3:0b5kl:00g?xof13:17don:188mdb=831bhi4?::m11=<722wi?hj50;694?6|,;h>6<>:;I13<>J48k09w)6jb;28j=cd28i97pgn9;29?lgf2900eij50;9l60>=831vn8li:18`>5<7sE9;n7jt$94a>1ef3->o477=9:&414;7<64:l40=<63-2nn7>4n9g`>44e3t.9n84:bg9Ye=<5s=218l4ri3;7>5<>of;3:17djj:18'663=m:1e>>:53:9ja5<72-8897k<;o000?4<3`o96=4+2279a6=i::>1=65fe583>!44=3o87c<<4;28?j4213:1(?=::37f?k44<3907b<:b;29 7522;?n7c<<4;08?j42l3:1(?=::37f?k44<3;07b<:f;29 7522;?n7c<<4;28?xd?=>0;6n4?:1yO75d=lr.3:o4;c`9'0a>=1;30(:;>:ef8 22d2h80(:;::37;?!13n3nj7c9;6;38 2372mh0b::8:09'301=:0>0b::7:09'<`d=82d3in4>2b9~ 7d221?<7Wo7:3y7>:52:9ja7<72-8897k<;o000?7<3`o?6=4+2279a6=i::>1<65`24;94?"5;<099h5a22697>=h:1>65`24f94?"5;<099h5a22695>=h:1<65rb5f2>5<>290;wA=?b;`x =0e2=ij7):k8;;1=>"0=80oh6*84b8b6>"0=<09955+75d9`d=i?=<1=6*85681=1=i?=21=6*7ec83?k>bk3;9i6s+2c790a7v=i:539yl4><3:17djn:188md5=831bhh4?:%001?c63g8887<4;hg3>5<#::?1i<5a22695>=nm;0;6)<<5;g2?k44<3:07b<:9;29 7522;?h7c<<4;08?j42j3:1(?=::37`?k44<3;07b<:d;29 7522;?h7c<<4;28?xd4>90;6o4?:1yO75d=lr.3:o4;c`9'0a>=1;k0(:;>:ef8 22d2h80(:;::37;?!13n3nj7c9;6;38 2372mh0b::8:09'30>=:k<0b::6:09'<`d=82d3in4>299~ 7d22:<;7Wo7:0y4f?{n:k<1<75fd`83>>ocj3:17do;:188k73>290/>>;52718j7532?10c?;m:18'663=:?90b?=;:498k73c290/>>;52718j7532=10c?;i:18'663=:?90b?=;:298k707290/>>;52718j7532;10c?8=:18'663=:?90b?=;:098k703290/>>;52718j7532910qo?m3;29g?6=8rF84j8:&:6f<03-=>:7<82:&:6g<33-2nn7>4n9g`>4263t.9n84>b29Ye=<5sobj3:1(?=::g38j7532=10ehj50;&1706k?4n317>7=h5;=0:76gi2;29 7522o;0b?=;:198k714290/>>;526c8j7532<10c?9::18'663=:>k0b?=;:598k711290/>>;526c8j7532:10c?97:18'663=:>k0b?=;:398k71>290/>>;526c8j7532810c?9m:18'663=:>k0b?=;:198yg7e<3:1o7>50zN04g<0s-2=n7=;3:&416n4>2:&413<5?;1/5?l54:&;ag<73g2no7?:1:'6g3=9k>0Vl652z7b>36=u`o26=4+2279b4=i::>1965fec83>!44=3l:7c<<4;68?lcc290/>>;5f09m662=;21bik4?:%001?`63g8887<4;hd3>5<#::?1j<5a22695>=nn;0;6)<<5;d2?k44<3:07b<83;29 7522;=j7c<<4;78?j40=3:1(?=::35b?k44<3>07b<86;29 7522;=j7c<<4;18?j4003:1(?=::35b?k44<3807b<89;29 7522;=j7c<<4;38?j40j3:1(?=::35b?k44<3:07pl>b483>f<729qG?=l57z&;2g<4<:1/;8=5e99'=7e=02.<9;4=739'=7d=<2.3io4?;o:fg?72>2w/>o;51c78^d>=:r?j6;>5}hg:>5<#::?1j<5a22691>=nmk0;6)<<5;d2?k44<3>07dkk:18'663=n81e>>:53:9jac<72-8897h>;o000?4<3`l;6=4+2279b4=i::>1=65ff383>!44=3l:7c<<4;28?j40;3:1(?=::35b?k44<3?07b<85;29 7522;=j7c<<4;68?j40>3:1(?=::35b?k44<3907b<88;29 7522;=j7c<<4;08?j4013:1(?=::35b?k44<3;07b<8b;29 7522;=j7c<<4;28?xd6j?0;6n4?:1yO75d=?r.3:o4<429'305=m11/5?m57:&413<5?;1/5?l54:&;ag<73g2no7?75:'6g3=9k<0Vl652z7b>36=u`o26=4+2279b4=i::>1965fec83>!44=3l:7c<<4;68?lcc290/>>;5f09m662=;21bik4?:%001?`63g8887<4;hd3>5<#::?1j<5a22695>=nn;0;6)<<5;d2?k44<3:07b<83;29 7522;=j7c<<4;78?j40=3:1(?=::35b?k44<3>07b<86;29 7522;=j7c<<4;18?j4003:1(?=::35b?k44<3807b<89;29 7522;=j7c<<4;38?j40j3:1(?=::35b?k44<3:07pl>b683>f<729qG?=l57z&;2g<4<:1/;8=5e99'=7e=9;1/;8852608 <4e2=1/4hl50:l;af<61=1v(?l::0`4?_g?2;q>m78?:|kf=?6=,;9>6k?4n317>0=h5;=0?76gjd;29 7522o;0b?=;:298m``=83.9?84i1:l171<532cm<7>5$316>c7i5?:0;6)<<5;04e>h5;=0>76a=7483>!44=38i5??0;6)<<5;04e>h5;=0876a=7983>!44=38i5?00;6)<<5;04e>h5;=0:76a=7c83>!44=38{e9k21<7m50;2xH66e2>q/4;l53518 2342l20(480(4959~ 7d228h37Wo7:3y6e?072tcn57>5$316>c7obl3:1(?=::g38j7532:10ehh50;&1706k?4n317>4=h5;=0;76a=7283>!44=38i5?<0;6)<<5;04e>h5;=0?76a=7783>!44=38i5?10;6)<<5;04e>h5;=0976a=7883>!44=38i5?k0;6)<<5;04e>h5;=0;76sm1d`94?e=83:p@>>m:6y'<3d=18i0(:;<:d:8 <4d2<1/;8852608 <4e2=1/4hl50:l;af<6<81v(?l::0ga?_g?2;q>m78?:|kf=?6=,;9>6k?4n317>0=h5;=0?76gjd;29 7522o;0b?=;:298m``=83.9?84i1:l171<532cm<7>5$316>c7i5?:0;6)<<5;04e>h5;=0>76a=7483>!44=38i5??0;6)<<5;04e>h5;=0876a=7983>!44=38i5?00;6)<<5;04e>h5;=0:76a=7c83>!44=38{e9ki1<7?<:183I57j32p(58m:275?!12;3o37)9:4;d4?!13m3k=7c9;5;28 2312;=97)7=b;68 =ce291v(?l::0``?_g?2:q>m7;m:729ylg12900eh750;&1706k?4n317>1=h5;=0876gjf;29 7522o;0b?=;:398mc6=83.9?84i1:l171<632cm>7>5$316>c7oa13:1(?=::gf8j7532=10eko50;&1706kj4n317>7=h5;=0:76gie;29 7522on0b?=;:198k714290/>>;526c8j7532<10c?9::18'663=:>k0b?=;:598k711290/>>;526c8j7532:10c?97:18'663=:>k0b?=;:398k71>290/>>;526c8j7532810c?9m:18'663=:>k0b?=;:198yg7bk3:187>50zN04g<0s-2=n77>c:&416n4:;%562?40:2.2>o4;;%:ff?6h5;=0:76gjb;29 7522lk0b?=;:198k714290/>>;52668j7532810c?9::18'663=:>>0b?=;:198yg7e13:187>50zN04g<0s-2=n7=;3:&416n4:;%562?40:2.2>o4;;%:ff?6h5;=0:76gjb;29 7522lk0b?=;:198k714290/>>;52668j7532810c?9::18'663=:>>0b?=;:198yg7el3:1h7>50zN04gn4:;%57a?g13g=?97>4$675>7153-39n7:4$9ga>5=z,;h>67}2i3<;6pgn6;29?lc>290/>>;5f09m662==21bio4?:%001?`63g8887:4;hgg>5<#::?1j<5a22697>=nmo0;6)<<5;d2?k44<3807dh?:18'663=n81e>>:51:9jb7<72-8897h>;o000?6<3f85$316>71f3g8887;4;n041?6=,;9>6?9n;o000?2<3f8<:7>5$316>71f3g8887=4;n046?9n;o000?4<3f8<57>5$316>71f3g8887?4;n04f?6=,;9>6?9n;o000?6<3th:nh4?:e83>5}K;9h14v*76c8013=#?<91i55+93a91>"038<>6*62c87?!>bj3:0q)\f038p9l490;je3<722cn57>5$316>c7obl3:1(?=::g38j7532:10ehh50;&1706k?4n317>4=h5;=0;76a=7283>!44=38i5?<0;6)<<5;04e>h5;=0?76a=7783>!44=38i5?10;6)<<5;04e>h5;=0976a=7883>!44=38i5?k0;6)<<5;04e>h5;=0;76sm1e694?2=83:p@>>m:6y'305=m11/5?m57:&413\f03;p8=4rid;94?"5;<0no6`=3581?>obj3:1(?=::da8j7532810ehj50;&1705}K;9h1;v*8528f<>">:j037)9:6;:e?!>1j3??<6*7e88:6==#0lh1<6`7eb8`6>{#:k?1=io4Z`:95~112tcn57>5$316>c5obl3:1(?=::g18j7532=10ehh50;&1706k=4n317>7=h5;=0:76gi4;29 7522o90b?=;:198k=`=831vn5<7sE9;n79t$670>`><,08h6n5+7449=4=#0?h19ol4$9g:><4?3-2nn7>4n9g`>f46k=4n317>0=h5;=0?76gjf;29 7522o90b?=;:298mc6=83.9?84i3:l171<532cm>7>5$316>c5i>93:17pl>dg83>2<729qG?=l57z&416n4>4:&413<>92.3:o4:bc9'<`?=1;20(5km:19m<`e=k;1v(?l::0fe?_g?28q>m7sfe883>!44=3l:7c<<4;78?lce290/>>;5f09m662=<21bii4?:%001?`63g8887=4;hge>5<#::?1j<5a22696>=nn90;6)<<5;d2?k44<3;07dh=:18'663=n81e>>:50:9l=4<722wi=i650;d94?6|D::i6:u+7419a==#?<>1j:5+7449<4?3-2nn7>4n9g`>d`!44=3l87c<<4;48?lce290/>>;5f29m662==21bii4?:%001?`43g8887:4;hge>5<#::?1j>5a22697>=nn90;6)<<5;d0?k44<3807dh=:18'663=n:1e>>:51:9jb1<72-8897h<;o000?6<3`l36=4+2279bc=i::>1:65ff883>!44=3lm7c<<4;78?l`f290/>>;5fg9m662=<21bjo4?:%001?`a3g8887=4;hd`>5<#::?1jk5a22696>=nnl0;6)<<5;de?k44<3;07d??0;29 7522ol0b?=;:198k=b=831vn5<7sE9;n79t$670>`><,>??6k94$675>=`<,13t.9n84>dc9Ye=<5sobj3:1(?=::g38j7532=10ehj50;&1706k?4n317>7=h5;=0:76gi2;29 7522o;0b?=;:198mc>=83.9?84id:l171<232cm57>5$316>cboaj3:1(?=::gf8j7532;10ekm50;&170;:kea?6=,;9>6kj4n317>5=5b133946*7ec83?k>bk3k27p*=b482a5=]i109w8o55c8~m`?=83.9?84i1:l171<232cnn7>5$316>c7obn3:1(?=::g38j7532;10ek>50;&170;:ke6?6=,;9>6k?4n317>5=h5;=0>76gi9;29 7522on0b?=;:598mcg=83.9?84id:l171<432cmn7>5$316>cboam3:1(?=::gf8j7532910c4?50;9~f4bd290o6=4?{M13f?1|,>?86h64$677>c1<,>?=65h4$94a>0273-2n577=8:&;ag<73g2no7o=;|&1f0<6lj1Qm54={4c91gh5;=0>76gjb;29 7522o;0b?=;:598m`b=83.9?84i1:l171<432cnj7>5$316>c7oa:3:1(?=::g38j7532910ek650;&1706kj4n317>1=h5;=0876gib;29 7522on0b?=;:398mce=83.9?84id:l171<632cmi7>5$316>cb"?mk0;7c6jc;c1?x"5j<0:i<5Ua9810g==k0veh750;&1706k?4n317>1=h5;=0876gjf;29 7522o;0b?=;:398mc6=83.9?84i1:l171<632cm>7>5$316>c7oa13:1(?=::gf8j7532=10eko50;&1706kj4n317>7=h5;=0:76gie;29 7522on0b?=;:198k<7=831vn5<7sE9;n79t$670>`><,>??6k94$675>=b<,1d89Ye=<5sobj3:1(?=::g38j7532=10ehj50;&1706k?4n317>7=h5;=0:76gi2;29 7522o;0b?=;:198mc>=83.9?84id:l171<232cm57>5$316>cboaj3:1(?=::gf8j7532;10ekm50;&170;:kea?6=,;9>6kj4n317>5=5<>290;wA=?b;5x 2342l20(420837)6jb;28j=cd2mh0q)\f03;p4<4rid;94?"5;<0m96`=3584?>obj3:1(?=::g78j7532?10ehj50;&1706k;4n317>1=h5;=0876gi2;29 7522o?0b?=;:398mc2=83.9?84i5:l171<632cm:7>5$316>c3bj3:0b5kl:e`8y!4e=3;n>6Tn8;3x<4h5;=0<76gjb;29 7522o?0b?=;:798m`b=83.9?84i5:l171<232cnj7>5$316>c3oa:3:1(?=::g78j7532;10ek:50;&170;:ke2?6=,;9>6k;4n317>5=5<4290;wA=?b;5x 2342l20(420837)6jb;28j=cd28>>7p*=b482gc=]i10:w5<#::?1il5a22695>=nmk0;6)<<5;gb?k44<3:07b6j:188yg7c93:1?7>50zN04g<0s-=>?7k7;%;1g?0<,>?=64>4$94a>0df3-2n577=8:&;ag<73g2no7?;e:'6g3=9m;0Vl651z3g>xob13:1(?=::dc8j7532810ehl50;&1705}K;9h1;v*8528f<>">:j0<7)9:6;;3?!>1j3?im6*7e88:6==#0lh1<6`7eb8275=z,;h>64}383wbi44?:%001?cd3g8887<4;hga>5<#::?1in5a22695>=nmm0;6)<<5;g`?k44<3:07b7?:188yg7d>3:1j7>50zN04g<0s-=>?7k7;%560?`03-=>:77?;%:5f?3ei2.3i446299'<`d=82d3in4>579~ 7d228i=7Wo7:3y42?102tcn57>5$316>c5obl3:1(?=::g18j7532=10ehh50;&1706k=4n317>7=h5;=0:76gi4;29 7522o90b?=;:198mc>=83.9?84if:l171<132cm57>5$316>c`oaj3:1(?=::gd8j7532:10ekm50;&1706kh4n317>4=1<65`9183>>{e9j>1<7h50;2xH66e2>q/;8=5e99'302=n>1/;8858d9'<3d==:l0(5k6:80;?!>bj3:0b5kl:075?x"5j<0:o95Ua98120=?>0veh750;&1706k=4n317>0=h5;=0?76gjf;29 7522o90b?=;:298mc6=83.9?84i3:l171<532cm>7>5$316>c5oa03:1(?=::gd8j7532?10ek750;&1706kh4n317>1=h5;=0876gic;29 7522ol0b?=;:398mcc=83.9?84if:l171<632c:<=4?:%001?`a3g8887>4;n:f>5<5f;294~J48k01j3?im6*7e88:6==#0lh1<6`7eb8214=z,;h>67}0>3=<6pgj9;29 7522o90b?=;:798m`d=83.9?84i3:l171<232cnh7>5$316>c5oa83:1(?=::g18j7532;10ek<50;&170;:ke0?6=,;9>6k=4n317>5=h5;=0=76gi9;29 7522ol0b?=;:498mcg=83.9?84if:l171<332cmn7>5$316>c`54iga94?"5;<0mj6`=3581?>oam3:1(?=::gd8j7532810e<>?:18'663=no1e>>:50:9l=5<722wi=oh50;d94?6|D::i6:u+7419a==#?<>1j:5+74494$9g:><4?3-2nn7>4n9g`>4363t.9n84>bg9Ye=<5s><1;:4rid;94?"5;<0m?6`=3585?>obj3:1(?=::g18j7532<10ehj50;&1706k=4n317>6=h5;=0976gi2;29 7522o90b?=;:098mc2=83.9?84i3:l171<732cm47>5$316>c`oai3:1(?=::gd8j7532=10ekl50;&1706kh4n317>7=h5;=0:76g>0183>!44=3lm7c<<4;28?j>a2900qo?l8;29b?6=8rF887h8;%562??73-2=n7;ma:&;a<<>:11/4hl50:l;af<6<81v(?l::0a;?_g?2;q<:798:|kf=?6=,;9>6k=4n317>3=h5;=0>76gjd;29 7522o90b?=;:598m``=83.9?84i3:l171<432cm<7>5$316>c5oa<3:1(?=::g18j7532910ek650;&1706kh4n317>0=h5;=0?76gib;29 7522ol0b?=;:298mce=83.9?84if:l171<532cmi7>5$316>c`5<#::?1jk5a22694>=h190;66sm1b294?`=83:p@>>m:6y'305=m11/;8:5f69'300=0o1/4;l55528 =c>20837)6jb;28j=cd28>:7p*=b482g5=]i109w:85768~m`?=83.9?84i3:l171<132cnn7>5$316>c5obn3:1(?=::g18j7532:10ek>50;&1706k=4n317>4=1<7*=348e7>h5;=0;76gi8;29 7522ol0b?=;:798mc?=83.9?84if:l171<232cmm7>5$316>c`oak3:1(?=::gd8j7532;10ekk50;&170;:k245<72-8897hi;o000?6<3f2m6=44}c3`5?6=n3:132m7)69b;774>"?m002>55+8d`94>h?mj0:485r$3`6>4e63Sk36?u86;54>xob13:1(?=::g18j7532?10ehl50;&1706k=4n317>1=h5;=0876gi0;29 7522o90b?=;:398mc4=83.9?84i3:l171<632cm87>5$316>c5oa13:1(?=::gd8j7532<10eko50;&1706kh4n317>6=h5;=0976gie;29 7522ol0b?=;:098m467290/>>;5fg9m662=821d4k4?::a5f?=83l1<7>tL22a>2}#?<91i55+7469b2=#?<<15=5+87`91gg<,1o264<7;%:ff?6h5;=0=76gjb;29 7522o90b?=;:498m`b=83.9?84i3:l171<332cnj7>5$316>c554ig294?"5;<0m?6`=3581?>oa:3:1(?=::g18j7532810ek:50;&1706kh4n317>3=h5;=0>76gia;29 7522ol0b?=;:598mcd=83.9?84if:l171<432cmo7>5$316>c`o6890;6)<<5;de?k44<3:07b7?:188yg7d:3:1j7>50zN04g<0s-=>?7k7;%560?`03-=>:76i;%:5f?3382.3i446299'<`d=82d3in4>959~ 7d228i97Wo7:3y42?102tcn57>5$316>c5obl3:1(?=::g18j7532=10ehh50;&1706k=4n317>7=h5;=0:76gi4;29 7522o90b?=;:198mc>=83.9?84if:l171<132cm57>5$316>c`oaj3:1(?=::gd8j7532:10ekm50;&1706kh4n317>4=1<65`8g83>>{e9j?1<7h50;2xH66e2>q/;8=5e99'302=n>1/;885909'<3d==kh0(5k6:80;?!>bj3:0b5kl:0;7?x"5j<0:o85Ua98120=?>0veh750;&1706k=4n317>0=h5;=0?76gjf;29 7522o90b?=;:298mc6=83.9?84i3:l171<532cm>7>5$316>c5oa03:1(?=::gd8j7532?10ek750;&1706kh4n317>1=h5;=0876gic;29 7522ol0b?=;:398mcc=83.9?84if:l171<632c:<=4?:%001?`a3g8887>4;n;2>5<5f;294~J48k01j3??<6*7e88:6==#0lh1<6`7eb82e==z,;h>67}0>3=<6pgj9;29 7522o90b?=;:798m`d=83.9?84i3:l171<232cnh7>5$316>c5oa83:1(?=::g18j7532;10ek<50;&170;:ke0?6=,;9>6k=4n317>5=h5;=0=76gi9;29 7522ol0b?=;:498mcg=83.9?84if:l171<332cmn7>5$316>c`54iga94?"5;<0mj6`=3581?>oam3:1(?=::gd8j7532810e<>?:18'663=no1e>>:50:9l1j:5+7449=5=#0?h19oo4$9g:><4?3-2nn7>4n9g`>4g?3t.9n84>c`9Ye=<5s><1;:4rid;94?"5;<0m?6`=3585?>obj3:1(?=::g18j7532<10ehj50;&1706k=4n317>6=h5;=0976gi2;29 7522o90b?=;:098mc2=83.9?84i3:l171<732cm47>5$316>c`oai3:1(?=::gd8j7532=10ekl50;&1706kh4n317>7=h5;=0:76g>0183>!44=3lm7c<<4;28?j?72900qo?k5;29b?6=8rF887h8;%562?>a3-2=n7;;0:&;a<<>:11/4hl50:l;af<6<81v(?l::0f6?_g?2;q<:798:|kf=?6=,;9>6k=4n317>3=h5;=0>76gjd;29 7522o90b?=;:598m``=83.9?84i3:l171<432cm<7>5$316>c5oa<3:1(?=::g18j7532910ek650;&1706kh4n317>0=h5;=0?76gib;29 7522ol0b?=;:298mce=83.9?84if:l171<532cmi7>5$316>c`5<#::?1jk5a22694>=h0o0;66sm1e494?`=83:p@>>m:6y'305=m11/;8:5f69'300=181/4;l55c`8 =c>20837)6jb;28j=cd28?=7p*=b482`3=]i109w:85768~m`?=83.9?84i3:l171<132cnn7>5$316>c5obn3:1(?=::g18j7532:10ek>50;&1706k=4n317>4=1<7*=348e7>h5;=0;76gi8;29 7522ol0b?=;:798mc?=83.9?84if:l171<232cmm7>5$316>c`oak3:1(?=::gd8j7532;10ekk50;&170;:k245<72-8897hi;o000?6<3f3:6=44}c3f2?6=03:1b133946*7ec83?k>bk3;:46s+2c795`06k=4n317>3=h5;=0>76gjd;29 7522o90b?=;:598m``=83.9?84i3:l171<432cm<7>5$316>c5oa<3:1(?=::g18j7532910c4>50;9~f4c229036=4?{M13f?1|,>?86h64$80`>45<,>?=65k4$94a>05a3-2n577=8:&;ag<73g2no7?>a:'6g3=9l?0Vl651z55>xob13:1(?=::g18j7532?10ehl50;&1706k=4n317>1=h5;=0876gi0;29 7522o90b?=;:398mc4=83.9?84i3:l171<632cm87>5$316>c5"?mk0;7c6jc;324>{#:k?1=h=4Z`:95~112tcn57>5$316>c5obl3:1(?=::g18j7532=10ehh50;&1706k=4n317>7=h5;=0:76gi4;29 7522o90b?=;:198k=c=831vn5<7sE9;n79t$670>`><,08h6o5+7449=5=#0?h19oo4$9g:><4?3-2nn7>4n9g`>46b3t.9n84>e59Ye=<6s><1qdk6:18'663=n:1e>>:56:9jag<72-8897h<;o000?3<3`oo6=4+2279b6=i::>1865feg83>!44=3l87c<<4;18?l`7290/>>;5f29m662=:21bj?4?:%001?`43g8887?4;hd7>5<#::?1j>5a22694>=h190;66sm1e294?`=83:p@>>m:6y'305=m11/;8:5f69'300=0l1/4;l552d8 =c>20837)6jb;28j=cd283?7p*=b482`5=]i109w:85768~m`?=83.9?84i3:l171<132cnn7>5$316>c5obn3:1(?=::g18j7532:10ek>50;&1706k=4n317>4=1<7*=348e7>h5;=0;76gi8;29 7522ol0b?=;:798mc?=83.9?84if:l171<232cmm7>5$316>c`oak3:1(?=::gd8j7532;10ekk50;&170;:k245<72-8897hi;o000?6<3f2n6=44}c3g6?6=n3:133;7)69b;7ae>"?m002>55+8d`94>h?mj0:485r$3`6>4b53Sk36?u86;54>xob13:1(?=::g18j7532?10ehl50;&1706k=4n317>1=h5;=0876gi0;29 7522o90b?=;:398mc4=83.9?84i3:l171<632cm87>5$316>c5oa13:1(?=::gd8j7532<10eko50;&1706kh4n317>6=h5;=0976gie;29 7522ol0b?=;:098m467290/>>;5fg9m662=821d5=4?::a5fb=83=1<7>tL22a>2}#?<91i55+93a9f>"0=?03i6*76c867c=#0l315?64$9ga>5=i0li1=n?4}%0a1?7dl2Pj47?t5`8~m`?=83.9?84i1:l171<232cnn7>5$316>c7obn3:1(?=::g38j7532;10ek>50;&170;:ke6?6=,;9>6k?4n317>5=5<0290;wA=?b;5x 2342l20(4b133946*7ec83?k>bk3;h;6s+2c795fc6k?4n317>0=h5;=0?76gjd;29 7522o;0b?=;:298m``=83.9?84i1:l171<532cm<7>5$316>c7i>83:17pl>cb83>2<729qG?=l57z&416n4m;%562??63-2=n7;mb:&;a<<>:11/4hl50:l;af<6k81v(?l::0a`?_g?28q>m7sfe883>!44=3l:7c<<4;78?lce290/>>;5f09m662=<21bii4?:%001?`63g8887=4;hge>5<#::?1j<5a22696>=nn90;6)<<5;d2?k44<3;07dh=:18'663=n81e>>:50:9l=4<722wi=nl50;594?6|D::i6:u+7419a==#1;i1=85+74494$9g:><4?3-2nn7>4n9g`>4e03t.9n84>cc9Ye=<6s>:55:9jag<72-8897h>;o000?2<3`oo6=4+2279b4=i::>1?65feg83>!44=3l:7c<<4;08?l`7290/>>;5f09m662=921bj?4?:%001?`63g8887>4;n:e>5<5b;33>4g|,;h>6;7<;n44`?6=3`?m>7>5;h422?6=3`=?87>5;h406?6=3`<:47>5;h576?6=3`<:m7>5;h575?6=3`<:o7>5;h574?6=3k<3:7>53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831vn;68:180>5<7s-8i97=1<75f16:94?=h9ol1<75rb7:;>5<4290;w)t$3`6><5<@::37d?87;29?l7003:17b?if;29?xd10k0;6>4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3th=4n4?:283>5}#:k?15?5G31:8m4102900e<97:188k4`a2900qo87e;297?6=8r.9n8463:J04==n9>=1<75f16:94?=h9ol1<75rb7:e>5<4290;w)N4811b=:950;9j52>=831d=kh50;9~f3?729086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e>081<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th=;k4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17pl98183>6<729q/>o;5939K75>5<72900c50z&1f0<>:2B8<55f16594?=n9>21<75`1gd94?=zj?2?6=4<:183!4e=3387E=?8:k232<722c:;54?::m2bc<722wi:5;50;194?6|,;h>6?>=;I13<>o6?>0;66g>7983>>i6no0;66s|66f94?4|V?=o70875;3eb>{t=o81<7=t^4d1?80?:3;<;639858232=z{?;=6=4={_422>;1010:;:5rs667>5<4sW=?8639878232=:>1=1=:94}r406?6=>rT=??52694952><5?2j6<97;<4;a?70027=5?4>799>2=2=9>20q~8>8;296~X19116:5m51658yv13:3:1?vP8439>2=g=9>=01;6m:054?xu19h0;6?uQ60c893?728=<7p}84083>6}Y?=;01;6j:054?80?n3;<;6s|60a94?4|V?;h70870;343>{t?=:1<7=t^663?80>:3;<;6397g8232=z{?=n6=4={<4;2?7an27=4:4>799~w4cc2909w0877;3eb>;1010:;55rs0gf>5<5s4<347?if:?5<4<6?>1v;66:18180?i3;mj6398c823==z{8l<6=4={<4;f?7an27=4n4>799~w4`?2909w087c;3eb>;1080:;55rs7:g>5<5s4<3i7?if:?57g9~w3?62909w0862;3eb>;1?o0:;55rs0da>5<5s4<799~w4`b2909w0872;3eb>;10<0:;:5rs7:0>5<5s4<387?if:?5<0<6?11vqo8n1;29f?>=9;q/>o;56`38k3?32900e8h=:188m3712900e::;:188m3302900e;?7:188m2252900e;?n:188m2262900e;?l:188m2272900n;o?:187>5<7s-8i9779;I13<>o6?>0;66g>7983>>o6?o0;66a>fg83>>{e>0<1<7:50;2x 7d220<0D>>7;h343?6=3`;<47>5;h34b?6=3f;mj7>5;|`5==<72=0;6=u+2c79=3=O;920e<98:188m41?2900e<9i:188k4`a2900qo86a;290?6=8r.9n8466:J04==n9>=1<75f16:94?=n9>l1<75`1gd94?=zj?3i6=4::183!4e=38;:6F<099j521=831b=:650;9j52`=831b=5>50;9l5c`=831vn;7l:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm68g94?5=83:p(?l::818L66?3`;<;7>5;h345;|`5=c<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xu11=0;6?uQ686893?a28lm7p}:f383>6}Y=o801;7l:054?80>m3;<;6s|60494?4|V?;=708n0;34b>{t?=>1<7;11?0:;55268:952><5?3j6<97;<4:a?7002wx:<650;0xZ37?34<2:7?8f:p314=838pR::=;<4:2?70?2wx:;<4:{t>0<1<74`a34<2n7?88:p2<1=838p1;77:0de?80>j3;{t9lo1<74`a34<2j7?87:p2n3;<46srb775>5;u+2c792005<5<5<6=44i706>5<5<5<j6=4;:183!4e=33=7E=?8:k232<722c:;54?::k23c<722e:jk4?::a263=8391<7>t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{e>=h1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th=8i4?:583>5}#:k?15;5G31:8m4102900e<97:188m41a2900c50z&1f0<58;1C?=64i054>5<5<53;294~"5j<02>6F<099j521=831b=:650;9l5c`=831vn;;>:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm64094?2=83:p(?l::327?M5702c:;:4?::k23=<722c:;k4?::m2bc<722wi:8=50;194?6|,;h>64<4H22;?l70?3:17d?88;29?j7an3:17pl95483>6<729q/>o;5929K75>5<3:1?7>50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj?936=4;:183!4e=33=7E=?8:k232<722c:;54?::k23c<722e:jk4?::a26?=8391<7>t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{e>:k1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th=?n4?:583>5}#:k?15;5G31:8m4102900e<97:188m41a2900c50z&1f0<58;1C?=64i054>5<5<53;294~"5j<02>6F<099j521=831b=:650;9l5c`=831vn;:?:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm65394?2=83:p(?l::327?M5702c:;:4?::k23=<722c:;k4?::m2bc<722wi:9<50;194?6|,;h>64<4H22;?l70?3:17d?88;29?j7an3:17pl94583>6<729q/>o;5929K75>5<3:1?7>50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj?><6=4<:183!4e=38;>6F<099j521=831b=:650;9l5c`=831vn;:7:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm65;94?5=83:p(?l::321?M5702c:;:4?::k23=<722e:jk4?::p264=838pR;==;<47=?7an2wx:1v8h;:186[3a<27=9>4>769>203=9>=01;:=:054?803<3;<;6s|60d94?5|V?;m708;b;343>;1;h0:;:5rs4d5>5<2sW?m:6394`8232=:>:?1=:94=71;>41034<857?87:p1c>=83?pR8h7;<47e?70027=8i4>799>26>=9>201;=l:05;?xu1i<0;68uQ6`78932f28=m708;d;34b>;1;10:;k5262a952`53z\560=:>=l1=:94=71f>4103ty>jl4?:4y]1cg<5?>o6<98;<47a?70?27=?n4>769>26b=9>=0q~8n7;297~X1i>16:8?51658932728=<7p}9a283>0}Y>h901;;>:05;?802=3;<463941823==:>=>1=:64}r413?6=;rT=>:526549521<5?><6<98;|q576<72;q6:9o51gd8935228=37p}>ee83>7}:>:?1=kh4=76a>41?3ty:ih4?:3y>21d=9ol01;;=:054?xu1n6<97;|q2b2<72;q6:9k51gd8932a28=37p}>f983>7}:>=l1=kh4=771>41?3ty=9=4?:3y>207=9ol01;;=:05e?xu6n00;6?u264095c`<5??86<97;|q2bd<72;q6:8=51gd8935328=<7p}95583>7}:>41?3ty:jo4?:3y>262=9ol01;=9:05;?xu6nj0;6?u262495c`<5?>26<98;|q572<72;q6:>651gd8935>28=37p}>fe83>7}:>:31=kh4=71b>41?3ty:jh4?:3y>26g=9ol01;:>:054?xu1;k0;6?u262a95c`<5?9o6<97;|q2ac<72;q6:>j51gd8935b28=37p}>f183>7}:>:o1=kh4=762>41?3ty=?k4?:3y>216=9ol01;:>:05e?xu6n80;6?u265395c`<5?>96<97;|q2b7<72;q6:9<51gd8932228=<7p}94283>7}:>=>1=kh4=766>41?3ty:j>4?:3y>213=9ol01;:9:05;?xu6n=0;6?u265495c`<5?><6<97;|q2b0<72;q6:9951gd8932?28=37p}>f783>7}:>=21=kh4=76:>41?3twi::l50;a95g<5>r.9n8497c9l201=831b:1<7>t$3`6><0<@::37d?87;29?l7003:17d?8f;29?j7an3:17pl95`83>6<729q/>o;52108L66?3`;<;7>5;h345;|`535<72:0;6=u+2c79=7=O;920e<98:188m41?2900c50z&1f0<>>2B8<55f16594?=n9>21<75f16d94?=h9ol1<75rb750>5<4290;w)N4811b=:950;9j52>=831d=kh50;9~f31329086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e>><1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th=;:4?:583>5}#:k?1>=:4H22;?l70?3:17d?88;29?l70n3:17b?if;29?xd1?10;6>4?:1y'6g3=1;1C?=64i054>5<5<53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831vn;;6:180>5<7s-8i97=1<75f16:94?=h9ol1<75rb77a>5<4290;w)1<7>t$3`6><0<@::37d?87;29?l7003:17d?8f;29?j7an3:17pl95d83>6<729q/>o;52108L66?3`;<;7>5;h345;|`51c<72:0;6=u+2c79=7=O;920e<98:188m41?2900c50z&1f0<>>2B8<55f16594?=n9>21<75f16d94?=h9ol1<75rb741>5<4290;w)N4811b=:950;9j52>=831d=kh50;9~f30429086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e>??1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th=:;4?:583>5}#:k?1>=:4H22;?l70?3:17d?88;29?l70n3:17b?if;29?xd1>>0;6>4?:1y'6g3=1;1C?=64i054>5<5<53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831vn;8n:180>5<7s-8i97=1<75f16:94?=h9ol1<75rb74a>5<4290;w)t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{e>?n1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th=:h4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17p}95683>7}Y><=01;8j:0de?xu19l0;6>uQ60g8933e28=<7089d;343>{t=o>1<7;t^4d7?80003;<;6397`8232=:>?=1=:94=74:>4103ty==k4?:2y]24`<5?=;6<98;<46b?70?2wx9k850;7xZ0`134<=j7?87:?51d<6?>16:8j51658933b28=<7p}:f983>0}Y=o201;8i:05;?800:3;<46395e823==:>?;1=:64}r4b1?6==rT=m85267d952`<5?=96<9i;<46`?70n27=:<4>7g9~w3422908wS8=5:?531<6?>16:;=51658yv3ai3:19vP:f`9>224=9>=01;9<:054?80193;<;639638232=z{?k<6=4<{_4b3>;1??0:;:52677952155z\5e6=:>><1=:64=75b>41?34<=97?88:?52<<6?11v;<8:180[05?27=:o4>769>23e=9>=0q~8:8;296~;1>o0:jk5264c952>52z?51d<6no16::>516:8yv7bm3:1>v397182bc=:>>=1=:94}r445?6=:r7=;?4>fg9>225=9>20q~?i7;296~;1?:0:jk52666952>52z?531<6no16::9516:8yv00=3:1>v397782bc=:>>=1=:h4}r3e=?6=:r7=;:4>fg9>22>=9>20q~?ia;296~;1?10:jk5264;952152z?53d<6no16:87516:8yv7aj3:1>v395882bc=:>fg9>23c=9>=0q~8:c;296~;1=m0:jk5264g952>52z?51`<6no16:8h516:8yv7am3:1>v395g82bc=:>?<1=:94}r454?6=:r7=:<4>fg9>234=9>20q~?jf;296~;1>;0:jk52671952>52z?526<6no16:;8516:8yv01<3:1>v396482bc=:>?<1=:h4}r3e5?6=:r7=:;4>fg9>231=9>20q~?i2;296~;1>>0:jk5267c952152z?52<<6no16:;o516:8yv7a;3:1>v396`82bc=:>?h1=:64}r3e0?6=:r7=:o4>fg9>23e=9>20q~?i5;296~;1>j0:jk5267f952>52z?52a<6no16:;k516:8yxd2n80;6o4>0;3b!4e=3?m=6a:dc83>>o19=0;66g91783>>o0<=0;66g97e83>>o1910;66g84383>>o19h0;66g84083>>o19j0;66g84183>>d2m=0;6>4?:1y'6g3=1:1C?=64i054>5<5<53;294~"5j<09=1<75f16:94?=h9ol1<75rb4g;>5<4290;w)t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{e=lk1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th>in4?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo;jd;297?6=8r.9n84=039K75>5<N4811b=:950;9j52>=831d=kh50;9~f0`729086=4?{%0a1??43A9;46g>7683>>o6?10;66a>fg83>>{e=mn1<7=50;2x 7d22;:97E=?8:k232<722c:;54?::m2bc<722wi9ik50;194?6|,;h>64<4H22;?l70?3:17d?88;29?j7an3:17pl:dg83>0<729q/>o;52148L66?3`;<;7>5;h345;h3;4?6=3f;mj7>5;|`6a5<72:0;6=u+2c79=7=O;920e<98:188m41?2900c50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj6F<099j521=831b=:650;9l5c`=831v8jm:181[3cj27>i>4>fg9~w3732908wS8>4:?6a5<6?>169h<51658yv06>3:1>vP9179>1`0=9>=0q~9;4;297~X0<=169h:5165890c228=<7p}97e83>3}Y>>n018k;:05;?83b03;<463:eb823==:=o:1=:64=4g1>41?3ty==54?:3y]24><541034?n57?87:p24g=838pR;?n;<7fa?70?2wx;9?50;1xZ22634?no7?87:?6aa<6?>1v;?l:181[06k27>hh4>769~w2272908wS9;0:?6b5<6?>169ij51658yv3ck3:1>v3:e582bc=:=l?1=:64}r3f`?6=:r7>i84>fg9>1`0=9>20q~?je;296~;2m?0:jk525ed952152z?6a=<6no169h7516:8yv7a?3:1>v3:e882bc=:=lk1=:64}r3eil4>fg9>1a`=9>20q~;jb;296~;2mj0:jk525df952>52z?6aa<6no169hk516:8yv7ai3:1>v3:ed82bc=:=ml1=:h4}r7fb?6=:r7>j=4>fg9>1ab=9>20q~?ib;296~;2lm0:jk525eg952>52z?6``<6no169ih51928yv7al3:1>v3:dg82bc=:=l:1=:64}r3ea?6=:r7>i=4>fg9>1`5=9>=0q~;j1;296~;2m;0:jk525d1952>h44?:c8;>44|,;h>68j6;n7`g?6=3`<:87>5;h422?6=3`=?87>5;h4:0?6=3`<:47>5;h576?6=3`<:m7>5;h575?6=3`<:o7>5;h574?6=3k?o47>54;294~"5j<02:6F<099j521=831b=:650;9j52`=831d=kh50;9~f0eb290?6=4?{%0a1??13A9;46g>7683>>o6?10;66g>7g83>>i6no0;66sm5e294?2=83:p(?l::848L66?3`;<;7>5;h345;n3eb?6=3th>h?4?:583>5}#:k?15;5G31:8m4102900e<97:188m41a2900c50z&1f0<58?1C?=64i054>5<5<5<53;294~"5j<02>6F<099j521=831b=:650;9l5c`=831vn8j9:180>5<7s-8i977<;I13<>o6?>0;66g>7983>>i6no0;66sm5e594?5=83:p(?l::321?M5702c:;:4?::k23=<722e:jk4?::p1fe=838pR8ml;<7g3?7an2wx:<:50;1xZ37334?o87?87:?6`3<6?>1v;?9:181[06>27>h54>7g9~w2232909wS9;4:?6`=<6?>1v;7;:185[0><27>h54>799>1fc=9>2018j?:05;?83c:3;<463:d7823==z{?;36=4={_42<>;2kl0:;k5rs661>5<5sW=?>63:cd8232=z{?;j6=4={_42e>;2l90:;k5rs662>5<5sW=?=63:d18232=z{?;h6=4={_42g>;2l;0:;k5rs663>5<5sW=?<63:d38232=z{h>4>769~w0eb2909w0;le;3eb>;2l:0:;55rs4ae>5<5s4?o<7?if:?6`6<6?o1v8j>:18183c:3;mj63:d282<5=z{8oo6=4={<7g7?7an27>h94>799~w4cb2909w0;k4;3eb>;2l>0:;:5rs4f6>5<5s4?o:7?if:?6`2<6?11vqo:<2;297?4=>50;194?6|,;h>64<4H22;?l70?3:17d?88;29?j7an3:17pl;3083>6<729q/>o;52118L66?3`;<;7>5;h345;|q76c<72;qU8?h4=512>4`a3ty?>o4?:2y]07d<5=9;6<98;<605?70?2wx8<:50;0xZ17334>8<7?88:p066=838p19=?:0de?82493;<46srb51`>5<42;0?w)i3;00;66g;2e83>>o3900;66l;3`83>6<729q/>o;5939K75>5<vP;389>06d=9ol0q~:=d;297~X3:m168>o51658915e28=<7p};1883>7}Y<83019=n:05;?xu3;h0;6?u242c95c`<5=9i6<97;|a01?=83>1?78t$3`6>12>3f>?87>5;h1f`?6=3`>857>5;h600?6=3k>?47>53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831vn9:9:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm45594?5=83:p(?l::321?M5702c:;:4?::k23=<722e:jk4?::p012=838pR9:;;<673?7an2wx?hj50;1xZ6cc34>?47?87:?703<6?>1v9=6:181[24127?854>799~w1532909wS:<4:?703<6?11v9:::18182303;mj63;468232=z{=>=6=4={<672?7an27?8:4>799~yg23:3:187=56z&1f0<3<;1d8>j50;9j7`b=831b8>850;9j07`=831i89?50;194?6|,;h>64=4H22;?l70?3:17d?88;29?j7an3:17pl;3g83>6<729q/>o;5939K75>5<vP;3e9>016=9ol0q~=jd;297~X4mm1689?51658915a28=<7p};3783>7}Y<:<019:>:05;?xu3:o0;6?uQ43d8915a28=37p};3d83>7}:<=;1=kh4=563>4103ty??k4?:3y>06`=9ol019:?:05;?x{e<<<1<7:53;4x 7d22=?=7b::1;29?l5bl3:17d:<4;29?l2413:17o::5;297?6=8r.9n8463:J04==n9>=1<75f16:94?=h9ol1<75rb570>5<4290;w)t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{t<<;1<7;3=:0:;:5rs517>5<5sW>8863;54823==z{=926=4={_60=>;3=:0:;55rs571>5<5s4>>97?if:?711<6?>1v9;<:181822;3;mj63;55823==zuk>?j7>54;192~"5j<0?8k5`45c94?=n;ln1<75f43d94?=n<:<1<75m45g94?5=83:p(?l::818L66?3`;<;7>5;h345;|`70f<72:0;6=u+2c79=7=O;920e<98:188m41?2900c50z&1f0<58;1C?=64i054>5<5<?m7>52z\70d=:<=n1=kh4}r1f`?6=;rT8ii5245g9521<5=>h6<98;|q76c<72;qU8?h4=56f>41?3ty??;4?:3y]060<5=>h6<97;|q70g<72;q689k51gd8912c28=<7p};4b83>7}:<=i1=kh4=56g>41?3twi;?o50;791??|,;h>6:7>5;h7`4?6=3`<:87>5;h:653;294~"5j<02>6F<099j521=831b=:650;9l5c`=831vn:<::180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm73494?5=83:p(?l::320?M5702c:;:4?::k23=<722e:jk4?::a371=8391<7>t$3`6><5<@::37d?87;29?l7003:17b?if;29?xd0:10;6>4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3ty<>>4?:3y]375<5>83641034=9;7?87:p1f6=838pR8m?;<51=?7002wx:<:50;1xZ37334=997?87:?463<6?>1v5;7:181[>2027<>84>799~w2432909w09=9;3eb>;0:10:;:5rs606>5<5s4=997?if:?463<6?11v3;mj63826823==z{8on6=4={<513?7an27<>54>799~yg1493:197=57z&1f0<0;81d;?m50;9j1c4=831b9n?50;9j242=831b48750;9a366=8391<7>t$3`6><4<@::37d?87;29?l7003:17b?if;29?xd0:l0;694?:1y'6g3=1?1C?=64i054>5<5<vP82b9>37`=9ol0q~;i2;297~X2n;16;>>51658924b28=<7p}:c083>7}Y=j;01:=?:05;?xu19=0;6?uQ6068924b28=37p}75883>7}Y0<301:8m6<98;|q46`<72;q6;?k51gd8924a28=37psm72:94?3=;3=p(?l::61;?j14;3:17d;i2;29?l3d:3:17d8>4;29?l>2i3:17o9<7;297?6=8r.9n8462:J04==n9>=1<75f16:94?=h9ol1<75rb616>5<3290;w)850;194?6|,;h>6?>=;I13<>o6?>0;66g>7983>>i6no0;66s|72194?4|V>98709<6;3eb>{t=o81<7=t^4d1?814?3;<;638348232=z{;0;>0:;55rs737>5<5sW<:863834823==z{1?j6=4={_:6e>;0;<0:;k5rs617>5<5s4=8;7?if:?473<6?>1v:=::181814=3;mj63837823==zuk=8j7>55;193~"5j<08>1<75f84a94?=e?:o1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th5}#:k?15;5G31:8m4102900e<97:188m41a2900c50z&1f0<58;1C?=64i054>5<5<52z\47d=:?:n1=kh4}r7e6?6=;rT>j?5272g9521<5>9h6<98;|q6g1<72;qU9n:4=61f>41?3ty==94?:3y]242<5>9h6<97;|q;1f<72;qU48m4=61`>41a3ty36c=9ol01:=k:054?xu0;j0;6?u272a95c`<5>9o6<97;|a7d7=83k1i7?8{%0a1?5f92e84h4?::k02a<722c8;?4?::k03d<722c8;94?::k033<722c8;54?::k03f<722c8;h4?::k0<5<722h8584?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo=66;297?6=8r.9n84=039K75>5<N4811b=:950;9j52>=831d=kh50;9~f6??29086=4?{%0a1?47:2B8<55f16594?=n9>21<75`1gd94?=zj:326=4<:183!4e=3387E=?8:k232<722c:;54?::m2bc<722wi?4o50;194?6|,;h>6?>=;I13<>o6?>0;66g>7983>>i6no0;66sm38`94?5=83:p(?l::808L66?3`;<;7>5;h345;|`0=a<72:0;6=u+2c79=7=O;920e<98:188m41?2900cn3:1?7>50z&1f0<>:2B8<55f16594?=n9>21<75`1gd94?=zj:k;6=4::183!4e=38;:6F<099j521=831b=:650;9j52`=831b=5>50;9l5c`=831vn>7?:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm38094?5=83:p(?l::818L66?3`;<;7>5;h345;|`0=6<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xd41=0;6>4?:1y'6g3=1:1C?=64i054>5<5<52z\0<`=:;0>1=kh4}r15`?6=>rT8:i52387952><5:3o6<97;<1:b?7002785?4>799>7<2=9>=0q~=82;297~X4?;16?4>5165896?528=<7p}<7`83>7}Y;>k01>7m:054?xu4?=0;6>uQ366896?>28=<70=6a;343>{t;><1<7=t^255?85>?3;<;63<998232=z{:=36=4<{_14<>;41<0:;:52384952152z\03f=:;h:1=:64}r14a?6=:rT8;h5238f952152z\0<5=:;0l1=:94}r1;b?6=:r78584>fg9>7<0=9>20q~?jd;296~;41?0:jk52385952>52z?0=2<6no16?46516:8yv7a?3:1>v3<9982bc=:;031=:64}r3efg9>720q~?i9;296~;41h0:jk5238`952>52z?0=g<6no16?l>51658yv5>k3:1>v3<9e82bc=:;h:1=:h4}r1:a?6=:r785k4>fg9>7d6=91:0q~?ib;296~;4i90:jk52382952>52z?0=5<6no16?4=51658yv5>93:1>v3<9382bc=:;091=:64}r3e`?6=:r785>4>fg9>7<2=9>20qpl:2183>g<683;jw)i28h0;66g<6e83>>o4?;0;66g<7`83>>o2:80;66g<7d83>>o4090;66g;f983>>o3nh0;66g;fb83>>o28:0;66l:1283>6<729q/>o;5939K75>5<N4811b=:950;9j52>=831d=kh50;9~f07129086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e=8=1<7:50;2x 7d22;:?7E=?8:k232<722c:;54?::k23c<722e:jk4?::a14>=8391<7>t$3`6><4<@::37d?87;29?l7003:17b?if;29?xd29h0;6>4?:1y'6g3=1:1C?=64i054>5<5<53;294~"5j<09c;297?6=8r.9n8463:J04==n9>=1<75f16:94?=h9ol1<75rb43f>5<4290;w)t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{e=9i1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17pl:0g83>6<729q/>o;5929K75>5<50z&1f0<>:2B8<55f16594?=n9>21<75`1gd94?=zj<;96=4<:183!4e=38;>6F<099j521=831b=:650;9l5c`=831v8>n:181[37i27>=?4>fg9~w60c2908wS=9d:?65f<6?>16914>=9>=018?n:054?xu4?h0;6?uQ36c8907428=<7p}:2083>0}Y=;;018?<:05;?836<3;<463:17823==:=8k1=:64}r14a?6=:rT8;h52506952152z\0<5=:=8<1=:94}r6e41034?;i7?87:p0ce=839pR9hl;<72a?70?27>=k4>769~w0642909wS;?3:?65`<6?11v8>m:181836;3;mj63:168232=z{<:o6=4={<720?7an27>=:4>799~w0722909w0;>6;3eb>;29>0:;k5rs0gg>5<5s4?:;7?if:?65=<6?11v=o4>799~w4`02909w0;>b;3eb>;29j0:;55rs0d;>5<5s4?:o7?if:?657<6?>1v8?k:181836m3;mj63:1g823==z{8l26=4={<72b?7an27>799~w4`f2909w0;?c;3eb>;28l0:;55rs0da>5<5s4?;i7?if:?64c<6?11v=<4>799~w4`b2909w0;>1;3eb>;29;0:;55r}c:0`?6=<391:v*=b48;7a=h0:21<75f82494?=n0:?1<75f6da94?=e0:i1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th3?l4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17pl73c83>6<729q/>o;5929K75>5<52z\;73=:0:h1=:94}r:01?6=;rT3?85282a9521<519j6<98;|q5af<72;qU:hm4=91`>41?3ty3?44?:3y><6e=9ol015=n:05;?xu6mm0;6?u282c95c`<519i6<97;|a<15=83>1?78t$3`6>=243f28i7>5;h:02?6=3`2897>5;h4fe?6=3k2?>7>53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831vn5:?:180>5<7s-8i97=1<75f16:94?=h9ol1<75rb962>5<4290;w)850;0xZ=51342?=7?87:p<63=839pR5=:;<:76?70?2738=4>769~w3cf2909wS8ja:?;07<6?11v5=i:1818>3:3;mj63741823==z{8oo6=4={<:74?7an2738<4>799~yg>303:187<55z&1f0;50;9j2`?=831i49950;694?6|,;h>6494H22;?l70?3:17d?88;29?l70n3:17b?if;29?xd?4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3ty3894?:3y]<12<51>=684=964>410342?:7?87:p<63=838pR5=:;<:73?7002wx:h750;0xZ3c>342?;7?8f:p<13=838p15:8:0de?8>3>3;<46srb973>5<32:0=w)i?>o?;<0;66g9e683>>d?4?:1y'6g3=1:1C?=64i054>5<5<53;294~"5j<09=1<75f16:94?=h9ol1<75rs96a>5<5sW2?n6374d82bc=z{19=6=4={_:02>;?5<4sW2896374g8232=:0=n1=:94}r4f3?6=:rT=i:5285d952>52z?;0c<6no1649j516:8yv7bl3:1>v374e82bc=:0=o1=:64}|`;10<72=0968u+2c79<035<6=44i7g5>5<t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{t0<;1<72;3;mj6s|82494?5|V19=706:4;343>;?=:0:;:5rs916>5<5sW28963755823==z{?o=6=4={_4f2>;?==0:;k5rs971>5<5s42>87?if:?;16<6?11vqo8l8;290?5=>r.9n849c99l2f5=831b:n?50;9j2f6=831b:o750;9a2f1=8391<7>t$3`6><5<@::37d?87;29?l7003:17b?if;29?xd1k<0;6>4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3th=o;4?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900q~8l3;296~X1k:16:n851gd8yv0d93:1>vP9c09>2f0=9>=0q~8l0;297~X1k916:n95165893e228=<7p}9b883>7}Y>k301;m8:05;?xu1k=0;6?u26b595c`<5?i>6<97;|q2aa<72;q6:n;51gd893e128=37psm6bg94?2=;350z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj?ii6=4<:183!4e=38;>6F<099j521=831b=:650;9l5c`=831vn;ml:180>5<7s-8i977<;I13<>o6?>0;66g>7983>>i6no0;66s|6b;94?4|V?i2708lc;3eb>{t>j;1<7;1kk0:;:5rs7`4>5<5sW799~w4cc2909w08lb;3eb>;1kj0:;55r}c4g7?6=<3819v*=b485`6=h>jl1<75f6b394?=n>j:1<75f6c494?=e>m81<7:50;2x 7d220=0D>>7;h343?6=3`;<47>5;h34b?6=3f;mj7>5;|`5`4<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xu1ko0;6?uQ6bd893b628lm7p}9c083>6}Y>j;01;j=:054?80c93;<;6s|6b294?4|V?i;708k2;34<>{t>k<1<76<1s-8i978kb:m5`3<722c=o<4?::k5g5<722c=n94?::`5`d<72:0;6=u+2c79=6=O;920e<98:188m41?2900c50z&1f0<58;1C?=64i054>5<5<53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831v;j9:181[0c>27=h44>fg9~w3e62909wS8l1:?5`<<6?>1v;m?:180[0d827=hl4>769>2a>=9>=0q~8m4;296~X1j=16:io516:8yv0c?3:1>v39d`82bc=:>m21=:64}r3f`?6=:r7=h54>fg9>2a?=9>20qpl9e183>1<52o;56d28k3bd2900e;m>:188m3e72900e;l<:188f3ba290?6=4?{%0a1??03A9;46g>7683>>o6?10;66g>7g83>>i6no0;66sm6eg94?5=83:p(?l::321?M5702c:;:4?::k23=<722e:jk4?::p2ae=838pR;jl;<4ga?7an2wx:n?50;1xZ3e6341v;m?:181[0d827=hk4>799~w3d42909wS8m3:?5`c<6?o1v;jk:18180cn3;mj639dd823==zuk>5a;;957}#:k?18:=4o545>5<5<5<5<5<5<4290;w)=83?1<7>t$3`6>00;6>4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3th?:l4?:283>5}#:k?15?5G31:8m4102900e<97:188k4`a2900qo:9c;297?6=8r.9n8462:J04==n9>=1<75f16:94?=h9ol1<75rb54f>5<3290;w)6?>=;I13<>o6?>0;66g>7983>>i6no0;66sm46294?2=83:p(?l::848L66?3`;<;7>5;h345;n3eb?6=3th?;<4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17p};6783>7}Y:0de?xu4>m0;6>uQ37f8910f28=<70:80;343>{t;3?;0:;55247a952>mm7>52z\7bd=:?87>53z\701=:4=54f>41a3ty?>;4?:3y]070<5==;6<97;|q031<72:qU?::4=54`>41034>=i7?87:p720=838pR>99;<65a?7002wx8;950;0x911528lm70:99;343>{t4`a34>=57?88:p5`b=838p1986:0de?821i3;<46s|1dg94?4|5={t4`a34>=j7?88:p5c1=838p198i:0de?82083;<<6:r.9n84;659l001=831b?;j50;9j0c>=831b89o50;9j0cg=831b8km50;9j06b=831b8?850;9j722=831b?:850;9a035=8391<7>t$3`6><4<@::37d?87;29?l7003:17b?if;29?xd3=00;684?:1y'6g3=1k1C?=64i054>5<5<5<>m7>53;294~"5j<09=1<75f16:94?=h9ol1<75rb57g>5<4290;w)1<7>t$3`6><0<@::37d?87;29?l7003:17d?8f;29?j7an3:17pl;6183>6<729q/>o;52108L66?3`;<;7>5;h345;|`724<72=0;6=u+2c79=3=O;920e<98:188m41?2900e<9i:188k4`a2900qo:92;297?6=8r.9n84=039K75>5<53z\02a=:<4103ty?j54?:2y]0c><5=<86<98;<66=?70?2wx89o50;1xZ12f34>=?7?88:?71a<6?11v9hn:181[2ai27?944>799~w1`d2909wS:ic:?71<<6?o1v9=k:180[24l27?944>819>00`=9>l0q~:=6;296~X3:?168;?516:8yv50<3:1?vP<759>00b=9>=019;i:054?xu4??0;6?uQ3648913a28=37p};5983>7}:4103ty?944?:3y>00?=9ol019;n:05;?xu6mm0;6?u244c95c`<5=?i6<97;|q2a`<72;q688l51gd8910528=<7p};5b83>7}:<4103ty?9h4?:3y>00`=9ol0198?:05;?xu6n>0;6?u247295c`<5=<:6<9i;|q2b=<72;q68;?51gd8910528=37psm3b194?3=?3hp(?l::2a0?j5e13:17d=jd;29?l5e?3:17d=jc;29?l5e>3:17o=l2;297?6=8r.9n8463:J04==n9>=1<75f16:94?=h9ol1<75rb2`a>5<4290;w)N4811b=:950;9j52>=831d=kh50;9~f6dd29086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e;ko1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th8nk4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17pl6<729q/>o;5929K75>5<vP7f7=9ol0q~=jd;297~X4mm16?om5165896e728=<7p}6}Y;k=01>m=:054?85ej3;<;6s|3da94?5|V:oh70=l2;34<>;4jl0:;55rs2`5>5<4sW9i:63fg9>7gd=9>20q~?jd;296~;4jk0:jk523ca952>52z?0ff<6no16?n?51658yv5el3:1>v3fg9>7f6=9>20q~?i8;296~;4k90:jk523b3952>2}#:k?1?n74o2a7>5<5<5<1<7>t$3`6><1<@::37d?87;29?l7003:17d?8f;29?j7an3:17pl6<729q/>o;52108L66?3`;<;7>5;h345;|q0g1<72;qU?n:4=2a4>4`a3ty8ii4?:2y]7`b<5:i36<9i;<1`2?70?2wx?o950;0xZ6d0349h47?87:p7`g=839pR>kn;<1`7g9~w6d12909wS=m6:?0g3<6?11v>m::18185d03;mj63799~yg5dn3:197=57z&1f0<4ko1d?no50;9j7`b=831b?o950;9j7`?=831b?o850;9a7fc=83>1<7>t$3`6><0<@::37d?87;29?l7003:17d?8f;29?j7an3:17pl1<729q/>o;5969K75>5<5<53;294~"5j<097fc=9>l01>ml:054?xu4j>0;6?uQ3c5896eb28=<7p}6}Y;l301>mj:05;?85dk3;{t;jh1<74`a349hh7?87:p7fe=838p1>ml:0de?85dl3;<46srb2f6>5<22:0i4l90;66g>o4j>0;66g>o4j?0;66l1<729q/>o;5979K75>5<5<7>54;294~"5j<02;6F<099j521=831b=:650;9j52`=831d=kh50;9~f6b429086=4?{%0a1?47:2B8<55f16594?=n9>21<75`1gd94?=z{:n;6=4={_1g4>;4l:0:jk5rs2gg>5<4sW9nh6353z\0a==:;m>1=:64=2f1>41a3ty8n;4?:3y]7g0<5:n96<97;|q0`4<72;q6?i:51gd896b428=<7p}7}:;m81=kh4=2f0>41?3twi?il50;797?1|,;h>6>jm;n1g2?6=3`9nh7>5;h1a3?6=3`9n;7>5;h1a2?6=3k9om7>54;294~"5j<02:6F<099j521=831b=:650;9j52`=831d=kh50;9~f6b?290?6=4?{%0a1??03A9;46g>7683>>o6?10;66g>7g83>>i6no0;66sm3e;94?5=83:p(?l::321?M5702c:;:4?::k23=<722e:jk4?::p7a0=838pR>j9;<1g=?7an2wx?hj50;1xZ6cc349om7?8f:?0`=<6?>1v>l8:181[5e?278hl4>769~w6c02908wS=j7:?0`d<6?116?i6516d8yv5e>3:1>vP7a>=9>20q~=k7;296~;4lh0:jk523e;952152z?0`=<6no16?i7516:8yxd4m80;684<:6y'6g3=;l;0c>jl:188m6cc2900e>l8:188m6c12900e>l9:188f6c7290?6=4?{%0a1??13A9;46g>7683>>o6?10;66g>7g83>>i6no0;66sm3eg94?2=83:p(?l::858L66?3`;<;7>5;h345;n3eb?6=3th8hk4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17p}7}Y;mi01>ji:0de?xu4mm0;6>uQ3df896c728=m70=ke;343>{t;k=1<7;4ll0:;k5rs2`5>5<5sW9i:63769~w6bb2909w0=ke;3eb>;4lo0:;55r}c7b5?6=<391:v*=b486e4=h=0i1<75f6b394?=n>j:1<75f6c094?=e=h:1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th>5h4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17pl:9g83>6<729q/>o;5929K75>5<5n5258d95c`52z\5g4=:=0l1=:94}r4`4?6=;rT=o=525`29521<5<3n6<98;|q5f7<72;qU:o<4=4c3>41?3ty>5i4?:3y>1d6=9ol0187j:05;?xu6mm0;6?u258g95c`<5<3m6<97;|a1d1=83>1?78t$3`6>0g03f?j>7>5;h4`5?6=3`5;h4a4?6=3k?j:7>53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831vn8o;:180>5<7s-8i97=1<75f16:94?=h9ol1<75rb4c6>5<4290;w)m94>769~w3d72909wS8m0:?6e3<6?11v8o<:18183f>3;mj63:a5823==z{8oo6=4={<7b0?7an27>m84>799~yg3fk3:187<55z&1f0<2ij1d9l650;9j2f7=831b:n>50;9j2d`=831i9ll50;694?6|,;h>6494H22;?l70?3:17d?88;29?l70n3:17b?if;29?xd2ih0;6>4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3ty>m54?:3y]1d><541034?jm7?87:p2f6=838pR;m?;<7bf?7002wx:lh50;0xZ3ga34?jn7?8f:p1d?=838p18om:0de?83fi3;<46srb4`7>5<32:0=w)i2io0;66g9c083>>o1k90;66g9ae83>>d2j:0;6>4?:1y'6g3=1:1C?=64i054>5<5<53;294~"5j<09=1<75f16:94?=h9ol1<75rs4ce>5<5sW?jj63:b382bc=z{?i:6=4={_4`5>;2j;0:;:5rs7a3>5<4sW52z?6f6<6no169o?516:8yv7bl3:1>v3:b082bc=:=k81=:64}|`6f<<72=0968u+2c791g?6=44i7a2>5<5<t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{t=k?1<7;2j>0:;:5rs7a3>5<5sW;2j10:;k5rs4`5>5<5s4?i47?if:?6f2<6?11vqo9?0;290?5=>r.9n848019l2cd=831b4>850;9j<63=831b:k=50;9a2c`=8391<7>t$3`6><5<@::37d?87;29?l7003:17b?if;29?xd1nm0;6>4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3th=jh4?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900q~8ib;296~X1nk16:kk51gd8yv>4>3:1>vP7379>2cc=9>=0q~6<5;297~X?;<16:kh5165893`c28=<7p}9f283>7}Y>o901;hi:05;?xu1nj0;6?u26gd95c`<5?lo6<97;|q2aa<72;q6:kj51gd893`b28=37psm71494?2=;34=3:17d8i1;29?g17=3:1?7>50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj>:86=4<:183!4e=38;>6F<099j521=831b=:650;9l5c`=831vn:>;:180>5<7s-8i977<;I13<>o6?>0;66g>7983>>i6no0;66s|71394?4|V>::709?4;3eb>{t0:<1<7709?5;343>;08:0:;:5rs7d2>5<5sW:96=4={<531?7an27<<>4>799~w4cc2909w09?3;3eb>;08=0:;55r}c53f?6=<3819v*=b4844g=h?9=1<75f82494?=n0:?1<75f6g294?=e?9k1<7:50;2x 7d220=0D>>7;h343?6=3`;<47>5;h34b?6=3f;mj7>5;|`44<<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xu08>0;6?uQ7158926>28lm7p}73783>6}Y0:<01:>n:054?81713;<;6s|82794?4|V19>709?a;34<>{t>o:1<7:j66<1s-8i979>3:m44`<722c3?;4?::k;70<722c=ih4?::`457<72:0;6=u+2c79=6=O;920e<98:188m41?2900c50z&1f0<58;1C?=64i054>5<5<53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831v:>j:181[17m27<=<4>fg9~w=512909wS6<6:?454<6?>1v5=::180[>4=27<=?4>769>346=9>=0q~8je;296~X1ml16;<<516:8yv17n3:1>v381382bc=:?8:1=:64}r3f`?6=:r7<==4>fg9>347=9>20qpl81983>1<52o;570:8k2732900e5=9:188m=522900e;kk:188f270290?6=4?{%0a1??03A9;46g>7683>>o6?10;66g>7g83>>i6no0;66sm70494?5=83:p(?l::321?M5702c:;:4?::k23=<722e:jk4?::p342=838pR:?;;<522?7an2wx4>850;1xZ=5134=:;7?87:?453<6?>1v5=::181[>4=27<=:4>799~w3cc2909wS8jd:?452<6?o1v:?::181816?3;mj63817823==zuk?987>53;090~"5j<0>>95`53394?=n:>n1<75f4d;94?=e=;81<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th>>>4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17p}:2083>7}Y=;;018<<:0de?xu5?m0;6>uQ26f8904528=<70;=3;343>{t=:18;>64?::k021<722c8:84?::k023<722c8:54?::`7bc<72<0;6=u+2c79=g=O;920e<98:188m41?2900e<9i:188m4>72900c50z&1f0<>02B8<55f16594?=n9>21<75f16d94?=h9ol1<75rb422>5<4290;w)mj7?87:p734=838pR>8=;<6eb?7002wx?;=50;0xZ60434?;<7?88:p732=838pR>8;;<6eb?70n2wx?;;50;0xZ60234?;<7?8f:p730=838pR>89;<734?70?2wx?;650;0xZ60?34>mj7?70:p0c`=838p19hi:0de?83793;<;6s|51294?4|5<:;68:18;>64?::k021<722c8:84?::k023<722c8:54?::`641<72<0;6=u+2c79=<=O;920e<98:188m41?2900e<9i:188m4>72900c50z&1f0<>02B8<55f16594?=n9>21<75f16d94?=h9ol1<75rb425>5<4290;w)<;<732?7an2wx?;?50;0xZ60634?;87?87:p734=838pR>8=;<731?7002wx?;=50;0xZ60434?;87?88:p732=838pR>8;;<730?70n2wx?;;50;0xZ60234?;97?8f:p730=838pR>89;<731?70?2wx?;650;0xZ60?34?;87?70:p152=838p18>;:0de?837>3;<;6s|51794?4|5<:>664?::k021<722c8:84?::k023<722c8:54?::`762<72<0;6=u+2c79=f=O;920e<98:188m41?2900e<9i:188m4>72900c50z&1f0<>02B8<55f16594?=n9>21<75f16d94?=h9ol1<75rb50:>5<4290;w)9;7?87:p734=838pR>8=;<613?7002wx?;=50;0xZ60434>9;7?8f:p732=838pR>8;;<613?7?82wx?;;50;0xZ60234>947?87:p730=838pR>89;<61947?8f:p071=838p19<8:0de?82513;<;6s|43:94?4|5=836:18;>64?::k021<722c8:84?::k023<722c8:54?::`;1`<72<0;6=u+2c79=f=O;920e<98:188m41?2900e<9i:188m4>72900c2n3:187>50z&1f0<>02B8<55f16594?=n9>21<75f16d94?=h9ol1<75rb943>5<4290;w)i7?87:p734=838pR>8=;<:6a?7?82wx?;=50;0xZ604342>i7?88:p732=838pR>8;;<:6a?70n2wx?;;50;0xZ602342>j7?87:p730=838pR>89;<:6b?7002wx?;650;0xZ60?342>j7?8f:p<0c=838p15;j:0de?8>183;<;6s|84d94?4|51?m65<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm42594?5=83:p(?l::808L66?3`;<;7>5;h345;|`5e1<72:0;6=u+2c79=7=O;920e<98:188m41?2900c3:197>50z&1f0<>j2B8<55f16594?=n9>21<75f16d94?=n91:1<75`1gd94?=zj:64l4H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17pl74`83>1<729q/>o;5969K75>5<5<54;294~"5j<02;6F<099j521=831b=:650;9j52`=831d=kh50;9~f6ca29086=4?{%0a1??33A9;46g>7683>>o6?10;66a>fg83>>{e<9;1<7<50;2x 7d228237E=?8:k233<722e:jk4?::a1dc=83>1<7>t$3`6><1<@::37d?87;29?l7003:17d?8f;29?j7an3:17pl80e83>1<729q/>o;5969K75>5<5<7>52;294~"5j<0:455G31:8m4112900c7>50z&1f0<6011C?=64i055>5<N4811b=:950;9j52>=831d=kh50;9~f37>29086=4?{%0a1??43A9;46g>7683>>o6?10;66a>fg83>>{e>8h1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th==i4?:283>5}#:k?15?5G31:8m4102900e<97:188k4`a2900qo8=0;291?6=8r.9n846b:J04==n9>=1<75f16:94?=n9>l1<75f19294?=h9ol1<75rb701>5<2290;w)t$3`6>5<5<5<53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831vn>9k:180>5<7s-8i977<;I13<>o6?>0;66g>7983>>i6no0;66sm36d94?5=83:p(?l::808L66?3`;<;7>5;h345;|`0<4<72:0;6=u+2c79=1=O;920e<98:188m41?2900c50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj?hm6=4<:183!4e=3387E=?8:k232<722c:;54?::m2bc<722wi:k950;194?6|,;h>64=4H22;?l70?3:17d?88;29?j7an3:17pl9f883>6<729q/>o;5929K75>5<N4811b=:950;9j52>=831b=:h50;9l5c`=831vn8h::187>5<7s-8i9778;I13<>o6?>0;66g>7983>>o6?o0;66a>fg83>>{e=o=1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th>j44?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo8n6;297?6=8r.9n84=039K75>5<N4811b=:950;9j52>=831d=kh50;9~f0`c29086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e=ol1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th=<<4?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo8?3;297?6=8r.9n8462:J04==n9>=1<75f16:94?=h9ol1<75rb726>5<4290;w)t$3`6><2<@::37d?87;29?l7003:17b?if;29?xd1800;6>4?:1y'6g3=1=1C?=64i054>5<5<53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831vn;>k:180>5<7s-8i977;;I13<>o6?>0;66g>7983>>i6no0;66sm61d94?5=83:p(?l::868L66?3`;<;7>5;h345;|`554<72:0;6=u+2c79=6=O;920e<98:188m41?2900c50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj?k36=4k:183!4e=38;<6F<099j521=831b=:650;9j52`=831b=5>50;9j5=7=831b=5<50;9j5=5=831b=5:50;9j5=3=831b=5850;9j52?=831b=:o50;9l5c`=831vn;?::187>5<7s-8i977:;I13<>o6?>0;66g>7983>>o6?o0;66a>fg83>>{e=jh1<7;50;2x 7d22;:27E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi?l=50;694?6|,;h>6?>:;I13<>o6?>0;66g>7983>>o6?o0;66a>fg83>>{e;h?1<7:50;2x 7d22;:>7E=?8:k232<722c:;54?::k23c<722e:jk4?::a7d1=83>1<7>t$3`6>7623A9;46g>7683>>o6?10;66g>7g83>>i6no0;66sm36394?0=83:p(?l::8g8L66?3`;<;7>5;h345;h3;4?6=3`;3=7>5;n3eb?6=3th8m44?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17pl<7283>3<729q/>o;5a19K75>5<5<5<53;294~"5j<02>6F<099j521=831b=:650;9l5c`=831vn>98:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm36;94?5=83:p(?l::808L66?3`;<;7>5;h345;|`;22<72<0;6=u+2c7965><@::37d?87;29?l7003:17d?8f;29?l7?83:17b?if;29?xd3mk0;684?:1y'6g3=1h1C?=64i054>5<5<5<nh7>55;294~"5j<02m6F<099j521=831b=:650;9j52`=831b=5>50;9l5c`=831vn9ki:186>5<7s-8i977n;I13<>o6?>0;66g>7983>>o6?o0;66g>8183>>i6no0;66sm4g394?2=83:p(?l::858L66?3`;<;7>5;h345;n3eb?6=3th>>54?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17pl;f283>6<729q/>o;5939K75>5<50z&1f0<>:2B8<55f16594?=n9>21<75`1gd94?=zj<8h6=4<:183!4e=38;>6F<099j521=831b=:650;9l5c`=831vn9h8:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm53g94?5=83:p(?l::321?M5702c:;:4?::k23=<722e:jk4?::a0c?=83>1<7>t$3`6><3<@::37d?87;29?l7003:17d?8f;29?j7an3:17pl;fc83>1<729q/>o;5949K75>5<5<mh7>54;294~"5j<0296F<099j521=831b=:650;9j52`=831d=kh50;9~f3de290>6=4?{%0a1??c3A9;46g>7683>>o6?10;66g>7g83>>o6090;66a>fg83>>{e<>=1<7=50;2x 7d22;:87E=?8:k232<722c:;54?::m2bc<722wi86474H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17pl;1e83>1<729q/>o;5949K75>5<5<<57>53;294~"5j<09f;290?6=8r.9n8465:J04==n9>=1<75f16:94?=n9>l1<75`1gd94?=zj==i6=4<:183!4e=38;>6F<099j521=831b=:650;9l5c`=831vn99k:180>5<7s-8i97=1<75f16:94?=h9ol1<75rb502>5<2290;w)t$3`6><5<@::37d?87;29?l7003:17b?if;29?xd3?o0;6>4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3th?>84?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo:71;290?6=8r.9n84=059K75>5<5<53;294~"5j<02>6F<099j521=831b=:650;9l5c`=831vn9><:185>5<7s-8i97=1<75f16:94?=n9>l1<75f19294?=n91;1<75`1gd94?=zj:l86=4<:183!4e=3397E=?8:k232<722c:;54?::m2bc<722wi8=;50;494?6|,;h>6?>n;I13<>o6?>0;66g>7983>>o6?o0;66g>8183>>o6080;66a>fg83>>{e;o?1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th?<:4?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17pl1<729q/>o;5949K75>5<5<;57>55;294~"5j<09<:5G31:8m4102900e<97:188m41a2900e<6?:188k4`a2900qo=i9;297?6=8r.9n8462:J04==n9>=1<75f16:94?=h9ol1<75rb52a>5<2290;w)N4811b=:950;9j52>=831b=:h50;9j5=6=831d=kh50;9~f6`e29086=4?{%0a1??43A9;46g>7683>>o6?10;66a>fg83>>{e<9n1<7=50;2x 7d22;:97E=?8:k232<722c:;54?::m2bc<722wi?kj50;694?6|,;h>6484H22;?l70?3:17d?88;29?l70n3:17b?if;29?xd38o0;694?:1y'6g3=:9>0D>>7;h343?6=3`;<47>5;h34b?6=3f;mj7>5;|`02f<72:0;6=u+2c79655<@::37d?87;29?l7003:17b?if;29?xd4j:0;694?:1y'6g3=1?1C?=64i054>5<5<N4811b=:950;9j52>=831b=:h50;9l5c`=831vn>k::187>5<7s-8i97=1<75f16:94?=n9>l1<75`1gd94?=zj?l>6=4::183!4e=33o7E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi9n750;094?6|,;h>6<67;I13<>o6??0;66a>fg83>>{e0??1<7<50;2x 7d228237E=?8:k233<722e:jk4?::a170=8381<7>t$3`6>4>?3A9;46g>7783>>i6no0;66sm6d694?4=83:p(?l::0:;?M5702c:;;4?::m2bc<722wi8:;50;094?6|,;h>6<67;I13<>o6??0;66a>fg83>>{e;?k1<7<50;2x 7d228237E=?8:k233<722e:jk4?::a7`5=8381<7>t$3`6>4>?3A9;46g>7783>>i6no0;66sm70a94?4=83:p(?l::0:;?M5702c:;;4?::m2bc<722wxmn4?:`y]ef=::mk1mn5273`9e<=:?;81m4527209e<=:?:31m45215;9e<=:j80j563m2;c:?84e?3k27p}=6c83>c}Y:?h01?jn:34a?8>5l3k?708nb;c7?82683k?70=na;c7?83>;3k?708j5;c7?82b13ko70;mf;c0?8>2?3k870:k1;c0?85183k?70=9f;343>;4mo0:;:5rs06:>5<5sW;?563>48811==z{;=o6=46{_04`>;2:=09;i524d`9521<5=oo6<98;<6fb?70?27?j<4>769>021=9>=01>l<:054?85e=3;<46s|3df94?76s49nh7<:8:?2ff27?844014=;ln019;9:2gg?823n39nh636cc349o97=jd:?0`g<4mm16?h?53df8916628==70=i7;34b>;4nm0:;:523c1952`<5:h>6<98;|q5b1<72;q6:k;51gd8927d28==7p};e`83>7}:4103ty?in4?:3y>0`b=9ol01828=<7p};f183>7}:41?3ty?=n4?:3y>04b=9ol01996:05;?xu3n;0;6?u24g195c`<5<8j6<97;|q75`<72;q68628=<7p};f583>7}:41?3ty?>=4?:3y>077=9ol0199i:054?xu3n?0;6?u24g595c`<5<8n6<98;|q767<72;q68?=51gd8911a28=37p};f983>1}:=;:18k64=550>1`?34>=87:i8:?7b<<6no1v9<;:181825=3;mj63;80823==z{=lj6=4;{<714?2ai27?;>4;f`9>032=fg9~w6cb2909w0=jd;cb?85bn3;mj6s|3g294?4|5:l:6{t;o>1<74`a34>;;7?88:p7c0=838p1>h8:0de?827n3;<;6s|3g:94?4|5:l26{t;oi1<74`a34>;j7?88:p6g1=83lpR?jl;<:1`?bf34;4ko08n;523e797g0<5:ni6>l9;<1f5?5e>278n84>7g9~w6`a2909w0?le;;3?85al3;<46s|6b294?c|58o865k4=7a;>3e734;2i>0=o=525`a92f6<5799>1dc=9>201;lm:05e?xu2k<0;6?u25cd96<2<5io515;8942>2mn0q~=j4;296~;4m<0:jk523d1952052z?2fa27=i?4>fg9~w34?2909w0?ka;:e?83a;3;<;6s|63;94?4|58ni65h4=4d4>4103ty=>l4?:3y>5ae=0o169kl51658yv05j3:1>v3>de8;b>;19<0:;:5rs4a4>5<>s4;o;77?;<7`f?7?8278m44>799><31=91:01841a3ty8m?4?:5y>7d5=9ol01>9>:05;?82a93;769~w45d2908w0;e938=563m2;05=>{t;h>1<7:t=2c6>4`a349<=7?8f:?7b6<6?1168o31=kh4=7d6>41?3ty8m;4?:5y>7d1=9ol01>9>:0:3?82a=3;<463;1g823==z{:k36=4={<15b?700278m44>fg9~w3dd2909w08md;3eb>;1jk0:;:5rs7`f>5<4s47?86:?5fc<6no16:ol516:8yv35?3:1>v3:2982bc=:>l4>fg9>0c3=9>=0q~;=b;296~;2:j0:jk524g5952152z?02a;2:?0:;;5rs2`4>5;<1`7?5e?278o447f`=;k=01>j::2`4?85cj39i;63;1m90=o<525`392f7<5;<7bg?0d927>n949c09>1g?=>j;01;j::054?83fm3;<;639bc82<5=z{:<26=4={<154?be349=m7?if:p73d=838p1>8l:0de?851i3;<:6s|63a94?4|58nn64?4=4d0>41?3ty=>i4?:2y>5a`=18169k=516d8937228=m7p}92d83>7}:9l:15<525g5952>52z?2a4<>927>jo4>799~w0`5290;1i80>j?5273c91c4<5>9:68h=;<501c5=9ol0q~=72;290~;6jo03j6341?3ty=?=4?:3y>5`4=1816:<;516:8yv3a<3:1?v395786b1=:>>h19k:4=4d6>4`a3ty84>4?:7y>5f6=0o16?l9516d891cc28=m70:ib;34<>;39k0:;k5241;95=653z?513<2n?16::l55g4890`028lm7p}<8583>7}:9j;14k52367952154z?513<2n116::l55g:893g328=<70;i9;3eb>{t;1?1<7=`<5:=<6<98;|q;21<72;q64895dc9><33=9ol0q~;ia;297~;1=?0>jl5266`91cg<572?=9>=0199k:05;?827j3;3<6s|5ga94?4|5{t=oo1<74`a34=838p1;>6:0de?80f03;3?6s|61c94?4|5?:i650;0x936628lm708n8;34b>{t>9i1<74`a34<:0de?80f03;3<6s|61g94?4|5?:m62wx:=:50;0x936228lm708n8;3;5>{t>8:1<74`a34l49159>367=>8>01:=7:737?814n3<:86391482bc=z{<:j6=4={<6f=?bc34?9<7;?a:p240=83?p1;7<:735?80f93<::63:f08553=:=m31:<84=734>4`a3ty>><4?:3y>176==;;018<;:402?xu1910;68u2681924><5?k:6;?7;<7e5?06027>h449199>24?=9ol0q~9>9;296~;6jl0j:6381`82bc=z{?;j6=4:{<4:7?06i27=m<491`9>1c7=>8k018j6:73b?806j3;mj6s|60a94?3|5?386;?l;<4b5?06k27>j<491b9>1a?=>8i01;?k:0de?xu19l0;6>u21e:9<<1:37b3ty==k4?:2y>200=>8l01;9m:73e?80583;mj6s|63394?4|5?896{t>;?1<7=t=775>34234<;1?k0=>:5rs600>5<5s4=9>7jk;<51e?15;2wx8=>50;0x96cc2mn019>>:0de?xu?=m0;6?u28739<0b<51<<6<97;|q;27<72;q6489528689=0428lm7p}<8683>6}:9j>14h523`1952`<5=:86<6>;|q0a7<72;q6?lo5dc9>7`5=9ol0q~=78;296~;6k<02=63<76823==z{<:36=4;{<3g1?>a34>nn7?8f:?7b<<6?116?k?51658yv50i3:1?v34`a3ty8;n4?:3y>7d7=;>i01>9k:0de?xu4?l0;6>u23`3972c<5<8;6>9j;<14b?7an2wx9no50;0x90ee28lm70;l9;342>{t;k81<74`a349n97?87:p7=6=839p1>o>:2:3?8358393<63<8082bc=z{:h?6=4={<1a1?7an278i84>799~w0e?2909w0;mf;fa?83d13;mj6s|73a94?4|5>8i6ij4=612>24d3ty=ml4?:3y>6ag=:mi01?l8:ef8yv25j3:1;v3>cg8;a>;3;;0?>o524279521<5=:86<97;<631?70027?<44>799>05d=9>20q~:=c;297~;6l903i63;7c823==:<9?1=5?4}r1;=?6=>r7:o;460:?0e6<6?1168hj5192891`e28=m70:>b;3;4>;38:0:4=5rs2:b>5<2s4;h;77?;<1b1?70027?io4>819>0c?=9>l01>h>:05;?xu40k0;6>u21b:9=5=:;h=1=:64=52:>41a3ty84n4?:3y>5f?=1916?:;516:8yv5?l3:18v3>c`8:4>;4?00:;55246`9521<5=:>6<6?;|q747<72;q68==51gd896`428=<7p};0583>7}:<9?1=kh4=2d6>41?3ty?;;4?:4y>021=9ol019?m:054?826l3;<;63;208232=:<;91=:64}r632?6=:r7?<:4>fg9>7c1=9>=0q~:88;296~;3?00:jk5240d9521;47>52z?74<<6no16?k751658yv20i3:1>v3;7c82bc=:<;;1=:64}r63e?6=:r7?fg9>7cd=9>20q~=9d;2955}:;?n1>864=243>7d1349j=7=9d:?665<4>m168:=537f891032:;4?80:4<52875952`<5=l<6<97;<62b?70n27?>84>769>7c5=9>201>h::054?85a13;<463<4>7g9~w16d2909w0:?d;3eb>;4nm0:;k5rs55f>5<5s4>j:18185bn3;<463;0g82bc=z{=2;6=4={<6;5?7an27?;84>779~w3c42909w08nb;fa?80b<3;mj6s|82794?c|58o>65k4=91g>=52342??76<5:?;0=582789=32219>709?0;:01>;08?03?85271`9<63<5>;865=:;<524=2738l4>799>35b=9>201;h::05e?xu1i<0;69u264492d3<5?=i6;o:;<4b0?70027=m;4>fg9~w3g02908w08:6;4b3>;1?k0=m:526`:95c`9:7>57z?736<3:?168;:54348914f2=8=70:=1;3;4>;3::0:;:524179521<5=:i6<98;|q64<<72:q6=i85909>0``=91:019hk:05e?xu?>?0;6?u287595c`<51<>6<99;|q7`3<72;q68i?5286894b321l0q~:ie;29`~;28;0?jh523`19521<5:k>6<98;<1b3?70?27?io4>799>0`b=9>2019ki:05;?82a13;<;63;fc8232=:41?34>;?7?87:?74<<6?>1v9;3;>0:;:5rs610>5<5s4=8>7jk;<50;2kk0:;5523639521<5=l:6<97;|q76`<72:q6=i<5919>02b=9>=019>m:05e?xu1jh0;6?u26c`95c`<5?o?6<99;|q;73<72lq6=h85919><6b=0:<015:<:915?8>30328:637518;73=:084=623>=5134=;:76<6:?44g;08m0:;:526g795=6n57>56z?7a<<5=1169?:54d;890ee28=<70697;343>;3?>0:;55237a952152z?02afg9~w6172909w0=81;3eb>;4i00:;:5rs251>5<4s49j=7=82:?665<4?;16?:=51gd8yv50<3:19v391?::4=547>613349<97?if:?740<6?o1v>99:18785f939<:63;728033=:1?:84=254>4`a3ty8;54?:3y>7d7=;>201>96:0de?xu09k0;6?u26d79`g=:?8i1=kh4}r711?6=:r7?i44na:?663<6no1v99;:18182683ni70:85;3eb>{t;oo1<7=c<5:l<6<97;|q47d<72;q6;>75de9>36`=?:k0q~l?:181[d734h96?;7;%0;4?ga3g84}rcf>5<5sWkn70l>:37;?!4?83km7c<8f;38yv10<3:1?vP8759>2c1=9>=01;h6:05;?!4?83=uQ760893`028=3708i9;343>"5090<;>5a26d95>{t==n1<7=t^46g?80el3;<;639bg823==#:1:199m4n35e>5=z{<>i6=4<{_77f>;1jm0:;5526cd9521<,;2;68:l;o04b?759z?1`d<61?16=ih5f39>5ad=n;16=h>5f39>5ae=n;16=h?5f39>5a?=n;16:?<516d89343282;7)<70;3:1>h5?o0;7p}>9583><}::mk1=4:4=0fe>c6<58ni6k>4=0g3>c6<58nh6k>4=0g2>c6<58n26k>4=701>41?34<987?8f:&1<5<61<1e>:h51:p5<5=832p1?jn:0;0?87cn3om70?kb;ge?87b83om70?kc;ge?87b93om70?k9;ge?83a13;<46*=8182=0=i:>l1>6s|18094??|5;nj6<7=;63734;oj7kk;<3gf?cc34;n<7kk;<3gg?cc34;n=7kk;<3g=?cc34?m57?87:&1<5<61<1e>:h53:p5<7=83kp1?jn:0;2?8ge2:>m70?kf;ga?87cj3oi70?j0;ga?87ck3oi70?j1;ga?87c13oi708=2;343>;1:=0:;55+29295<35?4>819>272=9>=0(?6?:0;6?k40n3?0q~9=1;296~;fj39>963838811==#:1:1;?>4n35e>5=z{>;m6=4={63434=8>7<:8:&1<5<0:91e>:h51:p34c=838p1ll53408924e2;?37)<70;514>h5?o097p}81e83>7}:ik089<52730960><,;2;6:7>52z?5=6<1;;16:8856208 7>72?9:7c<8f;28yv02?3:1>v39a08512=:>>h1:894$3:3>3563g8497e9>1c7=>>n0(?6?:75`?k40n3:0q~864;296~;1i80=59525e;92<2<,;2;6;9l;o04b?753z?513<1i:16::l56`1893g328lm7)<70;4b6>h5?o0;7p}:dc83>7}:j;0oh63:f086`g=#:1:19io4n35e>5=z{ab<5;|q753<72;q6=hm52678915228=37)<70;621>h5?o0;7p};1583>7}:9li1>:=4=511>1733-83<7:>5:l13c<63ty??94?:2y>01?=<:>019;9:517?824=3;mj6*=818776=i:>l1<6s|43d94?5|5=99692909w0?m9;041>;3;j0?=45+292904>5<5s4;i57<83:?772<6?11/>5>540:8j71a281v9=6:180824k3>8563;48877<=:<<<18>74$3:3>15?3g84}r602?6=;r7?8?4;379>01`=<:<019=8:0de?!4?83>846`=7g82?xu3<=0;6?u245;9012<5==869:;;%0;4?23;2d9;k4?;|q77a<72;q689<542f891032=9o7)<70;677>h5?o0:7p};5083>7}:<<<188?4=550>1363-83<7::0:l13c<73ty?8l4?:3y>01`=<=k0198;:56b?!4?83>><6`=7g82?xu1mj0;68u26d79634<58hn6k<4=0g5>c4<58o>6k<4=91g>3cd3-83<78jb:l13c<73ty=il4?:4y>2`3=:?:01h5?o0:7p}9e883>0}:>l?1>8h4=0`f>``<58o=6hh4=0g6>``<51>36;k6;%0;4?0bj2d9;k4=;|q5a=<720;68u26d7960d<58hn6hl4=0g5>`d<58o>6hl4=973>3c03-83<78jb:l13c<33ty=i;4?:4y>2`3=:<301h5?o0>7p}73983>7}:0;n1i:5282f9<6><,;2;65=8;o04b?652z?;6a473d9'6=6=0:=0b?9i:09~w=232909w06=d;g7?8>3032?86*=818;72=i:>l1>6s|85;94?4|518o6h<4=96b>4`a3-83<76<7:l13c<43ty38o4?:3y><7b=m91648>585`8 7>7219<7c<8f;68yv>293:1>v372e8ga>;?=<039<5+2929<615<5s4;ih7<8b:?5g=<1j01/>5>56c:8j71a291v;l8:18187el38<5639cd85f2=#:1:1:o64n35e>4=z{?h=6=4={<3a`?40027=h>49b79'6=6=>k20b?9i:39~w3d22909w0?md;042>;1l<0:;k5+29292g>5rs7`7>5<5s4;ih7<85:?5`g<1j=1/>5>56c:8j71a2=1v;l<:18187el380=z{?i86=4={<4bf?c034:h50:p2f?=838p1;om:d7893eb2?i27)<70;4`6>h5?o0:7p}9cg83>7}:>hh1i9526e192f`<,;2;6;m=;o04b?452z?5egfg9'6=6=>j80b?9i:29~w3b12909w08nb;g3?80cj3l186s|6ea94?4|5?ki6ik4=7g3>3bd3-83<78l2:l13c<23ty?:;4?:3y>046=m9168:=54748 7>72=<>7c<8f;28yv22?3:1>v3;118ga>;3>=0?9:5+29290335<5s4;io7<8b:?0g6<4mj1/>5>53d`8j71a291v>kn:18187ek38<5634=z{:o26=4={<3ag?400278ok4;4l<08i55+29297`d5rs2g4>5<5s4;io7<85:?0`g<4m>1/>5>53d`8j71a2=1v>k9:18187ek380=z{:h26=4={<1be?c0349h?7=m9:&1<5<4j11e>:h50:p7f2=838p1>on:d7896e>2:i?7)<70;1a<>h5?o0:7p}7}:;hk1i9523bd97fg<,;2;6>l7;o04b?452z?0edl186s|3ea94?4|5:kj6ik4=2g2>6bd3-83<7=m8:l13c<23ty=n?4?:4y>2dd=:?801h5?o0;7p}9b183>0}:>hh1>;>4=0`g>c6<58o86k>4=0g7>c6<5;|q5ec<72`b<58o?6hj4=4cf>41a3-83<78m1:l13c<43ty=mi4?:4y>2dd=:h5?o0?7p}9ab83>0}:>hh1>874=0`g>`?<58o86h74=0g7>`?<51d7==0i0(?6?:4;a?k40n3:0q~;n2;296~;21:0n963:a686e7=#:1:194l4n35e>4=z{:h52:p1db=838p187<:d0890gb28lm7)<70;7:f>h5?o087p}:ag83>7}:=091i=525c691d`<,;2;687m;o04b?252z?6=6n44:b49'6=6==0h0b?9i:49~w3`42909w0?me;04f>;0890=j>5+29292c45<5s4;ii7<89:?443<1n81/>5>56g08j71a281v;h?:18187em38<46380c85b5=#:1:1:k<4n35e>7=z{?om6=4={<3aa?40>27<7g9'6=6=>o80b?9i:29~w3cb2909w0?me;041>;09:0=ih5+29292c45<5s4;ii7<83:?45=<1mm1/>5>56g08j71a2<1v;hm:18180b=3o<709?0;4ef>"5090=jl5a26d94>{t?9;1<7`3<5>:=6:>>;%0;4?0ai2d9;k4>;|q442<72;q6:h;5e59>35d=?9=0(?6?:7db?k40n380q~9?c;296~;1m<0n>6380e82bc=#:1:1:ko4n35e>6=z{>:n6=4={<4f1?c734=:?79?e:&1<5<1nh1e>:h54:p342=838p1;k::eg8927?2>;?7)<70;4ee>h5?o0>7p};1283>3}:<8:1>8l4=0``>c?<58oh6hl4=0`:>`d<58im6hl4=0f2>`d<,;2;69?=;o04b?6:=7>56z?755<5=016=om5f99>5`e=m016=o75e89>5f`=m016=i?5e89'6=6=<880b?9i:09~w75c290nw0;6lh0m863>dd8e0>;6l10m863>de8e0>;6m;0m86392182<5=:>;<1=:64=4dg>41034?mj7?87:?544<6?>16:=951658936c28=<708>3;34<>"50909?n5a26d94>{t::h1<79t=3fb>75e34;om7h=;<3ga?`534;o47h=;<3g`?`534;n>7h=;<7e1?70?2.94=4=3b9m62`=92wx>>o50;5x97bf2;9j70?ka;d3?87cm3l;70?k8;d3?87cl3l;70?j2;d3?83a=3;<46*=81817f=i:>l1>6s|22;94?c|5;nj6?=6;<3ge?ca34;oi7ki;<3g16:=?516:8936428=<708?5;343>;1800:;:5261d9521<5?;86<98;%0;4?44k2d9;k4<;|q17=<72lq6>io522:894bf2ln013;3<63:fe823==:>991=:64=724>41?34<;57?88:?54g<6?>16:72;9h7c<8f;68yv44?3:1=?u22ec9661<58nj6hl4=0ff>`d<58n36hl4=0fg>`d<58o96hl4=734>41?34<:57?87:?55g<6?116:;2no0:;552617952><5?:i6<97;<43`?70027=799>247=9>=0(?6?:31`?k40n3?0q~<<6;29f~;5lh09?;521ec9a<=:9mo1i4521e:9a<=:9mn1i4521d09a<=:>8=1=:94=73:>41?34<:n7?87:?55a<6?>169k;516d8 7>72;9h7c<8f;48yv5103:1;v3<618121=:9m21==>4=421>60?34?;;7=98:?76d<4>1164;?537:8961428=<7)<70;153>h5?o0;7p}<6783>2}:;?:1>;<4=0f;>cc<5<:96>89;<733?51>27?>l4<679><37=;?<01>9<:05;?!4?839=;6`=7g82?xu4><0;6:u23729636<58n36km4=421>60234?;;7=95:?76d<4><164;?53778961428=m7)<70;153>h5?o097p}<6583>d}:;?:1>8h4=0f;>cd<5<:96>8;;<733?51<27?>l4<659><37=;?>01>9m:05;?850l3;<;63<7g823==:;1;1=:64$3:3>6003g85a>=nh169=<5371890602:<870:=a;157>;?>808:>5236195=6<,;2;6>88;o04b?27>5az?025<5=k16=i65f89>154=;?8018>8:241?825i39=>637608027=:;>h1=:94=25g>41?3491/>5>53758j71a2<1v>8>:184851838>563>d98e<>;28;08:<525159737<5=8j6>8>;<:55?519278;>4>809'6=6=;?=0b?9i:79~w6d6290:;v34n35e>5=z{:km6=4>7z?0ed<5>916=om5f19>5a?=nj16=n85f19>5f2=n916=n95f19>5g`=n916=n65f19>5f6=n916=n?5f19>5f?=n916=n<5f19>5f3=n916=n=5f19>5fg=n916=i;5f19>5a0=n916=i>5f19>5a4=n916=nj5f19>5fc=n916=nm5f19>5fd=n91/>5>53c28j71a281v>oj:1823~;4ih099k521ca9ac=:9m31jo521b49ac=:9j>1ik521b59ac=:9kl1ik521b:9ac=:9j:1ik521b39ac=:9j31ik521b09ac=:9j?1ik521b19ac=:9jk1ik521e79ac=:9m<1ik521e29ac=:9m81ik521bf9ac=:9jo1ik521ba9ac=:9jh1ik5+29297g65<6?r78ml4=5e9>5ge=mm16=i75f`9>5f0=mm16=n:5ee9>5f1=mm16=oh5ee9>5f>=mm16=n>5ee9>5f7=mm16=n75ee9>5f4=mm16=n;5ee9>5f5=mm16=no5ee9>5a3=mm16=i85ee9>5a6=mm16=i<5ee9>5fb=mm16=nk5ee9>5fe=mm16=nl5ee9'6=6=;k:0b?9i:29~w6gd290:;v34n35e>1=z{:ki6=4>7z?0ed<5=016=om5e89>5a?=n116=n85e89>5f2=m016=n95e89>5g`=m016=n65e89>5f6=m016=n?5e89>5f?=m016=n<5e89>5f3=m016=n=5e89>5fg=m016=i;5e89>5a0=m016=i>5e89>5a4=m016=nj5e89>5fc=m016=nm5e89>5fd=m01/>5>53c28j71a2<1v>?j:18087e<38c78ea>;6jo0mi6*=81805a=i:>l1<6s|30a94?5|58h?6?96;<3`2?`d34;ij7hl;%0;4?56l2d9;k4>;|q05g<72:q6=o:526:894e12oh0172:;o7c<8f;08yv56i3:1?v3>b58133=:9j<1jl521cd9bd=#:1:1?6=z{:;26=4<{<3a0?40=27:o;4i9:?2fch5?o0>7p}<2483>1}:9k?1>:l4=0g3>cc<58i?6kk4=0f5>cc<,;2;6><;;o04b?654z?2f0<5?016=h>5fb9>5f2=nj16=i85fb9'6=6=;;>0b?9i:09~w645290?w0?m5;04<>;6m90mn63>c58ef>;6l?0mn6*=818061=i:>l1>6s|33394?2|58h>6?99;<3f4?`f34;h87hn;<3g2?`f3-83<7==4:l13c<43ty8>=4?:5y>5g3=:>?0172:8?7c<8f;68yv56n3:18v3>b48136=:9l:1j5521b69b==:9m<1j55+29297725<4s4;i?7<8b:?2g2:h50:p743=839p1u21c1962><58i<6kl4=0a3>cd<,;2;6>?9;o04b?453z?2f6<5??16=n95f`9>5f6=nh1/>5>53048j71a2:1v>?=:18087e;38<963>c68e=>;6k90m56*=818053=i:>l186s|30394?5|58h86?9<;<3`3?`?34;h<7h7;%0;4?56>2d9;k4:;|q007<72:q6=hl526`894e?2oo0172:>:7c<8f;28yv5383:1?v3>ec813<=:9j21jn521e79bf=#:1:1?9?4n35e>4=z{:9m6=4<{<3ff?40027:o54ib:?2`0k50;1x94ce2;==70?l8;db?87c=3lj7)<70;175>h5?o087p}<3e83>6}:9lh1>:;4=0a;>c?<58n>6k74$3:3>6263g85f>=n116=i;5f99'6=6=;=;0b?9i:49~w0?f290;6j?0m>63>b68e6>;6j10m>63>db8ea>;6k80mi63>d38ea>"5090>545a26d94>{t=021<79t=4;0>70734;i:7h?;<3a3?`734;i47h?;<3gg?`d34;h=7hl;<3g6?`d3-83<7;69:l13c<63ty>5:4?:6y>1<5=::g`894b52oh0(?6?:4;:?k40n380q~;66;293~;21:099i521c49aa=:9k=1ii521c:9aa=:9mi1jl521b39bd=:9m81jl5+292915rs4;6>5<0s4?2?7<:b:?2f3:h54:p1<2=83=p187<:37:?87e>3o270?m7;g:?87e03o270?kc;d;?87d93l370?k2;d;?!4?83?256`=7g86?xu4:j0;6>u21c4962d<58i26kk4=0a0>cc<,;2;6>53z?2f3<5?016=n75fb9>5f5=nj1/>5>533`8j71a281v><6:18087e>38<463>c88ef>;6k:0mn6*=81806g=i:>l1>6s|33:94?5|58h=6?99;<3`=?`f34;h?7hn;%0;4?55j2d9;k4<;|q062<72:q6=o85267894e>2o30172:8i7c<8f;68yv55>3:1?v3>b78136=:9j31j5521b19b==#:1:1??l4n35e>0=z{:986=4<{<3a3?40j27:o?4ie:?2gd?50;1x94d02;=270?l2;d`?87di3lh7)<70;106>h5?o0:7p}<3183>6}:9k=1>:64=0a1>cd<58ij6kl4$3:3>6553g85f4=nh16=no5f`9'6=6=;:80b?9i:29~w64b2908w0?m7;041>;6k;0m563>c`8e=>"50908??5a26d90>{t;;n1<7=t=0`4>71434;h>7h7;<3`e?`?3-83<7=<2:l13c<23ty8?l4?:5y>5g>=:>h01:gg894e22oo0172:927c<8f;28yv5403:18v3>b9813<=:9l;1jn521b79bf=:9m:1jn5+292976?5<3s4;i47<88:?2a4850;6x94d?2;==70?j1;db?87d=3lj70?k0;db?!4?839856`=7g80?xu4;<0;69u21c:9623<58o:6k74=0a6>c?<58n;6k74$3:3>65>3g85`7=n116=n;5f99>5a6=n11/>5>532;8j71a2<1v::;:1868ge2=im70863;570>;1i80<89525g39312<52<5=?=801;o>:661?83a93=?>63:d88407=#:1:1;9=4n35e>4=z{>>:6=4:{1ed34<2?79;1:?5e4<0<8169k?5753890b>2>>:7)<70;577>h5?o097p}84183>0}:ik0?oo526819316<5?k:6::?;<7e5?13827>h448419'6=6=?=90b?9i:29~w0e32908w0;mf;06b>;0;o0>o9525b495=6<,;2;68m<;o04b?67>53z?6fc<5=m16;>655b0890e128=37)<70;7`7>h5?o0:7p}:c083>6}:=kl1>8l4=612>0e634?h:7?87:&1<5<2k:1e>:h52:p1f6=839p18li:37:?815i3?h<63:c7823c=#:1:19n=4n35e>6=z{1?h6=4<{<:63?42n27<35=91:0(?6?:97a?k40n3:0q~6:a;297~;?=>099i5272:9<0g<51<86<97;%0;4?>2j2d9;k4>;|q;1<<72:q6489524`8925621?270693;343>"509039o5a26d96>{t0<21<7=t=974>73>34=9m76:8:?;26<6?o1/>5>584`8j71a2:1v9j::18082c938>h63>d58f`>;6l>0nh6*=8187`1=i:>l1<6s|4e194?5|5=n:6?;m;<3g0?ce34;o;7km;%0;4?2c<2d9;k4>;|q7`7<72:q68i?524;894b32l30172=n?7c<8f;08yv>4<3:1:v372e8127=:9k91j?521c69b7=:9k?1j?521d`9b7=:9mh1jh5+2929<655<1s429h7<90:?2f6?50;4x9=4c2;?m70?m3;ge?87e<3om70?m5;ge?87bj3om70?kb;da?!4?8328?6`=7g81?xu?;90;6;u283f960b<58h86hj4=0`7>`b<58h>6hj4=0ga>`b<58ni6ko4$3:3>=543g8r73>i4=5c9>5g5=mk16=o:5ec9>5g3=mk16=hl5ec9>5ad=n01/>5>58218j71a2=1v55l38>563>b28f=>;6j=0n563>b48f=>;6mk0n563>dc8e<>"50903?>5a26d91>{t9ln1<7=9{<13=?74127>nk4j4:?6fcnk4j0:?6fc27:i?4i6:?2g3019>5f2=n=16=n:5112894e02o>01;6k10m863>c98245=:9j:1j9521b29556<58i:6k:4=0a2>46734;h57h;;<3`=?77827:o?4i4:?2g7<68916=n;5f59>5f3=99:01d48e0>;6l<0:<=521e49b1=:9m<1==>4=0g5>c2<58o>6k:4=0g0>c2<58o?6k:4=0f3>c2<58n;6<>?;<3g6?`334;o>7??0:~f216290:=788:66xH66f28qC=5h4}M13f?4|f1oh6;?4$9ga>22e3t.9n8486g9Ye=<4s;?1>9474;Y62c==r9j6>=58980f?532tcom7>5;h062?6=3`8>;7>5;h05b?6=3f2h57>5;n540?6=,;9>6:9<;o000?6<3f=<>7>5$316>2143g8887?4;n77`?6=,;9>68:l;o000?6<3f??n7>5$316>02d3g8887?4;n556?6=,;9>6:8>;o000?6<3f==<7>5$316>2063g8887?4;n56b?6=,;9>6:8>;o000?4<3f=>i7>5$316>2063g8887=4;n56`?6=,;9>6:8>;o000?2<3f=>o7>5$316>2063g8887;4;n56f?6=,;9>6:8>;o000?0<3f=>m7>5$316>2063g888794;c557?6=n39n69mtL22b>4}O91l0qA=?b;0xj=cd2:?0(5km:653?x"5j<09985Ua982=4=uS804=<:0?87=?:|m:5c<722com7>5;h062?6=3`8>;7>5;h05b?6=3f2i47>5;h335?6=3f8357>5$316>7>?3g8887>4;n0;3?6=,;9>6?67;o000?7<3f83:7>5$316>7>?3g8887<4;n0;1?6=,;9>6?67;o000?5<3f8387>5$316>7>?3g8887:4;n0;7?6=,;9>6?67;o000?3<3f83>7>5$316>7>?3g888784;n0;5?6=,;9>6?67;o000?1<3k9;57>51;294~"5j<0:?l5G31:8k45>2900qo6md;292?7=>rF8{I3;b>{K;9h1=v*7ec841<=z,;h>6<=7;hfb>5<5<5<5<12:0a3t.9n847be9j5=?=831b=5o50;9j`f<722cj97>5;n03`?6=3f8;j7>5;c33=?6=<3:15;n063}#:k?1==h4i32e>5<5<5<4290;w)t$3`6><2<@::37d?87;29?l7003:17b?if;29?xd68m0;6>4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3ty9>34;;o7?88:p5=g=838pR<6n;<33a?70?2wx==o50;0xZ46f34;;h7?if:p55d=838p1<>j:0de?877l3;<;6s|11a94?4|58:h6j:181>5<7s-8i97?78:J04==n9><1<75`1gd94?=z{8226=4={_3;=>;68o0:445rs0:b>5<5sW;3m63>0g822h30q~o::181[g234;;57ok;|q14a<72;qU>=j4=32f>4`a3ty9779~w46f2909w0??9;fg?877n3;;m6srsec94?4|Vmk01<=::ea8yv40k3:1>vP=7b9>563=i<1v?>k:181[47l27:?84=0e9~w76a2909wS889~w4>f2909wS?7a:?270<60h1vqo;<0;291?6=8r.9n84>059K75>1<729q/>o;51118L66?3E9;n7?t$9ga>23>3tcj57>5;hcg>5<47>5;|`;f=<72<0;6=u+2c79552<@::37A=?b;3x =ce2>?27pgn9;29?lgf2900elj50;9j`a<722e9954?::a<20=83>1<7>t$3`6>4643A9;46B<0c82!>bj3=>56sfa883>>ofl3:17djk:188k73?2900qo687;290?6=8r.9n84>029K75>>{e0>21<7:50;2x 7d228:87E=?8:N04g<6s-2nn79:9:je<<722cjh7>5;hfg>5<>m:0y'<`d=?<30qdo6:188mdb=831bhi4?::m11=<722wi4:o50;694?6|,;h>6<><;I13<>J48k0:w)6jb;56=>{ni00;66gnd;29?lbc2900c?;7:188yg>0j3:187>50z&1f0<68:1C?=64L22a>4}#0lh1;874}hc:>5<>i5=10;66sm86a94?2=83:p(?l::020?M5702F8{%:ff?1212wbm44?::kb`?6=3`no6=44o37;>5<54;294~"5j<0:<>5G31:8H66e28q/4hl574;8ylg>2900elj50;9j`a<722e9954?::a<2c=83>1<7>t$3`6>4643A9;46B<0c82!>bj3=>56sfa883>>ofl3:17djk:188k73?2900qo:77;290?6=8r.9n84>029K75>>{e<121<7:50;2x 7d228:87E=?8:N04g<6s-2nn79:9:je<<722cjh7>5;hfg>5<>m:0y'<`d=?<30qdo6:188mdb=831bhi4?::m11=<722wi85o50;694?6|,;h>6<><;I13<>J48k0:w)6jb;56=>{ni00;66gnd;29?lbc2900c?;7:188yg?6n3:187>50z&1f0<68<1C?=64L22a>4}#0lh1;874}hc:>5<>i5=10;66sm29c94?3=83:p(?l::027?M5702F8{%:ff?1212wbm44?::kbe?6=3`ko6=44ief94?=h:<21<75rb3:a>5<2290;w)N4811G?=l51z&;ag<0=01vel750;9jed<722cjh7>5;hfg>5<>m:0y'<`d=?<30qdo6:188mdg=831bmi4?::kg`?6=3f8>47>5;|`1?27pgn9;29?lgf2900elj50;9j`a<722e9954?::a6=c=83?1<7>t$3`6>4633A9;46B<0c82!>bj3=>56sfa883>>ofi3:17dok:188mab=831d>8650;9~f7>a290>6=4?{%0a1?77<2B8<55C31`95~"?mk0<945ri`;94?=nih0;66gnd;29?lbc2900c?;7:188yg4>83:197>50z&1f0<68=1C?=64L22a>4}#0lh1;874}hc:>5<>ocl3:17b<:8;29?xd5180;684?:1y'6g3=99>0D>>7;M13f?7|,1oi6:;6;|kb=?6=3`kj6=44i`f94?=nlm0;66a=5983>>{e"0bj3=>56s+2c790g`v=i:539yl4><3:17djn:188md5=831bhh4?:%001?c63g8887<4;hg3>5<#::?1i<5a22695>=nm;0;6)<<5;g2?k44<3:07b<:9;29 7522;?h7c<<4;08?j42j3:1(?=::37`?k44<3;07b<:d;29 7522;?h7c<<4;28?xd3j00;644?:1yO75d=ir.3:o4;c`9'0a>=1;30(:;>:ef8 22d2h80(:;::37;?!13n3nj7c9;6;38 2302;3?7c9;8;28 =ce2>?27p*=b487f<=]i109w>h5408~m7?32900eio50;9je6<722coi7>5$316>`7ob:3:1(?=::d38j7532910c?;6:18'663=:>;524a8j7532810c?;k:18'663=:50zN04g<0s-2=n7=;3:&416n4:;%562?40:2.2>o4;;%:ff?1212d3in490:'6g3=9kh0Vl652z3g>75=u`o26=4+2279ad=i::>1=65fec83>!44=3oj7c<<4;28?j40;3:1(?=::357?k44<3;07b<85;29 7522;=?7c<<4;28?xd6jh0;654?:1yO75d=?r.3:o4<429'305=m11/5?m55:&413<5?;1/5?l54:&;ag<0=01e4hm5819~ 7d228hj7Wo7:3y7=?2e2tcn57>5$316>`c54id`94?"5;<0ni6`=3581?>obl3:1(?=::dg8j7532810ehh50;&170tL22a>2}#?<91i55+93a9f>"0=?03j6*76c8605=#0l315?64$9ga>23>3g2no768;|&1f0<6m>1Qm54>{5;9ylc>290/>>;5ed9m662=;21bio4?:%001?cb3g8887<4;hgg>5<#::?1ih5a22695>=nmo0;6)<<5;gf?k44<3:07b6i:188yg7c;3:1?7>50zN04g<0s-=>?7k7;%;1g?0<,>?=65h4$94a>0273-2n577=8:&;ag<0=01e4hm5609~ 7d228n87Wo7:0y2`?{nm00;6)<<5;gb?k44<3;07dkm:18'663=mh1e>>:50:9l656:;n:;4?6=3`2ij7>5;h063?6=3`2i:7>5;h:41?6=3k2387>53;294~"5j<02>6F<099j521=831b=:650;9l5c`=831vn56=:180>5<7s-8i977<;I13<>o6?>0;66g>7983>>i6no0;66sm89194?5=83:p(?l::321?M5702c:;:4?::k23=<722e:jk4?::p<=6=838pR56?;<:;7?7an2wx4oh50;0xZ=da342387?87:p601=838pR?;8;<:;0?7002wx4o850;0xZ=d13423>7?87:p<23=838pR59:;<:;6?7002wx45?50;0x9=>328lm70673;343>{t0181<74`a3423?7?88:~f=>e290>6>48{%0a1?>?j2e34;4?::k;fc<722c3;84?::k;f3<722c3;>4?::`;?03:1?7>50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj1226=4<:183!4e=38;>6F<099j521=831b=:650;9l5c`=831v569:181[>?>273444>fg9~w=da2909wS6mf:?;1v59::181[>0=2734l4>799~w=d12909wS6m6:?;<=<6?>1v59<:181[>0;273454>799~w=>02909w067a;3eb>;?000:;:5rs9:;>5<5s42347?if:?;<<<6?11vqo661;291?5=?r.9n847909l<=e=831b4oh50;9j<25=831b4o850;9j<24=831i44>50;194?6|,;h>64<4H22;?l70?3:17d?88;29?j7an3:17pl78d83>6<729q/>o;5929K75>5<?k3:1>vP78b9><=`=9ol0q~6mf;296~X?jo1644>51658yv>0;3:1>vP7729><<6=9>20q~6m6;296~X?j?1645k51658yv>0:3:1>vP7739><=c=9>20q~67d;296~;?190:jk5289d952152z?;<`<6no1645h516:8yxd?1>0;684<:6y'6g3=00=0c57=:188m=da2900e59=:188m=d12900e59>:188f=?129086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e00>1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th3584?:283>5}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17p}79383>7}Y0080157::0de?xu?jo0;6?uQ8cd89=?128=<7p}77383>7}Y0>801579:05;?xu?j?0;6?uQ8c489=?328=<7p}77083>7}Y0>;0157;:05;?xu?1:0;6?u288495c`<513>6<98;|q;=1<72;q644:51gd89=?228=37psm88f94?3=;3=p(?l::9;g?j>>03:17d6mf;29?l>093:17d6m6;29?l>083:17o66c;297?6=8r.9n8462:J04==n9>=1<75f16:94?=h9ol1<75rb9;b>5<4290;w)t$3`6>7653A9;46g>7683>>o6?10;66a>fg83>>{t0021<7>j3;mj6s|8cd94?4|V1hm7066c;343>{t0>;1<7>k3;<46s|8c494?4|V1h=7066a;343>{t0>:1<7>i3;<46s|88;94?4|513h6{zj1k86=4::284!4e=32j?6a79d83>>o?jo0;66g77183>>o?j?0;66g76g83>>d?i;0;6>4?:1y'6g3=1;1C?=64i054>5<5<53;294~"5j<02?6F<099j521=831b=:650;9l5c`=831vn5o>:180>5<7s-8i97=1<75f16:94?=h9ol1<75rs9;f>5<5sW22i637a082bc=z{1hm6=4={_:ab>;?i;0:;:5rs953>5<5sW2<<637a3823==z{1h=6=4={_:a2>;?i90:;:5rs94e>5<5sW2=j637a1823==z{13m6=4={<:b6?7an273m<4>769~w=g72909w06n0;3eb>;?i80:;55r}c:b=?6==391;v*=b48;e<=h0h>1<75f8cd94?=n0?l1<75f8c494?=n0?o1<75m8`:94?5=83:p(?l::808L66?3`;<;7>5;h345;|`;e3<72:0;6=u+2c79=6=O;920e<98:188m41?2900cf?3:1?7>50z&1f0<58;1C?=64i054>5<5<52z\;e1=:0h=1=kh4}r:ab?6=:rT3nk528`:952152z\;2c=:0h21=:64}r:a2?6=:rT3n;528`4952152z\;2`=:0h<1=:64}r:b1?6=:r73m54>fg9>=0q~6n6;296~;?i?0:jk528`5952>2}#:k?14lh4o9cb>5<5<5<64=4H22;?l70?3:17d?88;29?j7an3:17pl7ae83>6<729q/>o;52108L66?3`;<;7>5;h345;|q;ed<72;qU4lo4=9cg>4`a3ty3nk4?:3y]41?3ty3n;4?:3y]41?3ty3mo4?:3y>=d23f2i<7>5;h:ab?6=3`2=h7>5;h:a2?6=3`2=o7>5;c:a0?6=;3:1N4811b=:950;9j52>=831d=kh50;9~f=d529086=4?{%0a1??43A9;46g>7683>>o6?10;66a>fg83>>{e0k91<7=50;2x 7d22;:97E=?8:k232<722c:;54?::m2bc<722wx4o>50;0xZ=d7342i?7?if:p7?88:pe;3;<;6s|8c094?4|51h966<0s-8i97:66:m7=4<722c3nk4?::k75}#:k?15?5G31:8m4102900e<97:188k4`a2900qo:63;297?6=8r.9n8463:J04==n9>=1<75f16:94?=h9ol1<75rb5;7>5<4290;w)N4811b=:950;9j52>=831d=kh50;9~w1?62909wS:61:?7=1<6no1v5li:181[>en27?584>769~w1>a2909wS:7f:?7=0<6?11v5l9:181[>e>27?5>4>769~w1>12909wS:76:?7=6<6?11v97=:18182>=3;mj63;958232=z{=386=4={<6:7?7an27?594>799~yg2>k3:197=57z&1f0<31j1d84950;9jt$3`6><4<@::37d?87;29?l7003:17b?if;29?xd3100;6>4?:1y'6g3=1:1C?=64i054>5<5<2m7>53;294~"5j<091684o51gd8yv>en3:1>vP7bg9>0=0q~:7d;296~X30m1684l516:8yv>e>3:1>vP7b79>0=0q~:74;296~X30=16847516:8yv2>03:1>v3;9c82bc=:<0k1=:94}r6:=?6=:r7?544>fg9>020qpl;a383>0<42>q/>o;54`08k1?c2900e5li:188m1>d2900e5l9:188m1>42900n9o>:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66sm48d94?5=83:p(?l::818L66?3`;<;7>5;h345;|`7e5<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xu31m0;6?uQ48f891g728lm7p}7bg83>7}Y0kl019o>:054?xu30j0;6?uQ49a891g628=37p}7b783>7}Y0k<0197i:054?xu30:0;6?uQ491891?a28=37p};9d83>7}:4103ty?5k4?:3y>0<`=9ol019o?:05;?x{een3:17d:7b;29?l>e>3:17d:72;29?g2f?3:1?7>50z&1f0<>:2B8<55f16594?=n9>21<75`1gd94?=zj=k>6=4<:183!4e=3387E=?8:k232<722c:;54?::m2bc<722wi8l850;194?6|,;h>6?>=;I13<>o6?>0;66g>7983>>i6no0;66s|4`194?4|V=k870:n6;3eb>{t0kl1<7{t0k<1<7{t1<74`a34>j:7?87:p0d3=838p19o::0de?82f>3;<46srb804>5<12:03w)i>:;0;66g7bg83>>o?k90;66g62183>>o?j?0;66g61g83>>d>:?0;694?:1y'6g3=1?1C?=64i054>5<5<N4811b=:950;9j52>=831d=kh50;9~f<4229086=4?{%0a1?47:2B8<55f16594?=n9>21<75`1gd94?=z{0896=4={_;16>;>:<0:jk5rs9`e>5<5sW2ij63627823c=z{1i;6=4={_:`4>;>:?0:;:5rs803>5<5sW39<63627823==z{1h=6=4={_:a2>;>:=0:;:5rs83e>5<5sW3:j63625823==z{0886=4={<;12?7an272>84>769~w<432909w07=4;3eb>;>:<0:;55r}c0:7?6=<3:1N4811b=:950;9j52>=831b=:h50;9l5c`=831vn9l::187>5<7s-8i9778;I13<>o6?>0;66g>7983>>o6?o0;66a>fg83>>{e>7;h343?6=3`;<47>5;h34b?6=3f;mj7>5;|`:64<72<0;6=u+2c79=f=O;920e<98:188m41?2900e<9i:188m4>72900c50z&1f0<>;2B8<55f16594?=n9>21<75`1gd94?=zj<9?6=4<:183!4e=33?7E=?8:k232<722c:;54?::m2bc<722wi9>m50;194?6|,;h>6?>=;I13<>o6?>0;66g>7983>>i6no0;66sm8cc94?5=83:p(?l::868L66?3`;<;7>5;h345;|`;f2<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xd2;?0;694?:1y'6g3=1?1C?=64i054>5<5<N4811b=:950;9j52>=831b=:h50;9l5c`=831vn8=n:181>5<7s-8i97?78:J04==n9><1<75`1gd94?=zj1hh6=4=:183!4e=3;346F<099j520=831d=kh50;9~f71629096=4?{%0a1?7?02B8<55f16494?=h9ol1<75rs83e>5<3sW3:j6361g811==:1;=154103tyom7>5fz\ge>;2;90j563;ae8b=>;3il0j5637b98b=>;50h0j563=8c8b=>;50j0j563=8e8b=>;50l0j563=8g8b=>;5190j563=908b=>;3jo0om63;b88ge>{t:<<1<78t^375?83483no70:mf;0:0>;3j0095952520952><5<9?6<97;|q112<72:qU>894=9`g>4>>342397<:7:p63`=83;nwS<9f:?;fa<5?j169>>5ae9>0db=im168lk5ae9>=im164:85ae9><21=im164:65ae9><2?=im164:o5ae9><2d=im164:m5ae9><2b=im164:k5ae9>0=1=im168565ae9>0=?=im1685o5ae9>6=g=im16>5l5ae9>6=e=im16>5j5ae9>6=c=im16>5h5ae9>6<6=im16>4?5ae9>0g`=i:168o75a29>627=9><0q~6m8;296~X?j1164o6524:8yv7793:1>vP>009>6<5=9>20q~6mb;296~;?j10jm637bb82bc=z{<9:6=4={<706?7an27>?n4>769~w0542909w0;<4;3eb>;2;j0:;55rs416>5<5s4?8>7?87:?673<6no1v8=8:181834<3;<;63:3982bc=z{<9i6=4={<70g?7an27>?l4>779~w<472909w07=7;;14>;>:80:jk5rs9a3>5<4s42ih7?7a:?2a2:47c19~w=d>2909w06ma;3eb>;?jj0:;;5rs41:>5<5s4?8<7on;<70e?7an2wx9>>50;328>el3nj70;<0;06<>;???0j5637768b=>;??10j5637788b=>;??h0j56377c8b=>;??j0j56377e8b=>;??l0j563;868b=>;3010j563;888b=>;30h0j56361g8b=>;51:0:;k5rs3;1>5769>0g1=9>=015ln:05;?xu?j?0;6ku28979e>2735:47b79><f132i:637ag8;f3=:0k?14o84=5;5>=d134>2o76m6:?7e7{t1;81<7ab<508<64<=;|q7f7<72=q6=i=58g9>0g3=9>2019l8:05;?8>ei3;<;6s|8cg94?4|51ho6?>k;<:a3?70?2wx4oh50;338>el38;j637848;fc=:01h14oh4=9;2>=da3422;76mf:?;=a21hm706nf;:ab>;?j<03nk524849en27?m547bg9>=71=0kl015l8:05;?xu5000;6?uQ29;897?62;?37)<70;0;<>h5?o0;7p}=8683>7}Y:1=01?7?:37;?!4?838346`=7g82?xu50?0;6?uQ294897>a2;?37)<70;0;<>h5?o097p}=8483>7}Y:1?01?6j:37;?!4?838346`=7g80?xu50=0;6?uQ296897>c2;?37)<70;0;<>h5?o0?7p}=8283>7}Y:1901?6l:37;?!4?838346`=7g86?xu50;0;6?uQ290897>e2;?37)<70;0;<>h5?o0=7p}=8083>7}Y:1;01?6n:37;?!4?838346`=7g84?xu3j80;6?u21c`9623<5=h>6<9i;%0;4?2e82d9;k4?;|q7ec<72;q6=ol5261891d028=m7)<70;6a4>h5?o0:7p};b583>7}:i:7>52z?7eafg9'6=6=;?0<03;85289`9<23<,;2;659;;o04b?654z?;3a<5=116>4?5de9><=d=0>90157>:950?!4?832<86`=7g82?xu??;0;69u286a960><5;3;6ij4=9;2>=153422;7682:&1<5:h52:p<27=83>p159m:37;?84?n3no70667;:45>;?1m03;<5+2929<225rs953>5<3s42:0(?6?:957?k40n3>0q~69f;290~;??009955229f9`a=:0h914;h4=9c:>=0a3-83<7684:l13c<23ty3:h4?:5y><2>=:<201?6l:ef89=g>21"50903;95a26d92>{t0?n1<7:t=954>73?3483n7jk;<:bb?>1l273n8476e9'6=6=0>>0b?9i:69~w=0d2908w0686;06<>;50h0oh637b48;2f=#:1:14::4n35e>==z{12;6=4={<:4a?bc342397670:&1<5:h50:p<=0=838p159k:ef89=>e212=7)<70;:4b>h5?o0:7p}78b83>7}:0>i1hi528839<=e<,;2;659i;o04b?47>52z?;3gl0b?9i:29~w=??2909w068a;fg?8>>l32246*=818;3c=i:>l186s|88g94?4|51=26ij4=9c0>=?b3-83<768f:l13c<23ty3m94?:3y><2>=lm164l758`68 7>721=m7c<8f;48yv>fi3:1>v37768g`>;?io03ml5+2929<2`5<5s42<:7jk;<:a1?>e82.94=477g9m62`=02wx85h50;0x94df2;=370:66;6;b>"5090?4h5a26d94>{t<1n1<771134>2o7:7d:&1<5<30l1e>:h51:p0=e=838p13o6*=8187<`=i:>l1>6s|49`94?4|58hj6?9<;<6bf2;?370?ma;ge?87b?3om70:66;6;2>;>:80:4=5+29290=35<2s4>357<:8:?2fd72=2>7c<8f;38yv2?;3:19v3;89811==:9kk1io521d59ag=:41?3-83<7:75:l13c<53ty?4?4?:4y>0=1=:<201l1?6s|48394?4|5=2j6ij4=5;5>1?63-83<7:60:l13c<73ty?5:4?:3y>0=?=lm1684m54858 7>72=3;7c<8f;38yv2>l3:1>v3;898g`>;3i;0?5i5+29290<65<5s4>3;7jk;<6b2;?o70;<6;343>"5090?nn5a26d94>{t73e34?8:7?88:&1<5<3jj1e>:h51:p0gg=838p19l6:37:?834>3;l1>6s|4b194?4|5=hm6?;k;<70"5090?o?5a26d95>{t73>34?847?8f:&1<5<3k;1e>:h52:p0de=839p19oj:37;?87ej3oi70?k3;ga?!4?83>jn6`=7g83?xu3ih0;6>u24`f960><58hi6h74=0f0>`?<,;2;69om;o04b?757z?04<<6;0168oh5e39>0g`=m9168oh5dd9>0g?=m;168o75e19>0g?=ll1v?9?:1818?6n3kj70<81;3eb>{zj<>n6=4::183!4e=3;;86F<099O75g=9r.:4:4;;|N04g<6s-2nn7980:je<<722cjm7>5;hcg>5<m6=4::183!4e=3;;86F<099O75g=9r.:4:4;;|N04g<6s-2nn7980:je<<722cjm7>5;hcg>5<<=6=4::183!4e=3;;;6F<099O75d=9r.3io48719~md?=831bml4?::kg`?6=3`8>87>5;n065}#:k?1==:4H22;?I57i3;p(<68:59~H66e28q/4hl57628ylg>2900elo50;9jea<722coh7>5;n065}#:k?1==:4H22;?I57i3;p(<68:59~H66e28q/4hl57628ylg>2900elo50;9jea<722coh7>5;n065}#:k?1==:4H22;?I57j3;p(5km:653?xof13:17don:188mdb=831bhi4?::m11=<722wi4n750;794?6|,;h>6<>8;I13<>J48k0:w)6jb;544>{ni00;66gna;29?lbc2900e?;;:188k73?2900qo6i2;291?6=8r.9n84>059K75>1<42?q/>o;577a8k2002900e5kk:188m<7a2900e:89:188f20e29086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{e??31<7=50;2x 7d22;:87E=?8:k232<722c:;54?::m2bc<722wi;;o50;194?6|,;h>64<4H22;?l70?3:17d?88;29?j7an3:17p}86683>7}Y??=01:8n:0de?xu?mm0;6?uQ8df8920f28=<7p}61g83>6}Y18l01:8m:054?81113;<;6s|77494?4|V><=7099b;34<>{t??21<74`a34==57?88:p5`b=838p1:86:0de?811i3;<46srb92`>5>1<75f7b`94?=n?o;1<75f7g194?=n?o?1<75f7g594?=n0;h1<75m7gg94?5=83:p(?l::808L66?3`;<;7>5;h345;|`4bc<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xd?890;6>4?:1y'6g3=1:1C?=64i054>5<5<7>54;294~"5j<02:6F<099j521=831b=:650;9j52`=831d=kh50;9~f=63290?6=4?{%0a1??13A9;46g>7683>>o6?10;66g>7g83>>i6no0;66sm81494?5=83:p(?l::808L66?3`;<;7>5;h345;|`;42<72=0;6=u+2c79652<@::37d?87;29?l7003:17d?8f;29?j7an3:17pl70983>6<729q/>o;5939K75>5<N4811b=:950;9j52>=831d=kh50;9~f=6e29086=4?{%0a1?47:2B8<55f16594?=n9>21<75`1gd94?=zj>lh6=4<:183!4e=3397E=?8:k232<722c:;54?::m2bc<722wi;kj50;194?6|,;h>6?>=;I13<>o6?>0;66g>7983>>i6no0;66s|7gc94?4|V>lj709id;3eb>{t0o81<7=t^9d1?8>783;<;638fb8232=z{>n36=4={_5g<>;0no0:;:5rs907>5<5sW298638fd8232=z{>=?6=49{_540>;0nl0:;552810952><51:?6<97;<:32?700273799~w2ee2908wS9lb:?;4=<6?>164=o51658yv1a93:1>vP8f09><54=9>l0q~9i3;296~X0n:164=<51658yv1a=3:1>vP8f49><52=9>l0q~9i7;296~X0n>164=:51658yv>5j3:1>vP72c9><50=9>=0q~9ib;296~;0nl0:jk527gd952>52z?4bc<6no164=>516:8yv7bm3:1>v370182bc=:?on1=:94}r:35?6=:r73fg9><51=9>=0q~6?3;296~;?8=0:jk52815952>52z?;43<6no164=9516d8yv7a?3:1>v370682bc=:0921=:64}r3efg9><5d=9>=0q~6?9;296~;?8h0:jk5281`952>52z?;4g<6no16;km516:8yv7ai3:1>v38fb82bc=:?on1=:64}|`;5c<72k0h6<8t$3`6>=7a3f2;h7>5;h:e6?6=3`=o?7>5;h:10?6=3`=<>7>5;h5`f?6=3`=m=7>5;h5e7?6=3`=m97>5;h5e3?6=3`29n7>5;c:25?6=;3:1N4811b=:950;9j52>=831d=kh50;9~f=7529086=4?{%0a1?47:2B8<55f16594?=n9>21<75`1gd94?=zj1;86=4<:183!4e=3387E=?8:k232<722c:;54?::m2bc<722wi4<;50;694?6|,;h>6484H22;?l70?3:17d?88;29?l70n3:17b?if;29?xd?9>0;694?:1y'6g3=1?1C?=64i054>5<5<N4811b=:950;9j52>=831d=kh50;9~f=7f290?6=4?{%0a1?47<2B8<55f16594?=n9>21<75f16d94?=h9ol1<75rb93a>5<4290;w)t$3`6><5<@::37d?87;29?l7003:17b?if;29?xd?9l0;6>4?:1y'6g3=:980D>>7;h343?6=3`;<47>5;n3eb?6=3th35}#:k?15?5G31:8m4102900e<97:188k4`a2900qo6>0;297?6=8r.9n84=039K75>5<7>53z\;b7=:0891=:94=92e>4103ty4?:3y]3a5<51;96<98;|q;61<72;qU4?:4=932>4103ty<;?4?:7y]324<51;:6<97;<:21?700273=:4>799><4?=9>2015?k:05;?xu0kk0;6>uQ7b`89=7e28=<706>d;343>{t?o;1<76=3;l8706>5;343>{t?o?1<76?3;l<706>7;343>{t0;h1<7613;<;6s|81g94?4|51;:63;34<>{t9lo1<74`a342:<7?87:p<42=838p15?::0de?8>6i3;<;6s|80494?4|51;<628lm706>a;34b>{t9o=1<74`a342:n7?88:p5c>=838p15?m:0de?8>6m3;<;6s|80a94?4|51;o6{t9ok1<74`a342:<7?88:~f011290h6i4>8z&1f0<2??1d9;<50;9j64<4H22;?l70?3:17d?88;29?j7an3:17pl:6983>6<729q/>o;52108L66?3`;<;7>5;h345;|`62<<72:0;6=u+2c79=6=O;920e<98:188m41?2900c50z&1f0<>>2B8<55f16594?=n9>21<75f16d94?=h9ol1<75rb44g>5<3290;w)64<4H22;?l70?3:17d?88;29?j7an3:17pl:7083>6<729q/>o;5939K75>5<72900c50z&1f0<>:2B8<55f16594?=n9>21<75`1gd94?=zj<=>6=4<:183!4e=3387E=?8:k232<722c:;54?::m2bc<722wi9;:50;194?6|,;h>6?>=;I13<>o6?>0;66g>7983>>i6no0;66sm57794?5=83:p(?l::808L66?3`;<;7>5;h345;|`623<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xu2>;0;6?uQ5708900128lm7p}7f383>6}Y0o801886:054?831=3;<;6s|7ef94?4|V>no70;98;343>{t=1i1<7o70;97;34<>;2>k0:;55257f952><5<;84>799~w0372908wS;:0:?636<6?>169:;51658yv32=3:1>vP:549>13d=9>l0q~;:7;296~X2=>169;l51658yv3213:1>vP:589>13b=9>l0q~;:b;296~X2=k169;j51658yv32l3:1>vP:5e9>13`=9>=0q~;:f;296~X2=o169:?51658yv31;3:1>v3:6682bc=:=?21=:64}r3f`?6=:r7>:54>fg9>13?=9>20q~?je;296~;2>00:jk52574952152z?62g<6no169:<51658yv31k3:1>v3:6e82bc=:=>81=:64}r75a?6=:r7>:k4>fg9>124=9>l0q~;80;296~;2?80:jk5256095=652z?637<6no169:=516:8yv7a03:1>v3:7282bc=:=?>1=:94}r740?6=:r7>;84>fg9>132=9>20q~?i9;296~;2>=0:jk52577952>52z?620<6no169;8516:8yxd20k0;6n4k:0:x 7d22<2i7b;87;29?l>a:3:17d9jc;29?l3?k3:17d;;b;29?l3283:17d;:5;29?l32?3:17d;:9;29?l32j3:17d;:d;29?l32n3:17o;8c;297?6=8r.9n8462:J04==n9>=1<75f16:94?=h9ol1<75rb45g>5<4290;w)N4811b=:950;9j52>=831d=kh50;9~f01b29086=4?{%0a1??43A9;46g>7683>>o6?10;66a>fg83>>{e=1:1<7:50;2x 7d220<0D>>7;h343?6=3`;<47>5;h34b?6=3f;mj7>5;|`6<7<72=0;6=u+2c79=3=O;920e<98:188m41?2900e<9i:188k4`a2900qo;74;297?6=8r.9n8462:J04==n9>=1<75f16:94?=h9ol1<75rb4:5>5<4290;w)t$3`6>7613A9;46g>7683>>o6?10;66g>7g83>>o6090;66a>fg83>>{e=121<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th>4l4?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo;89;297?6=8r.9n84=039K75>5<N4811b=:950;9j52>=831d=kh50;9~f01e29086=4?{%0a1?47:2B8<55f16594?=n9>21<75`1gd94?=z{<=<6=4={_743>;2?k0:jk5rs9d1>5<4sW2m>63:7d8232=:=>k1=:94}r5fg?6=:rT52z\6i1=:94}r77f?6=?rT>8o5256a952><5<2;6<97;<7;6?70027>494>799>1=0=9>20186n:05;?xu2=90;6>uQ542890>?28=<70;7a;343>{t={t=<31<7{t={t=>21<74`a34?728lm70;77;343>{t=1;1<74`a34?3;7?88:p1=5=838p186;:0de?83??3;028lm70;78;34<>{t9o21<74`a34?<57?87:p1=?=838p186n:0de?83013;<46s|1g;94?4|5<=26{zj1n:6=4::284!4e=32o=6a7cb83>>o?n;0;66g8e383>>o?l?0;66g7c883>>d?l90;6>4?:1y'6g3=1;1C?=64i054>5<5<53;294~"5j<09=1<75f16:94?=h9ol1<75rs9a`>5<5sW2ho637cg82bc=z{1l96=4={_:e6>;?ko0:;:5rs6g1>5<5sW=n>637cd8232=z{1n=6=4={_:g2>;?l90:;:5rs9a:>5<5sW2h5637d1823==z{1io6=4={<:g4?7an273oh4>799~w4cc2909w06le;3eb>;?ko0:;55r}c;24?6=<3>1;v*=b48:55=h19k1<75f8g094?=n19?1<75f90794?=e19l1<7=50;2x 7d22080D>>7;h343?6=3`;<47>5;n3eb?6=3th25}#:k?1>=<4H22;?l70?3:17d?88;29?j7an3:17pl60e83>6<729q/>o;5939K75>5<vP60`9>=5c=9ol0q~6i2;290~X?n;165=h516:89<6c28=<707?e;343>{t19?1<7707?f;343>{t19h1<74`a343;o7?88:p5`b=838p14>l:0de?8?7l3;<46s|1dg94?4|50:o6:180>7<3s-8i976i1:m;a`<722c3ii4?::k:5c<722h3ik4?:583>5}#:k?1585G31:8m4102900e<97:188m41a2900ca83:1?7>50z&1f0<58:1C?=64i054>5<5<52z\;a`=:0o:1=kh4}r:f`?6=a83;<46srb6f4>5<>2:0iw)i0l:0;66g85`83>>o0=k0;66g85b83>>o0=m0;66g85d83>>o0=o0;66g86183>>o0>;0;66l8d583>0<729q/>o;5989K75>5<5<N4811b=:950;9j52>=831b=:h50;9j5=6=831d=kh50;9~f2b129086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{t?m91<73;mj6s|74c94?4|V>?j709k4;343>{t??h709k4;34<>{t??n709k4;34b>{t?<;709k5;3;4>{t??81<7n?6{zj>nh6=46:28a!4e=3=oo6a8d983>>o0=h0;66g85c83>>o0=j0;66g85e83>>o0=l0;66g85g83>>o0>90;66g86383>>d0l00;684?:1y'6g3=101C?=64i054>5<5<5<55;294~"5j<02h6F<099j521=831b=:650;9j52`=831b=5>50;9l5c`=831vn:jm:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66s|7e:94?4|V>n3709kb;3eb>{t??i709k9;343>{t??o709ka;34b>{t??m709k9;34b>{t??:1<7<9709ka;3;4>{t?m31<74`a34=on7?87:p3ag=838p1:jn:0de?81cj3;<46srb6g2>5<>2:0iw)i0lm0;66g85`83>>o0=k0;66g85b83>>o0=m0;66g85d83>>o0=o0;66g86183>>o0>;0;66l8dd83>0<729q/>o;5989K75>5<5<N4811b=:950;9j52>=831b=:h50;9j5=6=831d=kh50;9~f2c729086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{t?mn1<7?j709ke;343>{t??h709kf;343>{t??n709ke;3;4>{t?<;709kf;34b>{t??81<7nn6{zj>o=6=46:28a!4e=3=n:6a8e383>>o0=h0;66g85c83>>o0=j0;66g85e83>>o0=l0;66g85g83>>o0>90;66g86383>>d0m:0;684?:1y'6g3=1h1C?=64i054>5<5<5<55;294~"5j<02h6F<099j521=831b=:650;9j52`=831b=5>50;9l5c`=831vn:k::180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66s|7d094?4|V>o9709j5;3eb>{t??i709j3;343>{t??o709j3;34b>{t??m709j3;3;4>{t??:1<7<9709j4;3;4>{t?l91<74`a34=n97?87:p3`2=838p1:k;:0de?81b=3;<46srb6ga>5<>2:0iw)i0m>0;66g85`83>>o0=k0;66g85b83>>o0=m0;66g85d83>>o0=o0;66g86183>>o0>;0;66l8e983>0<729q/>o;5989K75>5<5<N4811b=:950;9j52>=831b=:h50;9j5=6=831d=kh50;9~f2cf29086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{t?l=1<7?j709j9;343>{t??h709j9;34b>{t??n709j8;343>{t?<;709j8;34b>{t??81<7o3628lm709ja;34<>{zj>l;6=46:28a!4e=3=m<6a8eb83>>o0=h0;66g85c83>>o0=j0;66g85e83>>o0=l0;66g85g83>>o0>90;66g86383>>d0mm0;684?:1y'6g3=101C?=64i054>5<5<5<55;294~"5j<02h6F<099j521=831b=:650;9j52`=831b=5>50;9l5c`=831vn:ki:180>5<7s-8i977=;I13<>o6?>0;66g>7983>>i6no0;66s|7da94?4|V>oh709jf;3eb>{t??i709je;343>{t??o709jd;34b>{t??m709je;34<>{t??:1<7<9709je;3;4>{t?ln1<74`a34=nj7?87:p3`c=838p1:kj:0de?81bn3;<46srb82:>5<>2:0iw)i>8<0;66g85`83>>o0=k0;66g85b83>>o0=m0;66g85d83>>o0=o0;66g86183>>o0>;0;66l60783>0<729q/>o;59`9K75>5<5<N4811b=:950;9j52>=831b=:h50;9j5=6=831d=kh50;9~f<6?29086=4?{%0a1??53A9;46g>7683>>o6?10;66a>fg83>>{t19?1<7?j707?6;343>{t??h707?7;34<>{t??n707?7;3;4>{t?3;<46s|77294?4|V><;707?6;34b>{t??81<73;3<6s|91494?4|50:=6{zj18j6=4=f;7976}#:k?14?o4o907>5<no6=44i6g1>5<o<6=44i65:>5<=i6=44i65g>5<=m6=44i6:2>5<286=44i6:6>5<2<6=44i6::>5<2i6=44i6:g>5<2m6=44i6;2>5<386=44i6;6>5<3<6=44i6;:>5<3i6=44i6;g>5<3m6=44i6c2>5<k86=44i6c6>5<k<6=44i6c:>5<ki6=44i6cg>5<km6=44i6`2>5<h86=44i6`6>5<5<h26=44i6`a>5<ho6=44i6`e>5<i:6=44i6a0>5<i>6=44i6a4>5<i26=44i6g`>5<6=4>1;294~"5j<09<<5G31:8m4102900e<97:188m41a2900e<6?:188m4>62900e<6=:188m4>42900e<6;:188m4>22900e<69:188m41>2900e<9n:188m41e2900e<9l:188m41c2900e<9j:188k4`a2900qo6=6;2954<729q/>o;52138L66?3`;<;7>5;h345;h3;4?6=3`;3=7>5;h3;6?6=3`;3?7>5;h3;0?6=3`;397>5;h3;2?6=3`;<57>5;h34e?6=3`;5;h34g?6=3`;5;h34a?6=3f;mj7>5;|`;62<7200;6=u+2c7965e<@::37d?87;29?l7003:17d?8f;29?l7?83:17d?71;29?l7?:3:17d?73;29?l7?<3:17b?if;29?xd?:10;6:4?:1y'6g3=:9h0D>>7;h343?6=3`;<47>5;h34b?6=3`;3<7>5;h3;5?6=3`;3>7>5;n3eb?6=3th3>44?:483>5}#:k?1>=84H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17p}72583>7}Y0;>015<6:0de?xu0lm0;6?uQ7ef89=4228=37p}8e383>7}Y?l8015<::054?xu0m>0;6?uQ7d589=4228=m7p}87883>7}Y?>3015<::0:2?xu0?k0;6?uQ76`89=42282;7p}87e83>7}Y?>n015<::0:1?xu0?o0;6?uQ76d89=42282?7p}88083>7}Y?1;015<::0:0?xu00:0;6?uQ79189=42282>7p}88483>7}Y?1?015<::05:?xu00>0;6?uQ79589=42282=7p}88883>7}Y?13015<::05b?xu00k0;6?uQ79`89=4228=h7p}88e83>7}Y?1n015<::05a?xu00o0;6?uQ79d89=4228=o7p}89083>7}Y?0;015<9:054?xu01:0;6?uQ78189=4228=n7p}89483>7}Y?0?015<9:05;?xu01>0;6?uQ78589=41282;7p}89883>7}Y?03015<9:05e?xu01k0;6?uQ78`89=41282:7p}89e83>7}Y?0n015<9:0:1?xu01o0;6?uQ78d89=4128287p}8a083>7}Y?h;015<9:0:6?xu0i:0;6?uQ7`189=41282?7p}8a483>7}Y?h?015<9:0:5?xu0i>0;6?uQ7`589=4128=j7p}8a883>7}Y?h3015<9:05:?xu0ik0;6?uQ7``89=4128=i7p}8ae83>7}Y?hn015<9:05g?xu0io0;6?uQ7`d89=4128=h7p}8b083>7}Y?k;015<9:05f?xu0j:0;6?uQ7c189=4028=37p}8b483>7}Y?k?015<8:054?xu2=m0;6?uQ54f89=4028=m7p}8b683>7}Y?k=015<8:0:2?xu0j00;6?uQ7c;89=40282;7p}8bc83>7}Y?kh015<8:0:1?xu0jm0;6?uQ7cf89=40282?7p}8bg83>7}Y?kl015<8:0:0?xu0k80;6?uQ7b389=4?28=37p}8c283>7}Y?j9015<7:05e?xu0k<0;6?uQ7b789=4?28=<7p}8c683>7}Y?j=015<7:0:2?xu0k00;6?uQ7b;89=4?282;7p}8eb83>7}Y?li015<7:0:1?xu?:<0;6?u283795c`<51826<98;|q;63<72;q64?851gd89=4>28=37p}72683>7}:0;=1=kh4=90:>41a3ty3>54?:3y><7>=9ol015<6:0:3?x{e=081<75?5`59a94?=n?m21<75f7d094?=n?l=1<75f76;94?=n?>h1<75f76f94?=n?>l1<75f79394?=n?191<75f79794?=n?1=1<75f79;94?=n?1h1<75f79f94?=n?1l1<75f78394?=n?0?1<75f78;94?=n?091<75f78594?=n?0h1<75f78f94?=n?0l1<75f7`394?=n?h91<75f7`794?=n?h=1<75f7`;94?=n?hh1<75f7`f94?=n?hl1<75f7c394?=n?k91<75f7c794?=n=:183!4e=38;=6F<099j521=831b=:650;9j52`=831b=5>50;9j5=7=831b=5<50;9j5=5=831b=5:50;9j5=3=831b=5850;9j52?=831b=:o50;9j52d=831b=:m50;9j52b=831b=:k50;9l5c`=831vn86j:1825?6=8r.9n84=009K75>5<5<5<5<6=44i0:5>5<5<5<5<72900e<6>:188m4>52900e<6<:188m4>32900c83:1;7>50z&1f0<58k1C?=64i054>5<5<5<5<55;294~"5j<09<;5G31:8m4102900e<97:188m41a2900e<6?:188k4`a2900q~;7c;296~X20j1694?51gd8yv1c03:1>vP8d99>1=b=9>20q~9j2;296~X0m;1695j51658yv1b?3:1>vP8e69>1=b=9>l0q~989;296~X0?01695j51938yv10j3:1>vP87c9>1=b=91:0q~98d;296~X0?m1695j51908yv10n3:1>vP87g9>1=b=91>0q~971;296~X0081695j51918yv1?;3:1>vP8829>1=b=91?0q~975;296~X00<1695j516;8yv1??3:1>vP8869>1=b=91<0q~979;296~X0001695j516c8yv1?j3:1>vP88c9>1=b=9>i0q~97d;296~X00m1695j516`8yv1?n3:1>vP88g9>1=b=9>n0q~961;296~X0181695k51658yv1>=3:1>vP8949>1=b=9>o0q~969;296~X0101695k516:8yv1>;3:1>vP8929>1=c=91:0q~967;296~X01>1695k516d8yv1>j3:1>vP89c9>1=c=91;0q~96d;296~X01m1695k51908yv1>n3:1>vP89g9>1=c=9190q~9n1;296~X0i81695k51978yv1f;3:1>vP8a29>1=c=91>0q~9n5;296~X0i<1695k51948yv1f?3:1>vP8a69>1=c=9>k0q~9n9;296~X0i01695k516;8yv1fj3:1>vP8ac9>1=c=9>h0q~9nd;296~X0im1695k516f8yv1fn3:1>vP8ag9>1=c=9>i0q~9m1;296~X0j81695k516g8yv1e;3:1>vP8b29>1=`=9>20q~9m5;296~X0j<1695h51658yv32l3:1>vP:5e9>1=`=9>l0q~9m7;296~X0j>1695h51938yv1e13:1>vP8b89>1=`=91:0q~9mb;296~X0jk1695h51908yv1el3:1>vP8be9>1=`=91>0q~9mf;296~X0jo1695h51918yv1d93:1>vP8c09>1<6=9>20q~9l3;296~X0k:1694>516d8yv1d?3:1>vP8c69>1<6=9>=0q~9l5;296~X0k<1694>51938yv1d13:1>vP8c89>1<6=91:0q~9k3;296~X0l:1694>51908yv3?l3:1>v3:8e82bc=:=0;1=:94}r7;a?6=:r7>4h4>fg9>1<7=9>20q~;7f;296~;20o0:jk52583952`52z?6=5<6no1694?51928yxd2==0;6:4<:8y'6g3==<>0c8;?:188m2052900e:8?:188m23a2900e:;j:188m23c2900e:;n:188f036290>6=4?{%0a1??f3A9;46g>7683>>o6?10;66g>7g83>>o6090;66a>fg83>>{e=<81<7=50;2x 7d220>0D>>7;h343?6=3`;<47>5;n3eb?6=3th>9>4?:283>5}#:k?15?5G31:8m4102900e<97:188k4`a2900q~;:0;296~X2=91698=51gd8yv11:3:1>vP8639>104=9>=0q~990;296~X0>91698?51658yv12n3:1>vP85g9>104=9>20q~9:e;296~X0=l1698?516d8yv12l3:1>vP85e9>107=9>20q~9:a;296~X0=h1698?51928yv3293:1>v3:5082bc=:=<91=:94}r766?6=:r7>9?4>fg9>105=9>20qpl61c83>7d==38mw)i>9<0;66g88g83>>o?n<0;66g8a683>>o0j<0;66g8b883>>o0k80;66g7f683>>o0?00;66g89083>>o0i00;66g8bc83>>o0k:0;66g7f883>>o01:0;66g89483>>o01>0;66g89883>>o00:0;66g7fc83>>o?nm0;66g8ac83>>o0k<0;66g7fg83>>o0?m0;66g88683>>o0jm0;66g60083>>o0k00;66g88883>>o0io0;66g8a083>>o2=m0;66g8b683>>o00k0;66g8b083>>o0jo0;66g8a283>>o>8:0;66g87g83>>o00m0;66g8b283>>o0080;66l61783>47=83:p(?l::322?M5702c:;:4?::k23=<722c:;k4?::k2<5<722c:4<4?::k2<7<722c:4>4?::k2<1<722c:484?::k2<3<722c:;44?::k23d<722c:;o4?::k23f<722c:;i4?::k23`<722e:jk4?::a=41=83;:6=4?{%0a1?4792B8<55f16594?=n9>21<75f16d94?=n91:1<75f19394?=n9181<75f19194?=n91>1<75f19794?=n91<1<75f16;94?=n9>k1<75f16`94?=n9>i1<75f16f94?=n9>o1<75`1gd94?=zj0;36=46:183!4e=38;o6F<099j521=831b=:650;9j52`=831b=5>50;9j5=7=831b=5<50;9j5=5=831b=5:50;9l5c`=831vn4?6:180>5<7s-8i97=1<75f16:94?=h9ol1<75rb83b>5<2290;w)N4811b=:950;9j52>=831b=:h50;9j5=6=831d=kh50;9~w<722909wS7>5:?:5d<6no1v:6i:181[1?n272=;4>799~w=`22909wS6i5:?:53<6?>1v:o8:181[1f?272=;4>7g9~w2d22909wS9m5:?:53<6081v:l6:181[1e1272=;4>819~w2e62909wS9l1:?:53<60;1v5h8:181[>a?272=;4>859~w21>2909wS989:?:53<60:1v:7>:181[1>9272=;4>849~w2g>2909wS9n9:?:53<60?1v:lm:181[1ej272=;4>789~w2e42909wS9l3:?:53<6?k1v5h6:181[>a1272=;4>7`9~w2?42909wS963:?:53<6?j1v:7::181[1>=272=;4>7e9~w2?02909wS967:?:53<6?l1v:76:181[1>1272=:4>799~w2>42909wS973:?:52<6?>1v5hm:181[>aj272=:4>7g9~w=`c2909wS6id:?:52<6091v:om:181[1fj272=:4>809~w2e22909wS9l5:?:52<60:1v5hi:181[>an272=:4>839~w21c2909wS98d:?:52<60=1v:68:181[1??272=:4>879~w2dc2909wS9md:?:52<60<1v4>>:181[?79272=:4>789~w2e>2909wS9l9:?:52<6?k1v:66:181[1?1272=:4>7`9~w2ga2909wS9nf:?:52<6?j1v:o>:181[1f9272=:4>7e9~w03c2909wS;:d:?:52<6?l1v:l8:181[1e?272=54>799~w2>e2909wS97b:?:5=<6?>1v:l>:181[1e9272=54>7g9~w2da2909wS9mf:?:5=<6091v:o<:181[1f;272=54>809~w<642909wS7?3:?:5=<60:1v:9i:181[10n272=54>839~w2>c2909wS97d:?:5=<60=1v:l<:181[1e;272=44>769~w2>62909wS971:?:5<<6?11v4?9:1818?6>3;mj6361`8232=z{0;<6=4={<;23?7an272=l4>799~w<7?2909w07>8;3eb>;>9h0:;k5rs83:>5<5s43:57?if:?:5d<6091vqo99e;297?6=8r.9n84=029K75>5<N4811b=:950;9j52>=831b=:h50;9l5c`=831vn:h;:180>5<7s-8i977<;I13<>o6?>0;66g>7983>>i6no0;66sm7g494?2=83:p(?l::858L66?3`;<;7>5;h345;n3eb?6=3th5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo;:6;297?6=8r.9n8463:J04==n9>=1<75f16:94?=h9ol1<75rb47;>5<4290;w)t$3`6><5<@::37d?87;29?l7003:17b?if;29?xd2=j0;6>4?:1y'6g3=1:1C?=64i054>5<5<i7>53;294~"5j<02>6F<099j521=831b=:650;9l5c`=831vn88?:180>5<7s-8i977;;I13<>o6?>0;66g>7983>>i6no0;66sm76:94?5=83:p(?l::818L66?3`;<;7>5;h345;|`;66<72:0;6=u+2c79654<@::37d?87;29?l7003:17b?if;29?xd0?h0;684?:1y'6g3=1j1C?=64i054>5<5<5<55;294~"5j<02o6F<099j521=831b=:650;9j52`=831b=5>50;9l5c`=831vn:9j:186>5<7s-8i977k;I13<>o6?>0;66g>7983>>o6?o0;66g>8183>>i6no0;66sm79294?2=83:p(?l::8:8L66?3`;<;7>5;h345;n3eb?6=3th<4?4?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo974;290?6=8r.9n8466:J04==n9>=1<75f16:94?=n9>l1<75`1gd94?=zj>2=6=4::183!4e=33h7E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi;5650;194?6|,;h>64:4H22;?l70?3:17d?88;29?j7an3:17pl88`83>1<729q/>o;5979K75>5<5<54;294~"5j<02:6F<099j521=831b=:650;9j52`=831d=kh50;9~f2>b29086=4?{%0a1??33A9;46g>7683>>o6?10;66a>fg83>>{e?0:1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th<5?4?:283>5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo964;297?6=8r.9n8464:J04==n9>=1<75f16:94?=h9ol1<75rb6;5>5<4290;w)=83>1<7>t$3`6><><@::37d?87;29?l7003:17d?8f;29?j7an3:17pl89`83>6<729q/>o;5959K75>5<N4811b=:950;9j52>=831d=kh50;9~f2?b29086=4?{%0a1??43A9;46g>7683>>o6?10;66a>fg83>>{e?h:1<7=50;2x 7d220>0D>>7;h343?6=3`;<47>5;n3eb?6=3th5}#:k?15>5G31:8m4102900e<97:188k4`a2900qo9n4;297?6=8r.9n8463:J04==n9>=1<75f16:94?=h9ol1<75rb6c5>5<4290;w)=8391<7>t$3`6><4<@::37d?87;29?l7003:17b?if;29?xd0ih0;6>4?:1y'6g3=1;1C?=64i054>5<5<54;294~"5j<0296F<099j521=831b=:650;9j52`=831d=kh50;9~f2gb290>6=4?{%0a1??e3A9;46g>7683>>o6?10;66g>7g83>>o6090;66a>fg83>>{e?k:1<7:50;2x 7d220?0D>>7;h343?6=3`;<47>5;h34b?6=3f;mj7>5;|`4f7<72=0;6=u+2c79=0=O;920e<98:188m41?2900e<9i:188k4`a2900qo9m4;297?6=8r.9n8463:J04==n9>=1<75f16:94?=h9ol1<75rb6`5>5<4290;w)=8391<7>t$3`6><5<@::37d?87;29?l7003:17b?if;29?xd0jh0;6>4?:1y'6g3=1;1C?=64i054>5<5<54;294~"5j<0296F<099j521=831b=:650;9j52`=831d=kh50;9~f2db290?6=4?{%0a1??13A9;46g>7683>>o6?10;66g>7g83>>i6no0;66sm7b294?2=83:p(?l::878L66?3`;<;7>5;h345;n3eb?6=3th5}#:k?15?5G31:8m4102900e<97:188k4`a2900qo9l4;290?6=8r.9n8466:J04==n9>=1<75f16:94?=n9>l1<75`1gd94?=zj>i=6=4::183!4e=33j7E=?8:k232<722c:;54?::k23c<722c:4=4?::m2bc<722wi;n650;794?6|,;h>64l4H22;?l70?3:17d?88;29?l70n3:17d?70;29?j7an3:17pl8c`83>1<729q/>o;5969K75>5<5<56;294~"5j<02j6F<099j521=831b=:650;9j52`=831b=5>50;9j5=7=831d=kh50;9~f2eb29086=4?{%0a1??33A9;46g>7683>>o6?10;66a>fg83>>{e?m:1<7=50;2x 7d22090D>>7;h343?6=3`;<47>5;n3eb?6=3th5}#:k?15?5G31:8m4102900e<97:188k4`a2900qo6=c;293?6=8r.9n84=0c9K75>5<5<5<N4811b=:950;9j52>=831d=kh50;9~f=b2290?6=4?{%0a1?47<2B8<55f16594?=n9>21<75f16d94?=h9ol1<75rb9f4>5<2290;w)N4811b=:950;9j52>=831b=:h50;9j5=6=831d=kh50;9~f=`329086=4?{%0a1??33A9;46g>7683>>o6?10;66a>fg83>>{e18>1<7:50;2x 7d22;:?7E=?8:k232<722c:;54?::k23c<722e:jk4?::at$3`6><4<@::37d?87;29?l7003:17b?if;29?xd?n10;694?:1y'6g3=1>1C?=64i054>5<5<N4811b=:950;9j52>=831b=:h50;9l5c`=831vn5hl:187>5<7s-8i9778;I13<>o6?>0;66g>7983>>o6?o0;66a>fg83>>{e0oo1<7:50;2x 7d220=0D>>7;h343?6=3`;<47>5;h34b?6=3f;mj7>5;|`:45<72=0;6=u+2c79===O;920e<98:188m41?2900e<9i:188k4`a2900qo7?2;290?6=8r.9n8467:J04==n9>=1<75f16:94?=n9>l1<75`1gd94?=zj0:?6=4;:183!4e=3337E=?8:k232<722c:;54?::k23c<722e:jk4?::a<77=8381<7>t$3`6>4>?3A9;46g>7783>>i6no0;66sm8e194?4=83:p(?l::0:;?M5702c:;;4?::m2bc<722wi5<<50;094?6|,;h>6<67;I13<>o6??0;66a>fg83>>{tlh0;6luQd`9>335=lh1699k5a89>11`=i016;;85a89>323=i016;:85a89><`b=i0164n75a89>27<:>4=579~w7302909wS<:7:?426<5=>1v?8i:18b[41n27<:>4=6g9>11c=im1699h5ae9>330=:<>01:9::`f892112hn015kk:`f89=e>2;??706i2;cg?xu?k00;6>uQ8b;89=e>2;?3706k1;:`=>{t18?1<7<72343:n77>5:p=4`=839p1:8<:83e?811k33:j637f08:5c=z{><=6=4:{<557?77927<:;4=599>33e=??<01:8j:054?81003;<46s|90394?4|51l96lo4=831>4`a3ty3ii4?:7y><`b=:<201:8l:9gg?8>a932nh637228232=:0m?1=:64=837>41?3ty<;:4?:5y>32>=9ol015<<:05;?8>c=3;=26=4;{<:1e?10127>5?48789>=4d=?>301:9n:0de?xu0?k0;6>u283c932d<5<396:9m;<54g?7an2wx;:j50;6x9=4f2>=o70;62;54`>;>9k0<;i5276g95c`54z?;6d<0?o1694<576d89<7e2>=m70970;3eb>{t?1;1<7:t=90b>2>634?2>7971:?:5g<00816;5<51gd8yv>di3:1>v37cc82bc=:0m?1=:94}r5;f?6=l488c9>1<4=?1h014?m:6:a?81?k3;mj6s|79194?2|518j6:6<;<7:6?1?;272=o48829>3=2=9ol0q~97d;290~;?:h0<4i5258093=b<50;i6:6k;<5;a?7an2wx;5;50;1x9=4f2>2>70;62;5;1>;00?0:jk5rs6:e>5<3s429m797f:?6=7<00o165<}:0o81>864=92`>=`5342:j76i2:?6330;:e6>;?kk0:;5528g6952>54z?;6d<0181694<578389<7e2>3:70962;3eb>{t?1=1<7:t=90b>2>034?2>7977:?:5g<00>16;5651gd8yv?7i3:1>v37f38g`>;>99025<5s4==:7jk;<55g?11?2wx;4=50;6x9=4f2>3870;62;5:7>;>9k0<5>5278695c`54z?;6d<0001694<579;89<7e2>227097a;3eb>{t?0?1<7:t=90b>2?234?2>7965:?:5g<01<16;4851gd8yv1>n3:1?v372`84=c=:=081;4h4=6c3>4`a3ty<5:4?:5y><7g=?0=0187=:6;4?8?6j3=2;6389982bc=z{1n?6=4={<:g1?7an273h>4>779~w2g6290?w06=a;5b5>;21;0k9632707>b;5:=>;01h0:jk5rs6c0>5<3s429m79n3:?6=7<0i:1656}:??914o64=64f>41?34=<47?87:p:9f5?8>c?3;mj6s|7`794?5|518j6:o:;<7:6?1f=27fg9~w2?e2908w06=a;5:f>;21;0<5o5278a95c`53z?;6d<01m1694<578f892?b28lm7p}8a683>1}:0;k1;l94=4;1>2g0343:n79n7:?4e=<6no1v:o6:1878>5i3=j563:9384e<=:18h1;l74=6cb>4`a3ty4?:5y><7g=?k90187=:6`0?8?6j3=i?638b582bc=z{>ki6=4;{<:1e?1fj27>5?48ac9>=4d=?hh01:ol:0de?xu0j<0;69u283c93g3<5<396:l:;<;2f?1e=27fg9~w2gc2908w06=a;5b`>;21;055z?;6d<0j>1694<57c589<7e2>h<709m8;3eb>;?:j0:;:5rs6ce>5<3s429m79nf:?6=7<0io1651}:0;k1;o74=4;1>2d>343:n79m9:?4fd<6no1v:l>:1878>5i3=i=63:9384f4=:18h1;o?4=6`1>4`a3ty<7g=?kh0187=:6`a?8?6j3=in638bb82bc=z{>ho6=4;{<:1e?1el27>5?48be9>=4d=?kn01:lj:0de?xu0k>0;6>u283c93f1<5<396:m8;<5`hm70;62;5ab>;>9k054z?;6d<0k01694<57b;89<7e2>i2709la;3eb>{t?j;1<7:t=90b>2e634?2>79l1:?:5g<0k816;n<51gd8yv1dj3:1?v370b84gg=:08l1;nl4=6a`>4`a3ty4?:5y><7g=?j90187=:6a0?8?6j3=h?638c582bc=z{>io6=4={<5`a?7an273>n4>7g9~w2e2290?w06=a;5`1>;21;0i=651gd89=4d282;7p}8d083>7}:?m81=kh4=90`>4>63ty4?:5y><4`=?m901:j8:6f0?83>:3=o?637d682<5=z{>n36=4;{<:3g?1c0271<4=?m2015j8:054?xu0lm0;69u256493ab<5>o:6:jk;<:1e?1cl273h:4>7g9~w2c5290>w06k1;5f6>;0m?0o<706=a;5f3>;21;054z?657da89=4f2>oh706k7;34<>{t?o;1<7=t=92`>2`6342:j79i1:?4b7<6no1v:h<:1808>7k3=m?6371g84b6=:?o>1=kh4}r5e1?6=;r73<4`=?o?01:h9:0de?xu0n>0;6>u281a93c1<51;m6:h8;<5e70;7b;761>;2=?0:jk5rs474>5<4s4?<:7;:7:?61698651gd8yv3213:1?v3:77861<=:=1h19874=47b>4`a3ty>9o4?:2y>120==l4:5e9>1<4==4o4:5g9>136=9ol015fg9>=42=9>=0q~6i5;296~;>9k03j8528g495c`52z?:5g164k651gd8yv>a13:1>v361c8;b<=:0ok1=kh4}r55`?6=;r7<:;4na:?;aafg9~w=`e2909w07>b;:ef>;?nj0:jk5rs9dg>5<5s43:n76id:?;b`<6no1v5hi:1818?6j32mj6360182bc=z{0::6=4={<;2f??79272fg9~w<642909w07>b;;37>;>8=0:jk5rs903>5<2s4??i7on;<77b?gf34=<97on;<542?gf3429=7?if:p6}:=><195m4=4:a>0>d34?2>7;7c:p6}:=><198>4=4:a>03734?>87;:0:p=53=838p14??:826?8?7133;96s|83094?4|518862wx4?:50;1x9=6d218?706>f;:10>;?:h03>95rs90a>5<4s42;o76=b:?;5cv361582bc=:1881=:84}r540?6==rT<;952764960><51:h6:9;;<5e0?70?27799'6=6=?>90b?9i:19~w215290>wS982:?430<5=1164"5090<;>5a26d95>{t==n1<7;t^46g?833n38>463:77860a=:=<21=:94=47`>41?3-83<7;;c:l13c<73ty>8o4?:4y]11d<5<>n6?;7;<7;f?33j27>954>799>10e=9>=0(?6?:46`?k40n3;0q~992;295d}Y??801:8<:3::?81c?3==>638db8427=:?l;1;;<4=6g5>20534=nn7992:?4b5<0>;165=75770890332><97098a;343>;0?j0:;:5276g9521<5>2;6<98;<5;6?70027<5=4>799>3201:o7:054?81e>3;<;638b`8232=:?j81=:94=6a`>41?342m:7?87:?;b=<6?1165=>516589<6328=<7)<70;555>h5?o0;7p}86183>4g|V><;70993;0;3>;0l>0<:=527ea9336<5>o:6:8?;<5f2?118273c6=??:014>6:643?832<3==<6387`82<5=:?181=:94=6:7>41034=3:7?87:?4<=<6?>16;5o5165892>d28=<7097e;343>;01;0:;55278g952><5>kj6<98;<5ag?70?277g9>3fe=9>l015h7:05e?8>ai3;l1=6s|74d94?7>sW=>j6386281<3=:?m=1;8h4=6f`>23a34=n=79:f:?4a3<0=o16;hl574d892`72>?m707?9;56b>;2==0<9k52796952><5>2j6<97;<5;g?70027<5=4>769>3<4=9>=01:7;:054?81>>3;<;638998232=:?0k1=:94=6c`>41034=i<7?87:?4f7<6?>16;n>5165892e128=3709lc;3;4>"5090<:<5a26d96>{t?{_56a>;0>:0948527e5930c<5>nh6:;j;<5f5?12m273`d=?i63:55841`=:?>i1=5>4=6:7>41a34=3:7?70:?4=1<6?116;4m5165892?b28=<709n0;343>;0i;0:;:527`69521<5>k=6<98;<5bg?700277g9>3f0=9>l01:m7:05e?81dk3;3=637f7823==:0o21=:94=9db>410342mo7?8f:?;b`<6?o165=>516:89<6528=<707?4;34<>"5090<:<5a26d97>{t?;0>:0949527e5930b<5>nh6:;k;<5f5?12l273`d=?h63:55841a=:?>o1=:64=6:;>41?34=2:7?88:?4e5<6?116;l6516:892gf28=3709nc;34b>;0il0:4=527c2952><5>h96<97;<5a0?70027769>3fg=9>=01:ml:054?8?783;1=z{>?h6=4=0z\41f=:??91>5=4=6f4>23d34=oo79:c:?4a4<0=j16;h8574a892ce2>?h709i0;56g>;>800<9n527g0952><5>l=6<98;<762?70027>9l4>769>10c=9>20188?:05;?810i3;<46387b823==:?>o1=:h4=6:5>41?34=3m7?8f:?4==<6?116;l<516:892gb28=<709m0;34b>;0j?0:;5527c:952><5>i?6<98;<5`2?70?27769>3fg=9>201:j?:054?8>ak3;<46*=818424=i:>l196s|74`94?45sW=>n6386281<7=:?m=1;8l4=6f`>23e34=n=79:b:?4a3<0=k16;hl574`892`72>?i707?9;56f>;0n;0:;:527g4952`<59h4>769>136=9>=01:9n:05e?810k3;41a34=3o7?8f:?4==<6?o16;l:516:892gb28=3709m2;34b>;0jh0:;5527ca952><5>hn6<97;<5`4?70027799>3fc=9>=01:j=:054?8>ak3;<;637fd823==#:1:1;;?4n35e>3=z{>?j6=4=1z\41d=:??91>5?4=6f4>23f34=oo79:a:?4a4<0=h16;h8574c892ce2>?j709i0;56e>;>800<9l52546930g<5>l96<9i;<5e2?70027<4=4>7g9>3=c=9>201:7n:05;?81f>3;<4638b58232=:?k21=:94=6``>41a34=ii7?8f:?4g5<6?o16;n<516:892e328=3709l6;3;4>;0k10:4=527bc952`<5>in6<97;<5g4?70027799>2015hj:054?8?7:3;2=z{>lj6=4={<542?bc342;o79ia:&1<5<0n01e>:h50:p<5b=838p1:9::ef89=7a21:o7)<70;5e=>h5?o0:7p}:6383>7}:==l1hi525649134<,;2;688>;o04b?652z?60`4o4:769'6=6==?;0b?9i:09~yg?6m3:1h7k51cyO75g=9rB:4k5rL22a>7}i0li1:45+8d`931d6;j0g>=831d?8l50;&170<4=h1e>>:50:9l70?=83.9?84<5`9m662=921d?8650;&170<4=h1e>>:52:9l701=83.9?84<5`9m662=;21d4h>50;&170>:50:9l>:52:9l>:54:9l>:56:9l=83.9?847dg9m662=?21i?8m50;194?6|,;h>6<>=;I13<>J48k0:w)6jb;70a>{ni00;66gkd;29?j4203:17pl<5e83>6<729q/>o;51108L66?3E9;n7?t$9ga>05b3tcj57>5;hfg>5<>m:0y'<`d==:o0qdo6:188mab=831d>8650;9~f63a29086=4?{%0a1?77:2B8<55C31`95~"?mk0>?h5ri`;94?=nlm0;66a=5983>>{e0l;1<7=50;2x 7d228:97E=?8:N04d<6s-;3;7;4}M13f?7|,1oi68=j;|kb=?6=3`no6=44o37;>5<7>53;294~"5j<0:6<>=;I13<>J48h0:w)?77;68yI57j3;p(5km:41f?xof13:17djk:188k73?2900qo6j4;297?6=8r.9n84>039K75>{K;9h1=v*7ec867`=zah31<75fde83>>i5=10;66sm8d794?5=83:p(?l::021?M5702F8{%3;3?305b3tcj57>5;hfg>5<>n:0y'5=1==2wG?=l51z&;ag<2;l1vel750;9j`a<722e9954?::a<`1=8391<7>t$3`6>4653A9;46B<0`82!7??3>0qA=?b;3x =ce2<9n7pgn9;29?lbc2900c?;7:188yg>b03:1?7>50z&1f0<68;1C?=64L22b>4}#91=186sC31`95~"?mk0>?h5ri`;94?=nlm0;66a=5983>>{e1<7l50;2xH66e21q/4;l54bc8 1b?20827)9:1;fg?!13k3k97)9:5;06<>"0bj3?8i6s+2c790f25<5<#::?1>;94n317>==5<#::?1>;94n317>3=5<#::?1>;94n317>1=54o346>5<#::?1>;94n317>7=5<#::?1>;94n317>5=5e;294~J48k0=w)69b;:62>"0"?mk0>?h5r$3`6>4c>3Sk36?u>e;34>xo4<<0;6)<<5;172>h5;=0:76g<4683>!44=39?:6`=3583?>i3lh0;6)<<5;6gg>h5;=0i76a;dd83>!44=3>oo6`=358b?>i3m90;6)<<5;6gg>h5;=0276a;e383>!44=3>oo6`=358;?>i3m:0;6)<<5;6gg>h5;=0<76a;e583>!44=3>oo6`=3585?>i3m<0;6)<<5;6gg>h5;=0>76a;e783>!44=3>oo6`=3587?>i3m>0;6)<<5;6gg>h5;=0876a;e983>!44=3>oo6`=3581?>i3lk0;6)<<5;6gg>h5;=0:76a;de83>!44=3>oo6`=3583?>{tb93k2706j2;c:?8>b;3k2706j4;c:?8>b=3k2706j6;c:?8>b?3k2706j8;c:?82d<3nj7p}<5c83>7}Y;;i:37;?!4?839>m6`=7g83?xu4=00;6?uQ34;8963b2;?37)<70;16e>h5?o0:7p}<5983>7}Y;<201>;k:37;?!4?839>m6`=7g81?xu4=>0;6?uQ3458963d2;?37)<70;16e>h5?o087p}7e183>7}Y0l:015k7:37;?!4?832oj6`=7g83?xu?ll0;6?uQ8eg89=c02;?37)<70;:gb>h5?o0:7p}7de83>7}Y0mn015k9:37;?!4?832oj6`=7g81?xu?lj0;6?uQ8ea89=c22;?37)<70;:gb>h5?o087p}7dc83>7}Y0mh015k;:37;?!4?832oj6`=7g87?xu?lh0;6?uQ8ec89=c42;?37)<70;:gb>h5?o0>7p}7d883>7}Y0m3015k=:37;?!4?832oj6`=7g85?xu?l10;6?uQ8e:89=c62;?37)<70;:gb>h5?o0<7p};c683>7}:1>;64=0g:>6203-83<7:l6:l13c<73ty?o84?:3y>0f2=:?<01h:6`=7g82?xuej3:1>v3<5g8g`>;6m00?hi5+2929fd=i:>l1<6s|b883>7}:;4=z{j>1<7ab<58o269k7;%0;4?df3g85<5s49>o7jk;<3f=?2b?2.94=4ma:l13c<43tyh>7>52z?;a=:h54:pg4<72;q64h95de9>5`?=50;0x9=c12mn01n?6*=818ae>h5?o0<7p}me;296~;?m=0oh63>e887a7=#:1:1nl5a26d9<>{tjm0;6?u28d19`a=:9l318h>4$3:3>gg1bb3-83<7ln;o04b?g4}O91l0qA=?b;0xj=cd2?n0(5km:66a?x"5j<02?<5Ua9824d=uS8>o5lm0;66an7;29?j74j3:17d?;a;29?j73j3:17b<9a;29?ld7290/>>;5ag9m662=821bmh4?:%001?ga3g8887?4;c37g?6=;3:1>m:3y'<`d=:2d3in4<0:je<<722coh7>5;n065}#:k?1==<4H22;?I57j38p(5km:39m<`e=;81vel750;9j`a<722e9954?::a6ac=8391<7>t$3`6>4653A9;46B<0c81!>bj380b5kl:3g8ylg>2900eij50;9l60>=831vnl950;194?6|,;h>6<>=;I13<>J48k09w)6jb;08j=cd2=:0qdo6:188mab=831d>8650;9~f45e29086=4?{%0a1?77:2B8<55C31`96~"?mk097c6jc;1e?xof13:17djk:188k73?2900qo?;b;297?6=8r.9n84>039K75>h?mj09j6sfa883>>ocl3:17b<:8;29?xd5>h0;6>4?:1y'6g3=9980D>>7;M13f?4|,1oi6?5a8da97`=zah31<75fde83>>i5=10;66sm1d:94?3=83:p@>>m:7y'<3d=0<<0(::k:267?!12:3>o56`7e`87?k?4>390(5km:39~ 7d228o37Wo7:3y2a?252tc8884?:%001?53>2d9?94>;:k002<72-8897=;6:l171<732e?hl4?:%001?2cn2d9?94=;:m7``<72-8897:kf:l171<632e?i=4?:%001?2cn2d9?94?;:pef<721qUmn5215a9e<=::ml1m4522eg9e<=:i>0j563>3c8b=>;6{t:mo1<746s|2ef94?4|V;no70vPn7:?b3?4202wx=>l50;0xZ45e34;8n7<:8:p51g=838pR<:n;<37g?bc3ty:8o4?:3y]51d<58>i6?;7;|q12d<72;qU>;o4=34b>73?3ty:8n4?:3y>51e=:<201<:m:ef8yv4cn3:1>v3=dg811==::mo1hi5rsc294?4|Vk:0152z?b3?bc34;n47:j0:&1<55>5b49m62`=92wxn>4?:3y>63g=lm16=h654ec8 7>72k?0b?9i:39~yv?5n3:1>vP62g9>=66=9=h0q~994;296~X0>=16;:?52448yv11=3:1>vP8649>327=:<=0q~6l1;296~X?k8165d:3:1>vP7c39>=4c=0mo0q~7<3;296~X>;:165>>52eg8yv>d;3:1>vP7c29>=4c=0mn0q~6l4;296~X?k=165d>3:1>vP7c79>=4c=0mh0q~7=d;296~X>:m165>>5a69~w=e02909wS6l7:?:5`d0272=h47d89~w1db290>wS:me:?7g<>5ab9~w=e22909wS6l5:?:5`327=:?l0q~7<5;296~;3k009hn5292296ab52z?7g<<5?m16;:?58b;8yv3393:1>v3;c8820<=:1::1=9o4}r16f?6=:rT89o5290g970d<,;2;6>;n;o04b?657>52z\01<=:18o1?874$3:3>63f3g8<,;2;6>;n;o04b?4;7>52z\012=:18o1?894$3:3>63f3g88l527639334<,;2;68:6;o04b?652z\60==:?>;1;;>4$3:3>02>3g88:52763930`<,;2;68:6;o04b?452z\603=:?>;1;8k4$3:3>02>3g88852763930b<,;2;68:6;o04b?252z\601=:?>;1;8m4$3:3>02>3g88>52763930d<,;2;68:6;o04b?07>52z\607=:?>;1;8o4$3:3>02>3g8327===n0(?6?:4`g?k40n3:0q~;mc;296~;3k00>8o52763911d<,;2;68lk;o04b?752z?7g<<0?=16;:?57668 7>721<27c<8f;28yv>103:1>v3;c88437=:?>;1;:<4$3:3>=0>3g82ho014=?:`g8 7>72::=7c<8f;38yx{zuIJHw??9c;agb724;lwKLOu?}ABSxFG \ No newline at end of file Index: pong/pong_top.ut =================================================================== --- pong/pong_top.ut (revision 427) +++ pong/pong_top.ut (nonexistent) @@ -1,28 +0,0 @@ --w --g DebugBitstream:No --g Binary:no --g CRC:Enable --g Reset_on_err:No --g ConfigRate:25 --g ProgPin:PullUp --g DonePin:PullUp --g TckPin:PullUp --g TdiPin:PullUp --g TdoPin:PullUp --g TmsPin:PullUp --g UnusedPin:PullDown --g UserID:0xFFFFFFFF --g StartUpClk:CClk --g DONE_cycle:4 --g GTS_cycle:5 --g GWE_cycle:6 --g LCK_cycle:NoWait --g Security:None --g DonePipe:No --g DriveDone:No --g en_sw_gsr:No --g en_porb:Yes --g drive_awake:No --g sw_clk:Startupclk --g sw_gwe_cycle:5 --g sw_gts_cycle:4 Index: pong/game_title.v =================================================================== --- pong/game_title.v (revision 427) +++ pong/game_title.v (nonexistent) @@ -1,67 +0,0 @@ -//////////////////////////////////////////////////////////////////////////////// -// Copyright (c) 1995-2005 Xilinx, Inc. -// All Right Reserved. -//////////////////////////////////////////////////////////////////////////////// -// ____ ____ -// / /\/ / -// /___/ \ / Vendor: Xilinx -// \ \ \/ Version : 8.1i -// \ \ Application : -// / / Filename : game_title.v -// /___/ /\ Timestamp : 09/20/2005 11:01:05 -// \ \ / \ -// \___\/\___\ -// -// This module displays the title, PONG, on the four seven segment LEDs of the Spartan3 Demo Board - -`timescale 1ns / 1ps - -module game_title(clk, - an, - seven_seg); - - input clk; - output [3:0] an; - output [7:0] seven_seg; - - reg [9:0] cnt; - reg [3:0] an; - reg [7:0] seven_seg = 8'b00110001; - - -always @(posedge clk) begin - case (cnt[9:8]) // The clock for determining display element must be slow enough - // to allow RC values to settle. - - 2'b00 : begin - an <= 4'b0111; //1st element - seven_seg <= 8'b00110001; // 7-seg letter P - end - - 2'b01 : begin - an <= 4'b1011; //2nd element - seven_seg <= 8'b00000011; // 7-seg letter O - end - - 2'b10 : begin - an <= 4'b1101; // 3rd element - seven_seg <= 8'b00010011; // 7-seg letter n - end - - 2'b11 : begin - an <= 4'b1110; // 4th element - seven_seg <= 8'b00001001; // 7-seg letter g - end - - default : begin - an <= 4'b0111; //1st element - seven_seg <= 8'b00110001; // 7-seg letter P - end - - endcase - end - -always @(posedge clk) begin - cnt <= cnt + 1; - end -endmodule
pong/game_title.v Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/pong_top_xst.xrpt =================================================================== --- pong/pong_top_xst.xrpt (revision 427) +++ pong/pong_top_xst.xrpt (nonexistent) @@ -1,231 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - -
-
- - - - - - - -
-
-
-
-
-
-
-
- - - - - -
-
- -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
- - - - - - - - - -
-
-
-
-
- - - -
- - - Index: pong/vgacore_multi.vhd =================================================================== --- pong/vgacore_multi.vhd (revision 427) +++ pong/vgacore_multi.vhd (nonexistent) @@ -1,306 +0,0 @@ ------------------------------------------------------------------- --- Copyright (c) 1995-2005 Xilinx, Inc. --- All Right Reserved. ------------------------------------------------------------------- --- ____ ____ --- / /\/ / --- /___/ \ / Vendor: Xilinx --- \ \ \/ Version : 8.1i --- \ \ Application : --- / / Filename : vgacore_multi.vhd --- /___/ /\ Timestamp : 9/20/2005 11:06:08 --- \ \ / \ --- \___\/\___\ --- --- --- This Entity contains the VGA display control. - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity vgacore is Port ( - CLK : in std_logic; - RESET: in std_logic; - HSYNCH: out std_logic; - VSYNCH: out std_logic; - HBLANK: out std_logic; - LINE: out std_logic_vector(5 downto 0); - PIXEL: out std_logic_vector(6 downto 0) - ); -end vgacore; - -architecture behavioral of vgacore is - -signal TEMP_PIXEL: std_logic_vector( 9 downto 0 ); -signal TEMP_LINE: std_logic_vector(8 downto 0); - -signal HCOUNTER : integer range 1023 downto 0 := 0; -signal COUNTER_RESET: std_logic; -signal VCLK: std_logic; - -signal VCOUNTER : integer range 1023 downto 0 := 0; -signal VERTICAL_COUNTER_RESET: std_logic; - -begin - ------------------------------------------------------------------------------- --- State Machine Counter Process --- --- This counter is to be used as a common resource for state machine control --- ------------------------------------------------------------------------------- -horizontal_counter: process ( CLK, COUNTER_RESET ) -begin - if COUNTER_RESET = '1' then - HCOUNTER <= 0; - elsif CLK='1' and CLK'event then - HCOUNTER <= HCOUNTER + 1; - end if; -end process; - -vertical_counter: process ( VCLK, VERTICAL_COUNTER_RESET ) -begin - if VERTICAL_COUNTER_RESET = '1' then - VCOUNTER <= 0; - elsif VCLK = '1' and VCLK'event then - VCOUNTER <= VCOUNTER + 1; - end if; -end process; - ------------------------------------------------------------------------------- --- Horizontal State Machine ------------------------------------------------------------------------------- -Horizontal: process ( CLK, RESET ) - -type HSTATE is ( HRESET, FRONT_PORCH, SYNCH, BACK_PORCH, LEFT_BORDER, ACTIVE_VIDEO, RIGHT_BORDER ); -variable Horizontal_State : HSTATE := HRESET; - -variable PIXEL_COUNT : integer := 0; - -begin - - if ( RESET = '1' ) then - Horizontal_State := HRESET; - COUNTER_RESET <= '1'; - HSYNCH <= '1'; - VCLK <= '0'; - HBLANK <= '1'; - elsif ( CLK = '1' and CLK'EVENT ) then - - VCLK <= '0'; - HBLANK <= '1'; - PIXEL <= ( others => '0' ); - - case ( Horizontal_State ) is - when HRESET => - Horizontal_State := LEFT_BORDER; - COUNTER_RESET <= '1'; - HSYNCH <= '1'; - - -- Want 8 Left Border Pixels - -- Less one Pixel because of Counter Reset Delay for Active Video - when LEFT_BORDER => - if (HCOUNTER = 4) then -- HCOUNTER = 27 - Horizontal_State := ACTIVE_VIDEO; - COUNTER_RESET <= '1'; - HSYNCH <= '1'; - else - Horizontal_State := LEFT_BORDER; - COUNTER_RESET <= '0'; - HSYNCH <= '1'; - end if; - - -- Want 640 Left Border Pixels - when ACTIVE_VIDEO => - - HBLANK <= '0'; - TEMP_PIXEL <= CONV_STD_LOGIC_VECTOR(HCOUNTER,10); - - PIXEL( 6 downto 0 ) <= TEMP_PIXEL( 9 downto 3 ); - - if (HCOUNTER = 639) then - Horizontal_State := RIGHT_BORDER; - COUNTER_RESET <= '1'; - HSYNCH <= '1'; - else - Horizontal_State := ACTIVE_VIDEO; - COUNTER_RESET <= '0'; - HSYNCH <= '1'; - end if; - - -- Want 8 Right Border Pixels - when RIGHT_BORDER => - if (HCOUNTER = 5) then -- HCOUNTER = 27 - Horizontal_State := FRONT_PORCH; - COUNTER_RESET <= '1'; - HSYNCH <= '1'; - else - Horizontal_State := RIGHT_BORDER; - COUNTER_RESET <= '0'; - HSYNCH <= '1'; - end if; - - -- Want 8 Front porch Pixels - when FRONT_PORCH => - if (HCOUNTER = 7) then - Horizontal_State := SYNCH; - COUNTER_RESET <= '1'; - HSYNCH <= '1'; - VCLK <= '1'; - else - Horizontal_State := FRONT_PORCH; - COUNTER_RESET <= '0'; - HSYNCH <= '1'; - end if; - - -- Want 96 Synch Pixels - when SYNCH => - if (HCOUNTER = 95) then - Horizontal_State := BACK_PORCH; - COUNTER_RESET <= '1'; - HSYNCH <= '1'; - else - Horizontal_State := SYNCH; - COUNTER_RESET <= '0'; - HSYNCH <= '0'; - end if; - - -- Want 40 Back Porch Pixels - when BACK_PORCH => - if (HCOUNTER = 38) then -- HCOUNTER = 39 - Horizontal_State := LEFT_BORDER; - COUNTER_RESET <= '1'; - HSYNCH <= '1'; - else - Horizontal_State := BACK_PORCH; - COUNTER_RESET <= '0'; - HSYNCH <= '1'; - end if; - - when others => - Horizontal_State := HRESET; - COUNTER_RESET <= '1'; - HSYNCH <= '1'; - end case; - end if; - -end process; - ------------------------------------------------------------------------------- --- Horizontal State Machine ------------------------------------------------------------------------------- -Vertical: process ( VCLK, RESET ) - -type VSTATE is ( VRESET, FRONT_PORCH, SYNCH, BACK_PORCH, TOP_BORDER, ACTIVE_VIDEO, BOTTOM_BORDER ); -variable Vertical_State : VSTATE := VRESET; - -variable LINE_COUNT : integer := 0; - -begin - - if ( RESET = '1' ) then - Vertical_State := VRESET; - - VERTICAL_COUNTER_RESET <= '1'; - VSYNCH <= '1'; - - elsif ( VCLK = '1' and VCLK'EVENT ) then - - LINE <= ( others => '0' ); - - case ( Vertical_State ) is - when VRESET => - Vertical_State := TOP_BORDER; - VERTICAL_COUNTER_RESET <= '1'; - VSYNCH <= '1'; - - -- Want 8 Front porch Pixels - when FRONT_PORCH => - if (VCOUNTER = 1) then - Vertical_State := SYNCH; - VERTICAL_COUNTER_RESET <= '1'; - VSYNCH <= '1'; - else - Vertical_State := FRONT_PORCH; - VERTICAL_COUNTER_RESET <= '0'; - VSYNCH <= '1'; - end if; - - -- Want 96 Synch Pixels - when SYNCH => - if (VCOUNTER = 1) then - Vertical_State := BACK_PORCH; - VERTICAL_COUNTER_RESET <= '1'; - VSYNCH <= '1'; - else - Vertical_State := SYNCH; - VERTICAL_COUNTER_RESET <= '0'; - VSYNCH <= '0'; - end if; - - -- Want 40 Back Porch Pixels - when BACK_PORCH => - if (VCOUNTER = 23) then -- HCOUNTER = 39 - Vertical_State := TOP_BORDER; - VERTICAL_COUNTER_RESET <= '1'; - VSYNCH <= '1'; - else - Vertical_State := BACK_PORCH; - VERTICAL_COUNTER_RESET <= '0'; - VSYNCH <= '1'; - end if; - - -- Want 8 Left Border Pixels - -- Less one Pixel because of Counter Reset Delay for Active Video - when TOP_BORDER => - if (VCOUNTER = 4) then -- HCOUNTER = 27 - Vertical_State := ACTIVE_VIDEO; - VERTICAL_COUNTER_RESET <= '1'; - VSYNCH <= '1'; - else - Vertical_State := TOP_BORDER; - VERTICAL_COUNTER_RESET <= '0'; - VSYNCH <= '1'; - end if; - - -- Want 640 Left Border Pixels - when ACTIVE_VIDEO => - TEMP_LINE <= CONV_STD_LOGIC_VECTOR(VCOUNTER,9); - - LINE <= TEMP_LINE(8 downto 3); - - if (VCOUNTER = 479) then - Vertical_State := BOTTOM_BORDER; - VERTICAL_COUNTER_RESET <= '1'; - VSYNCH <= '1'; - else - Vertical_State := ACTIVE_VIDEO; - VERTICAL_COUNTER_RESET <= '0'; - VSYNCH <= '1'; - end if; - - -- Want 8 Right Border Pixels - when BOTTOM_BORDER => - - if (VCOUNTER = 5) then -- HCOUNTER = 27 - Vertical_State := FRONT_PORCH; - VERTICAL_COUNTER_RESET <= '1'; - VSYNCH <= '1'; - else - Vertical_State := BOTTOM_BORDER; - VERTICAL_COUNTER_RESET <= '0'; - VSYNCH <= '1'; - end if; - - when others => - Vertical_State := VRESET; - VERTICAL_COUNTER_RESET <= '1'; - VSYNCH <= '1'; - end case; - end if; - -end process; - -end behavioral; \ No newline at end of file
pong/vgacore_multi.vhd Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/pong_top.lso =================================================================== --- pong/pong_top.lso (revision 427) +++ pong/pong_top.lso (nonexistent) @@ -1 +0,0 @@ -work Index: pong/pong_top_envsettings.html =================================================================== --- pong/pong_top_envsettings.html (revision 427) +++ pong/pong_top_envsettings.html (nonexistent) @@ -1,475 +0,0 @@ -Xilinx System Settings Report - -
System Settings

-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Environment Settings
Environment Variablexstngdbuildmappar
LD_LIBRARY_PATH/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin
PATH/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
XILINX/opt/Xilinx/12.3/ISE_DS/ISE//opt/Xilinx/12.3/ISE_DS/ISE//opt/Xilinx/12.3/ISE_DS/ISE//opt/Xilinx/12.3/ISE_DS/ISE/
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn pong_top.prj 
-ifmt mixedMIXED
-ofn pong_top 
-ofmt NGCNGC
-p xc3s200a-4-vq100 
-top pong_top 
-opt_modeOptimization GoalSpeedSpeed
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyNoNO
-netlist_hierarchyNetlist HierarchyAs_OptimizedAs_Optimized
-rtlviewGenerate RTL SchematicYesNO
-glob_optGlobal Optimization GoalAllClockNetsAllClockNets
-read_coresRead CoresYESYES
-write_timing_constraintsWrite Timing ConstraintsNONO
-cross_clock_analysisCross Clock AnalysisNONO
-bus_delimiterBus Delimiter<><>
-slice_utilization_ratioSlice Utilization Ratio100100%
-bram_utilization_ratioBRAM Utilization Ratio100100%
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-fsm_style LUTLUT
-ram_extract YesYES
-ram_style AutoAUTO
-rom_extract YesYES
-shreg_extract YESYES
-rom_style AutoAUTO
-auto_bram_packing NONO
-resource_sharing YESYES
-async_to_sync NONO
-mult_style AutoAUTO
-iobuf YESYES
-max_fanout 500500
-bufg 2424
-register_duplication YESYES
-register_balancing NoNO
-optimize_primitives NONO
-use_clock_enable YesYES
-use_sync_set YesYES
-use_sync_reset YesYES
-iob AutoAUTO
-equivalent_register_removal YESYES
-slice_utilization_ratio_maxmargin 50%
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - -
Translation Property Settings
Switch NameProperty NameValueDefault Value
-intstyle iseNone
-dd _ngoNone
-p xc3s200a-vq100-4None
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Map Property Settings
Switch NameProperty NameValueDefault Value
-irUse RLOC ConstraintsOFFOFF
-cmOptimization Strategy (Cover Mode)areaarea
-intstyle iseNone
-o pong_top_map.ncdNone
-prPack I/O Registers/Latches into IOBsoffoff
-p xc3s200a-vq100-4None
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Place and Route Property Settings
Switch NameProperty NameValueDefault Value
-t 11
-intstyle ise 
-olPlace & Route Effort Level (Overall)highstd
-w truefalse
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedAMD Athlon(tm) II X2 255 Processor/1900.000 MHzAMD Athlon(tm) II X2 255 Processor/3100.000 MHzAMD Athlon(tm) II X2 255 Processor/800.000 MHzAMD Athlon(tm) II X2 255 Processor/3100.000 MHz
Hostcudar75cudar75cudar75cudar75
OS NameDebianDebianDebianDebian
OS ReleaseDebian GNU/Linux 7.4 (wheezy)Debian GNU/Linux 7.4 (wheezy)Debian GNU/Linux 7.4 (wheezy)Debian GNU/Linux 7.4 (wheezy)
- \ No newline at end of file Index: pong/pong_top_map.ncd =================================================================== --- pong/pong_top_map.ncd (revision 427) +++ pong/pong_top_map.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###3628:XlxV32DM 3ffd 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###2408:XlxV32DM 3fd9 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###2708:XlxV32DM 3ffe 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###3332:XlxV32DM 3fbe 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###4572:XlxV32DM 3fff 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###4212:XlxV32DM 3fff 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###4152:XlxV32DM 3fff 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###4360:XlxV32DM 3fff 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###3992:XlxV32DM 3fff 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###4268:XlxV32DM 3fff 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###4148:XlxV32DM 3fff 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###4212:XlxV32DM 3fff 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###4128:XlxV32DM 3fff 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###5288:XlxV32DM 3fff 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###7380:XlxV32DM 3fff 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###5560:XlxV32DM 3fff 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###3520:XlxV32DM 2d41 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 \ No newline at end of file Index: pong/pong_cntrl.vhd =================================================================== --- pong/pong_cntrl.vhd (revision 427) +++ pong/pong_cntrl.vhd (nonexistent) @@ -1,472 +0,0 @@ ------------------------------------------------------------------- --- Copyright (c) 1995-2005 Xilinx, Inc. --- All Right Reserved. ------------------------------------------------------------------- --- ____ ____ --- / /\/ / --- /___/ \ / Vendor: Xilinx --- \ \ \/ Version : 8.1i --- \ \ Application : --- / / Filename : pong_cntrl.vhd --- /___/ /\ Timestamp : 9/20/2005 11:01:05 --- \ \ / \ --- \___\/\___\ --- --- This module displays the title, PONG, on the four seven segment LEDs of the Spartan3 Demo Board --- - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity cntrl is Port ( - CLK : in std_logic; - RESET: in std_logic; - left_dir: in std_logic_vector(1 downto 0); - right_dir: in std_logic_vector(1 downto 0); - SERVE: in std_logic; - HSYNCH: out std_logic; - VSYNCH: out std_logic; - COLOR: out std_logic_vector( 1 downto 0 ) - ); -end cntrl; - -architecture static_display of cntrl is - -component vgacore is Port ( - CLK : in std_logic; - RESET: in std_logic; - HSYNCH: out std_logic; - VSYNCH: out std_logic; - HBLANK: out std_logic; - LINE: out std_logic_vector(5 downto 0); - PIXEL: out std_logic_vector(6 downto 0) - ); -end component; - -component testram is Port ( - address: in std_logic_vector( 6 downto 0 ); - data: out std_logic_vector( 3 downto 0 ) - ); -end component; - -signal number_data: std_logic_vector( 3 downto 0 ); -signal number_address: std_logic_vector( 6 downto 0 ); -signal enable: std_logic; - -signal LINE: std_logic_vector( 5 downto 0 ); -signal PIXEL: std_logic_vector( 6 downto 0 ); -signal HBLANK: std_logic; - -signal next_HSYNCH: std_logic; -signal next_VSYNCH: std_logic; -signal VCLK: std_logic; -signal next_COLOR: std_logic_vector( 1 downto 0 ); - -constant ball_height: integer := 1; -constant ball_width: integer := 2; - -constant paddle_height: integer := 8; -constant paddle_heighta: integer := 4; -- defines top 1/4 of paddle -constant paddle_heightb: integer := 6; -- defines middle 1/2 of paddle -constant paddle_heightc: integer := 8; -- defines bottom 1/4 of paddle -constant paddle_width: integer := 2; -- defines width of paddle - -constant wall_height: integer := 1; -constant wall_top: integer := 9; -constant wall_bottom: integer := 58; -constant right_wall: integer := 77; -constant left_wall: integer := 2; - -constant right_score_x: integer:= 64; -constant right_score_y: integer:= 4; -constant left_score_x: integer:= 16; -constant left_score_y: integer:= 4; -constant score_width: integer := 4; -constant score_height: integer := 4; - -constant right_x: integer := 72; -constant left_x: integer := 8; - -signal ball_xdir, ball_ydir: std_logic; -signal ball_x: integer range 0 to 80; -signal ball_y: integer range 0 to 60 := 30; -signal ball_yrate: integer range 0 to 3; - -signal left_y: std_logic_vector(5 downto 0); -signal right_y: std_logic_vector(5 downto 0); -signal nextleft_y: std_logic_vector(5 downto 0); -signal nextright_y: std_logic_vector(5 downto 0); - -signal delay: std_logic_vector(2 downto 0); -- Delay vector is used to slow down the speed of the ball - -signal lscore, rscore: integer range 0 to 9 := 0; - -begin - - --- VGA CORE Instantiation -VGA1: vgacore port map ( - CLK => CLK, - RESET=> RESET, - HSYNCH=> next_HSYNCH, - VSYNCH=> next_VSYNCH, - HBLANK=> HBLANK, - LINE=> LINE, - PIXEL=> PIXEL - ); - --- Character generator memory instantiation -CGEN1: testram port map ( - address => number_address , - data => number_data - ); - --- Pipeline the control signals to account for Game Delay -pipeline: process ( clk, pixel, line) -begin - if ( clk = '1' and clk'event) then - VSYNCH <= next_VSYNCH; - VCLK <= next_VSYNCH; - HSYNCH <= next_HSYNCH; - if ( HBLANK = '1' ) then - color <= "00"; - else - color <= next_COLOR; - end if; - end if; -end process; - --- Code to display the ball and paddles -display: process (clk, line, pixel, left_y, right_y, ball_x, ball_y, lscore, number_data, rscore) -begin - - number_address(2 downto 0) <= line(2 downto 0); - - -- Display Background Color - next_COLOR <= "00"; - - -- Display the playing field top bar - if ( line = wall_top ) then - next_COLOR <= "11"; - end if; - - -- Display the playing field bottom bar - if ( line = wall_bottom ) then - next_COLOR <= "11"; - end if; - - -- Display the left Paddle: - if (( pixel = left_x -1) ) then - if ( (line >= left_y ) and (line <= (left_y + paddle_height)) ) then - next_COLOR <= "11"; - end if; - end if; - - -- Display the right Paddle: - if ( pixel = right_x + 1 ) then - if ( (line >= right_y) and (line <= (right_y + paddle_height)) ) then - next_COLOR <= "11"; - end if; - end if; - - -- Display the Ball: - if ( (pixel = ball_x) ) then - if ( line = ball_y ) then - next_COLOR <= "11"; - end if; - end if; - - -- Display the Left Score ( Using Std_Logic_Vectors instead of integers ) - if ( clk = '1' and clk'event) then - if ((pixel >= "0001000" ) and ( pixel <= "0001011" )) and - ((line >= "000000" ) and (line <= "000111" )) then - number_address(6 downto 3) <= CONV_STD_LOGIC_VECTOR(lscore,4); - elsif ((pixel >= "01000000" ) and ( pixel <= "01000011" )) and - ((line >= "000000" ) and (line <= "000111" )) then - number_address(6 downto 3) <= CONV_STD_LOGIC_VECTOR(rscore,4); - else - number_address(6 downto 3) <= "0001"; - end if; - end if; - - if ((pixel >= "0001000") and (pixel <= "0001011" )) and - ((line >= "000000") and (line <= "000111" ) ) then - case pixel( 1 downto 0 ) is - when "00" => - if ( number_data(3) = '1' ) then - next_COLOR <= "10"; - end if; - when "01" => - if ( number_data(2) = '1' ) then - next_COLOR <= "10"; - end if; - when "10" => - if ( number_data(1) = '1' ) then - next_COLOR <= "10"; - end if; - when "11" => - if ( number_data(0) = '1' ) then - next_COLOR <= "10"; - end if; - when others => NULL; - end case; - end if; - - -- Display the Right Score - - if ((pixel >= "01000000") and (pixel <= "01000011" )) and - ((line >= "000000") and (line <= "000111")) then - case pixel(1 downto 0) is - when "00" => - if (number_data(3) = '1') then - next_COLOR <= "10"; - end if; - when "01" => - if (number_data(2) = '1') then - next_COLOR <= "10"; - end if; - when "10" => - if (number_data(1) = '1') then - next_COLOR <= "10"; - end if; - when "11" => - if (number_data(0) = '1') then - next_COLOR <= "10"; - end if; - when others => NULL; - end case; - end if; - -end process; - --- Game play logic -moving_paddles: process (VCLK, reset) - begin - if (reset = '1') then - left_y <= "001001"; - right_y <= "001001"; - nextleft_y <= "001001"; - nextright_y <= "001001"; - elsif (VCLK = '1' and VCLK'event) then - - if (left_dir = "10") then - nextleft_y <= nextleft_y + 1; -- move up - elsif (left_dir = "01") then - nextleft_y <= nextleft_y - 1; -- move down - else - nextleft_y <= nextleft_y; -- don't move - end if; - - if (right_dir = "10") then - nextright_y <= nextright_y + 1; -- move up - elsif (right_dir = "01") then - nextright_y <= nextright_y - 1; -- move down - else - nextright_y <= nextright_y; -- don't move - end if; - - if (nextleft_y < 9) then - left_y <= "001001"; -- stop at top of screen - nextleft_y <= "001001"; - elsif(nextleft_y > 50) then - left_y <= "110010"; -- stop at bottom of screen - nextleft_y <= "110010"; - else - left_y <= nextleft_y; - end if; - - if ( nextright_y < 9 ) then - right_y <= "001001"; -- stop at top of screen - nextright_y <= "001001"; - elsif( nextright_y > 50 ) then - right_y <= "110010"; -- stop at bottom of screen - nextright_y <= "110010"; - else - right_y <= nextright_y; - end if; - end if; -end process; - -moving_ball: process (VCLK, ball_xdir, ball_ydir, ball_x, ball_y, reset) -begin - - if (reset = '1') then - ball_x <= left_wall; - ball_y <= 32; - ball_yrate <= 1; - lscore <= 0; - rscore <= 0; - enable <= '0'; - delay <= "000"; - elsif (VCLK = '1' and VCLK'event) then - if (delay >= "100") then --This value may be increased or decreased to adjust the speed of the ball - delay <= "000"; - if (SERVE = '1') then - if (enable = '0' ) then - ball_yrate <= 0; - ball_y <= 16; - end if; - ball_y <= 32; - enable <= '1'; - end if; - - if (ball_xdir = '1') then -- Horizontal Movement ( 1 = right ) - if (enable = '1') then - ball_x <= ball_x + 1; - end if; - - -- check for hit on upper 1/4 of right paddle - if ((ball_x = right_x) and ( ball_y >= right_y - ball_height ) and ( ball_y < right_y + paddle_heighta) ) then - ball_xdir <= '0'; - -- if ball is going down - if ( ball_ydir = '0' ) then - if ( ball_yrate > 0 ) then - ball_yrate <= ball_yrate - 1; - else - ball_yrate <= 1; - ball_ydir <= '1'; - end if; - - -- if ball is going up - else - if ( ball_yrate < 2 ) then - ball_yrate <= ball_yrate + 1; - else - ball_yrate <= 2; - end if; - end if; - - elsif ((ball_x = right_x) and ( ball_y >= right_y + paddle_heighta) and ( ball_y < right_y + paddle_heightb) ) then - ball_xdir <= '0'; - - -- check for hit on lower half of right paddle - elsif ((ball_x = right_x) and ( ball_y >= right_y + paddle_heightb) and ( ball_y <= right_y + paddle_heightc) ) then - ball_xdir <= '0'; - -- if ball is going down - if (ball_ydir = '0') then - if (ball_yrate < 2) then - ball_yrate <= ball_yrate + 1; - else - ball_yrate <= 2; - end if; - -- if ball is going up - else - if (ball_yrate > 0) then - ball_yrate <= ball_yrate - 1; - else - ball_ydir <= '0'; - ball_yrate <= 1; - end if; - end if; - - -- Score for left team - else - if (ball_x = right_wall) then - ball_xdir <= '0'; - if (enable = '1') then - if (lscore = 9) then - lscore <= 0; - else - lscore <= lscore + 1; - end if; - end if; - enable <= '0'; - end if; - end if; - - -- Ball going left - else - -- in middle of playing field - if ( enable = '1' ) then - ball_x <= ball_x - 1; - end if; - - if ( ball_x = left_x ) then - - -- upper portion of paddle - if (( ball_y >= left_y ) and ( ball_y < left_y + paddle_heighta )) then - ball_xdir <= '1'; - -- if ball is going down - if ( ball_ydir = '0' ) then - if ( ball_yrate > 0 ) then - ball_yrate <= ball_yrate - 1; - else - ball_ydir <= '1'; - ball_yrate <= 1; - end if; - -- if ball is going up - else - if ( ball_yrate < 2 ) then - ball_yrate <= ball_yrate + 1; - else - ball_yrate <= 2; - end if; - end if; - - -- lower portion of paddle - elsif ( ( ball_y >= left_y + paddle_heightb) and ( ball_y <= left_y + paddle_heightc) ) then - ball_xdir <= '1'; - -- if ball is going down - if ( ball_ydir = '0' ) then - if ( ball_yrate < 2 ) then - ball_yrate <= ball_yrate + 1; - else - ball_yrate <= 2; - end if; - -- if ball is going up - else - if ( ball_yrate > 0 ) then - ball_yrate <= ball_yrate - 1; - else - ball_ydir <= '0'; - ball_yrate <= 1; - end if; - end if; - elsif (( ball_y >= left_y + paddle_heighta) and ( ball_y < left_y + paddle_heightb)) then - ball_xdir <= '1'; - end if; - - -- Score for right team - else - if ( ball_x = left_wall ) then - ball_xdir <= '1'; - if ( enable = '1' ) then - if ( rscore = 9 ) then - rscore <= 0; - else - rscore <= rscore + 1; - end if; - end if; - enable <= '0'; - end if; - end if; - end if; - - -- Vertical Movement ( 1 = up ) - if ( ball_ydir = '1' ) then - if (ball_y <= wall_top) then - ball_ydir <= '0'; - else - ball_y <= ball_y - ball_yrate; - end if; - else - if (ball_y >= wall_bottom) then - ball_ydir <= '1'; - else - ball_y <= ball_y + ball_yrate; - end if; - end if; - else - delay <= delay + '1'; - ball_y <= ball_y; - ball_yrate <= ball_yrate; - ball_x <= ball_x; - ball_ydir <= ball_ydir; - ball_xdir <= ball_xdir; - end if; - end if; -end process; - -end static_display; \ No newline at end of file
pong/pong_cntrl.vhd Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/pong_top.bld =================================================================== --- pong/pong_top.bld (revision 427) +++ pong/pong_top.bld (nonexistent) @@ -1,35 +0,0 @@ -Release 12.3 ngdbuild M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Command Line: /opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/ngdbuild -intstyle -ise -dd _ngo -nt timestamp -i -p xc3s200a-vq100-4 pong_top.ngc pong_top.ngd - -Reading NGO file -"/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.ngc" ... -Gathering constraint information from source properties... -Done. - -Resolving constraint associations... -Checking Constraint Associations... -Done... - -Checking expanded design ... - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -NGDBUILD Design Results Summary: - Number of errors: 0 - Number of warnings: 0 - -Total memory usage is 88160 kilobytes - -Writing NGD file "pong_top.ngd" ... -Total REAL time to NGDBUILD completion: 2 sec -Total CPU time to NGDBUILD completion: 2 sec - -Writing NGDBUILD log file "pong_top.bld"... Index: pong/pong_top_pad.txt =================================================================== --- pong/pong_top_pad.txt (revision 427) +++ pong/pong_top_pad.txt (nonexistent) @@ -1,130 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Wed May 7 20:15:14 2014 - - -INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are: -1. The _pad.txt file (this file) designed to provide information on IO usage in a human readable ASCII text format viewable through common text editors. -2. The _pad.csv file for use with spreadsheet programs such as MS Excel. This file can also be read by PACE to communicate post PAR IO information. -3. The .pad file designed for parsing by customers. It uses the "|" as a data field separator. - -INPUT FILE: pong_top_map.ncd -OUTPUT FILE: pong_top_pad.txt -PART TYPE: xc3s200a -SPEED GRADE: -4 -PACKAGE: vq100 - -Pinout by Pin Number: - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -|Pin Number|Signal Name|Pin Usage |Pin Name |Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity| -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -|P1 | | |TMS | | | | | | | | | | | | -|P2 | | |TDI | | | | | | | | | | | | -|P3 |ld<5> |IOB |IO_L01P_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P4 | |DIFFSLR |IO_L01N_3 |UNUSED | |3 | | | | | | | | | -|P5 |ld<6> |IOB |IO_L02P_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P6 | |DIFFSLR |IO_L02N_3 |UNUSED | |3 | | | | | | | | | -|P7 | |DIFFSI_NDT|IP_3/VREF_3 |UNUSED | |3 | | | | | | | | | -|P8 | | |GND | | | | | | | | | | | | -|P9 | |DIFFMLR |IO_L03P_3/LHCLK0 |UNUSED | |3 | | | | | | | | | -|P10 | |DIFFSLR |IO_L03N_3/LHCLK1 |UNUSED | |3 | | | | | | | | | -|P11 | | |VCCO_3 | | |3 | | | | |2.50 | | | | -|P12 |vga_vs |IOB |IO_L04P_3/LHCLK2 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P13 |vga_red |IOB |IO_L04N_3/IRDY2/LHCLK3|OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P14 | | |GND | | | | | | | | | | | | -|P15 |vga_hs |IOB |IO_L05P_3/TRDY2/LHCLK6|OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P16 |vga_green |IOB |IO_L05N_3/LHCLK7 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P17 | | |VCCINT | | | | | | | |1.2 | | | | -|P18 | | |GND | | | | | | | | | | | | -|P19 |ps2c |IBUF |IO_L06P_3 |INPUT |LVCMOS25* |3 | | | |IBUF | |LOCATED |NO |NONE | -|P20 |vga_blue |IOB |IO_L06N_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P21 |ps2d |IBUF |IP_3 |INPUT |LVCMOS25* |3 | | | |IBUF | |LOCATED |NO |NONE | -|P22 | | |VCCAUX | | | | | | | |2.5 | | | | -|P23 | |DIFFMTB |IO_L01P_2/M1 |UNUSED | |2 | | | | | | | | | -|P24 | |DIFFMTB |IO_L02P_2/M2 |UNUSED | |2 | | | | | | | | | -|P25 | |DIFFSTB |IO_L01N_2/M0 |UNUSED | |2 | | | | | | | | | -|P26 | | |VCCO_2 | | |2 | | | | |2.50 | | | | -|P27 |ld<7> |IOB |IO_L02N_2/CSO_B |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P28 |seg_e |IOB |IO_L03P_2/RDWR_B |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P29 | |DIFFSTB |IO_L03N_2/VS2 |UNUSED | |2 | | | | | | | | | -|P30 | |DIFFMTB |IO_L04P_2/VS1 |UNUSED | |2 | | | | | | | | | -|P31 | |DIFFSTB |IO_L04N_2/VS0 |UNUSED | |2 | | | | | | | | | -|P32 | |DIFFMTB |IO_L05P_2 |UNUSED | |2 | | | | | | | | | -|P33 | |DIFFSTB |IO_L05N_2 |UNUSED | |2 | | | | | | | | | -|P34 | |DIFFMTB |IO_L06P_2/D7 |UNUSED | |2 | | | | | | | | | -|P35 | |DIFFSTB |IO_L06N_2/D6 |UNUSED | |2 | | | | | | | | | -|P36 | |DIFFMTB |IO_L07P_2/D5 |UNUSED | |2 | | | | | | | | | -|P37 | |DIFFSTB |IO_L07N_2/D4 |UNUSED | |2 | | | | | | | | | -|P38 | | |VCCINT | | | | | | | |1.2 | | | | -|P39 | |IBUF |IP_2/VREF_2 |UNUSED | |2 | | | | | | | | | -|P40 | |DIFFMTB |IO_L08P_2/GCLK14 |UNUSED | |2 | | | | | | | | | -|P41 | |DIFFSTB |IO_L08N_2/GCLK15 |UNUSED | |2 | | | | | | | | | -|P42 | | |GND | | | | | | | | | | | | -|P43 |clk_ic4 |IBUF |IO_L09P_2/GCLK0 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P44 | |DIFFSTB |IO_L09N_2/GCLK1 |UNUSED | |2 | | | | | | | | | -|P45 | | |VCCO_2 | | |2 | | | | |2.50 | | | | -|P46 | |DIFFSTB |IO_2/MOSI/CSI_B |UNUSED | |2 | | | | | | | | | -|P47 | | |GND | | | | | | | | | | | | -|P48 | |DIFFMTB |IO_L10P_2/INIT_B |UNUSED | |2 | | | | | | | | | -|P49 | |DIFFSTB |IO_L10N_2/D3 |UNUSED | |2 | | | | | | | | | -|P50 | |DIFFMTB |IO_L11P_2/D2 |UNUSED | |2 | | | | | | | | | -|P51 | |DIFFMTB |IO_L12P_2/D0/DIN/MISO |UNUSED | |2 | | | | | | | | | -|P52 | |DIFFSTB |IO_L11N_2/D1 |UNUSED | |2 | | | | | | | | | -|P53 | |DIFFSTB |IO_L12N_2/CCLK |UNUSED | |2 | | | | | | | | | -|P54 | | |DONE | | | | | | | | | | | | -|P55 | | |VCCAUX | | | | | | | |2.5 | | | | -|P56 | |DIFFMLR |IO_L01P_1 |UNUSED | |1 | | | | | | | | | -|P57 |an<1> |IOB |IO_L01N_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P58 | | |GND | | | | | | | | | | | | -|P59 |an<0> |IOB |IO_L02P_1/RHCLK0 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P60 |an<3> |IOB |IO_L02N_1/RHCLK1 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P61 |an<2> |IOB |IO_L03P_1/RHCLK2 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P62 |seg_f |IOB |IO_L03N_1/TRDY1/RHCLK3|OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P63 | | |GND | | | | | | | | | | | | -|P64 |seg_b |IOB |IO_L04P_1/IRDY1/RHCLK6|OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P65 |seg_a |IOB |IO_L04N_1/RHCLK7 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P66 | | |VCCINT | | | | | | | |1.2 | | | | -|P67 | | |VCCO_1 | | |1 | | | | |2.50 | | | | -|P68 | |DIFFMI_NDT|IP_1/VREF_1 |UNUSED | |1 | | | | | | | | | -|P69 | | |GND | | | | | | | | | | | | -|P70 |seg_d |IOB |IO_L05P_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P71 |seg_dp |IOB |IO_L05N_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P72 |seg_c |IOB |IO_L06P_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P73 |seg_g |IOB |IO_L06N_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P74 | | |GND | | | | | | | | | | | | -|P75 | | |TDO | | | | | | | | | | | | -|P76 | | |TCK | | | | | | | | | | | | -|P77 | |DIFFMTB |IO_L01P_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P78 | |DIFFSTB |IO_L01N_0 |UNUSED | |0 | | | | | | | | | -|P79 | | |VCCO_0 | | |0 | | | | |2.50 | | | | -|P80 | | |GND | | | | | | | | | | | | -|P81 | | |VCCINT | | | | | | | |1.2 | | | | -|P82 | |IBUF |IP_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P83 |btn3 |IBUF |IO_L02P_0/GCLK4 |INPUT |LVCMOS25* |0 | | | |IBUF | |LOCATED |NO |NONE | -|P84 |ld<0> |IOB |IO_L02N_0/GCLK5 |OUTPUT |LVCMOS25* |0 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P85 | |DIFFMTB |IO_L03P_0/GCLK6 |UNUSED | |0 | | | | | | | | | -|P86 |ld<1> |IOB |IO_L03N_0/GCLK7 |OUTPUT |LVCMOS25* |0 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P87 | | |GND | | | | | | | | | | | | -|P88 | |DIFFMTB |IO_L04P_0/GCLK8 |UNUSED | |0 | | | | | | | | | -|P89 |ld<2> |IOB |IO_L04N_0/GCLK9 |OUTPUT |LVCMOS25* |0 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P90 | |DIFFSTB |IO_0/GCLK11 |UNUSED | |0 | | | | | | | | | -|P91 | | |GND | | | | | | | | | | | | -|P92 | | |VCCAUX | | | | | | | |2.5 | | | | -|P93 |ld<3> |IOB |IO_L05P_0 |OUTPUT |LVCMOS25* |0 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P94 | |DIFFSTB |IO_L05N_0 |UNUSED | |0 | | | | | | | | | -|P95 | | |GND | | | | | | | | | | | | -|P96 | | |VCCO_0 | | |0 | | | | |2.50 | | | | -|P97 | |IBUF |IP_0 |UNUSED | |0 | | | | | | | | | -|P98 |ld<4> |IOB |IO_L06P_0/VREF_0 |OUTPUT |LVCMOS25* |0 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P99 | |DIFFSTB |IO_L06N_0/PUDC_B |UNUSED | |0 | | | | | | | | | -|P100 | | |PROG_B | | | | | | | | | | | | -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -* Default value. -** This default Pullup/Pulldown value can be overridden in Bitgen. -****** Special VCCO requirements may apply. Please consult the device - family datasheet for specific guideline on VCCO requirements. - - Index: pong/pong_top.cmd_log =================================================================== --- pong/pong_top.cmd_log (revision 427) +++ pong/pong_top.cmd_log (nonexistent) @@ -1,6 +0,0 @@ -xst -intstyle ise -ifn "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.xst" -ofn "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.syr" -ngdbuild -intstyle ise -dd _ngo -nt timestamp -i -p xc3s200a-vq100-4 pong_top.ngc pong_top.ngd -map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o pong_top_map.ncd pong_top.ngd pong_top.pcf -par -w -intstyle ise -ol high -t 1 pong_top_map.ncd pong_top.ncd pong_top.pcf -trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml pong_top.twx pong_top.ncd -o pong_top.twr pong_top.pcf -bitgen -intstyle ise -f pong_top.ut pong_top.ncd Index: pong/sch2HdlBatchFile =================================================================== --- pong/sch2HdlBatchFile (revision 427) +++ pong/sch2HdlBatchFile (nonexistent) @@ -1 +0,0 @@ -sch2hdl,-intstyle,ise,-family,spartan3a,-verilog,/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.vf,-w,/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.sch Index: pong/pong_top.jhd =================================================================== --- pong/pong_top.jhd (revision 427) +++ pong/pong_top.jhd (nonexistent) @@ -1,9 +0,0 @@ -MODULE pong_top - SUBMODULE vga_int - INSTANCE vga_inst - SUBMODULE cntrl - INSTANCE cntrl_inst - SUBMODULE read_ps2 - INSTANCE read_ps2_inst - SUBMODULE game_title - INSTANCE title_inst Index: pong/usage_statistics_webtalk.html =================================================================== --- pong/usage_statistics_webtalk.html (revision 427) +++ pong/usage_statistics_webtalk.html (nonexistent) @@ -1,825 +0,0 @@ -Device Usage Statistics Report - -

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Software Version and Target Device
Product Version:ISE:12.3 (WebPack) - M.70dTarget Family:Spartan3A and Spartan3AN
OS Platform:LINTarget Device:xc3s200a
Project ID (random number)b896e776ba214d59bb421262ecc6d5ed.E94CCD9076C2E482F228683ED13B61B0.1Target Package:vq100
Registration ID0_0_320Target Speed:-4
Date Generated2014-05-07T20:15:22Tool FlowISE

- - - - - - - - - - - - - - -
User Environment
OS NameDebianOS ReleaseDebian GNU/Linux 7.4 (wheezy)
CPU NameAMD Athlon(tm) II X2 255 ProcessorCPU Speed3100.000 MHz

- - - - - - - - - - - - -
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
-Adders/Subtractors=14 -
    -
  • 2-bit adder=2
  • -
  • 2-bit subtractor=1
  • -
  • 4-bit adder=1
  • -
  • 6-bit adder=6
  • -
  • 6-bit addsub=3
  • -
  • 6-bit subtractor=1
  • -
-
-Comparators=41 -
    -
  • 2-bit comparator greatequal=1
  • -
  • 2-bit comparator greater=1
  • -
  • 2-bit comparator less=1
  • -
  • 3-bit comparator greatequal=1
  • -
  • 3-bit comparator less=1
  • -
  • 4-bit comparator greatequal=1
  • -
  • 6-bit comparator equal=1
  • -
  • 6-bit comparator greatequal=3
  • -
  • 6-bit comparator greater=1
  • -
  • 6-bit comparator less=1
  • -
  • 6-bit comparator lessequal=4
  • -
  • 7-bit comparator equal=1
  • -
  • 7-bit comparator greatequal=7
  • -
  • 7-bit comparator greater=4
  • -
  • 7-bit comparator less=8
  • -
  • 7-bit comparator lessequal=3
  • -
  • 8-bit comparator greatequal=1
  • -
  • 8-bit comparator lessequal=1
  • -
-
-Counters=9 -
    -
  • 10-bit up counter=3
  • -
  • 3-bit up counter=3
  • -
  • 4-bit up counter=2
  • -
  • 7-bit updown counter=1
  • -
-
-FSMs=3 - -ROMs=3 -
    -
  • 4x12-bit ROM=1
  • -
  • 4x3-bit ROM=1
  • -
  • 80x4-bit ROM=1
  • -
-
-Registers=128 -
    -
  • Flip-Flops=128
  • -
-
-
-MiscellaneousStatistics -
    -
  • AGG_BONDED_IO=29
  • -
  • AGG_IO=29
  • -
  • AGG_SLICE=271
  • -
  • NUM_4_INPUT_LUT=470
  • -
  • NUM_BONDED_IBUF=4
  • -
  • NUM_BONDED_IOB=25
  • -
  • NUM_BUFGMUX=3
  • -
  • NUM_CYMUX=99
  • -
  • NUM_LUT_RT=28
  • -
  • NUM_SHIFT=2
  • -
  • NUM_SLICEL=269
  • -
  • NUM_SLICEM=2
  • -
  • NUM_SLICE_FF=190
  • -
  • NUM_XOR=30
  • -
-
-
-NetStatistics -
    -
  • NumNets_Active=508
  • -
  • NumNets_Gnd=1
  • -
  • NumNets_Vcc=1
  • -
  • NumNodesOfType_Active_CLKPIN=130
  • -
  • NumNodesOfType_Active_CNTRLPIN=156
  • -
  • NumNodesOfType_Active_DOUBLE=951
  • -
  • NumNodesOfType_Active_DUMMY=1454
  • -
  • NumNodesOfType_Active_DUMMYESC=4
  • -
  • NumNodesOfType_Active_GLOBAL=68
  • -
  • NumNodesOfType_Active_HFULLHEX=19
  • -
  • NumNodesOfType_Active_HLONG=4
  • -
  • NumNodesOfType_Active_HUNIHEX=36
  • -
  • NumNodesOfType_Active_INPUT=1573
  • -
  • NumNodesOfType_Active_IOBOUTPUT=4
  • -
  • NumNodesOfType_Active_OMUX=590
  • -
  • NumNodesOfType_Active_OUTPUT=487
  • -
  • NumNodesOfType_Active_PREBXBY=392
  • -
  • NumNodesOfType_Active_VFULLHEX=63
  • -
  • NumNodesOfType_Active_VLONG=23
  • -
  • NumNodesOfType_Active_VUNIHEX=68
  • -
  • NumNodesOfType_Gnd_DOUBLE=2
  • -
  • NumNodesOfType_Gnd_DUMMY=7
  • -
  • NumNodesOfType_Gnd_INPUT=15
  • -
  • NumNodesOfType_Gnd_OMUX=12
  • -
  • NumNodesOfType_Gnd_OUTPUT=9
  • -
  • NumNodesOfType_Gnd_PREBXBY=6
  • -
  • NumNodesOfType_Vcc_CNTRLPIN=5
  • -
  • NumNodesOfType_Vcc_DUMMY=1
  • -
  • NumNodesOfType_Vcc_INPUT=15
  • -
  • NumNodesOfType_Vcc_PREBXBY=13
  • -
  • NumNodesOfType_Vcc_VCCOUT=18
  • -
-
-SiteStatistics -
    -
  • IBUF-DIFFMI_NDT=1
  • -
  • IBUF-DIFFMLR=1
  • -
  • IBUF-DIFFMTB=2
  • -
  • IOB-DIFFMLR=9
  • -
  • IOB-DIFFMTB=3
  • -
  • IOB-DIFFSLR=9
  • -
  • IOB-DIFFSTB=4
  • -
  • SLICEL-SLICEM=123
  • -
-
-
-SiteSummary -
    -
  • BUFGMUX=3
  • -
  • BUFGMUX_GCLKMUX=3
  • -
  • BUFGMUX_GCLK_BUFFER=3
  • -
  • IBUF=4
  • -
  • IBUF_DELAY_ADJ_BBOX=4
  • -
  • IBUF_INBUF=4
  • -
  • IBUF_PAD=4
  • -
  • IOB=25
  • -
  • IOB_OUTBUF=25
  • -
  • IOB_PAD=25
  • -
  • SLICEL=269
  • -
  • SLICEL_C1VDD=3
  • -
  • SLICEL_CYMUXF=51
  • -
  • SLICEL_CYMUXG=48
  • -
  • SLICEL_F=235
  • -
  • SLICEL_F5MUX=18
  • -
  • SLICEL_FFX=94
  • -
  • SLICEL_FFY=94
  • -
  • SLICEL_G=233
  • -
  • SLICEL_GNDF=12
  • -
  • SLICEL_GNDG=12
  • -
  • SLICEL_XORF=15
  • -
  • SLICEL_XORG=15
  • -
  • SLICEM=2
  • -
  • SLICEM_FFY=2
  • -
  • SLICEM_G=2
  • -
  • SLICEM_WSGEN=2
  • -
-
-

- - - - - - - -
Configuration Data
-BUFGMUX -
    -
  • S=[S_INV:3] [S:0]
  • -
-
-BUFGMUX_GCLKMUX -
    -
  • DISABLE_ATTR=[LOW:3]
  • -
  • S=[S_INV:3] [S:0]
  • -
-
-IBUF_DELAY_ADJ_BBOX -
    -
  • DELAY_ADJ_ATTRBOX=[FIXED:4]
  • -
  • IBUF_DELAY_VALUE=[DLY0:4]
  • -
  • IFD_DELAY_VALUE=[DLY0:4]
  • -
  • SEL_IN=[SEL_IN:4] [SEL_IN_INV:0]
  • -
-
-IBUF_PAD -
    -
  • IOATTRBOX=[LVCMOS25:4]
  • -
-
-IOB -
    -
  • O1=[O1_INV:0] [O1:25]
  • -
-
-IOB_OUTBUF -
    -
  • IN=[IN_INV:0] [IN:25]
  • -
  • SUSPEND=[3STATE:25]
  • -
-
-IOB_PAD -
    -
  • DRIVEATTRBOX=[12:25]
  • -
  • IOATTRBOX=[LVCMOS25:25]
  • -
  • SLEW=[SLOW:25]
  • -
-
- -SLICEL -
    -
  • BX=[BX_INV:4] [BX:45]
  • -
  • BY=[BY:27] [BY_INV:4]
  • -
  • CE=[CE:50] [CE_INV:8]
  • -
  • CIN=[CIN_INV:0] [CIN:36]
  • -
  • CLK=[CLK:128] [CLK_INV:0]
  • -
  • SR=[SR:98] [SR_INV:0]
  • -
-
-SLICEL_CYMUXF -
    -
  • 0=[0:51] [0_INV:0]
  • -
  • 1=[1_INV:0] [1:51]
  • -
-
-SLICEL_CYMUXG -
    -
  • 0=[0:48] [0_INV:0]
  • -
-
-SLICEL_F5MUX -
    -
  • S0=[S0:18] [S0_INV:0]
  • -
-
-SLICEL_FFX -
    -
  • CE=[CE:38] [CE_INV:7]
  • -
  • CK=[CK:94] [CK_INV:0]
  • -
  • D=[D:90] [D_INV:4]
  • -
  • FFX_INIT_ATTR=[INIT0:83] [INIT1:11]
  • -
  • FFX_SR_ATTR=[SRLOW:84] [SRHIGH:10]
  • -
  • LATCH_OR_FF=[FF:94]
  • -
  • SR=[SR:73] [SR_INV:0]
  • -
  • SYNC_ATTR=[ASYNC:92] [SYNC:2]
  • -
-
- -SLICEL_FFY -
    -
  • CE=[CE:32] [CE_INV:7]
  • -
  • CK=[CK:94] [CK_INV:0]
  • -
  • D=[D:90] [D_INV:4]
  • -
  • FFY_INIT_ATTR=[INIT0:79] [INIT1:15]
  • -
  • FFY_SR_ATTR=[SRLOW:81] [SRHIGH:13]
  • -
  • LATCH_OR_FF=[FF:94]
  • -
  • REV=[REV_INV:0] [REV:1]
  • -
  • SR=[SR:65] [SR_INV:0]
  • -
  • SYNC_ATTR=[ASYNC:89] [SYNC:5]
  • -
-
-SLICEL_XORF -
    -
  • 1=[1_INV:0] [1:15]
  • -
-
-SLICEM -
    -
  • BY=[BY:2] [BY_INV:0]
  • -
  • CLK=[CLK:2] [CLK_INV:0]
  • -
  • SR=[SR:2] [SR_INV:0]
  • -
-
-SLICEM_FFY -
    -
  • CK=[CK:2] [CK_INV:0]
  • -
  • D=[D:2] [D_INV:0]
  • -
  • FFY_INIT_ATTR=[INIT0:2]
  • -
  • FFY_SR_ATTR=[SRLOW:2]
  • -
  • LATCH_OR_FF=[FF:2]
  • -
  • SYNC_ATTR=[ASYNC:2]
  • -
-
- -SLICEM_G -
    -
  • DI=[DI:2] [DI_INV:0]
  • -
  • G_ATTR=[SHIFT_REG:2]
  • -
  • LUT_OR_MEM=[RAM:2]
  • -
-
-SLICEM_WSGEN -
    -
  • CK=[CK:2] [CK_INV:0]
  • -
  • SYNC_ATTR=[ASYNC:2]
  • -
  • WE=[WE_INV:0] [WE:2]
  • -
-
-

- - - - - - - -
Pin Data
-BUFGMUX -
    -
  • I0=3
  • -
  • O=3
  • -
  • S=3
  • -
-
-BUFGMUX_GCLKMUX -
    -
  • I0=3
  • -
  • OUT=3
  • -
  • S=3
  • -
-
-BUFGMUX_GCLK_BUFFER -
    -
  • IN=3
  • -
  • OUT=3
  • -
-
-IBUF -
    -
  • I=4
  • -
  • PAD=4
  • -
-
-IBUF_DELAY_ADJ_BBOX -
    -
  • IBUF_OUT=4
  • -
  • SEL_IN=4
  • -
-
-IBUF_INBUF -
    -
  • IN=4
  • -
  • OUT=4
  • -
-
-IBUF_PAD -
    -
  • PAD=4
  • -
-
-IOB -
    -
  • O1=25
  • -
  • PAD=25
  • -
-
-IOB_OUTBUF -
    -
  • IN=25
  • -
  • OUT=25
  • -
-
-IOB_PAD -
    -
  • PAD=25
  • -
-
-SLICEL -
    -
  • BX=49
  • -
  • BY=31
  • -
  • CE=58
  • -
  • CIN=36
  • -
  • CLK=128
  • -
  • COUT=48
  • -
  • F1=233
  • -
  • F2=216
  • -
  • F3=161
  • -
  • F4=112
  • -
  • G1=232
  • -
  • G2=216
  • -
  • G3=164
  • -
  • G4=115
  • -
  • SR=98
  • -
  • X=121
  • -
  • XQ=94
  • -
  • Y=113
  • -
  • YQ=94
  • -
-
- -SLICEL_C1VDD -
    -
  • 1=3
  • -
-
-SLICEL_CYMUXF -
    -
  • 0=51
  • -
  • 1=51
  • -
  • OUT=51
  • -
  • S0=51
  • -
-
-SLICEL_CYMUXG -
    -
  • 0=48
  • -
  • 1=48
  • -
  • OUT=48
  • -
  • S0=48
  • -
-
-SLICEL_F -
    -
  • A1=229
  • -
  • A2=216
  • -
  • A3=161
  • -
  • A4=112
  • -
  • D=235
  • -
-
-SLICEL_F5MUX -
    -
  • F=18
  • -
  • G=18
  • -
  • OUT=18
  • -
  • S0=18
  • -
-
-SLICEL_FFX -
    -
  • CE=45
  • -
  • CK=94
  • -
  • D=94
  • -
  • Q=94
  • -
  • SR=73
  • -
-
-SLICEL_FFY -
    -
  • CE=39
  • -
  • CK=94
  • -
  • D=94
  • -
  • Q=94
  • -
  • REV=1
  • -
  • SR=65
  • -
-
- -SLICEL_G -
    -
  • A1=228
  • -
  • A2=216
  • -
  • A3=164
  • -
  • A4=115
  • -
  • D=233
  • -
-
-SLICEL_GNDF -
    -
  • 0=12
  • -
-
-SLICEL_GNDG -
    -
  • 0=12
  • -
-
-SLICEL_XORF -
    -
  • 0=15
  • -
  • 1=15
  • -
  • O=15
  • -
-
-SLICEL_XORG -
    -
  • 0=15
  • -
  • 1=15
  • -
  • O=15
  • -
-
-SLICEM -
    -
  • BY=2
  • -
  • CLK=2
  • -
  • G1=2
  • -
  • G2=2
  • -
  • G3=2
  • -
  • G4=2
  • -
  • SR=2
  • -
  • YQ=2
  • -
-
-SLICEM_FFY -
    -
  • CK=2
  • -
  • D=2
  • -
  • Q=2
  • -
-
-SLICEM_G -
    -
  • A1=2
  • -
  • A2=2
  • -
  • A3=2
  • -
  • A4=2
  • -
  • D=2
  • -
  • DI=2
  • -
  • WS=2
  • -
-
- -SLICEM_WSGEN -
    -
  • CK=2
  • -
  • WE=2
  • -
  • WSG=2
  • -
-
-

- - -
Tool Usage
Command Line History
    -
  • xst -intstyle ise -ifn <ise_file>
  • -
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -i -p xc3s200a-vq100-4 <fname>.ngc <fname>.ngd
  • -
  • map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • -
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • -
  • trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • -
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • -

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Software Quality
Run Statistics
_impact5500000
bitgen101000000
map131200000
netgen1100000
ngdbuild181800000
par121020000
trce101000000
xst131300000
- - 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Project Statistics
PROP_Enable_Message_Filtering=falsePROP_FitterReportFormat=HTML
PROP_LastAppliedGoal=BalancedPROP_LastAppliedStrategy=Xilinx Default (unlocked)
PROP_ManualCompileOrderImp=falsePROP_PropSpecInProjFile=Store all values
PROP_Simulator=ISim (VHDL/Verilog)PROP_SynthTopFile=changed
PROP_Top_Level_Module_Type=SchematicPROP_UseSmartGuide=false
PROP_UserConstraintEditorPreference=Text EditorPROP_intProjectCreationTimestamp=2009-10-22T10:21:11
PROP_intWbtProjectID=E94CCD9076C2E482F228683ED13B61B0PROP_intWbtProjectIteration=1
PROP_intWorkingDirLocWRTProjDir=SamePROP_intWorkingDirUsed=No
PROP_AutoTop=falsePROP_DevFamily=Spartan3A and Spartan3AN
PROP_CompxlibExcludeCompEDK=falsePROP_DevDevice=xc3s200a
PROP_DevFamilyPMName=spartan3aPROP_ISimSimulationRunTime_behav_tb=20000 ns
PROP_ISimSimulationRunTime_par_tb=20000 nsPROP_DevPackage=vq100
PROP_Synthesis_Tool=XST (VHDL/Verilog)PROP_DevSpeed=-4
PROP_PreferredLanguage=VerilogFILE_SCHEMATIC=1
FILE_USERDOC=1FILE_VERILOG=4
FILE_VHDL=4

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Unisim Statistics
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=2NGDBUILD_NUM_BUFGP=1NGDBUILD_NUM_FD=23NGDBUILD_NUM_FDC=65
NGDBUILD_NUM_FDCE=46NGDBUILD_NUM_FDE=29NGDBUILD_NUM_FDP=11NGDBUILD_NUM_FDPE=9
NGDBUILD_NUM_FDR=3NGDBUILD_NUM_FDRS=1NGDBUILD_NUM_FDS=3NGDBUILD_NUM_GND=1
NGDBUILD_NUM_IBUF=3NGDBUILD_NUM_INV=13NGDBUILD_NUM_LUT1=27NGDBUILD_NUM_LUT2=106
NGDBUILD_NUM_LUT2_D=1NGDBUILD_NUM_LUT2_L=4NGDBUILD_NUM_LUT3=83NGDBUILD_NUM_LUT3_D=7
NGDBUILD_NUM_LUT3_L=9NGDBUILD_NUM_LUT4=188NGDBUILD_NUM_LUT4_D=13NGDBUILD_NUM_LUT4_L=21
NGDBUILD_NUM_MUXCY=99NGDBUILD_NUM_MUXF5=18NGDBUILD_NUM_OBUF=25NGDBUILD_NUM_SRL16=2
NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=30
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=3NGDBUILD_NUM_FD=23NGDBUILD_NUM_FDC=65NGDBUILD_NUM_FDCE=46
NGDBUILD_NUM_FDE=29NGDBUILD_NUM_FDP=11NGDBUILD_NUM_FDPE=9NGDBUILD_NUM_FDR=3
NGDBUILD_NUM_FDRS=1NGDBUILD_NUM_FDS=3NGDBUILD_NUM_GND=1NGDBUILD_NUM_IBUF=3
NGDBUILD_NUM_IBUFG=1NGDBUILD_NUM_INV=13NGDBUILD_NUM_LUT1=27NGDBUILD_NUM_LUT2=106
NGDBUILD_NUM_LUT2_D=1NGDBUILD_NUM_LUT2_L=4NGDBUILD_NUM_LUT3=83NGDBUILD_NUM_LUT3_D=7
NGDBUILD_NUM_LUT3_L=9NGDBUILD_NUM_LUT4=188NGDBUILD_NUM_LUT4_D=13NGDBUILD_NUM_LUT4_L=21
NGDBUILD_NUM_MUXCY=99NGDBUILD_NUM_MUXF5=18NGDBUILD_NUM_OBUF=25NGDBUILD_NUM_SRLC16E=2
NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=30

Index: pong/pong_top_map.map =================================================================== --- pong/pong_top_map.map (revision 427) +++ pong/pong_top_map.map (nonexistent) @@ -1,74 +0,0 @@ -Release 12.3 Map M.70d (lin) -Xilinx Map Application Log File for Design 'pong_top' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off --c 100 -o pong_top_map.ncd pong_top.ngd pong_top.pcf -Target Device : xc3s200a -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3a -- $Revision: 1.52 $ -Mapped Date : Wed May 7 20:14:52 2014 - -Mapping design into LUTs... -Running directed packing... -WARNING:Pack:266 - The function generator - cntrl_inst/CGEN1/Mrom_data_rom0000161_6 failed to merge with F5 multiplexer - cntrl_inst/CGEN1/Mrom_data_rom0000101_5_f5. There is a conflict for the - FXMUX. The design will exhibit suboptimal timing. -Running delay-based LUT packing... -Running related packing... -Updating timing models... - -Design Summary --------------- - -Design Summary: -Number of errors: 0 -Number of warnings: 1 -Logic Utilization: - Number of Slice Flip Flops: 190 out of 3,584 5% - Number of 4 input LUTs: 442 out of 3,584 12% -Logic Distribution: - Number of occupied Slices: 271 out of 1,792 15% - Number of Slices containing only related logic: 271 out of 271 100% - Number of Slices containing unrelated logic: 0 out of 271 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 470 out of 3,584 13% - Number used as logic: 440 - Number used as a route-thru: 28 - Number used as Shift registers: 2 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 29 out of 68 42% - Number of BUFGMUXs: 3 out of 24 12% - -Average Fanout of Non-Clock Nets: 3.79 - -Peak Memory Usage: 162 MB -Total REAL time to MAP completion: 2 secs -Total CPU time to MAP completion: 2 secs - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Mapping completed. -See MAP report file "pong_top_map.mrp" for details. Index: pong/pongPHR.mcs =================================================================== --- pong/pongPHR.mcs (revision 427) +++ pong/pongPHR.mcs (nonexistent) @@ -1,9352 +0,0 @@ -:020000040000FA -:10000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 -:10001000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 -:10002000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 -:10003000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 -:10004000FFFFFFFFFFFF55990C8500E004008C8641 -:100050009077CC843CF08C8500918C82F4008C43AA -:10006000408401C90C87FFF30C8300818C811081CF -:100070004C8000F84C8300A04C8700204C85007019 -:100080004C8600004C810000CC82184FCC4600000A -:1000900000000C44000000000C8500800A0600806F -:1000A000C445000000000000000000000000000047 -:1000B0000000000000000000000000000000000040 -:1000C0000000000000000000000000000000000030 -:1000D0000000000000000000000000000000000020 -:1000E0000000000000000000000000000000000010 -:1000F0000000000000000000000000000000000000 -:1001000000000000000000000000000000000000EF -:1001100000000000000000000000000000000000DF -:10012000000000000000402000000002000100006C -:1001300000000000000000000000000000000000BF -:1001400000000000000000000000000000000000AF -:10015000000000000000000000000000000000009F -:10016000000000000000000000000000000000008F -:10017000000000000000000000000000000000007F -:10018000000000000000000000000000000000006F -:10019000000000000000000000000000000000005F -:1001A000000000000000000000000000000000004F -:1001B000000000000000000000000000000000003F -:1001C000000000000000000000000000000000002F -:1001D000000000000000000000000000000000001F -:1001E000000000000000000000000000000000000F -:1001F00000000000000000000000000000000000FF -:1002000000000000000000000000000000000000EE -:1002100000000000000000000000000000000000DE -:1002200000000000000000000000000000000000CE -:10023000000000000000000000004020000000025C -:10024000004100000000000000000000000000006D -:10025000000000000000000000000000000000009E -:10026000000000000000000000000000000000008E -:10027000000000000000000000000000000000007E -:10028000000000000000000000000000000000006E -:10029000000000000000000000000000000000005E -:1002A000000000000000000000000000000000004E -:1002B000000000000000000000000000000000003E -:1002C00000000000000000000000000062000000CC -:1002D000000000000000000000000000000000001E -:1002E000000000000000000000000000000000000E -:1002F00000000000000000000000000000000000FE -:1003000000000000000000000000000000000000ED -:1003100000000000000000000000000000000000DD -:1003200000000000000000000000000000000000CD -:1003300000000000000000000000000000000000BD -:1003400000000000000000000000000000000000AD -:10035000000000000000000000000000000000009D -:10036000000000000000000000000000000000008D -:10037000000000000000000000000000000000007D -:10038000000000000000000000000000000000006D -:10039000000000000000000000000000000000005D -:1003A000000000000000000000000000000000004D -:1003B000000000000000000000000000000000003D -:1003C000000000000000000000000000000000002D -:1003D00000000000001000000000004E00000000BF -:1003E000000000000000000000000000000000000D -:1003F00000000000000000000000000000000000FD -:1004000000000000000000000000000000000000EC -:1004100000000000000000000000000000000000DC -:1004200000000000000000000000000000000000CC -:1004300000000000000000000000000000000000BC -:1004400000000000000000000000000000000000AC -:10045000000000000000000000000000000000009C -:10046000000000000000000000000000000000008C -:10047000000000000000000000000000000000007C -:10048000000000000000000000000000000000006C -:10049000000000000000000000000000000000005C -:1004A000000000000000000000000000000000004C -:1004B000000000000000000000000000000000003C -:1004C000000000000000000000000000000000002C -:1004D000000000000000000000000000000000001C -:1004E000000000000000000000000000000000000C -:1004F0000000000000000000000000000080000874 -:1005000000000040000000000000000000004080EB -:1005100002080000000000000000000000000000D1 -:1005200000000000000000000000000000000000CB -:1005300000000000000000000000000000000000BB -:1005400000000000000000000000000000000000AB -:10055000000000000000000000000000000000009B -:1005600000000000000000000000000000004080CB -:1005700002081008800100000000000000000000D8 -:100580000000000000008001000008C00101000020 -:10059000000000000000000000000000000000005B -:1005A000000000000000000000000000000000004B -:1005B000000000000000000000000000000000003B -:1005C000000000000000000000000000000000002B -:1005D000000000000000000000000000000000001B -:1005E00000000000000000000000000000004000CB -:1005F000C014100880010002130000000000000079 -:1006000000000C00000000000000000000000000DE -:1006100000000200000000000000000000000000D8 -:1006200000000000000000000000000000000000CA -:1006300000000000000000000000000000000000BA -:1006400000000000000000000000000000000000AA -:10065000000000000000000000000000000000009A -:10066000000000000000000000000000000000008A -:10067000000000000000000000000000000000007A -:10068000000000000000000000000000000000006A -:10069000000000000000000000000000200000003A -:1006A000000000000000000000000000000000004A -:1006B000000000000000000000000000000000003A -:1006C000000000000000000000000000000000002A -:1006D000000000000000000000000000000000001A -:1006E000000000000000000000000000000000000A -:1006F00000000000000000000000000000000000FA -:1007000000000000000800000000000000000000E1 -:1007100000000000003E0A00000000000000000091 -:1007200000000000000000001001000000000000B8 -:1007300000000000000000001001000000000000A8 -:1007400000000000000000000000000000000000A9 -:100750000000000000000000000000000000000099 -:100760000000000000000000000000000000000089 -:100770000000000000000000000000000000000079 -:100780000000000000000000000000000000000069 -:1007900000000000000000001081080000000000C0 -:1007A0000000000000000000000000000000000049 -:1007B00010810800000000000000000000000000A0 -:1007C0000000000000000000000000000000000029 -:1007D0000000000000000000000000000000000019 -:1007E0000000000000000000000000000000000009 -:1007F00000000000000000000000000000000000F9 -:1008000000000000000000000000000000000000E8 -:100810000000000000000000008008000000000050 -:1008200000000000000000000000000000000000C8 -:1008300000000000000000000000000000010000B7 -:1008400000000000000000000000000000010000A7 -:100850000000000000000000000000000000000098 -:100860000000000000000000000000000000000088 -:100870000000000000000000000000000000000078 -:100880000000000000000000000000000000000068 -:100890000000000000000000000000000000000058 -:1008A00000000000000000000000000000810000C7 -:1008B0000000000000000000000000000000000038 -:1008C00000000000008100000000000000000000A7 -:1008D0000000000000000000000000000000000018 -:1008E0000000000000000000000000000000000008 -:1008F00000000000000000000000000000000000F8 -:1009000000000000000000000000000000000000E7 -:1009100000000000000000000000000000000000D7 -:100920000000000000000000000000000080000047 -:1009300000000000000000000000000000000000B7 -:1009400000000000000000000000000000000000A7 -:100950000000000000000000000000000000000097 -:100960000000000000000000000000000000000087 -:100970000000000000000000000000000000000077 -:100980000000000000000000000000000000000067 -:100990000000000000000000000000000000000057 -:1009A0000000000000000000000000000000000047 -:1009B0000000000000000000000000000000000037 -:1009C0000000000000000000000000000000000027 -:1009D0000000000000000000000000000000000017 -:1009E0000000000000000000000000000000000007 -:1009F00000000000000000000000000000000000F7 -:100A000000000000000000000000000000000000E6 -:100A100000000000000000000000000000000000D6 -:100A200000000000000000000000000000000000C6 -:100A300000000000000000000000000000000000B6 -:100A400000000000000000000000000000000000A6 -:100A50000000000000000000000000000000000096 -:100A60000000000000000000000000000000000086 -:100A70000000000000000000000000000000000076 -:100A80000000000000000000000000000000000066 -:100A90000000000000000000000000000000000056 -:100AA0000000000000000000000000000000000046 -:100AB0000000000000000000000000000000000036 -:100AC0000000000000000000000000000000000026 -:100AD0000000000000000000000000000000000016 -:100AE0000000000000000000000000000000000006 -:100AF00000000000000000000000000000000000F6 -:100B000000000000000000000000000000000000E5 -:100B100000000000000000000000000000000000D5 -:100B200000000000000000000000000000000000C5 -:100B300000000000000000000000000000000000B5 -:100B400000000000000000000000000000000000A5 -:100B50000000000000000000000000000000000095 -:100B60000000000000000000000000000000000085 -:100B70000000000000000000000000000000000075 -:100B80000000000000000000000000000000000065 -:100B90000000000000000000000000000000000055 -:100BA0000000000000000000000000000000000045 -:100BB0000000000000000000000000000000000035 -:100BC0000000000000000000000000000000000025 -:100BD0000000000000000000000000000000000015 -:100BE0000000000000000000000000000000000005 -:100BF00000000000000000000000000000000000F5 -:100C000000000000000000000000000000000000E4 -:100C100000000000000000000000000000000000D4 -:100C200000000000000000000000000000000000C4 -:100C300000000000000000000000000000000000B4 -:100C400000000000000000000000000000000000A4 -:100C50000000000000000000000000000000000094 -:100C60000000000000000000000000000000000084 -:100C70000000000000000000000000000000000074 -:100C80000000000000000000000000000000000064 -:100C90000000000000000000000000000000000054 -:100CA0000000000000000000000000000000000044 -:100CB0000000000000000000000000000000000034 -:100CC0000000000000000000000000000000000024 -:100CD0000000000000000000000000000000000014 -:100CE0000000000000000000000000000000000004 -:100CF00000000000000000000000000000000000F4 -:100D000000000000000000000000000000000000E3 -:100D100000000000000000000000000000000000D3 -:100D200000000000000000000000000000000000C3 -:100D300000000000000000000000000000000000B3 -:100D400000000000000000000000000000000000A3 -:100D50000000000000000000000000000000000093 -:100D60000000000000000000000000000000000083 -:100D70000000000000000000000000000000000073 -:100D80000000000000000000000000000000000063 -:100D90000000000000000000000000000000000053 -:100DA0000000000000000000000000000000000043 -:100DB0000000000000000000000000000000000033 -:100DC0000000000000000000000000000000000023 -:100DD0000000000000000000000000000000000013 -:100DE0000000000000000000000000000000000003 -:100DF00000000000000000000000000000000000F3 -:100E000000000000000000000000000000000000E2 -:100E100000000000000000000000000000000000D2 -:100E200000000000000000000000000000000000C2 -:100E300000000000000000000000000000000000B2 -:100E400000000000000000000000000000000000A2 -:100E50000000000000000000000000000000000092 -:100E60000000000000000000000000000000000082 -:100E70000000000000000000000000000000000072 -:100E80000000000000000000000000000000000062 -:100E90000000000000000000000000000000000052 -:100EA0000000000000000000000000000000000042 -:100EB0000000000000000000000000000000000032 -:100EC0000000000000000000000000000000000022 -:100ED0000000000000000000000000000000000012 -:100EE0000000000000000000000000000000000002 -:100EF00000000000000000000000000000000000F2 -:100F000000000000000000000000000000000000E1 -:100F100000000000000000000000000000000000D1 -:100F200000000000000000000000000000000000C1 -:100F300000000000000000000000000000000000B1 -:100F400000000000000000000000000000000000A1 -:100F50000000000000000000000000000000000091 -:100F60000000000000000000000000000000000081 -:100F70000000000000000000000000000000000071 -:100F80000000000000000000000000000000000061 -:100F90000000000000000000000000000000000051 -:100FA0000000000000000000000000000000000041 -:100FB0000000000000000000000000000000000031 -:100FC0000000000000000000000000010000000020 -:100FD000000000000000000000000002000000000F -:100FE0000000000000000000000000000000000001 -:100FF00000000000000000000000000000000000F1 -:1010000000000000000000000000000000000000E0 -:1010100000000000000000000000000000000000D0 -:1010200000000000000000000000000000000000C0 -:1010300000000000000000000000000000000000B0 -:1010400000000000000000000000000000000000A0 -:101050000000000000000000000000000000000090 -:101060000000000000000000000000000000000080 -:101070000000000000000000000000000000000070 -:101080000000000000000000000000000000000060 -:101090000000000000000000000000000000000050 -:1010A0000000000000000000000000000000000040 -:1010B0000000000000000000000000000000000030 -:1010C0000000000000000000000000000000000020 -:1010D0000000000000000000000000000000C00050 -:1010E0000000000000000000000000000000000000 -:1010F00000000000000000000000000000000000F0 -:1011000000000000000000000000000000000000DF -:1011100000000000000000000000000000000000CF -:1011200000000000000000000000000000000000BF -:1011300000000000000000000000000000000000AF -:101140000000000000000000000000000000C002DD -:10115000000000000000000000000000000000008F -:10116000000000000000A0020000000000000000DD -:10117000000000000000000000000000000000006F -:10118000000000000000000000000000000000005F -:10119000000000000000000000000000000000004F -:1011A000000000000000000000000000000000003F -:1011B000000000000000000000000000000000002F -:1011C000000000000000000000000000000000001F -:1011D000000000000000000000000000000000000F -:1011E00000000000000000000000000000000000FF -:1011F00000000000000000000000000000000000EF -:1012000000000000000000000000000000000000DE -:1012100000000000000000000000000000000000CE -:1012200000000000000000000000000000000000BE -:1012300000000000000000000000000000000000AE -:10124000000000000000000000000000000000009E -:10125000000000000000000000000000000000008E -:10126000000000000000000000000000000000007E -:10127000000000000000000000000000000000006E -:10128000000000000000000000000000000000005E -:10129000000000000000000000000000000000004E -:1012A000000000000000000000000000000000003E -:1012B000000000000000000000000000000000002E -:1012C000000000000000000000000000000000001E -:1012D000000000000000000000000000000000000E -:1012E00000000000000000000000000000000000FE -:1012F00000000000000000000000000000000000EE -:1013000000000000000000000000000000000000DD -:1013100000000000000000000000000000000000CD -:1013200000000000000000000000000000000000BD -:1013300000000000000000000000000000000000AD -:10134000000000000000000000000000000000009D -:10135000000000000000000000000000000000008D -:10136000000000000000000000000000000000007D -:10137000000000000000000000000000000000006D -:10138000000000000000000000000000000000005D -:10139000000000000000000000000000000000004D -:1013A000000000000000000000000000000000003D -:1013B000000000000000000000000000000000002D -:1013C000000000000000000000000000000000001D -:1013D000000000000000000000000000000000000D -:1013E00000000000000000000000000000000000FD -:1013F00000000000000000000000000000000000ED -:1014000000000000000000000000000000000000DC -:1014100000000000000000000000000000000000CC -:1014200000000000000000000000000000000000BC -:1014300000000000000000000000000000000000AC -:10144000000000000000000000000000000000009C -:10145000000000000000000000000000000000008C -:10146000000000000000000000000000000000007C -:10147000000000000000000000000000000000006C -:101480000000000000005800000000000000000004 -:1014900000000000000000000000000000006800E4 -:1014A000000000000000000000000000000000003C -:1014B000000000000000000000000000000000002C -:1014C000000000000000000000000000000000001C -:1014D000000000000000000000000000000000000C -:1014E00000000000000000000000000000000000FC -:1014F00000000000000000000000000000000000EC -:1015000000000000000018000000000000000000C3 -:1015100000000000000000000000000000000000CB -:1015200000000000000100000000000000000000BA -:10153000000000000040000000000000000000006B -:10154000000000000000000000000000000000009B -:10155000000000000000000000000000000000008B -:101560000000000000000000000000020000000079 -:101570000000000000020000000000000000000069 -:10158000000000000000000000000000000000005B -:101590000000000000000000000000000800000043 -:1015A000000000000000000000000000000000003B -:1015B000000000000000000000000000000000002B -:1015C000000000000000000000000000000000001B -:1015D000000000000000000000000000000000000B -:1015E00000000000000000000000000000000000FB -:1015F00000000000000000000000000000000000EB -:1016000000000000000000000000000000000000DA -:1016100000000000000000000000000000000200C8 -:1016200000000000000000000000000000000000BA -:1016300000000000000000000000000000000000AA -:10164000001000000000000000000000000000008A -:10165000000000000000000000000000000000008A -:10166000000000000000000000000000000000007A -:10167000000000000000000000000000000000006A -:10168000000000000000000000000000000000005A -:10169000000000000000000000000000000000004A -:1016A000000000000000000000000000000000003A -:1016B0000800000000000000000000000000000022 -:1016C000000000000000000000000000000000001A -:1016D000000000000000000000000000000000000A -:1016E00000000000000000000000000000000000FA -:1016F00000000000000000000000000000000000EA -:1017000000000000000000000000000000000000D9 -:1017100000000000000000000000000000000000C9 -:1017200000000000000000000000000000000000B9 -:1017300000000000000000000000000000000000A9 -:10174000000000000000000000000000000C00008D -:1017500000000000008000000000000000100000F9 -:101760000000000000000000000000000000000079 -:101770000000000000000000000000000000000069 -:101780000000000000000000000000500000000009 -:101790000000000C00000000000000000008000035 -:1017A0000000000000000000000000000000000039 -:1017B0000000000000000000000000000000000029 -:1017C0000000000000000000000000000000000019 -:1017D0000000000000000000000000000000000009 -:1017E00000000000000000000000000000000000F9 -:1017F00000000000000000000000000000000000E9 -:1018000000000000000000000000000000000000D8 -:1018100000000000000000000000000000000000C8 -:1018200000000000000000000000000000000000B8 -:1018300000000000000000000000000000000000A8 -:101840000000000000000400000000000000000094 -:101850000000000000000000000000000000000088 -:101860000000000000000000000000000000000078 -:101870000000000000000000000000000000000068 -:101880000000000000000000000000000000000058 -:101890000000000000000000000000000000000048 -:1018A0000000000000000000000000000000000038 -:1018B0000000000000000000000000000000000028 -:1018C0000000000000000000000000000000000018 -:1018D0000000000000000000000000000000000008 -:1018E00000000000000000000000000000000000F8 -:1018F00000000000000000000000000000000000E8 -:1019000000000000000000000000000000000000D7 -:1019100000000000000000000000000000000000C7 -:1019200000000000000000000000000000000000B7 -:1019300000000000000000000000000000000000A7 -:101940000000000000000000000000000000000097 -:101950000000000000000000000000000000000087 -:101960000000000000000000000000000000000077 -:101970000000000000000000000000000000000067 -:101980000000000000000000000000000000000057 -:101990000000000000000000000000000000000047 -:1019A0000000000000000000000000000000000037 -:1019B0000000000000000000000000000000000027 -:1019C0000000000000000000000000000000000017 -:1019D0000000000000000000000000000000000007 -:1019E00000000000000000000000000000000000F7 -:1019F00000000000000000000000000000000000E7 -:101A000000000000000000000000000000000000D6 -:101A100000000000000000000000000000000000C6 -:101A200000000000000000000000000000000000B6 -:101A300000000000000000000000000000000000A6 -:101A40000000000000000000000000000000000096 -:101A50000000000000000000000000000000000086 -:101A60000000000000000000000000000000000076 -:101A70000000000000000400000000000000000062 -:101A80000000000000000000000000000000000056 -:101A90000000000000000000000000000000000046 -:101AA0000000000000000000000000000000000036 -:101AB0000000000000000000000000000000000026 -:101AC0000000000000000000000000000000000016 -:101AD0000000000000000000000000000000000006 -:101AE00000000000000000000000000000000000F6 -:101AF00000000000000000000000000000000000E6 -:101B000000000000000000000000000000000000D5 -:101B100000000000000000000000000000000000C5 -:101B200000000000000000000000000000000000B5 -:101B300000000000000000000000000000000000A5 -:101B40000000000000000000000000000000000095 -:101B50000000000000000000000000000000000085 -:101B60000000000000000000000000000000000075 -:101B70000000000000000000000000000000000065 -:101B80000000000000000000000004000000000051 -:101B90000000000000000000000000001001000034 -:101BA0000000000000000000000000000000000035 -:101BB0000000000000000000000000000000000025 -:101BC0000000000000000000000000000000000015 -:101BD0000000000000000000000000000000000005 -:101BE0000000000003A8F3BC00000000000000009B -:101BF00000000000000000000000000000000000E5 -:101C000000000000000000000000000000000000D4 -:101C1000000000007777FFFF0000000000000000D8 -:101C200000000000000000000000000000000000B4 -:101C300000000000000000000000000000000000A4 -:101C40000000000000000000000000000000000094 -:101C50000000000000000000000000000000000084 -:101C60000000000000000000000000000000000074 -:101C70000000000000000000000000000000000064 -:101C80000000000000000000000000000000000054 -:101C90000000000000000000000000000000000044 -:101CA0000000000000000000000000000000000034 -:101CB0000001000000000000000000000000000023 -:101CC0000000000000000000000000000000000014 -:101CD0000000000000000000000000000000000004 -:101CE00000000000000000000000000000000000F4 -:101CF000000000000000000048000C200000000070 -:101D000000000000000000000000000000000000D3 -:101D100000000000000000000000000000000000C3 -:101D2000000000000000000090040C000000000013 -:101D300000000000000000000000000000000000A3 -:101D40000000000000000000000000000000000093 -:101D50000000000000000000000000000000000083 -:101D60000000000000000000000000000000000073 -:101D70000000000000000000000000000000000063 -:101D80000000000000000000000000000000000053 -:101D90000000000000000000000000000000000043 -:101DA0000000000000000000000000000000000033 -:101DB000000000000000000000000000000000081B -:101DC0000000000000000000000000000000000013 -:101DD0000000000000000000000000000000000003 -:101DE00000000000000000000000000000000000F3 -:101DF00000000000000000000000000000000000E3 -:101E000000000000000000000000000000000000D2 -:101E100000000000000000000000000000000000C2 -:101E200000000000000000000000000000000000B2 -:101E3000000000000000000080040000000000001E -:101E40000000000000000000000000000000000092 -:101E50000000000000000000000000000000000082 -:101E60000000000000000000000000000000000072 -:101E70000000000000000000000000000000000062 -:101E80000000000000000000000000000000000052 -:101E90000000000000000000000000000000000042 -:101EA0000000000000000000000000000000000032 -:101EB000800400000000000000000000000000009E -:101EC0000000000000000000000000000000000012 -:101ED00000000040000000000000000000000000C2 -:101EE00000000000000000000000000000000000F2 -:101EF00000000000000000000000000000000000E2 -:101F000000000000000000000000000000000000D1 -:101F10000000000000000000CF3CFF3C000000007B -:101F2000CFFF3303FFFF330000000000000000007C -:101F300000000000000000000000000000000000A1 -:101F4000000000000000000000000000FFFFFFFF95 -:101F50000000000000000000000000000000000081 -:101F60000000000000000000000000000000000071 -:101F70000000000000000000000000000000000061 -:101F80000000000000000000000000000000000051 -:101F90000000000000000000000000000000000041 -:101FA0000000000000000000000000000000000031 -:101FB0000000000000000000000000000000000021 -:101FC00000000000FFFFFFFF000000000000000015 -:101FD0000000000000000000000000000000000001 -:101FE00000000000000000000000000000000000F1 -:101FF00000000000000000000000000000000000E1 -:1020000000000000000000000000000000000000D0 -:1020100000000000000000000000000000000000C0 -:1020200000000000000000000000000000000000B0 -:1020300000000000000000000000000000000000A0 -:102040000000000000000000000000000000000090 -:102050000000000000000000000000000000000080 -:1020600000000A0020000000000000000000000046 -:102070000000000000000000000000000000000060 -:102080000000000000000000000000000000000050 -:102090000000000000000000000000000000000040 -:1020A0000000000000000000000000000000000030 -:1020B0000000000000000000000000000000000020 -:1020C0000000000000000000000000000000000010 -:1020D000000000000000000000000A0000000000F6 -:1020E00000000000000000000000000000000000F0 -:1020F00000000000000000000000000000000000E0 -:1021000000000000000000000000000000000000CF -:1021100000000000000000000000000000000000BF -:1021200000000000000000000000000000000000AF -:10213000000000000000000000000000000000009F -:10214000000000000000000000000000000000008F -:10215000000000000000000000000000000000007F -:10216000000000000000000000000000000000006F -:1021700000000000810000000010000000000000CE -:10218000000000000000000000000000000000004F -:10219000000000000000000000000000000000003F -:1021A000000000000000000000000000000000002F -:1021B000000000000000000000000000000000001F -:1021C000000000000000000000000000000000000F -:1021D00000000000000000000000000000000000FF -:1021E00000000000000000000000000001000000EE -:1021F00000000000000000000000000000000000DF -:1022000000000000000000000000000000000010BE -:1022100000000000000000000000000000000000BE -:1022200000000000000000000000000000000000AE -:10223000000000000000000000000000000000009E -:10224000000000000000000000000000000000008E -:102250000000000004000000000000200000A100B9 -:10226000000000100000000000000000000000005E -:10227000000000000000000000000000000000005E -:102280000000000000000000000000C0030000008B -:10229000000000000000000000000000000000003E -:1022A000000000000000000000000000000000002E -:1022B000000000000000000000000000000000001E -:1022C000000000000000000000000000000000000E -:1022D00000000000000000000000000000000000FE -:1022E00000000000000000000000000000000000EE -:1022F00000000000000000000000000000000000DE -:1023000000000000000000C000000000000000000D -:1023100000000000000000000000000000000000BD -:10232000000000200000000000000000000000008D -:10233000000000000000000000000000000000009D -:10234000000000000000000000000000000000008D -:10235000000000000000000000000000000000007D -:10236000000000000000000000004000000000002D -:1023700040002000000000000000000000000000FD -:10238000000000000000000000000000000000004D -:10239000000000000000000000000000000000023B -:1023A0000800000000000000000000000000000025 -:1023B000000000000000000000000000000000001D -:1023C000000000000000000000000000000000000D -:1023D00000000000000000000000000000000000FD -:1023E00000000000000000000000000000000000ED -:1023F00000000000000000000000000000000000DD -:1024000000000000000000000000000000000000CC -:1024100000000000000000000000000200000000BA -:1024200000000000000000000000000000000000AC -:10243000000000000000001000000000000000018B -:10244000000000000000000000000000000000008C -:10245000000000000000000000000000000000007C -:10246000000000000000000000000000000000006C -:102470000000000000000000001002000200C00187 -:10248000004078330000F0882000000000000000C9 -:10249000000000000000000000000000000000003C -:1024A000000000000000000000000000000000002C -:1024B0000000603000000004000000000000000088 -:1024C000000000000000000000000000000000000C -:1024D00000000000000000000000000000000000FC -:1024E00000000000000000000000000000000000EC -:1024F00000000000000000000000000000000000DC -:1025000000000000000000000000000000000000CB -:1025100000000000000000000000000000000000BB -:1025200000000000000000000000000800000000A3 -:10253000000000000000000000000000000000009B -:10254000000000000000000000000000000000008B -:10255000000020000000000000000000000000005B -:10256000000000000000000000000000000000006B -:10257000000000000000000000000000000000005B -:10258000000000000000000000000000000000004B -:102590000000C0000060E070280020020800000079 -:1025A000000000000000000000000000000000002B -:1025B000000000000000000000000000000000001B -:1025C00000000000000000000000003000000000DB -:1025D00000000000000000000000000000000000FB -:1025E00000000000000000000000000000000000EB -:1025F00000000000000000000000000000000000DB -:1026000000000000000000000000000000000000CA -:1026100000000000000000000000000000000000BA -:1026200000000000000000000000000000000000AA -:10263000000000000000000000000000000000009A -:10264000000000000000000000000000000000008A -:10265000000000000000000000000000000000007A -:10266000000000000000000000000000000000006A -:10267000000000000000000000000000000000005A -:10268000000000000000000000000000000000004A -:10269000000000000000000000000000000000003A -:1026A0000000000000008000000082020000000026 -:1026B000000000000000000000000000000000001A -:1026C000000000000000000000000000000000000A -:1026D000000000000000000000004090000000002A -:1026E00000000000000000000000000000000000EA -:1026F00000000000000000000000000000000000DA -:1027000000000000000000000000000000000000C9 -:1027100000000000000000000000000000000000B9 -:1027200000000000000000000000000000000000A9 -:102730000000000000000000000000000000000099 -:102740000000000000000000000000000000000089 -:1027500000000090000000000000000000000000E9 -:102760000000000000000000000000000000000069 -:102770000000000000000000000000000000000059 -:102780000000000000000000000000000000000049 -:102790000000000000000000000000000000000039 -:1027A0000000000000000000000000000000000029 -:1027B0000000000000A00B0000000000002080804E -:1027C0002000007008000000000000000000000071 -:1027D00000000000000000000000000000000000F9 -:1027E00000000000000000000000000000000000E9 -:1027F00000000000000000000000000000000000D9 -:1028000000000000000000000000000000000000C8 -:1028100000000000000000000000000000000000B8 -:1028200000000000000000000000000000000000A8 -:102830000000000000000000000000000000000098 -:102840000000000000000000000000000000000088 -:102850000000000000000000000000000000000078 -:102860000000000000000000000000000000000068 -:102870000000000000000000000000000000000058 -:102880000000000000000000000000000000000048 -:102890000000000000000000000000000000000038 -:1028A0000000000000000000000000000000000028 -:1028B0000000000000000000000000000000000018 -:1028C000000000000000000000C001000000000047 -:1028D00000801130000000F820000000000000001F -:1028E00000000000000000000000000000000000E8 -:1028F00000000000000000000000000000000000D8 -:1029000000000000000000000000000000000000C7 -:1029100000000000000000000000000000000000B7 -:1029200000000000000000000000000000000000A7 -:102930000000000000000000000000000000000097 -:102940000000000000000000000000000000000087 -:102950000000000000000000000000000000000077 -:102960000000000000000000000000000000000067 -:102970000000000000000000000000000000000057 -:102980000000000000000000000000000000000047 -:102990000000000000000000000000000000000037 -:1029A0000000000000000000000000000000000027 -:1029B000000800000000000000000000000000000F -:1029C0000000000000000000000000000000000007 -:1029D0000000000000000000000100008000000076 -:1029E000200000400100000201420002000100102E -:1029F00000020002100000000000000000000000C3 -:102A000000000000000000000000000000000000C6 -:102A10000000000000000000004000000000000076 -:102A20000000000000000000000000200000000086 -:102A30000000000000000000000000000020000076 -:102A40000000000000000000000000000020000066 -:102A50000000000000000000000000000020000056 -:102A60000000000000000000000000000020000046 -:102A70000000000000000000000000000020000036 -:102A80000000000000000000000000000000000046 -:102A90000000000000000100000000000000000035 -:102AA0000000000000000000000000000000000026 -:102AB000000000000000000000000000000900000D -:102AC00000000000000800000000000000000000FE -:102AD00000000000000000000000000000000000F6 -:102AE00000000000000000000000000000000000E6 -:102AF0000000000000500000000000000000000086 -:102B00000000002000000000203000000000000055 -:102B100000000000000000000000000000000000B5 -:102B200000000000000000000000000000000000A5 -:102B300000A00000000000000000000000000000F5 -:102B4000000000000E000000000000000000000077 -:102B50000000000000000000000000000000000075 -:102B60000000000000000000000000000000000065 -:102B70000000000000000000000000000000000055 -:102B80000000000000000000000000000000000045 -:102B90000000000000000000000000000000000035 -:102BA0000000000000000000000000000000000025 -:102BB0000000000000000000000000000000000015 -:102BC00000001000000000000000000000000000F5 -:102BD00000000000000000000000000000000000F5 -:102BE0000000800002000000000000000000000063 -:102BF00000000000000000000000000000000000D5 -:102C0000000C000030000060266006100800000C78 -:102C1000082C000C000C0000000C000800800000D4 -:102C200000000000000000000000000000000000A4 -:102C30000000000000000000000000000000000094 -:102C40000010000000000000000000000000000074 -:102C50000000001000000000000000000000000064 -:102C60000000000000100000000000000000000054 -:102C70000000000000300000000000000000000024 -:102C80000000000000300000000000000000000014 -:102C90000000000000300000000000000000000004 -:102CA00000000000003000000000000000000000F4 -:102CB0000000000000000000000000000000040010 -:102CC00000000000000000000000000006000000FE -:102CD00000000000100000000000000000000000E4 -:102CE00000000000000000000000000000000000E4 -:102CF00000000000000000000000000000000000D4 -:102D000000000000000000000000000000000000C3 -:102D100000000000000000000000000000000000B3 -:102D20000000000000003000300000000000000043 -:102D30000000000000000000000000000000000093 -:102D40000000000000000000000000000000000083 -:102D50000000000080000000300030000000000093 -:102D60000000000000000000000000000000000063 -:102D70000000000000000000000000000000000053 -:102D80000000000000000000000010001000000023 -:102D90000000000000000000000000000000000033 -:102DA0000020000000000000000000000000000003 -:102DB0000000000000000000000000000000000013 -:102DC0000000000000000000000000000000000003 -:102DD00000000000000000000000000000000000F3 -:102DE00000000000000000080000000000000000DB -:102DF00000000000000000000000000000000000D3 -:102E000000000000000000000000000000000000C2 -:102E100000000000000000000000000000000000B2 -:102E200000000000000000000000000000000000A2 -:102E30000000000000000000000000000000000092 -:102E40000000000000000000000000000000000082 -:102E50000000000000000000000000000000000072 -:102E60000000000000000000200000000000000042 -:102E70000000000000000000000000000000000052 -:102E80000000000000000000000000000000000042 -:102E900000000000000000000000000000004000F2 -:102EA00040000000000000000000000000000000E2 -:102EB00000000000004000000000000000000000D2 -:102EC0000000000000000000000000000000000002 -:102ED00000000000000000000000000000000000F2 -:102EE00000000000000000000000000000000000E2 -:102EF00000000000000000000000000200000000D0 -:102F000000000000000000000000000000000000C1 -:102F100000000000000000000000000000000000B1 -:102F200000000000000000000000000000000000A1 -:102F30000000000000000000000000000000000091 -:102F40000000000000000000000000000000100071 -:102F50001010000000000000000000000000000051 -:102F60000000000000000000000000000000000061 -:102F700000000000000000008101000000000000CF -:102F80001000100000000000000000000000000021 -:102F90000000000000000000000000000000000031 -:102FA0000000000000000000000000000000000021 -:102FB0000000000000000000000000000000000011 -:102FC0000000000000000000000000000000000001 -:102FD00000000000000000000000000000000000F1 -:102FE00000000000000000000000000000000000E1 -:102FF00000000000000000000000000000000000D1 -:1030000000000000000000000000000000000000C0 -:1030100000000000000000000000000000000000B0 -:1030200000000000000000000000000000000000A0 -:103030000000000000000000000000000000000090 -:103040000000000000000000000000000000000080 -:103050000000000000000000F00F000FFFA30F03AE -:103060005F0A5550FFFFEA004747DCFE5053770FD9 -:10307000BF6EC0CF00000000000000000000000094 -:103080000000000000000000000000000000000040 -:103090000000000000000000000000000000000030 -:1030A0000000000000000000000000000000000020 -:1030B0000000000000000000000000000000000010 -:1030C0000000000000000000FFFFFFFF0000000004 -:1030D00000000000000000000000000000000000F0 -:1030E00000000000000000000000000000000000E0 -:1030F00000000000000000000000000000000000D0 -:1031000000000000000000000000000000000000BF -:1031100000000000BFEAFF933FFFDFDF0000000078 -:10312000100100000000000000000000000000008E -:10313000000000000000000000000000000000008F -:10314000000000000000000000000000000000007F -:10315000000000000000000000000000000000006F -:1031600000000000000000000000000000000C0053 -:1031700090150C0048000C2000000C0000000C0012 -:1031800090241E0000000C00000000000000000061 -:10319000000000000000000000000000000000002F -:1031A000000000000000000000000000000000001F -:1031B000000000000000000000000000000000000F -:1031C00000000000000000000000000000000000FF -:1031D000000000000000000000000000B0448C006F -:1031E00000000000000000000000000000000000DF -:1031F00000000000000000000000000000000000CF -:1032000000000000000000000000000000000000BE -:1032100000000000000000000000000000000000AE -:10322000000000000000000090241E0048000C2058 -:10323000000000000001000000C0000000000000CD -:10324000000000000000000000000000000000007E -:10325000000000000000000000000000000000006E -:10326000000000000000000000000000000000005E -:10327000000000000000000000000000000000004E -:10328000480000200000002000301200480000200C -:10329000000000000000000000000000000000002E -:1032A000000000000000000000000000000000001E -:1032B000000000000000000000000000000000000E -:1032C00000000000000000000000000000000000FE -:1032D00000000000000000000000000000000000EE -:1032E00000000000000000000000000000000000DE -:1032F00000000000000000000000000000000000CE -:1033000000000000000000000000000000000000BD -:1033100000000000000000000000000000000000AD -:10332000000000000000000000000000000000009D -:1033300000000000000000009024120000000000C7 -:1033400090241200000000000000000000000000B7 -:10335000000000000000000000000000000000006D -:10336000000000000000000000000000000000005D -:10337000000000000000000000000000000000004D -:103380000000000000000000000000000500230015 -:10339000000000009EFEFCFAD888FF005703FB7374 -:1033A000C0D150FAA570F66F01EF456DADDF9212F6 -:1033B000000000000000000000000000000000000D -:1033C00000000000000000000000000000000000FD -:1033D00000000000000000000000000000000000ED -:1033E00000000000000000000000000000000000DD -:1033F00000000000000000000000000000000000CD -:10340000FFFFFFFF000000000000000000000000C0 -:1034100000000000000000000000000000000000AC -:10342000000000000000000000000000000000009C -:10343000000000000000000000000000000000008C -:10344000000000000000000000000000CCFFDDEEE6 -:1034500000000000DDDD77DD00000000000000005E -:10346000000000000000000000000000000000005C -:10347000000000000000000000000000000000004C -:10348000000000000000000000000000000000003C -:10349000000000000000000000000000000000002C -:1034A0000000000000000000000000000000000418 -:1034B000005090000000000000000000000000002C -:1034C00000500000000000000000000000000000AC -:1034D00000000000000000000000000000000000EC -:1034E00000000000000000000000000000000000DC -:1034F00000000000000000000000000000000000CC -:1035000000000000000000000000000000000000BB -:10351000000000000000000020000000000000008B -:10352000000000000000000000000000000000009B -:10353000000000000000000000000000000000008B -:10354000000000000000000000000000000000007B -:103550000000000000000000000000000000000467 -:103560000000000100000004005000910000000075 -:103570000000000000040000000000000000000047 -:10358000000000000000000000000000000000003B -:10359000000000000000000000000000000000002B -:1035A000000000000000000000000000000000001B -:1035B000000000000000000000000000000000000B -:1035C00040200800000000C10000000000000000D2 -:1035D00000200000000000000000000000000000CB -:1035E00000000000000000000000000000000000DB -:1035F00000000000000000000000000000000000CB -:1036000000000000000000000000000000000000BA -:1036100000000000000000000000000000000000AA -:103620000000000000000000800000000010000208 -:10363000000000000000000000000000000000008A -:10364000000000000000000000000000000000007A -:10365000000000000000000000000000000000006A -:10366000000000000000000000000000000000005A -:1036700040000800000008014020080000004081D0 -:103680000000000000000000000800000000000032 -:10369000000000000000000000000000000000002A -:1036A000000000000000000000000000000000001A -:1036B000000000000000000000000000000000000A -:1036C00000000000000000000000000000000000FA -:1036D0000000000000000000E080A30000000108DE -:1036E0000000000003C747C00000442000000000A5 -:1036F00000000000000000000000000000000000CA -:1037000000000000000000000000000000000000B9 -:1037100000000000000000000000000000000000A9 -:103720000000000000000000000000000000000099 -:103730000000000000000000000000000000C0C009 -:10374000C000C000000000000000000000000000F9 -:103750000000000000000000000000000000000069 -:103760000000000000000000000000000000000059 -:103770000000000000000000000000000000000049 -:103780000000000000C80000000193000080A0C8F5 -:103790004400E000000000000000000000200000E5 -:1037A0000000000000000000000000000000000019 -:1037B0000000000000000000000000000000000009 -:1037C00000000000000000000000000000000000F9 -:1037D0000000000000000000000000000001800068 -:1037E00000000000000000000000000000020200D5 -:1037F0000800200000000000020420040000000077 -:1038000000000000000000000000000000000000B8 -:1038100000000000000000000000000000000000A8 -:103820000000000000000000000000000000000098 -:103830000000000000000000000000000000000088 -:103840000000000000000000000000000000000078 -:103850000000104001000100000000000000000016 -:103860000000000000000000000000000000000058 -:103870000000000000000000000000000000000048 -:103880000000000000000000000000000000000038 -:1038900000000000000000000000000000018000A7 -:1038A0000002000000000000000000000000000016 -:1038B0000000000000000000000000000000000008 -:1038C00000000000000000000000000000000000F8 -:1038D00000000000000000000000000000000000E8 -:1038E00000000000000000000000000000200200B6 -:1038F0000000A001001000380C0050020E80254A84 -:103900005080F60210C063000D0F123800C7F3306C -:1039100000C6C178000000000000000000000000A8 -:103920000000000000000000000000000000000097 -:103930000000000000000000000000000000000087 -:103940000000000000000000000000000000000077 -:103950000000000000000000000000000000000067 -:103960000000000000000002000000000000000055 -:103970000000000000000000000000000000000047 -:103980000000000000000000000000000000000037 -:103990000000000000000000000000000000000027 -:1039A0000000000000000000000000000000000017 -:1039B00000000000000800002C80037000000000E0 -:1039C00000000001000000000000000000000000F6 -:1039D00000000000000000000000000000000000E7 -:1039E00000000000000000000000000000000000D7 -:1039F00000000000000000000000000000000000C7 -:103A00000080030014002800000008000D004000A2 -:103A10000810E3120DC6C30046A08134080030141C -:103A2000100200400006C03000000000000000004E -:103A30000000000000000000000000000000000086 -:103A40000000000000000000000000000000000076 -:103A50000000000000000000000000000000000066 -:103A60000000000000000000000000000000000056 -:103A7000000000000000000000001010280000708E -:103A80000000000000000000000000000000000036 -:103A90000000000000000000000000000000000026 -:103AA0000000000000000000000000000000000016 -:103AB0000000000000000000000000000000000006 -:103AC00000000000000000000000000000000118DD -:103AD000000000000000C000000000000000000026 -:103AE00000000000000000000000000000000000D6 -:103AF00000000000000000000000000000000000C6 -:103B000000000000000000000000000000000000B5 -:103B1000000000000000000038000000000000105D -:103B2000020010811400050640480080102058064D -:103B3000880001009134868080222808000000005F -:103B40000000000000000000000000000000000075 -:103B50000000000000000000000000000000000065 -:103B60000000000000000000000000000000000055 -:103B70000000000000000000000000000000000045 -:103B800000000000000000000000000000008000B5 -:103B9000000000080000000000000000000000001D -:103BA0000000000000000000000000000000000015 -:103BB0000000000000000000000000000000000005 -:103BC00000000000000000000000000000000000F5 -:103BD00000000000000005000000000000320E039D -:103BE00024E01408000000000000000000000000B5 -:103BF00000000000000000000000000000000000C5 -:103C000000000000000000000000000000000000B4 -:103C100000000000000000000000000000000000A4 -:103C2000000000000000000000000600000010007E -:103C30000040E6000C001000109473902000A5785E -:103C4000010502083D0F4209063383A90EA00108B1 -:103C50000000000000000000000000000000000064 -:103C60000000000000000000000000000000000054 -:103C70000000000000000000000000000000000044 -:103C80000000000000000000000000000000000034 -:103C90000000000000000000000000000000000024 -:103CA00000000000000000300000000000000000E4 -:103CB0000000000000000000000000000000000004 -:103CC00000000000000000000000000000000000F4 -:103CD00000000000000000000000000000000000E4 -:103CE000000000000000000000400600140000007A -:103CF000000000000C80C130000000000000000047 -:103D000000000000000000000000000000000000B3 -:103D100000000000000000000000000000000000A3 -:103D20000000000000000000000000000000000093 -:103D30000000000000000000000000000000000083 -:103D40000000D00000407801400040004660721042 -:103D50003C80D36102400A0C142E011206000000C0 -:103D60000DE11392000000000000000000000000C0 -:103D70000000000000000000000000000000000043 -:103D80000000000000000000000000000000000033 -:103D90000000000000000000000000000000000023 -:103DA0000000000000000000000000000000000013 -:103DB0000000000000000000000000800000000083 -:103DC00000000000000000000000000000000000F3 -:103DD00000000000000000000000000000000000E3 -:103DE00000000000000000000000000000000000D3 -:103DF0000000000000000000000000000040000083 -:103E00000100D000000008000C80C9800000000004 -:103E100000000000000000000000000000000000A2 -:103E20000000000000000000000000000000000092 -:103E30000000000000000000000000000000000082 -:103E40000000000000000000000000000000000072 -:103E500000004000000000000000400000201008AA -:103E6000804000400040800088001A0000005000A0 -:103E70000000000000000000100000100000000022 -:103E80000000000000000000000000000000000032 -:103E90000000000000000000002000000000000002 -:103EA0000000000000000000000000000000000012 -:103EB0000000000000000000000000000000000002 -:103EC00000000000000000000000000000000000F2 -:103ED00000000000000000000000000000000000E2 -:103EE00000000000000000000000000000000000D2 -:103EF00000000000000000000000000000000000C2 -:103F000000000000000000000000000000000000B1 -:103F1000000000000000048000000000000000021B -:103F20000000000000000000000000000000000091 -:103F30000000000000000000000000090000000078 -:103F40000000000000000000000000007000000001 -:103F50000000000000000000000000000000000061 -:103F60000000000000000000000000000000000051 -:103F70007004100890000000007000000C102C501D -:103F8000000400006040B0006000006010000030DD -:103F90009000000000500000000000001000000031 -:103FA00000300000000000000005000000000000DC -:103FB0000000000000000000000000000000000AF7 -:103FC00000000000000000000000000000000000F1 -:103FD00000000000000000000000000000000000E1 -:103FE00000000000000000000000000000000000D1 -:103FF00000000000000000000000000000000000C1 -:1040000000000000000000000000000000000000B0 -:1040100000000000000000000000000000000000A0 -:104020000000000000000000000000000000000090 -:104030000000000000000000000000000000100070 -:104040000000000000000000000000000000000070 -:104050000000000000000000000000000000000060 -:104060000000000000000000000000000000000050 -:104070000000000000000000000000000000000040 -:10408000000000000021000010100030003030005F -:10409000308000000001100000000000000000005F -:1040A0000000000000000000000000000000000010 -:1040B00080000000008000000000000000000100FF -:1040C00000200000000000000000000000000000D0 -:1040D00000000000000000000000000000000000E0 -:1040E00000000000000000000000000000000000D0 -:1040F00000000000000000000000000000000000C0 -:1041000000000000000000000000000000000000AF -:10411000000000000000000000000000000000009F -:10412000000000000000000000000000000000008F -:10413000000000000000000000000000000000304F -:10414000000000000000000C000000000000000063 -:10415000100000000000000000000000000000004F -:10416000000000000000000000000000000000004F -:10417000000000000000000000000000000000003F -:10418000000000000000000000000000000000002F -:10419000000000000000000000000000000000001F -:1041A00040000000000000000000000000000000CF -:1041B00000000000000000000000000000000000FF -:1041C00000000000000000000000000000000000EF -:1041D00000000000000000000000000000000000DF -:1041E00000000000000000000000000000000000CF -:1041F00000000000000000000000000000000000BF -:1042000000000000000000000000000000000000AE -:10421000000000000000000000000000000000009E -:10422000000000000000000000000000000000008E -:10423000000000000000000000000000000000007E -:10424000000000000000000000000030000000003E -:10425000000000000000000000000000000000005E -:10426000000000001000000000000000000000003E -:10427000000000000000000000000000000000003E -:10428000000000000000000000000000000000002E -:10429000000000000000000000000000000000001E -:1042A000000000000000000000000000000000000E -:1042B00000000000200000000000000000000000DE -:1042C00000000000000000000000000000000000EE -:1042D00000000000000000000000000000000000DE -:1042E00000000000000000000000000000000000CE -:1042F00000000000000000000000000000000000BE -:1043000000000000000000000000000000000000AD -:10431000000000000000000000000000000000009D -:10432000000000000000000000000000000000008D -:10433000000000000000000000000000000000007D -:10434000000000000000000000000000000000006D -:1043500000000000000000000000000000000080DD -:10436000000000000000000000000000000000004D -:1043700000000000000000000000000082010000BA -:10438000000000000000000000000000000000002D -:10439000000000000000000000000000000000001D -:1043A000000000000000000000000000000000000D -:1043B00000000000000000000000000000000000FD -:1043C00000000000000000000000000000000000ED -:1043D00000000000000000000000000000000000DD -:1043E00000000000000000000000000000000000CD -:1043F00000000000000000000000000000000000BD -:1044000000000000000000000000000000000000AC -:10441000000000000000000000000000000000009C -:10442000000000000000000000001000000000007C -:10443000000000000000000000000000000000007C -:10444000000000000000000000000000000000006C -:10445000000000000000000000000000000000005C -:10446000000000000000000000000000000000004C -:10447000000000000000000000000000000000003C -:10448000000000000000000000000000000000002C -:10449000000000000000000000000000000000001C -:1044A000000000000000000000000000000000000C -:1044B00000000000000000000000000000000000FC -:1044C00000000000000000000000000000000000EC -:1044D00000000000FF33FF3300000000FEFE01007B -:1044E000FFFD5055F66FCCFFFFFE0300FF7FF0F19C -:1044F000000000005F5FDF7FC3D3FFFF000000000C -:1045000000000000000000000000000000000000AB -:10451000000000000000000000000000000000009B -:10452000000000000000000000000000000000008B -:10453000000000000000000037330F0BF05022FF96 -:104540000012FFF72F0033114444034775000F0595 -:1045500000000000FFFFFFFF00000000000000005F -:104560000000000000000000000000007F00AAFF23 -:10457000000000000000000000000000000000003B -:104580000000000000000000FFFF0F870000000097 -:10459000000000000000000000000000000000001B -:1045A000000000000000000000000000000000000B -:1045B00000000000000000000000000000000000FB -:1045C00000000000000000000000000000000000EB -:1045D00000000000000000000000000000000000DB -:1045E000000000000000000090241E0000000000F9 -:1045F00000000C0000000C0000000C0000000C008B -:1046000000000C000000000000000C0090240E00D0 -:10461000000000000000000000000000000000009A -:10462000000000000000000000000000000000008A -:10463000000000000000000000000000000000007A -:1046400000000000000000000000000000201E002C -:1046500000000C0000000C0000201E0000000C00F8 -:1046600000201E000000000080240E00000000005A -:10467000000000000000000000000000000000003A -:1046800000201E00000000000000000000000000EC -:1046900000000000000000000000000048201E2074 -:1046A000000000000000000000000000000000000A -:1046B00000000000000000000000000000000000FA -:1046C00000000000000000000000000000000000EA -:1046D00000000000000000000000000000000000DA -:1046E00000000000000000000000000000000000CA -:1046F0000000000000000000000000008004000036 -:1047000090241200000000000000000000000000E3 -:10471000900400000000000000000000902412003F -:1047200000000000902412000000000000000000C3 -:104730000000000000000000000000000000000079 -:104740000000000000000000000000000000000069 -:104750000000000000000000000000000000000059 -:104760000000000000000000000000000020120017 -:10477000480000200000000000000000902412000B -:104780000000000000000000000000000000000029 -:104790009004000000000000000000000000000085 -:1047A0000000000000000000000000000000000009 -:1047B00090241200902412000000000080040000E9 -:1047C00000000000000000000000000000000000E9 -:1047D00000000000000000000000000000000000D9 -:1047E00000000000000000000000000000000000C9 -:1047F00000000000000000000000000000000000B9 -:1048000000000000000000000000000000000000A8 -:10481000FFFFFFFFFF0FFF5500000000DE7BFF7F63 -:104820005000FBFF7777F5F5FF3FDFFF000000004A -:1048300077475F1B000000005FA02F87000000008B -:104840000000000000000000000000000000000068 -:104850000000000000000000000000000000000058 -:104860000000000000000000000000000000000048 -:10487000000000004000FBFFFFBFFFEF55332000AA -:1048800010015F0000100000FFDD7FFF000000004E -:104890005F5FFF0F0000000000000000000000004C -:1048A00000000000FFAAFFFF000000000000000061 -:1048B00000000000000000000000000000000000F8 -:1048C0000000000055FF5FAF5F9F5F5F00000000CA -:1048D000FFFFFFFF000000000000000000000000DC -:1048E00000000000000000000000000000000000C8 -:1048F00000000000000000000000000000000000B8 -:1049000000000000000000000000000000000000A7 -:104910000000000000000000000000000000000097 -:10492000300000042000000000000A000000000029 -:10493000000000000000000009000A000000000064 -:104940000000000400000000300000044040C0C12E -:104950000000000000000000000000000000000057 -:104960000000000000000000000000000000000047 -:104970000000000000000000000000000000000037 -:104980000000000000000000000000000050008057 -:104990009009000420000020800900002000000091 -:1049A0008009000020004008000000000000000016 -:1049B000000000000000000000000A0020000C269B -:1049C00000000000000000000000000000000000E7 -:1049D0000000000000000000000020000000048132 -:1049E0003000000400000001000000000000000092 -:1049F00000000000000000000000000000000000B7 -:104A000000000000000000000000000000000000A6 -:104A10000000000000000000000000000000000096 -:104A20000000000000000000000000000000000086 -:104A30000000000040020800001000000800000014 -:104A40000000000000000000000000000000000066 -:104A500000000000400008000000000040288080A6 -:104A600000000089000000000000000000000000BD -:104A70000000000000000000000000000000000036 -:104A80000000000000000000000000000000000026 -:104A900000000000000000000000000000280000EE -:104AA00000000088C000080000100803800000001B -:104AB00000100006C0000802001000030000000003 -:104AC0000000000000000000000000008100000065 -:104AD00000102402000000000000000000000000A0 -:104AE0000000000000000000000000004120420122 -:104AF00000422C914000080000004001000000002E -:104B000000000000000000000000000000000000A5 -:104B10000000000000000000000000000000000095 -:104B20000000000000000000000000000000000085 -:104B30000000000000000000000000000000000075 -:104B4000000000000000000000000000000313004F -:104B5000C40003C0000401C300000400C01004002E -:104B600003C0031800000003000080C800002000FC -:104B700001D344C080134400000000000000000086 -:104B80000000000000000000000000000000000025 -:104B90000000000000000000000000000000000015 -:104BA0000000000000000000000000000000000005 -:104BB000004000000110042200000000008080027C -:104BC000000000000000000000CB03000300800094 -:104BD00000000000000000000000000000000000D5 -:104BE000000000000000C000000000000000000005 -:104BF00000000000000000000000000000000000B5 -:104C000000C100630323CA0000001300001300006A -:104C10000000000000000000000000000000000094 -:104C20000000000000000000000000000000000084 -:104C30000000000000000000000000000000000074 -:104C40000000000000000000000000000000000064 -:104C50000000000000000000000000000000000054 -:104C60000008008000000402000020030000000093 -:104C70000100000040024000000000010000800030 -:104C8000000000004004000480000000000000005C -:104C90000000000000000000000000000000000014 -:104CA0000000000000000000000000000000000004 -:104CB00000000000000000000000000000000000F4 -:104CC00000000000002000002000000000C00000E4 -:104CD0000002828040C0000000008000020808C07E -:104CE000088080800000000000000000000000003C -:104CF00000000000004000040000800000000000F0 -:104D000000000000000000000000000000000000A3 -:104D1000000000000003002040000400000000002C -:104D20000000000000000000000000000000000083 -:104D30000000000000000000000000000000000073 -:104D40000000000000000000000000000000000063 -:104D50000000000000000000000000000000000053 -:104D60000000000000000000000000000000000043 -:104D70000000000000000038020068810000001000 -:104D80000C60F104150EF088000070380001F13855 -:104D900000C06D3800000039001802000200E00079 -:104DA0000000000000000000000000000000000003 -:104DB00000000000000000000000000000000000F3 -:104DC00000000000000000000000000000000000E3 -:104DD00000000000000000001FC0213800C4F140A6 -:104DE0000EC36138000EF974865361A81C0011804F -:104DF000000000000000A001000000000000000012 -:104E00000000000000000000000008020000000098 -:104E10000000000000000000000000000000000092 -:104E20000000000000000000000000004007000239 -:104E30000000000000000000000000000000000072 -:104E40000000100000000000000000000000000052 -:104E50000000000000000000000000000000000052 -:104E60000000000000000000000000000000000042 -:104E70000000000000000000000000000000000032 -:104E800000000000000000000000007023006038F7 -:104E900000070094099B030080C6400000901700A3 -:104EA0000080D1700040E34000004000000000009E -:104EB0001C20510000000000000000000000000065 -:104EC00000000000000000000000000000000000E2 -:104ED00000000000000000000000000000000000D2 -:104EE000000000000000000000000000808223009D -:104EF000502001083025033040805310900510B831 -:104F000011C10530008005002800A000000000004D -:104F10000000000000000000000000001000003849 -:104F2000000000140000000000000000000000006D -:104F30000000000000000000000000001000000061 -:104F40000000C000000000000000000000000000A1 -:104F50000000000000000000000000000000000051 -:104F60000000000000000000000000000000000041 -:104F70000000000000000000000000000000000031 -:104F80000000000000000000000000000000000021 -:104F900000000000000000000000000004000054B9 -:104FA00000008002020800001002010408230C0423 -:104FB0000000011101B04240000086A00000241052 -:104FC0000000001810002000000000000000000099 -:104FD00000000000000000000000000000000000D1 -:104FE00000000000000000000000000000000000C1 -:104FF00000000000000000000000000000000000B1 -:105000002090461999100800089440192440002463 -:105010008040010190000180000000080000800035 -:105020000000000000000000000000000000000080 -:1050300000008001400000000000000000000000AF -:105040000000000000000000000000000000000060 -:1050500010300100000061000000000000000000AE -:105060000000000000000000000000000000000040 -:105070000000000000000000000000000000000030 -:105080000000000000000000000000000000000020 -:105090000000000000000000000000000000000010 -:1050A00000000000000000000000000000000040C0 -:1050B0002800000000008028000000801C28100844 -:1050C00028C7C1399028E0008C8E011C002080295F -:1050D0000E000000802000000C20C0000000000036 -:1050E00000000000000000000000000000000000C0 -:1050F00000000000000000000000000000000000B0 -:10510000000000000000000000000000000000009F -:10511000000000001C08030EC0001940625000008F -:105120001407E14A0008E23000071278000000008E -:105130000C00C000000000000000000000000000A3 -:105140000000000000000000000400380000000023 -:10515000000000000000000000000000000000004F -:1051600000000000004000002009C00000000040D6 -:1051700030000000000000000000000000000000FF -:10518000000000000000000000000000000000001F -:10519000000000000000000000000000000000000F -:1051A00000000000000000000000000000000000FF -:1051B00000000000000000000000000000000000EF -:1051C0000000000800000010000010800014000023 -:1051D0002C00100C80C4093008442F000A0642102D -:1051E000008070100900F070000400002D00D00253 -:1051F00000000000000000000000000000000000AF -:10520000000000000000000000000000000000009E -:10521000000000000000000000000000000000008E -:1052200000000000000000000CA801002C4002C893 -:105230000200182C808FD51000909542BC00D01031 -:10524000000000000100000200000000000000005B -:10525000000000000000000000006000000000905E -:10526000000000000000000000000000000000003E -:1052700000000000000000000040000092C0210279 -:105280000000000800000000000000000000000016 -:10529000000000000000000000000000000000000E -:1052A00000000000000000000000000000000000FE -:1052B00000000000000000000000000000000000EE -:1052C00000000000000000000000000000000000DE -:1052D000000000000000000000000800400920005D -:1052E00000208020400040800000002000040010CA -:1052F000100000001000000000100000000000007E -:10530000104010001000000000000000000000002D -:10531000000000001000000000000000000000007D -:10532000000000000000000000000000000000007D -:10533000000000000000000000000001004000002C -:105340000000004120220010103000003012000048 -:10535000000010001001000000120000001000000A -:10536000000000000001000100000000001000002B -:10537000000000000000001000000000100000000D -:1053800000000000000000100000000010000000FD -:1053900000400000040000000000000000000000C9 -:1053A00000000000000000000000000000000000FD -:1053B00000000000000000000000000000000000ED -:1053C00000000000000000000000000000000000DD -:1053D00000000000000000000000000000000000CD -:1053E00000000000000000000000000000000400B9 -:1053F000000000000009B000025002060000020098 -:1054000000000010260040002040000000200000A6 -:105410000000B0102000200010000000000000007C -:10542000000000000000000010000000000000006C -:10543000000000000000000020000000000000004C -:10544000000000000000000000000000000000005C -:105450000000000000000000B0000020203000002C -:1054600000200060000020402000000000200060BC -:10547000002000000000000000000000000000000C -:10548000000000A00000000000000010000000006C -:1054900010000000000000000000002000000000DC -:1054A000000000000000000000000000B00000004C -:1054B00000000000000000000000100000000000DC -:1054C00000000000000000000000000000000000DC -:1054D00000000000000000000000000000000000CC -:1054E00000000000000000000000000000000000BC -:1054F0000000000000000000000000000A00006042 -:1055000000000000200C005000203000000000309F -:105510000050000000000000000000000600000035 -:10552000000000000E0000800000000000000000ED -:10553000000000000000000000000000000000006B -:1055400000000000000000000000000080000000DB -:10555000000000000000000000000000000000004B -:105560000000000800100000000000080E0C000001 -:10557000002000000A0C000000000000000C0000E9 -:10558000020000000000000000020000000C0A0CF5 -:10559000000000000000000000000000000000000B -:1055A00000000000000000000000000000000000FB -:1055B0000000000000000000000000000C000000DF -:1055C00000000000000000000000000010001000BB -:1055D00000010000000000080000000000000000C2 -:1055E00000000000000000000000000000000000BB -:1055F00000000000000000000000000000000000AB -:10560000000000000000000000000000000000009A -:10561000000000000000000000000000000000008A -:105620000000000000000000000100000000000079 -:10563000300000000000000000000000000000003A -:10564000000000000000000000000000000000005A -:10565000000000000000000000000000000000004A -:10566000000000000000001000000000000000002A -:10567000000000000000000000000010000000001A -:105680000000040004000000020000000000000010 -:105690000000000000000000000200000000000CFC -:1056A00000000000000000000000000000000000FA -:1056B00000000000000000000000000000000000EA -:1056C000000000002000003000000000004000004A -:1056D00000000000000000000C00000000000000BE -:1056E00010000000000800000000000200000000A0 -:1056F00000000000000000000000000000000000AA -:105700000000000000000000000000000000000099 -:105710000000000000000000000000000000000089 -:105720000000000000000000000000000000000079 -:105730000000000000000000000000000008000061 -:1057400000000000800000000000000000000000D9 -:105750000000000000000000000000000000000049 -:105760000000000000000000000000000000000039 -:105770000000000000000000000000900000000099 -:105780000000000000000000000000000000008099 -:105790000000000000000000000000000000000009 -:1057A00000000000000000000000000000000000F9 -:1057B00000000002000000000000000000000000E7 -:1057C00000000000000000000000000000000000D9 -:1057D0000000000000000000000000B00000000019 -:1057E0000020000000000000000000000000003069 -:1057F0000000000000000000820000000000000027 -:105800000000000000000000000000000000000098 -:105810000000000000000000000000000000000088 -:105820000000000000000000000000000000000078 -:105830000000000000000000000000000000000068 -:105840000000000000000000000000000000000058 -:105850000000000000000000000000000000000048 -:105860000000000000000000000000000000000038 -:105870000000000000000000000000000000000028 -:1058800000000000000000000000000000000020F8 -:105890000000000000000000000000000000000008 -:1058A00000000000000000000000080008000010D8 -:1058B00000000000000000000000000000000000E8 -:1058C00000000000000000000000000000000000D8 -:1058D00000000000000000000000000000000000C8 -:1058E0000000000000000000000000001000002088 -:1058F00000000000000000000000000000000000A8 -:1059000008000061008000000000000000000000AE -:105910000000000000000000000000000000000087 -:105920000000000000000000000000000000000077 -:105930000000000000000000000000000000000067 -:10594000000000000000000000000000FFFFFFFF5B -:105950000000000000000000FFFFFFFF000000004B -:10596000FFFFFFFF000000000240CFFF000000002B -:1059700077775F5F000000000000000095957F9042 -:10598000C3D3FFFF00000000000000000000000083 -:105990000000000000000000000000000000000007 -:1059A00000000000000000000000000000000000F7 -:1059B000000000008E00050511101101FFFBE00042 -:1059C0000F09FF3FF8F0F4FF3000DFFF3FFCFFDF7F -:1059D000FFFFFFFF00000000FF333F3F000000001B -:1059E0007F7FCC00000000000000000000000000ED -:1059F00000000000000000000000000000000000A7 -:105A00000000000000000000FCFFFF5F000000003D -:105A10000000000000000000000000000000000086 -:105A20000000000000000000000000000000000076 -:105A30000000000000000000000000000000000066 -:105A40000000000000000000000000000000000056 -:105A50000000000000000000000000000000000046 -:105A600000000C00000000000000000080240E0078 -:105A70000000000080240E000000000000000C0068 -:105A80000000000090241E00000000000000000044 -:105A900090241E0090240E00000000000000000072 -:105AA00000000000000000000000000000000000F6 -:105AB00000000000000000000000000000000000E6 -:105AC000000000000000000000201E0048000C2024 -:105AD00000000C0000000C0000000C0000000C0096 -:105AE00000000C0080240E000000000090241E0026 -:105AF0000000000090040C00000000000000000006 -:105B00000000000000000000000000000000000095 -:105B100000000000000000000000000000201E0047 -:105B20000000000000000000000000000000000075 -:105B30000000000000000000000000000000000065 -:105B40000000000000000000000000000000000055 -:105B50000000000000000000000000000000000045 -:105B60000000000000000000000000000000000035 -:105B70000000000000000000000000000000000025 -:105B8000000000000000000080240200000000006F -:105B90000000000000000000000000000000000005 -:105BA00000000000000000000000000000000000F5 -:105BB00000000000000000000000000000000000E5 -:105BC00000000000000000000000000000000000D5 -:105BD00000000000000000000000000000000000C5 -:105BE0000000000090241200100000008024020039 -:105BF0000000000080240200902412000000000039 -:105C00000000000010201200000000000000000052 -:105C10000000000000000000000000000000000084 -:105C20000000000000000000000000000000000074 -:105C300090040000000000000000000000000000D0 -:105C40000000000000000000000000000000000054 -:105C50000000000000000000000000000000000044 -:105C60000000000000000000000000000000000034 -:105C70000000000000000000000000000000000024 -:105C80000000000000000000000000000000000014 -:105C9000000000000000000000000000FFFFFFFF08 -:105CA00000000000000000000000000000000000F4 -:105CB00000000000000000000000000000000000E4 -:105CC00000000000000000000000000000000000D4 -:105CD00000000000000000000000000000000000C4 -:105CE00000000000000000000000000000000000B4 -:105CF000FFFF7F0FF0F3CF8FFF33FF33FBFAFFEF90 -:105D0000FFFFFFFF2000FBFFFFFFFFFF3F3FFF0FF5 -:105D10000000000000000000FF0C00330000000045 -:105D20000000000000000000000000000000000073 -:105D30000000000000000000000000000000000063 -:105D4000000000003074FFFF0000000000000000B1 -:105D50000000000000000000000000000000000043 -:105D60000000000000000000000000000000000033 -:105D70000000000000000000000000000000000023 -:105D80000000000000000000000000000000000013 -:105D90000000000000000000000000000000000003 -:105DA000005000D00009000020000000D0090004CD -:105DB00020000000000000000000000000010000C2 -:105DC00020000000000000000000000000040000AF -:105DD0006040009200000000000000000000000091 -:105DE00000000000000000000000000000000000B3 -:105DF00000000000000000000000000000000000A3 -:105E00000000000000240000005000C030004004EA -:105E10000000C80003000A000000280002090B006F -:105E200020008824000000000004002200090A006D -:105E3000200024020000000000000000000000001C -:105E40000000000000000000000000000000000052 -:105E5000000000008003800000010001000000003D -:105E60000000000000000000000000000000000032 -:105E70000000000000000000000000000000000022 -:105E80000000000000000000000000000000000012 -:105E90000000000000000000000000000000000002 -:105EA00000000000000000000000000000000000F2 -:105EB00000200010000000808000000000100000A2 -:105EC000C0000800001000000000000000000000FA -:105ED00000100000001000000000000000000000A2 -:105EE0008022000000010286000000000000000087 -:105EF00000000000000000000000000000000000A2 -:105F00000000000000000000000000000000000091 -:105F100000000000000000000020000000000090D1 -:105F200041000C000000840111000000000004008A -:105F3000D100000200102C0380000000800000024D -:105F400091000000001004060000000000000000A6 -:105F50000000000000000000000000000000000041 -:105F60000000000000000000400000018000080167 -:105F70000000000000000000000000000000000021 -:105F80000000000000000000000000000000000011 -:105F90000000000000000000000000000000000001 -:105FA00000000000000000000000000000000000F1 -:105FB00000000000000000000000000000000000E1 -:105FC000000000000000000000C000C0C000000091 -:105FD00000000000C00000000013010000000000ED -:105FE00000000080C00000C30000000000000000AE -:105FF0000000000044C0E000C000440000000000B9 -:106000000000000000000000000000000000000090 -:106010000000000000000000000000000000000080 -:106020000000000000000000000000000000004030 -:1060300044220640041300C0C8C043C0C5E3C000EA -:106040000000C42303C3C4C0CBC100C300030300CA -:106050000000000020C3C503C0C0C0000000000055 -:106060000000000000000000000000000000000030 -:10607000000000000000000000000000C80013C87D -:10608000000000C800000000000000000000000048 -:106090000000000000000000000000000000000000 -:1060A00000000000000000000000000000000000F0 -:1060B00000000000000000000000000000000000E0 -:1060C00000000000000000000000000000000000D0 -:1060D00000000000000000000000000000040004B8 -:1060E000100001000000000010000100000040004E -:1060F0000000000000000004100001180000014032 -:10610000000000000000000000040040100000003B -:10611000000000000000000000000000000000007F -:10612000000000000000000000000000000000006F -:10613000000000000000000000000000000000005F -:10614000000040200002042108000020002041023D -:106150002002882000000000410A0290402101181E -:10616000020808000000010000282005800210003D -:10617000000000000000000000000000000000001F -:10618000000000000000000000000000000000000F -:1061900000000100000000000000000000000000FE -:1061A00000000000000000000000000000000000EF -:1061B00000000000000000000000000000000000DF -:1061C00000000000000000000000000000000000CF -:1061D00000000000000000000000000000000000BF -:1061E00000000000000000000000000000000000AF -:1061F000000000000000000000000000000000702F -:10620000010000000000F0000000004000007000ED -:1062100000000080000000000000000000000000FE -:1062200000800300000000000000000000000000EB -:10623000000000000000000000000000000000005E -:10624000000000000000000000000000000000004E -:1062500000000000000F527A0004F8606E08183049 -:106260000A804270005001020040FA100010000441 -:106270000200220000000000000000440000F000C6 -:10628000000000700000000000000000000000009E -:1062900000000000000000000000000000000000FE -:1062A0000000000000C0010100000002000000002A -:1062B00000000000000000000000000000001000CE -:1062C00000000000000000000000000000000000CE -:1062D00000000000000000000000000000000000BE -:1062E00000000000000000000000000000000000AE -:1062F000000000000000000000000000000000009E -:10630000000000000200000000000000100000007B -:10631000000000000C000000000000000000003C35 -:106320000000F0010000000000000000000000007C -:106330000000000000C0017000000000000000002C -:10634000000000000000000000000000000000004D -:10635000000000000000000000000000000000003D -:1063600000000000000000004E80C038008801E6F8 -:106370000E070B3800C0401D4012C2283C8021741B -:10638000000003281CE02000000000000000004086 -:10639000100000000007007800000000000000006E -:1063A00000000000000000000000000000000000ED -:1063B00000000000000000000000E0000000C064D9 -:1063C00000000000000000000000000000000000CD -:1063D00000000000000000000000000000000000BD -:1063E00000000000000000000000000000000000AD -:1063F000000000000000000000000000000000009D -:10640000000000000000000000000000000000008C -:10641000000000000000000000000000000000007C -:106420000300000000000000000000000000000267 -:10643000000000000000000000000000000000005C -:1064400000000000003CC02000A000080000000088 -:10645000000000000000000000000000000000003C -:10646000000000000000000000000000000000002C -:1064700000000000000000000000000030404C2739 -:106480002C0000040402041800F01C00000001119C -:1064900000000CA00018000810002B0000000000F5 -:1064A0000000002091000080800000080000000033 -:1064B00000000000000000000000000000000000DC -:1064C0000000000000000000000000000080020248 -:1064D00004000404000000000000000000000000B0 -:1064E00000000000000000000000000000000000AC -:1064F000000000000000000000000000000000009C -:10650000000000000000000000000000000000008B -:10651000000000000000000000000000000000007B -:10652000000000000000000000000000000000006B -:106530000000000010000000000000002900000022 -:10654000000020280000001C0000E0010000000006 -:106550000000000000000000000000001C000030EF -:10656000000000000000000000000000000000002B -:10657000000000000000000000000000000000001B -:10658000000000000000000000000000000000000B -:106590000E08E03A0CC00D001E0088113C40003887 -:1065A00080088E030ED02119000808881C80010085 -:1065B0000000E001000000300200000A00000000BE -:1065C00000000000000000000000000000000000CB -:1065D00000000000000000000000000000000000BB -:1065E00000000002000040780000000000000000F1 -:1065F000000000000000000000000000000000009B -:10660000000000000000000000000000000000008A -:10661000000000000000000000000000000000007A -:10662000000000000000000000000000000000006A -:10663000000000000000000000000000000000005A -:1066400000000000000000000000000000000050FA -:106650001000000000006880000000100000000032 -:10666000000000000000000000000000000000002A -:1066700001C00180000000000000000000000000D8 -:10668000000000000000000000000000000000000A -:1066900000000000000000000000000000000000FA -:1066A0000000000000C8013000050078A022800230 -:1066B000000000900007000E1D0008100000008080 -:1066C00001800B000000000000000080020060005C -:1066D0000000003800000000000000000000000082 -:1066E00000000000000000000000000000000000AA -:1066F000000000000000200000000010000000006A -:106700000000000000000000000000000000000089 -:106710000000000100000000000000000000000078 -:106720000000000000000000000000000000000069 -:106730000000000000000000000000000000000059 -:1067400000000000800000000000000000000000C9 -:1067500000000000000000000000800000000000B9 -:106760008001000000000004000020000020000064 -:106770000000000000000002000000000000000017 -:106780000000000000000000000000000000000009 -:1067900000000000000000000000000000000000F9 -:1067A00000000000000000000000000000000000E9 -:1067B0000000000000000040000000000000000099 -:1067C0000400301080001000141010001000101091 -:1067D0000000100000400000040010000000100045 -:1067E0000010000000000000000000000000000099 -:1067F0000000000000000000000000000000000099 -:106800000000000000000000000000000000000088 -:1068100020008000000000001000010000000000C7 -:106820000000000000000000000000000000001058 -:106830000000000000000000000000000008000050 -:106840000000000000000000000000000000000048 -:106850000000000000000000000000000000000038 -:106860000000000000000000000000000000000028 -:1068700000000000000D0000000000000070040097 -:1068800000000000000000000030000000000000D8 -:1068900000000000000000000000000000000000F8 -:1068A00000000000000000000000000000000000E8 -:1068B00000000000000000000000000000000000D8 -:1068C00000000000000000000000000000060000C2 -:1068D0000000000076002030900000000000105002 -:1068E00030000020000020600070006000002000E8 -:1068F0000070000000000000000000000000000028 -:106900000000000000000000000000000000000087 -:106910000000000000000000000000000000000077 -:1069200000000000000000000000900010000000C7 -:1069300000000000000010000000000C000000003B -:1069400000000080000000000000000000000000C7 -:106950000001000000000000000000000000000036 -:1069600000000000000000000000000030000000F7 -:106970000000000000000000000000000000000017 -:106980000000100000000000100C000000000000DB -:106990000000010000200040000000000080000C0A -:1069A00000000000000000000000000000000000E7 -:1069B00000000000000000000000000000000000D7 -:1069C00000000000000000000000000000000000C7 -:1069D0000000000000000000000000000000003087 -:1069E0000000000000000000040000001000000093 -:1069F00000000000000000008A00400000000000CD -:106A00000000000000000000000000000000000086 -:106A10000000000000000000000000000000000076 -:106A20000000000000000000000000000000000066 -:106A30000000000000000000200010000000000026 -:106A4000000008000000000010001000000000001E -:106A5000000000080000000000000000000000002E -:106A60000000000000000000000000000000000026 -:106A70000000000000000000000000000000000016 -:106A80000000000000000000000000000000000006 -:106A900000000000000000000000000000000000F6 -:106AA00000000000000000000000000000000000E6 -:106AB00000000010000000000000000004000000C2 -:106AC00000020000000200000000000000000000C2 -:106AD0000000000000000000000000801000000026 -:106AE00000000000000000000000000000000000A6 -:106AF0000000000000000000000000000000020094 -:106B00000000000400000000000000000000000081 -:106B10000000003000000000000000000000000045 -:106B20000000000000000010000000000000000055 -:106B30000000000000000000000000000000000055 -:106B40000000003000000000000000000000000015 -:106B50000000000000000000000000001000000025 -:106B60000000000000000002000000000000000023 -:106B70000000000000000000000000000000000015 -:106B80000000000000000000000000000000000005 -:106B90003030000000000000000000000000000095 -:106BA00000000000000000000000000000000000E5 -:106BB00000000000000000000000000000000000D5 -:106BC0000000000000000090000000000000000035 -:106BD00000000000000000000000000000000000B5 -:106BE00000000000000000000000000000000000A5 -:106BF0004000000000000000000000000000000055 -:106C00000000000000000000000000000000000084 -:106C10000000000000000000000000000000000074 -:106C200000000000000000B00000000000000000B4 -:106C300000000000000000000000009000000000C4 -:106C40000000000000000000000000000000000044 -:106C50003000000000000000000000000000000004 -:106C60000000000000000000000000000000000024 -:106C70000000000000000000004040000000000094 -:106C80000000000000000000000000000000000004 -:106C900000000000000000000000000000000000F4 -:106CA00000000000202000000000000000000000A4 -:106CB00000000000000000000000000000000000D4 -:106CC00000000000000000000000000000000000C4 -:106CD0000000000000000000000000200000000094 -:106CE000000000000800000000000000000000009C -:106CF0000000000000000000000000000000000094 -:106D00000000000000000000000000000000000083 -:106D10000000000000000000000000000000000073 -:106D20000008000010000000000000080000000043 -:106D300000000000C0010000000000200000000072 -:106D40000000000000000000000000000000002023 -:106D50000000000000000000000000000000000033 -:106D600000000000300010000000001000000000D3 -:106D70000000000000000000000000008201000090 -:106D80000000000000000000000000000000000003 -:106D900000000000000000000000000000000000F3 -:106DA00000000000000000000000000000000000E3 -:106DB000000000000000000000000000EF677F00FE -:106DC00000000000000000000000000000000000C3 -:106DD00000000000000000000000000000000000B3 -:106DE000000000000000000000000000DE7BF0005A -:106DF00000000000FE7F0180FFFFFFFF0000000099 -:106E00000000000000000000000000000000000082 -:106E10000000000000000000000000000000000072 -:106E20000000000000000000000000000000000062 -:106E30000000000000000000000000000000000052 -:106E40000000000000000000000000000000000042 -:106E50000000000000000000000000000000000032 -:106E60000000000000000000000000000000000022 -:106E70000000000000000000000000000000000012 -:106E80000000000000000000000000000000000002 -:106E900000000000000000000000000000000000F2 -:106EA00000000000000000000000000000000000E2 -:106EB00000000000000000000000000000000000D2 -:106EC00000000000000000000000000000000000C2 -:106ED00000000C00000000000000000000000000A6 -:106EE00000000000000000000000000000000000A2 -:106EF0000000000000000000000000000000000092 -:106F000000000C000000000048201E20B0448C004F -:106F10000000000000000000000000000000000071 -:106F20000000000000000000000000000000000061 -:106F30000000000000000000000000000000000051 -:106F40000000000000000000000000000000000041 -:106F50000000000000000000000000000000000031 -:106F60000000000000000000000000000000000021 -:106F70000000000000000000000000000000000011 -:106F80000000000000000000000000000000000001 -:106F900000000000000000000000000000000000F1 -:106FA00000000000000000000000000000000000E1 -:106FB00000000000000000000000000000000000D1 -:106FC00000000000000000000000000000000000C1 -:106FD00000000000000000000000000000000000B1 -:106FE0000000000010000000000000000000000091 -:106FF0000000000000000000000000000000000091 -:107000000000000000000000000000000000000080 -:10701000000000000020120000000000000000003E -:107020000000000000000000000000000000000060 -:107030000000000000000000000000000000000050 -:107040000000000000000000000000000000000040 -:107050000000000000000000000000000000000030 -:107060000000000000000000000000000000000020 -:107070000000000000000000000000000000000010 -:107080000000000000000000000000000000000000 -:1070900000000000000000000000000000000000F0 -:1070A00000000000000000000000000000000000E0 -:1070B00000000000000000000000000000000000D0 -:1070C00000000000000000000000000000000000C0 -:1070D00000000000000000000000000000000000B0 -:1070E00000000000000000000000000000000000A0 -:1070F000000000007777CC4CCCC8F7FF0000000000 -:10710000000000000000000000000000000000007F -:10711000000000000000000000000000000000006F -:1071200000000000000000000E8FE71800000000C3 -:1071300000000000FFFFFFFFFFFFDE7B00000000FC -:10714000000000000000000000000000000000003F -:10715000000000000000000000000000000000002F -:10716000000000000000000000000000000000001F -:10717000000000000000000000000000000000000F -:1071800000000000000000000000000000000000FF -:1071900000000000000000000000000000000000EF -:1071A00000000000000000000000000000000000DF -:1071B00000000000000000000000000000000000CF -:1071C00000000000000000000000000000000000BF -:1071D00000000000000000000000000000000000AF -:1071E000000000000000000000000000000000009F -:1071F000000000000000000000000000000000008F -:107200000000000000000000000000040000000179 -:10721000000000000000000000000000000000006E -:10722000000000000000000000000000000000005E -:107230000000000000000000300000040000800199 -:1072400000080000200000260000000000500000A0 -:10725000000000000000000000000000000000002E -:10726000000000000000000000000000000000001E -:10727000000000000000000000000000000000000E -:1072800000000000000000000000000000000000FE -:1072900000000000000000000000000000000000EE -:1072A00000000000000000000000000000000000DE -:1072B00000000000000000000000000000000000CE -:1072C00000000000000000000000000000000000BE -:1072D00000000000000000000000000000000000AE -:1072E000000000000000000000000000000000009E -:1072F000000000000000000000000000000000008E -:10730000000000000000000000000000000000007D -:107310000000000000000000000000004000080025 -:10732000000040010000000000000000000000001C -:10733000000000000000000000000000000000004D -:10734000000000000000000000000000400080007D -:107350000000400180000000000100020020000049 -:10736000000000800000000000000000000000009D -:10737000000000000000000000000000000000000D -:1073800000000000000000000000000000000000FD -:1073900000000000000000000000000000000000ED -:1073A00000000000000000000000000000000000DD -:1073B00000000000000000000000000000000000CD -:1073C00000000000000000000000000000000000BD -:1073D00000000000000000000000000000000000AD -:1073E000000000000000000000000000000000009D -:1073F000000000000000000000000000000000008D -:10740000000000000000000000000000000000007C -:10741000000000000000000000000000000000006C -:10742000000000000000000000000000000000005C -:107430000020000000130000000000000000000019 -:10744000000000000000000000000000000000003C -:10745000000000000000000000000000000000002C -:10746000008000000000810000000000000000001B -:10747000000000C0C0C0C00000000000000000000C -:1074800000000000000000000000000000000000FC -:1074900000000000000000000000000000000000EC -:1074A00000000000000000000000000000000000DC -:1074B00000000000000000000000000000000000CC -:1074C00000000000000000000000000000000000BC -:1074D00000000000000000000000000000000000AC -:1074E000000000000000000000000000000000009C -:1074F000000000000000000000000000000000008C -:10750000000000000000000000000000000000007B -:10751000000000000000000000000000000000006B -:10752000000000000000000000000000000000005B -:10753000000000000000000000000000000000004B -:107540000000000000040000000000000000000037 -:10755000000000000000000000000000000000002B -:10756000000000000000000000000000000000001B -:1075700000000000000200000000C00000800200C7 -:1075800000000300000000400104010000000000B2 -:1075900000000000000000000000000000000000EB -:1075A00000000000000000000000000000000000DB -:1075B00000000000000000000000000000000000CB -:1075C00000000000000000000000000000000000BB -:1075D00000000000000000000000000000000000AB -:1075E000000000000000000000000000000000009B -:1075F000000000000000000000000000000000008B -:10760000000000000000000000000000000000007A -:10761000000000000000000000000000000000006A -:10762000000000000000000000000000000000005A -:10763000000000000000000000000000000000004A -:10764000000000000000000000000000000000003A -:107650000000000000000000001080401C044038C2 -:10766000000000000000000000000000000000001A -:10767000000000000000000000000000000000000A -:1076800000000000000000000040F0500100F00683 -:1076900000008400300000400010000020000000C6 -:1076A00000000000000000000000000000000000DA -:1076B00000000000000000000000000000000000CA -:1076C00000000000000000000000000000000000BA -:1076D00000000000000000000000000000000000AA -:1076E000000000000000000000000000000000009A -:1076F000000000000000000000000000000000008A -:107700000000000000000000000000000000000079 -:107710000000000000000000000000000000000069 -:107720000000000000000000000000000000000059 -:107730000000000000000000000010000000000039 -:107740000000000000000000000000000000000039 -:107750000000000000000000000000000000000029 -:1077600000000000000000000000000020400000B9 -:107770005D00481200000000000000000000000052 -:1077800000000000000000000000000000000000F9 -:1077900000000000000000000000000000400000A9 -:1077A0000800C0100000000000000040008003003E -:1077B00000C0010000000000000000000000000008 -:1077C00000000000000000000000000000000000B9 -:1077D00000000000000000000000000000000000A9 -:1077E0000000000000000000000000000000000099 -:1077F0000000000000000000000000000000000089 -:107800000000000000000000000000000000000078 -:107810000000000000000000000000000000000068 -:107820000000000000000000000000000000000058 -:107830000000000000000000000000000000000048 -:107840000000000000000000000000000000000038 -:107850000000000000000000000000000000000028 -:107860000000000000000000000000000000000018 -:107870000000000000000000000000000000000008 -:1078800008000001030040D00000000000000000DC -:1078900000000000000000000000000000000000E8 -:1078A00000000000000000000000000000000000D8 -:1078B0000000040400000004000000000000004676 -:1078C000000800040020300000000000000000005C -:1078D00000000000000000000000000000000000A8 -:1078E0000000000000000000000000000000000098 -:1078F0000000000000000000000000000000000088 -:107900000000000000000000000000000000000077 -:107910000000000000000000000000000000000067 -:107920000000000000000000000000000000000057 -:107930000000000000000000000000000000000047 -:107940000000000000000000000000000000000037 -:107950000000000000000000000000000000000027 -:107960000000000000000000000000000000000017 -:107970000000000000000000000000000000000007 -:1079800000000000000000000000000000000000F7 -:10799000000000002200CB02140C10600000000068 -:1079A00000000000000000000000000000000000D7 -:1079B00000000000000000000000000000000000C7 -:1079C00000000000000086B22200E0700000D0013C -:1079D0000C00004800800738008001000000000013 -:1079E0000000000000000000000000000000000097 -:1079F0000000000000000000000000000000000087 -:107A00000000000000000000000000000000000076 -:107A10000000000000000000000000000000000066 -:107A20000000000000000000000000000000000056 -:107A30000000000000000000000000000000000046 -:107A40000000000000000000000000000000000036 -:107A50000000000000000000000000000000000026 -:107A60000000000000000000000000000000000016 -:107A70000000000000000000000000000000000006 -:107A800000000000000000000000000000000000F6 -:107A900000000000000000000000000000000000E6 -:107AA0000000000000000000062000018A004040A5 -:107AB00000000000000000000000000000000000C6 -:107AC00000000000000000000000000000000000B6 -:107AD0000000000000000000004026000000503AB6 -:107AE00000005000010000400000000000000800FD -:107AF0000000000000000000000000000000000086 -:107B00000000000000000000000000000000000075 -:107B10000000000000000000000000000000000065 -:107B20000000000000000000000000000000000055 -:107B30000000000000000000000000000000000045 -:107B40000000000000000000000000000000000035 -:107B50000000000000000000000000000000000025 -:107B60000000000000000000000000000000000015 -:107B70000000000000000000000000000000000005 -:107B800000000000000000000000000000000000F5 -:107B900000000000000000000000000000000000E5 -:107BA00000000000000000000000000000000000D5 -:107BB00000000000000000000000000000020000C3 -:107BC00020028000000000000000000020000000F3 -:107BD0000000000020080800000000000000000075 -:107BE0000000000000000000000008000440000049 -:107BF000001008000000800008880000000000005D -:107C00000000000000000000000000000000000074 -:107C10000000000000000000000000000000000064 -:107C20000000000000000000000000000000000054 -:107C30000000000000000000000000040000000040 -:107C40000000000000000000000000000000001024 -:107C500000000000400010000000000000000000D4 -:107C60000000000000000000000000000000000014 -:107C70000000000000000000000000000000000004 -:107C800000000000000000000000000000000000F4 -:107C900000000000000000000000000000000000E4 -:107CA00000000000000000000000000000000000D4 -:107CB00000000000000000000000000000000000C4 -:107CC00000000000000000000000000000000000B4 -:107CD00000000000000000000000000000000000A4 -:107CE0000000000000000D000D0C0C000009000059 -:107CF00009000D090800080000000C000900BC0084 -:107D00000009200040340070000E0050040C0000F8 -:107D1000000040000000001000009000B000900043 -:107D20000000000000000000000000000000000053 -:107D30000000000000000000000000000000000043 -:107D4000000000000000000000090000000000002A -:107D5000000008000A0000000009500D00000000AB -:107D60000000000000000000000000000000000013 -:107D700009000000000000000000000000000000FA -:107D800000000000000000000000000000000000F3 -:107D900000000000000000000000000000000000E3 -:107DA00000000000000000000000000000000000D3 -:107DB00000001000000000000000000000000000B3 -:107DC00000000000000000000000000000000000B3 -:107DD00000000000000000000000000000000000A3 -:107DE000000000000000000000041000000000007F -:107DF0000000000000000000000000001000000073 -:107E0000000000000000000061000101000001000E -:107E10000000000008108000600100000000300039 -:107E200000200000000000000000008000000000B2 -:107E30000000000000000000000000000000000042 -:107E40000000000000000000000000000000000032 -:107E50000000000000000000000000000000000022 -:107E60000000000800000100000000000000000009 -:107E700000000000000000000000000030000000D2 -:107E800000000000000000000000000000000000F2 -:107E900000000000000000000000000000000000E2 -:107EA00000000000000000000000000000000000D2 -:107EB00000000000000000000000000000000000C2 -:107EC0000000000000001000000000000000003072 -:107ED00000000000000000000000000000000000A2 -:107EE0000000000000000000000000000000000092 -:107EF0000000000000000000000000000000000082 -:107F00000000000000200000000000000000000051 -:107F10000000000000000000000000000000000061 -:107F20000000000000000000000000000000000051 -:107F30000000000000000000000000000000000041 -:107F40000000000000000000000000000000000031 -:107F50000000000000000000000000000000000021 -:107F60000000000000000000000000000000000011 -:107F700000000200000000000000000000000000FF -:107F800000000000000000000000000000000000F1 -:107F900000000000000000000000000000000000E1 -:107FA00000000000000000000000000000000000D1 -:107FB00000000000000000000000000000000000C1 -:107FC00000000000000000000000000000000000B1 -:107FD00000000000000000000000000000000000A1 -:107FE0000000008000000000000000000000000011 -:107FF0000000000000000000000000000000000081 -:108000000000000000000000000000000000000070 -:108010000000000000000000000000000000000060 -:108020000000000000000000000000000000000050 -:1080300000000000000000000000000000800000C0 -:108040000000000000000000000000000000000030 -:108050000000000000000000000000000000000020 -:1080600000000010000000000000000000000002FE -:108070000000000000000000000000000000000000 -:1080800000000000000000000000000000000000F0 -:1080900000000000000000000000000000000000E0 -:1080A00000000000000000000000000000000000D0 -:1080B00000000000000000000000000000000000C0 -:1080C00000000000000000000000000000000000B0 -:1080D00000000000000000000000000000000000A0 -:1080E0000000000000000000000000000000000090 -:1080F00000000000008002000000000000000000FE -:10810000000000000000000000000000000000006F -:10811000000000000000000000000000000000005F -:10812000000000000000000000000000001000003F -:10813000000000000000000000000000000000003F -:10814000000000000000000000000000000000002F -:10815000000000000000000000000000000000001F -:10816000000000000000000000000000000000000F -:1081700000000000000000200000000000000000DF -:1081800000000000000000000000000000000000EF -:1081900000000000000000000000000000000000DF -:1081A00000000000000000000000000000000008C7 -:1081B00000000000000000000000000010000000AF -:1081C00000000000000000000000000000000000AF -:1081D000000000000000000000000000000000009F -:1081E000000000000000000000000000000000008F -:1081F000000000000000000000000000000000007F -:10820000000000000000000000000000000000006E -:10821000000000000000000000000000000000005E -:10822000000000000000000000000000FFFFFFFF52 -:10823000F531CF45000000000300FEFFFEFFFFBF49 -:10824000BFFF1000FFFFFFFF000000000000000064 -:10825000000000000000000000000000000000001E -:10826000000000000000000000000000000000000E -:1082700000000000FFFFF66F00000000000000009B -:1082800000000000000000000000000000000000EE -:1082900000000000000000000000000000000000DE -:1082A00000000000000000000000000000000000CE -:1082B00000000000000000000000000000000000BE -:1082C00000000000000000000000000000000000AE -:1082D000000000000000000000000000000000009E -:1082E000000000000000000000000000000000008E -:1082F000000000000000000000000000000000007E -:10830000000000000000000000000000000000006D -:10831000000000000000000000000000000000005D -:10832000000000000000000000000000000000004D -:10833000000000000000000000000000000000003D -:1083400000008C0290241E000000000000000C00C1 -:1083500000000C0000000C0000008C020000000077 -:10836000000000000000000000000000000000000D -:1083700000000000000000000000000000000000FD -:10838000000000000000000000000C0000000000E1 -:1083900000000000000000000000000000000000DD -:1083A00000000000000000000000000000000000CD -:1083B00000000000000000000000000000000000BD -:1083C00000000000000000000000000000000000AD -:1083D000000000000000000000000000000000009D -:1083E000000000000000000000000000000000008D -:1083F000000000000000000000000000000000007D -:10840000000000000000000000000000000000006C -:10841000000000000000000000000000000000005C -:10842000000000000000000000000000000000004C -:10843000000000000000000000000000000000003C -:10844000000000000000000000000000000000002C -:1084500000000000000000000020120000000000EA -:10846000D8A472A9DFA472B9DFA472B9DFA472B96B -:10847000DFA472B000000000000000000000000057 -:1084800000000000000000000000000000000000EC -:1084900000000000000000000000000000000000DC -:1084A00000000000000000000000000000000000CC -:1084B00000000000000000000000000000000000BC -:1084C00000000000000000000000000000000000AC -:1084D000000000000000000000000000000000009C -:1084E000000000000000000000000000000000008C -:1084F000000000000000000000000000000000007C -:10850000000000000000000000000000000000006B -:10851000000000000000000000000000000000005B -:10852000000000000000000000000000000000004B -:10853000000000000000000000000000000000003B -:10854000000000000000000000000000000000002B -:10855000000000000000000000000000000000001B -:108560000000000000000000FFFFFFFF07070507F5 -:10857000FF7FFF7F0F0F55550F0F0F0F33335555EB -:10858000FF0055550F0FCCCC00000000000000008C -:1085900000000000000000000000000000000000DB -:1085A00000000000000000000000000000000000CB -:1085B00000000000000000000000000000000000BB -:1085C00000000000000000000000000000000000AB -:1085D000000000000000000000000000000000009B -:1085E000000000000000000000000000000000008B -:1085F000000000000000000000000000000000007B -:10860000000000000000000000000000000000006A -:10861000000000000000000000000000000000005A -:10862000000000000000000000000000000000004A -:10863000000000000000000000000000000000003A -:10864000000000000000000000000000000000002A -:10865000000000000000000000000000000000001A -:10866000000000000000000000000000000000000A -:1086700000000000000000000000000000000A04EC -:1086800020000423000000040000900000000A0401 -:108690000000980000000A0400009800000000009C -:1086A00000000000000000000000000000000000CA -:1086B00000000000000000000000000000000000BA -:1086C00000000000000000000000000000000000AA -:1086D000000000000000000000000000000000009A -:1086E000000000000000000000000000000000008A -:1086F000000000000000000000000000000000007A -:108700000000000000000000000000000000000069 -:108710000000000000000000000000000000000059 -:108720000000000000000000000000000000000049 -:108730000000000000000000000000000000000039 -:108740000000000000000000000000000000000029 -:108750000000000000000000000000000000000019 -:108760000000000000000000000000000000000009 -:1087700000000000000000000000000000000000F9 -:1087800000000000000000000000000000000000E9 -:10879000C100080000102C03400008000000000188 -:1087A000410008000000140141000800000014010D -:1087B00000000000000000000000000000000000B9 -:1087C00000000000000000000000000000000000A9 -:1087D0000000000000000000000000000000000099 -:1087E0000000000000000000000000000000000089 -:1087F0000000000000000000000000000000000079 -:108800000000000000000000000000000000000068 -:108810000000000000000000000000000000000058 -:108820000000000000000000000000000000000048 -:108830000000000000000000000000000000000038 -:108840000000000000000000000000000000000028 -:108850000000000000000000000000000000000018 -:108860000000000000000000000000000000000008 -:1088700000000000000000000000000000000000F8 -:1088800000000000000000000000000000000000E8 -:1088900000000000000000000000000000000000D8 -:1088A0000000000000800340C0010300000000C081 -:1088B000C8138081C8C021CAD70380EB01C3CB8114 -:1088C000C800C40300000000000000000000000019 -:1088D0000000000000000000000000000000000098 -:1088E0000000000000000000000000000000000088 -:1088F0000000000000000000000000000000000078 -:108900000000000000000000000000000000000067 -:108910000000000000000000000000000000000057 -:108920000000000000000000000000000000000047 -:108930000000000000000000000000000000000037 -:108940000000000000000000000000000000000027 -:108950000000000000000000000000000000000017 -:108960000000000000000000000000000000000007 -:1089700000000000000000000000000000000000F7 -:1089800000000000000000000000000000000000E7 -:1089900000000000000000000000000000000000D7 -:1089A00000000000000000000000000000000000C7 -:1089B00000000000000000000002084080410900A3 -:1089C0000000004000008005202040800001800061 -:1089D000400304030000000100000000000000004C -:1089E0000000000000000000000000000000000087 -:1089F0000000000000000000000000000000000077 -:108A00000000100000000000000000000000000056 -:108A10000000000000000000000000000000000056 -:108A20000000000000000000000000000000000046 -:108A30000000000000000000000000000000000036 -:108A40000000000000000000000000000000000026 -:108A50000000000000000000000000000000000016 -:108A60000000000000000000000000000000000006 -:108A700000000000000000000000000000000000F6 -:108A800000000000000000000000000000000000E6 -:108A900000000000000000000000000000000000D6 -:108AA00000000000000000000000000000000000C6 -:108AB00000000000000000000000000000000000B6 -:108AC0000000000000000000000000000010A015E1 -:108AD0001C00F0701000042A542E001000008000CA -:108AE000C2CE01100000000C0000000000000000D9 -:108AF0000000000000000000000000000000000076 -:108B00000000000000000000000000000000000065 -:108B100000000000400000000040010000000000D4 -:108B20000000000000000000000000000000000045 -:108B30000000000000000000000000000000000035 -:108B40000000000000000000000000000000000025 -:108B50000000000000000000000000000000000015 -:108B60000000000000000000000000000000000005 -:108B700000000000000000000000000000000000F5 -:108B800000000000000000000000000000000000E5 -:108B900000000000000000000000000000000000D5 -:108BA00000000000000000000000000000000000C5 -:108BB00000000000000000000000000000000000B5 -:108BC00000000000000000000000000000000000A5 -:108BD0000000000000000000000000000000000095 -:108BE0000000E0002900E0F42000E0280D06E090FD -:108BF0000015000000000170100000000000E000FF -:108C00000000000000000000000000000000000064 -:108C10000000000000000000000000000000000054 -:108C2000000000000000000000070000000000003D -:108C30000000000000000000000000000000000034 -:108C40000000000000000000000000000000000024 -:108C50000000000000000000000000000000000014 -:108C60000000000000000000000000000000000004 -:108C700000000000000000000000000000000000F4 -:108C800000000000000000000000000000000000E4 -:108C900000000000000000000000000000000000D4 -:108CA00000000000000000000000000000000000C4 -:108CB00000000000000000000000000000000000B4 -:108CC00000000000000000000000000000000000A4 -:108CD0000000000000000000000000000000000094 -:108CE0000000000000000000000000000000000084 -:108CF000000000000000000C00000810010000103F -:108D0000400208080010022100220028000008008C -:108D1000000008000000000000000000000000004B -:108D20000000000000000000000000000000000043 -:108D30000000000000000000000000000020000013 -:108D40000000020000000000000000000000000021 -:108D50000000000000000000000000000000000013 -:108D60000000000000000000000000000000000003 -:108D700000000000000000000000000000000000F3 -:108D800000000000000000000000000000000000E3 -:108D900000000000000000000000000000000000D3 -:108DA00000000000000000000000000000000000C3 -:108DB00000000000000000000000000000000000B3 -:108DC00000000000000000000000000000000000A3 -:108DD0000000000000000000000000000000000093 -:108DE0000000000000000000000000000000000083 -:108DF0000000000000000000000000000000000073 -:108E0000000000000000000000008F010C00E031B5 -:108E1000100010281000000C8008800022C0017093 -:108E20000000000000000000000000000000000042 -:108E30000000000000000000000000000000000032 -:108E40000000000000000000000000000000000022 -:108E500000040030000000000000000000000000DE -:108E60000000000000000000000000000000000002 -:108E700000000000000000000000000000000000F2 -:108E800000000000000000000000000000000000E2 -:108E900000000000000000000000000000000000D2 -:108EA00000000000000000000000000000000000C2 -:108EB00000000000000000000000000000000000B2 -:108EC00000000000000000000000000000000000A2 -:108ED0000000000000000000000000000000000092 -:108EE0000000000000000000000000000000000082 -:108EF0000000000000000000000000000000000072 -:108F00000000000000000000000000000000000061 -:108F100000000000000000000000000000008004CD -:108F2000010000C20E00100F7C18D0100004940045 -:108F30001CC0D73C0000000000070000000000003B -:108F40000000000000000000000000000000000021 -:108F50000000000000000000000000000000000011 -:108F6000000000000000000200800200000000007D -:108F700000000000000000000000000000000000F1 -:108F800000000000000000000000000000000000E1 -:108F900000000000000000000000000000000000D1 -:108FA00000000000000000000000000000000000C1 -:108FB00000000000000000000000000000000000B1 -:108FC00000000000000000000000000000000000A1 -:108FD0000000000000000000000000000000000091 -:108FE0000000000000000000000000000000000081 -:108FF0000000000000000000000000000000000071 -:10900000000000000000000000000004000000005C -:109010000000000000000000000000000000000050 -:109020000000000000000000000000000000000040 -:1090300000000000000100000000000000000C0221 -:10904000020010000040124002000000202000003A -:109050002040000000000020000000000000200070 -:1090600000202000000000000800000000000000B8 -:1090700000000028010000000000000000000000C7 -:109080000000000000008800000000000000010057 -:1090900000000000000000000000000000000000D0 -:1090A00000000000000000000000000000000000C0 -:1090B00000000000000000000000000000000000B0 -:1090C00000000000000000000000000000000000A0 -:1090D0000000000000000000000000000000000090 -:1090E0000000000000000000000000000000000080 -:1090F0000000000000000000000000000000000070 -:10910000000000000000000000000000000000005F -:10911000000000000400000000000000000000004B -:10912000000000000000000000000000000000003F -:10913000000000000000000000000000000000002F -:109140000000000000060000000000000000000019 -:1091500000000400900020600000000000000000FB -:109160000000000000000000000E000C0C0A0000CF -:1091700000000E0E50000D0A0E0009000C00000049 -:10918000000000000000000C0000000000000000D3 -:10919000000500000000050000000C0000000000B9 -:1091A00000000000000000000000000000000000BF -:1091B00000000000000000000000000000000000AF -:1091C000000000000000000000000000000000009F -:1091D000000000000000000000000000000000008F -:1091E000000000000000000000000000000000007F -:1091F000000000000000000000000000000000006F -:10920000000000000000000000000000000000005E -:10921000000000000000000000000000000000004E -:10922000000000000000000000000000000000003E -:109230000000000800000000000000000000000026 -:10924000000000000000000000000000000000001E -:109250000000000000000000000000000008000006 -:1092600000000000000004000000000000000020DA -:1092700000000000002000000010000000000001BD -:10928000010000000000100000301000000000008D -:109290000001000000000000000000100C000000B1 -:1092A00000000000000000000000000000001000AE -:1092B00000000000000008000000000000000000A6 -:1092C000000000000000000000000000000000009E -:1092D000000000000000000000000000000000008E -:1092E000000000000000000000000000000000007E -:1092F000000000000000000000000000000000006E -:10930000000000000000000000000000000000005D -:10931000000000000000000000000000000000004D -:10932000000000000000000000000000000000003D -:109330000000000000000000000000000C00000021 -:1093400000001000000000000000003000000000DD -:10935000000000000000000000000000000000000D -:1093600000000000000000000000000000000000FD -:1093700000000000000000000000002000000000CD -:109380000000400000000000800004000000000019 -:1093900000000000000000000000000000000000CD -:1093A00000000000000000000000000000000000BD -:1093B00002000000000000000200000000000000A9 -:1093C000000000000000000000000000000000009D -:1093D000000000000080000000000000000000000D -:1093E000000000000000000000000000000000007D -:1093F000000000000000000000000000000000006D -:10940000000000000000000000000000000000005C -:10941000000000000000000000000000000000004C -:10942000000000000000000000000000000000003C -:10943000000000000000000000000000000000002C -:10944000000000000000000000000000000000001C -:10945000000000000000000000000000000000808C -:1094600000000000000000000000000000000000FC -:1094700000000000000000000000000000000000EC -:10948000000000000000000000000000000000805C -:1094900000000000000000000000000010000000BC -:1094A00000000000000000000000000000000000BC -:1094B00000000000000000000000000000000000AC -:1094C0000000000004000000000000000400000094 -:1094D000000000000000000000000000000000008C -:1094E000000000000000000000200000000000005C -:1094F000000000000000000000000000000000006C -:10950000000000000000000000000000000000005B -:10951000000000000000000000000000000000004B -:10952000000000000000000000000000000000003B -:10953000000000000000000000000000000000002B -:10954000000000000000000000000000000000001B -:10955000000000000000000000000000000000000B -:1095600000000000000000000000000000000000FB -:1095700000004300000000000000000000000000A8 -:1095800000000000000000000000000000000000DB -:1095900000000000000000000000000000000000CB -:1095A00000000000000000000000000000000000BB -:1095B000080008000010000000000000000000008B -:1095C000000000000000000000000000000000009B -:1095D000000000000000000000000000000000008B -:1095E000000000000000000000000000000000007B -:1095F000000000000000000000000000000000006B -:10960000000000000000000000000000000000005A -:10961000000000000000000000000000000000004A -:10962000000000000000000000000000000000003A -:10963000000000000000000000000000000000002A -:10964000000000000000000000000000000000001A -:10965000000000000000000000000000000000000A -:1096600000000000000000000000000000000000FA -:1096700000000000000000000000000000000000EA -:1096800000000000000000000000000000000000DA -:1096900000000000000000000000000000000000CA -:1096A00000000000000000000000000000000000BA -:1096B000FFFD3F2AFFFCCC8C0000000000000000F2 -:1096C0003F3FFF0F0000000000000000000000000E -:1096D000000000000000000000000000000000008A -:1096E000000000000000000000000000000000007A -:1096F000000000000000000000000000000000006A -:109700000000000000000000000000000000000059 -:109710000000000000000000000000000000000049 -:109720000000000000000000000000000000000039 -:109730000000000000000000000000000000000029 -:109740000000000000000000000000000000000019 -:109750000000000000000000000000000000000009 -:1097600000000000000000000000000000000000F9 -:1097700000000000000000000000000000000000E9 -:1097800000000000000000000000000000000000D9 -:1097900000000000000000000000000000000000C9 -:1097A00000000000000000000000000000000000B9 -:1097B00000000000000000000000000000000000A9 -:1097C0000000000000201E0000201E00000000001D -:1097D0000000000090241E000000000000000000B7 -:1097E0000000000000000000000000000000000079 -:1097F0000000000000000000000000000000000069 -:109800000000000000000000000000000000000058 -:109810000000000000000000000000000000000048 -:109820000000000000000000000000000000000038 -:109830000000000000000000000000000000000028 -:109840000000000000000000000000000000000018 -:109850000000000000000000000000000000000008 -:1098600000000000000000000000000000000000F8 -:1098700000000000000000000000000000000000E8 -:1098800000000000000000000000000000000000D8 -:1098900000000000000000000000000000000000C8 -:1098A00000000000000010000000000000000000A8 -:1098B00000000000000000000000000000000000A8 -:1098C0000000000000000000000000000000000098 -:1098D00000000000002012009004000000001000B2 -:1098E00000000000000000009024120000000000B2 -:1098F0000000000000000000000000000000000068 -:109900000000000000000000000000000000000057 -:109910000000000000000000000000000000000047 -:109920000000000000000000000000000000000037 -:109930000000000000000000000000000000000027 -:109940000000000000000000000000000000000017 -:109950000000000000000000000000000000000007 -:1099600000000000000000000000000000000000F7 -:1099700000000000000000000000000000000000E7 -:1099800000000000000000000000000000000000D7 -:1099900000000000000000000000000000000000C7 -:1099A00000000000000000000000000000000000B7 -:1099B00000000000000000000000000000000000A7 -:1099C0000000000000000000000000000000000097 -:1099D0000000000000000000000000000000000087 -:1099E00000000000000000007FFFFF0FCF45550F73 -:1099F0003F1507000000000000000000FF33FF33A8 -:109A00000000000000000000000000000000000056 -:109A10000000000000000000000000000000000046 -:109A20000000000000000000000000000000000036 -:109A30000000000000000000000000000000000026 -:109A40000000000000000000000000000000000016 -:109A50000000000000000000000000000000000006 -:109A600000000000000000000000000000000000F6 -:109A700000000000000000000000000000000000E6 -:109A800000000000000000000000000000000000D6 -:109A900000000000000000000000000000000000C6 -:109AA00000000000000000000000000000000000B6 -:109AB00000000000000000000000000000000000A6 -:109AC0000000000000000000000000000000000096 -:109AD0000000000000000000000000000000000086 -:109AE0000000000000000000000000000000000076 -:109AF0000000000000000000000000040000000161 -:109B000000000A0420502493000000000000000020 -:109B100001200402402000000000000000000000BE -:109B20000000000000000000000000000000000035 -:109B30000000000000000000000000000000000025 -:109B40000000000000000000000000000000000015 -:109B50000000000000000000000000000000000005 -:109B600000000000000000000000000000000000F5 -:109B700000000000000000000000000000000000E5 -:109B800000000000000000000000000000000000D5 -:109B900000000000000000000000000000000000C5 -:109BA00000000000000000000000000000000000B5 -:109BB00000000000000000000000000000000000A5 -:109BC0000000000000000000000000000000000095 -:109BD0000000000000000000000000000000000085 -:109BE0000000000000000000000000000000000075 -:109BF0000000000000000000000000000000000065 -:109C0000000000000000000000000000400008000C -:109C100000000801C1200800001044870000000077 -:109C20000000000002010000000000000000000031 -:109C30000000000000000000000000000000000024 -:109C40000000000000000000000000000000000014 -:109C50000000000000000000000000000000000004 -:109C600000000000000000000000000000000000F4 -:109C700000000000000000000000000000000000E4 -:109C800000000000000000000000000000000000D4 -:109C900000000000000000000000000000000000C4 -:109CA00000000000000000000000000000000000B4 -:109CB00000000000000000000000000000000000A4 -:109CC0000000000000000000000000000000000094 -:109CD0000000000000000000000000000000000084 -:109CE0000000000000000000000000000000000074 -:109CF0000000000000000000000000000000000064 -:109D00000000000000000000000000000000000053 -:109D10000000000000000000000000000000000043 -:109D200000000100000000002208004080038022A3 -:109D3000000000000000000000000000C447C6C092 -:109D40000000000000000000000000000000000013 -:109D50000000000000000000000000000000000003 -:109D600000000000000000000000000000000000F3 -:109D700000000000000000000000000000000000E3 -:109D800000000000000000000000000000000000D3 -:109D900000000000000000000000000000000000C3 -:109DA00000000000000000000000000000000000B3 -:109DB00000000000000000000000000000000000A3 -:109DC0000000000000000000000000000000000093 -:109DD0000000000000000000000000000000000083 -:109DE0000000000000000000000000000000000073 -:109DF0000000000000000000000000000000000063 -:109E00000000000000000000000000000000000052 -:109E10000000000000000000000000000000000042 -:109E20000000000000000000000000000000000032 -:109E300000000000000040000002000304000020B9 -:109E400080018040000000000000000000000000D1 -:109E500000200402000000000000000000000000DC -:109E600000000000000000000000000000000000F2 -:109E700000000000000000000000000000000000E2 -:109E800000000000000000000000000000000000D2 -:109E900000000000000000000000000000000000C2 -:109EA00000000000000000000000000000000000B2 -:109EB00000000000000000000000000000000000A2 -:109EC0000000000000000000000000000000000092 -:109ED0000000000000000000000000000000000082 -:109EE0000000000000000000000000000000000072 -:109EF0000000000000000000000000000000000062 -:109F00000000000000000000000000000000000051 -:109F10000000000000000000000000000000000041 -:109F20000000000000000000000000000000000031 -:109F30000000000000000000000000000000000021 -:109F4000000000000000000000C003061200F00046 -:109F50009F1804000065F9C2000000000000000026 -:109F600002000008000000000000000000000000E7 -:109F700000000000000000000000000000000000E1 -:109F800000000000000000000000000000000000D1 -:109F900000000000000000000000000000000000C1 -:109FA00000000000000000000000000000000000B1 -:109FB00000000000000000000000000000000000A1 -:109FC0000000000000000000000000000000000091 -:109FD0000000000000000000000000000000000081 -:109FE0000000000000000000000000000000000071 -:109FF0000000000000000000000000000000000061 -:10A000000000000000000000000000000000000050 -:10A010000000000000000000000000000000000040 -:10A020000000000000000000000000000000000030 -:10A030000000000000000000000000000000000020 -:10A040000000000000000000000000000000000010 -:10A0500000000000000000000000000000000338C5 -:10A0600000005000008501382804C0800000000076 -:10A0700000000000160000000000050000000000C5 -:10A0800000000000000000000000000000000000D0 -:10A0900000000000000000000000000000000000C0 -:10A0A00000000000000000000000000000000000B0 -:10A0B00000000000000000000000000000000000A0 -:10A0C0000000000000000000000000000000000090 -:10A0D0000000000000000000000000000000000080 -:10A0E0000000000000000000000000000000000070 -:10A0F0000000000000000000000000000000000060 -:10A10000000000000000000000000000000000004F -:10A11000000000000000000000000000000000003F -:10A12000000000000000000000000000000000002F -:10A13000000000000000000000000000000000001F -:10A14000000000000000000000000000000000000F -:10A1500000000000000000000000000000000000FF -:10A1600000000000000000000000000000000000EF -:10A17000000004000200000000088B2C0C0044804A -:10A1800000000000000000000B00001000000200B2 -:10A1900000000000000000000000000000000000BF -:10A1A00000000000000000000000000000000000AF -:10A1B000000000000000000000000000000000009F -:10A1C000000000000000000000000000000000008F -:10A1D000000000000000000000000000000000007F -:10A1E000000000000000000000000000000000006F -:10A1F000000000000000000000000000000000005F -:10A20000000000000000000000000000000000004E -:10A21000000000000000000000000000000000003E -:10A22000000000000000000000000000000000002E -:10A23000000000000000000000000000000000001E -:10A24000000000000000000000000000000000000E -:10A2500000000000000000000000000000000000FE -:10A2600000000000000000000000000000000000EE -:10A2700000000000000000000000000000000000DE -:10A2800000000000000008000000100001088031FC -:10A290000C244018000000000000000040100000E6 -:10A2A00000000000000000000000000000000000AE -:10A2B000000000000000000000000000000000009E -:10A2C000000000000000000000000000000000008E -:10A2D000000000000000000000000000000000007E -:10A2E000000000000000000000000000000000006E -:10A2F000000000000000000000000000000000005E -:10A30000000000000000000000000000000000004D -:10A31000000000000000000000000000000000003D -:10A32000000000000000000000000000000000002D -:10A33000000000000000000000000000000000001D -:10A34000000000000000000000000000000000000D -:10A3500000000000000000000000000000000000FD -:10A3600000000000000000000000000000000000ED -:10A3700000000000000000000000000000000000DD -:10A3800000000000000000000000000000000000CD -:10A39000000000000000000000000080000000003D -:10A3A0000043610040F6E3100000000000000000E0 -:10A3B0000600000000000200000000000000000095 -:10A3C000000000000000000000000000000000008D -:10A3D000000000000000000000000000000000007D -:10A3E000000000000000000000000000000000006D -:10A3F000000000000000000000000000000000005D -:10A40000000000000000000000000000000000004C -:10A41000000000000000000000000000000000003C -:10A42000000000000000000000000000000000002C -:10A43000000000000000000000000000000000001C -:10A44000000000000000000000000000000000000C -:10A4500000000000000000000000000000000000FC -:10A4600000000000000000000000000000000000EC -:10A4700000000000000000000000000000000000DC -:10A4800000000000040000000000000000000000C8 -:10A4900000000000000000000000000000000000BC -:10A4A00000000000000000000000000000000000AC -:10A4B0000040000000000000200028000000001004 -:10A4C000100000000000000000000000000808006C -:10A4D000000000000000000000000000000000007C -:10A4E0000000080008000000000000000008000054 -:10A4F0000800000000000000000000000000000054 -:10A50000000010000000000000000000000000003B -:10A51000000000000000000000000000000000003B -:10A52000000000000000000000000000000000002B -:10A530000000000400000000000000000000000017 -:10A54000000000000000000000000000000000000B -:10A5500000000000000000000000000000000000FB -:10A5600000000000000000000000000000000000EB -:10A5700000000000000000000000000000000000DB -:10A5800000000000000000000000000000000000CB -:10A5900000000000000000000000000000000000BB -:10A5A00000000000000000000000000000000000AB -:10A5B000000000000000000000000000000000009B -:10A5C0000000000900000000000000000C02000074 -:10A5D00076000000000079000000000000000D007F -:10A5E000000C00000000000000000000000000005F -:10A5F0000000000000000C000C0000000000000043 -:10A60000000C000008090000000000000000000429 -:10A610000004000000003500000000000000000001 -:10A620000000000000000000B0000000000000007A -:10A63000000000000000000000000000000000001A -:10A64000000000000000000000000000000000000A -:10A6500000000000000000000000000000000000FA -:10A6600000000000000000000000000000000000EA -:10A6700000000000000000000000000000000000DA -:10A6800000000000000000000000000000000000CA -:10A6900000000000000000000000000000000000BA -:10A6A00000000000000000000000000000000000AA -:10A6B000000000000000000000000000000000009A -:10A6C000000000000000000000000000000000008A -:10A6D00000000000000000000030000000700000DA -:10A6E0002100000000000000000000000000000049 -:10A6F000000000000000000000000000000000005A -:10A700000000000000000000000000000000000049 -:10A710000000000000000000000000000000000039 -:10A720000000000100010000000000000000000027 -:10A730000000000000000000000000000000000019 -:10A740000000000000000000000000000000000009 -:10A7500000000000000000000000000800000000F1 -:10A7600000000000000000000000000000000000E9 -:10A7700000000000000000000000000000000000D9 -:10A7800000000000000000000000000000000000C9 -:10A7900000000000000000000000000000000000B9 -:10A7A00000000000000000000000000000000000A9 -:10A7B0000000000000000000000000000000100089 -:10A7C0000000000000000030000000000000000059 -:10A7D0000000000000000000000000000000000079 -:10A7E0000000000000000000000000000000000069 -:10A7F0000000000000000000000000000000000059 -:10A800000000000000000000000000000020000028 -:10A810003000000000020000000000000000000006 -:10A820000000000000010000000000000000000027 -:10A830000000000000000000000000000000000018 -:10A840000000000000000000000000000000000008 -:10A8500008000000000000000000000000000000F0 -:10A8600000000000000000000000000000000000E8 -:10A8700000000000000000000000000000000000D8 -:10A8800000000000000000000000000000000000C8 -:10A8900000000000000000000000000000000000B8 -:10A8A00000000000000000000000000000000000A8 -:10A8B0000000000000000000000000000000000098 -:10A8C0000000000000000000000000000000000088 -:10A8D00000000000000000000000008000000000F8 -:10A8E0000000000000000000000000000000000068 -:10A8F0000000000000000000000000000000000058 -:10A900000000000000000000000000000000000047 -:10A910000000000000000000000000000000000037 -:10A92000000000008000000000080000000000009F -:10A930000000000000000000000C0000000000000B -:10A940000000000000000000000000000000000007 -:10A9500000000000000000000000000000000000F7 -:10A9600000000000000000000000000000000000E7 -:10A9700000000000000000000000000000000000D7 -:10A9800000000000000000000000000000000000C7 -:10A9900000000000000000000000000000000000B7 -:10A9A00000000000000000000000000000000000A7 -:10A9B0000000000000000000000000000000000097 -:10A9C0000000000000000000000000000000000087 -:10A9D0000000000000000000000000000000000077 -:10A9E00000000000000000000000000000404200E5 -:10A9F0000000000000000000000000000000000057 -:10AA00000000000000000000000000000000000046 -:10AA10000000000000000000000000000000000036 -:10AA20000000000000000000000000000004000022 -:10AA30000000000000100000000000000000000006 -:10AA40000000000000000000000000000000000006 -:10AA500000000000000000000000000000000000F6 -:10AA600000000000000000000000000000000000E6 -:10AA700000000000000000000800000000000000CE -:10AA800000000000000000000000000000000000C6 -:10AA900000000000000000000000000000000000B6 -:10AAA00000000000000000000000000000000000A6 -:10AAB0000000000000000000000000000000000096 -:10AAC0000000000000000000000000000000000086 -:10AAD0000000000000000000000000000000000076 -:10AAE0000000000000000000000000000000000066 -:10AAF0000000000000000000000000000000000056 -:10AB000000800800000000000000000000000000BD -:10AB10000000000000000000000000000000000035 -:10AB20000000000000000000000000000000000025 -:10AB3000000000000000000000000000FFFFFFFF19 -:10AB4000FFFFFFFF00000000000000000000000009 -:10AB500000000000000000000000000000000000F5 -:10AB600000000000000000000000000000000000E5 -:10AB700000000000000000000000000000000000D5 -:10AB800000000000000000000000000000000000C5 -:10AB900000000000000000000000000000000000B5 -:10ABA000000000003B02C6C60000000000000000DC -:10ABB0000000000000000000000000000000000095 -:10ABC0000000000000000000000000000000000085 -:10ABD000AA007F010000000000000000000000004B -:10ABE0000000000000000000000000000000000065 -:10ABF0000000000000000000000000000000000055 -:10AC00000000000000000000000000000000000044 -:10AC100000000000008000000000000000000000B4 -:10AC20000000000000000000000000000000000024 -:10AC30000000000000000000000000000000000014 -:10AC40000000000000000000000000000000000004 -:10AC500080240E0080240E00000000000000000090 -:10AC600000000000000000000000000000000000E4 -:10AC700000000000000000000000000000000000D4 -:10AC800000000000000000000000000000000000C4 -:10AC900000000000000000000000000000000000B4 -:10ACA00000000000000000000000000000000000A4 -:10ACB000000000000000000000000C000000000088 -:10ACC0000000000000000000000000000000000084 -:10ACD0000000000000000000000000000000000074 -:10ACE0000000000000000C00000000000000000058 -:10ACF0000000000000000000000000000000000054 -:10AD00000000000000000000000000000000000043 -:10AD10000000000000000000000000000000000033 -:10AD20000000100000000000000000000000000013 -:10AD30000000000000000000000000000000000013 -:10AD40000000000000000000000000000000000003 -:10AD500000000000000000000000000000000000F3 -:10AD600000000000000000000000000000000000E3 -:10AD700000000000000000000000000000000000D3 -:10AD800000000000000000000000000000000000C3 -:10AD900000000000000000000000000000000000B3 -:10ADA00000000000000000000000000000000000A3 -:10ADB0000000000000000000000000000000000093 -:10ADC0000000000000000000000000000000000083 -:10ADD0000000000000000000000000000000000073 -:10ADE0000000000000000000000000000000000063 -:10ADF0000000000000000000000000000000000053 -:10AE00000000000000000000000000000000000042 -:10AE10000000000000000000000000000000000032 -:10AE20000000000000000000000000000000000022 -:10AE30000000000000000000000000000000000012 -:10AE40000000000000000000000000000000000002 -:10AE500000000000000000000000000000000000F2 -:10AE600000000000000000000000000000000000E2 -:10AE700000000000000000000000000000000000D2 -:10AE800000000000000000000000000000000000C2 -:10AE900000000000000000000000000000000000B2 -:10AEA00000000000000000000000000000000000A2 -:10AEB0000000000000000000000000000000000092 -:10AEC0000000000000000000000000000000000082 -:10AED0000000000000000000000000000000000072 -:10AEE0000000000000000000000000000000000062 -:10AEF0000000000000000000000000000000000052 -:10AF0000000000000000000044BB731000000000BF -:10AF10000000000000000000000000000000000031 -:10AF20000000000000000000000000000000000021 -:10AF30000000000000000000000000000000000011 -:10AF40000000000000000000000000000000000001 -:10AF500000000000000000000000000000000000F1 -:10AF600000000000000000000000000000000000E1 -:10AF700000000000000000000000000000000000D1 -:10AF80000000000000000000000000008028000019 -:10AF9000C0200000000000000000000000000000D1 -:10AFA00000000000000000000000000000000000A1 -:10AFB0000000000000000000000000000000000091 -:10AFC0000000000000000000000000000000000081 -:10AFD0000000000000000000000000000000000071 -:10AFE0000000000000000000000000000000000061 -:10AFF0000000000000000000000000000000000051 -:10B000000000000000000000000000000000000040 -:10B010000000000000000000000000000000000030 -:10B020000000000000000000000000000000000020 -:10B030000000000000000000000000000000000010 -:10B040000000000000000000000000000000000000 -:10B0500000000000000000000000000000000000F0 -:10B0600000000000000000000000000000000000E0 -:10B0700000000000000000000000000000000000D0 -:10B0800000000000000000000000000000000000C0 -:10B0900000000000000000000000000000000000B0 -:10B0A00080280000000100000000000000000000F7 -:10B0B0000000000000000000000000000000000090 -:10B0C0000000000000000000000000000000000080 -:10B0D0000000000000000000000000000000000070 -:10B0E0000000000000000000000000000000000060 -:10B0F0000000000000000000000000000000000050 -:10B10000000000000000000000000000000000003F -:10B11000000000000000000000000000000000002F -:10B12000000000000000000000000000000000001F -:10B13000000000000000000000000000000000000F -:10B1400000000000000000000000000000000000FF -:10B1500000000000000000000000000000000000EF -:10B1600000000000000000000000000000000000DF -:10B1700000000000000000000000000000000000CF -:10B1800000000000000000000000000000000000BF -:10B1900000000000000000000000000000000000AF -:10B1A000000000000000000000000000000000009F -:10B1B00000000000440000C0C000000000000000CB -:10B1C000000000000000000000000000000000007F -:10B1D000000000000000000000000000000000006F -:10B1E000000000000000000000000000000000005F -:10B1F000000000000000000000000000000000004F -:10B20000000000000000000000000000000000003E -:10B21000000000000000000000000000000000002E -:10B22000000000000000000000000000000000001E -:10B23000000000000000000000000000000000000E -:10B2400000000000000000100300A000000000004B -:10B2500000000000000000000000000000000000EE -:10B2600000000000000000000000000000000000DE -:10B2700000000000000000000000000000000000CE -:10B28000000000000000002000000000000000009E -:10B2900000000000000000000000000000000000AE -:10B2A000000000000000000000000000000000009E -:10B2B000000000000000000000000000000000008E -:10B2C000000000000000000000000004100000402A -:10B2D000000000000000000000000000000000006E -:10B2E000000000000000000000000000000000005E -:10B2F000000000000000000000000000000000004E -:10B30000000000000000000000000000000000003D -:10B31000000000000000000000000000000000002D -:10B32000000000000000000000000000000000001D -:10B33000000000000000000000000000000000000D -:10B3400000000000000000000000000000000000FD -:10B3500000000000000000000000000002000000EB -:10B3600000000000000000000000000000000000DD -:10B3700000000000000000000000000000000000CD -:10B3800000000000000000000000000000000000BD -:10B39000000000000000000000000030000000007D -:10B3A000000000000000000000000000000000009D -:10B3B000000000000000000000000000000000008D -:10B3C000000000000000000000000000000000007D -:10B3D000000000000000000000000000000000026B -:10B3E000300000000000000000000000000000002D -:10B3F000000000000000000000000000000000004D -:10B40000000000000000000000000000000000003C -:10B41000000000000000000000000000000000002C -:10B42000000000000000000000000000000000001C -:10B43000000000000000000000000000000000000C -:10B440000000000040080000108002000000000022 -:10B4500000000000000000000000000000000000EC -:10B46000000000000000000000000000260010099D -:10B470000004003800000000000000000000000090 -:10B4800000000000000000000000000000000000BC -:10B4900000000000000000000000000000000000AC -:10B4A000000000000000000000000000000000009C -:10B4B000000000000000000000000000000000008C -:10B4C000000000000000000000000000000000007C -:10B4D000000000000000000000000000000000006C -:10B4E000000000000000000000000000000000005C -:10B4F00000000030280000000000000000000000F4 -:10B50000000000000000000000000000000000003B -:10B51000000000000000000000000000000000002B -:10B52000000000000000000000000000000000001B -:10B53000000000000000000000000000000000000B -:10B5400000000000000000000000000000000000FB -:10B5500000000000000000000000000001D0040016 -:10B5600000000000000000000000000000000000DB -:10B5700000000000000000000000000000000000CB -:10B580000C00704C000800000000000000000000EB -:10B5900000000000000000000000000000000000AB -:10B5A000000000000000000000000000000000009B -:10B5B000000000000000000000000000000000008B -:10B5C000000000000000000000000000000000007B -:10B5D000000000000000000000000000000000006B -:10B5E000000000000000000000000000000000005B -:10B5F000000000000000000000000000000000004B -:10B600000000000000000000010000000000000039 -:10B61000000000000000000000000000000000002A -:10B62000000000000000000000000000000000001A -:10B63000000000000000000000000000000000000A -:10B6400000000000000000000000000000000000FA -:10B6500000000000000000000000000000000000EA -:10B6600000000000000000000000000000000000DA -:10B6700000000000000000000000000000000000CA -:10B6800000000000000000000000000000000000BA -:10B6900000000000C00000000000000000000000EA -:10B6A000000000000000000000000000000000009A -:10B6B000000000000000000000000000000000008A -:10B6C000000000000000000000000000000000007A -:10B6D000000000000000000000000000000000006A -:10B6E000000000000000000000000000000000005A -:10B6F000000000000000000000000000000000004A -:10B700000000000000000000000000000000000039 -:10B710000000000000000000000000000C0000001D -:10B720000000000000000000000000000000000019 -:10B730000000000000000000000000000000000009 -:10B7400000000000000000000000000000000000F9 -:10B7500000000000000000000000000000000000E9 -:10B7600000000000000000000000000000000000D9 -:10B7700000000000000000000000000000000000C9 -:10B780000005000000C004000000000000000000F0 -:10B7900000000000000000000000000000000000A9 -:10B7A0000000000000000000220080012803006863 -:10B7B0000000000000000000000000000000000089 -:10B7C0000000000000000000000000000000000079 -:10B7D0000000000000000000000000000000000069 -:10B7E0000000000000000000000000080000E00071 -:10B7F0000000000000000000000000000000000049 -:10B800000000000000000000000000000000000038 -:10B810000000000000000000000000000000000028 -:10B820000000000000000000000000000000000018 -:10B8300016000000000000000000000000000000F2 -:10B8400000000000000000000000000000000000F8 -:10B8500000000000000000000000000000000000E8 -:10B8600000000000000000000000000000000000D8 -:10B8700000000000000000000000000000000000C8 -:10B8800000000000000000000000000000000000B8 -:10B8900000000000400D000000000000000000005B -:10B8A0000000000000000000000000000000000098 -:10B8B0000000000000000000000000000000000088 -:10B8C000921A0014000000000000000000000000B8 -:10B8D0000000000000000000000000000000000068 -:10B8E0000000000000000000000000000000000058 -:10B8F0000000000000000000000000000000000048 -:10B900000000000000000000000000000000000037 -:10B910000000000000000000000000000000000027 -:10B920000000000000000000000000000000000017 -:10B930000000000000000000000000008000000087 -:10B9400000000000000000000000000000000000F7 -:10B9500000000000000000000000000000000000E7 -:10B9600008000000000000000000000804000000C3 -:10B9700000000008000000000000000000000800B7 -:10B9800000000000000004000000000000000000B3 -:10B9900000000000000000000000000000000000A7 -:10B9A0000000000000000000020000000000020093 -:10B9B0000000000000000000000000000000000087 -:10B9C0000000000000000000000000000000000077 -:10B9D0000000000000000000000000000000000067 -:10B9E0000000000000000000000000000000000057 -:10B9F0000000000000000000000000000000000047 -:10BA00000000000000000000000000000000040032 -:10BA10000000002000000000000000000000000006 -:10BA20000000000000000000000000000000000016 -:10BA30000000000000000000000000000000000006 -:10BA400000000000000000000000000000000000F6 -:10BA500000000000000000000000000000000000E6 -:10BA600000000000000000000000000000000000D6 -:10BA7000000000000C0000000000000000000008B2 -:10BA8000000000000000000C0000000000000000AA -:10BA900000000C000000000000000000000000009A -:10BAA0000000000000000000000000000000000096 -:10BAB0000000000000000000000000000000000086 -:10BAC0000000000000000000000000000000000076 -:10BAD0000000000000000000000000000000000066 -:10BAE0000000000000000000000000000000000056 -:10BAF0000000000000000000000000000000000046 -:10BB00000000000000000000000000000000000035 -:10BB1000000000000000050000000100000000001F -:10BB20000000000000000000000000000000000015 -:10BB30000000000000000000000000000000000005 -:10BB400000000000000000000000000000000000F5 -:10BB50000000000000000000000000000000005095 -:10BB600000000000300000000000000000000000A5 -:10BB700000000000000000000000000000000000C5 -:10BB800000000000000000000000000000000000B5 -:10BB9000000000000800000000000000000000009D -:10BBA000000000000000000000000000000008008D -:10BBB000000000000000000A00000000000000007B -:10BBC0000000000000000000000000000000000075 -:10BBD0000000000000000000000000000000000065 -:10BBE0000000000000000000000000000000000055 -:10BBF0000000000000000000000000000000000045 -:10BC00000000000000000000000000000000000034 -:10BC10000000000000000000000000000000000024 -:10BC20000000000000000000000000000000000014 -:10BC30000000000000000C000000000000000000F8 -:10BC400000000000000000000000000000000000F4 -:10BC500000000000000000000000000000000000E4 -:10BC600000000000000000000000000000000000D4 -:10BC700000000000000000000000000000000000C4 -:10BC800000000000000000000200000000000000B2 -:10BC900000000000000000000000000000000000A4 -:10BCA0000000000000000000000000000000000094 -:10BCB0000000000000000000000000000000000084 -:10BCC000000000000000000000000008000000006C -:10BCD0000000000000000000000000000000000064 -:10BCE0000000000000000000000000000000000054 -:10BCF0000000000000020000000000000000000042 -:10BD00000000000000000000000000000000000033 -:10BD10000000000000000000000000000000000023 -:10BD20000000000000000000000000000000000013 -:10BD30000000000000000000000000000000000003 -:10BD400000000000000000000000000000000000F3 -:10BD500000000000000000000000000000000000E3 -:10BD600000000000000000000000000000000000D3 -:10BD700000000000000000000000000000000000C3 -:10BD800000000000000000000000000000000000B3 -:10BD9000000000000000000000000000040000009F -:10BDA0000000000000000000000000000000000093 -:10BDB0000000000000000000000000000000000083 -:10BDC0000000000000000000000000000000000073 -:10BDD0000000000000000000000000000000000162 -:10BDE0000000000000000000000000000000000053 -:10BDF0000000000000000030000000000000000013 -:10BE00000000000000000000000000000000000032 -:10BE10000000000000000000000000000000000022 -:10BE20000000000000000000000000000000000012 -:10BE30000000000000000000000000000000000002 -:10BE400000000000000000000000000000000000F2 -:10BE500000000000000000000000000000000000E2 -:10BE600000000000000000000000000000000000D2 -:10BE700000000000000000000000000000000000C2 -:10BE800000000000000000000000000000000000B2 -:10BE900000000000000000000000000000000000A2 -:10BEA0000000000000000000000000020000000090 -:10BEB0000000000000000000000000000000000082 -:10BEC0000000000000000000000000000000000072 -:10BED0000000000000000000000000000000000062 -:10BEE0000000000000000000000000000000000052 -:10BEF0000000000000000000000000000000000042 -:10BF00000000000000000000000000200000000011 -:10BF10000000000000000000000000000010000011 -:10BF20000000000000000000000000000000000011 -:10BF30000000000000000000000000000000000001 -:10BF400000000000000000000000000000000000F1 -:10BF500000000000000000000000000000000000E1 -:10BF600000000000000000000000000000000000D1 -:10BF70000000000000000000000000000080080039 -:10BF800000000000000000000000000000000000B1 -:10BF900000000000000000000000000000000000A1 -:10BFA0000000000000000000000000000000000091 -:10BFB0000000000000000000000000000000000081 -:10BFC0000000000000000000000000000000000071 -:10BFD0000000000000000000000000000000000061 -:10BFE0000000000000000000000000000000000051 -:10BFF0000000000000000000000000000000000041 -:10C000000000000000000000000000002000DFFF32 -:10C010000000000000000000000000000000000020 -:10C020000000000000000000000000000000000010 -:10C030000000000000000000000000000000000000 -:10C0400000000000000000000AF5C6C65D04CEF73F -:10C0500000000000000000000000000000000000E0 -:10C0600000000000000000000000000000000000D0 -:10C0700000000000000000000000000000000000C0 -:10C0800000000000000000000000000000000000B0 -:10C090000080000000000000000000000000000020 -:10C0A0000000000000000000000000000000000090 -:10C0B0000000000000000000000000000000000080 -:10C0C0000000000000000000000000000000000070 -:10C0D0000000000000000000000000000000000060 -:10C0E0000000000000000000000000000000000050 -:10C0F0000000000000000000000000000000000040 -:10C10000000000000000000000000000000000002F -:10C11000000000000000000000000000000000001F -:10C1200000000C0000000000000000000000000003 -:10C1300000000000000000000000000000000000FF -:10C1400000000000000000000000000000000000EF -:10C1500000000000000000000000000000000C00D3 -:10C1600000000C00000000000000000000000000C3 -:10C1700000000000000000000000000000000000BF -:10C1800000000000000000000000000000000000AF -:10C19000000000000000000000000000000000009F -:10C1A000000000000000000000000000000000008F -:10C1B000000000000000000000000000000000007F -:10C1C000000000000000000000000000000000006F -:10C1D000000000000000000000000000000000005F -:10C1E000000000000000000000000000000000004F -:10C1F000000000000000000000000000000000003F -:10C20000000000000000000000000000000000002E -:10C21000000000000000000000000000000000001E -:10C22000000000000000000000000000000000000E -:10C2300000000000000000000000000000000000FE -:10C2400000000000000000000000000000000000EE -:10C25000000000008004000000000000000000005A -:10C2600000000000000000000000000000000000CE -:10C270009004000090041000000000000000000086 -:10C2800000000000000000000000000000000000AE -:10C29000000000000000000000000000000000009E -:10C2A000000000000000000000000000000000008E -:10C2B000000000000000000000000000000000007E -:10C2C000000000000000000000000000000000006E -:10C2D000000000000000000000000000000000005E -:10C2E000000000000000000000000000000000004E -:10C2F000000000000000000000000000000000003E -:10C30000000000000000000000000000000000002D -:10C31000000000000000000000000000000000001D -:10C32000000000000000000000000000000000000D -:10C3300000000000000000000000000000000000FD -:10C34000000000007777FFFF000000000000000001 -:10C3500000000000000000000000000000000000DD -:10C36000FFFF9C9C00000000FFFFFFFF000000009B -:10C3700000000000000000000000000000000000BD -:10C3800000000000EDCC0104FBFE213300000000A2 -:10C39000000000000000000000000000000000009D -:10C3A000000000000000000000000000000000008D -:10C3B000000000000000000000000000000000007D -:10C3C000000000000000000000000000000000006D -:10C3D000000000000000000000000000000000005D -:10C3E000000000000000000000000000000000004D -:10C3F000000000000000000000000000000000003D -:10C40000000000000000000000000000000000002C -:10C41000000000000000000000000000000000001C -:10C42000000000000000000000000000000000000C -:10C4300000000000000000000000000000000000FC -:10C4400000000000000000000000000000000000EC -:10C4500000000000000000000000000000000000DC -:10C4600000000000000000000000000000000000CC -:10C4700000000000000000000000000400000000B8 -:10C4800000000000000000000000000000000000AC -:10C490000000000000000000510002060000A8009B -:10C4A000000000000000000000000000000000008C -:10C4B000000000000000000000000000000000007C -:10C4C000000000000000000000000000000000006C -:10C4D000000000000000000000000000000000005C -:10C4E000000000000000000000000000000000004C -:10C4F000000000000000000000000000000000003C -:10C50000000000000000000000000000000000002B -:10C51000000000000000000000000000000000001B -:10C52000000000000000000000000000000000000B -:10C5300000000000000000000000000000000000FB -:10C5400000000000000000000000000000000000EB -:10C5500000000000000000000000000000000000DB -:10C5600000000000000000000000000000000000CB -:10C5700000000000000000000000000000000000BB -:10C580000000000000000000000000004000080063 -:10C59000000000000000000000000000000000009B -:10C5A00000000000000000000000000051008000BA -:10C5B0000000440100000000000000000000000036 -:10C5C000000000000000000000000000000000006B -:10C5D000000000000000000000000000000000005B -:10C5E000000000000000000000000000000000004B -:10C5F000000000000000000000000000000000003B -:10C60000000000000000000000000000000000002A -:10C61000000000000000000000000000000000001A -:10C62000000000000000000000000000000000000A -:10C6300000000000000000000000000000000000FA -:10C6400000000000000000000000000000000000EA -:10C6500000000000000000000000000000000000DA -:10C6600000000000000000000000000000000000CA -:10C6700000000000000000000000000000000000BA -:10C68000000000C00000C300000000000000000027 -:10C69000000000000000000000000020000000007A -:10C6A0000000000000C800000000000000000000C2 -:10C6B000000000000000000000000000000000007A -:10C6C00080810B08C101C8C8000000000000000004 -:10C6D000000000000000000000000000000000005A -:10C6E000000000000000000000000000000000004A -:10C6F000000000000000000000000000000000003A -:10C700000000000000000000000000000000000029 -:10C710000000000000000000000000000000000019 -:10C720000000000000000000000000000000000009 -:10C7300000000000000000000000000000000000F9 -:10C7400000000000000000000000000000000000E9 -:10C7500000000000000000000000000000000000D9 -:10C7600000000000000000000000000000000000C9 -:10C7700000000000000000000000000000000000B9 -:10C7800000000000000000000000000000000000A9 -:10C790000000000000000040000003000000000056 -:10C7A0000000000000000000000000000000000089 -:10C7B0000000000000000000000000000000000079 -:10C7C0000000000000000000000000000000000069 -:10C7D0000000000080034000A001000000000000F5 -:10C7E0000000000000000000000000000000000049 -:10C7F0000000000000000000000000000000000039 -:10C800000000000000000000000000000000000028 -:10C810000000000000000000000000000000000018 -:10C820000000000000000000000000000000000008 -:10C8300000000000000000000000000000000000F8 -:10C8400000000000000000000000000000000000E8 -:10C8500000000000000000000000000000000000D8 -:10C8600000000000000000000000000000000000C8 -:10C8700000000000000000000000000000000000B8 -:10C8800000000000000000000000000000000000A8 -:10C890000000000000000000000000000000000098 -:10C8A00000000000000000000000F4000C00001078 -:10C8B0000000000000000000000000000000000078 -:10C8C000000000000000C0000000000000000000A8 -:10C8D0000000000000000000000000000000000058 -:10C8E0000000000000000000B0D203038C86A3709B -:10C8F0000000000000000000000000000000000038 -:10C900000000000000000000000000000000000027 -:10C910000000000000000000000000000000000017 -:10C9200000000000000000000000001000000000F7 -:10C9300000000000000000000000000000000000F7 -:10C9400000000000000000000000000000000000E7 -:10C9500000000000000000000000000000000000D7 -:10C9600000000000000000000000000000000000C7 -:10C9700000000000000000000000000000000000B7 -:10C9800000000000000000000000000000000000A7 -:10C990000000000000000000000000000000000097 -:10C9A0000000000000000000000000000000000087 -:10C9B0000000000000000000000000000000001067 -:10C9C00002000080000000000000000000000000E5 -:10C9D0000000000000000000000000000000000057 -:10C9E0000000000000000000000000000000000047 -:10C9F00000000000000000000000000008022010FD -:10CA0000020FD01D00000000000000000000000028 -:10CA10000000000000000000000000000000000016 -:10CA20000000000000000000000000000000000006 -:10CA300000000000000000000000000010000000E6 -:10CA400000000000000000000000000000000000E6 -:10CA500000000000000000000000000000000000D6 -:10CA600000000000000000000000000000000000C6 -:10CA700000000000000000000000000000000000B6 -:10CA800000000000000000000000000000000000A6 -:10CA90000000000000000000000000000000000096 -:10CAA0000000000000000000000000000000000086 -:10CAB0000000000000000000000000000000000076 -:10CAC0000000000000000000000000000000000066 -:10CAD00000008064000000880000000000000000EA -:10CAE00000000000000000000000000000008000C6 -:10CAF0000000000000000000000000000000000036 -:10CB00000000000000000000000000000000000025 -:10CB10000001408060801004000000000000000060 -:10CB20000000000000000000000000000000000005 -:10CB300000000000000000000000000000000000F5 -:10CB400000000000000000000000000000000000E5 -:10CB50000020001000001000000000000000000095 -:10CB600000000000000000000000000000000000C5 -:10CB700000000000000000000000000000000000B5 -:10CB800000000000000000000000000000000000A5 -:10CB90000000000000000000000000000000000095 -:10CBA0000000000000000000000000000000000085 -:10CBB0000000000000000000000000000000000075 -:10CBC0000000000000000000000000000000000065 -:10CBD0000000000000000000000000000000000055 -:10CBE00000000000100080312807006800000000ED -:10CBF0000000000000000000000000000000030032 -:10CC00000000000000000000000000000000000024 -:10CC10000000000000000000000000000000000014 -:10CC200000000000A24C93110C84E1780000000089 -:10CC300000000000000000000000000000000000F4 -:10CC400000000000000000000000000000000000E4 -:10CC500000000000000000000000000000000000D4 -:10CC60000000000000100000000060000000000054 -:10CC700000000000000000000000000000000000B4 -:10CC800000000000000000000000000000000000A4 -:10CC90000000000000000000000000000000000094 -:10CCA0000000000000000000000000000000000084 -:10CCB0000000000000000000000000000000000074 -:10CCC0000000000000000000000000000000000064 -:10CCD0000000000000000000000000000000000054 -:10CCE0000000000000000000000000000000000044 -:10CCF00000000000000000000E0070300020001056 -:10CD00000000000000000000000000000000000023 -:10CD1000002000500000F000000000700000000043 -:10CD20000000000000000000000000000000000003 -:10CD300000000000000000001614102C000FE81284 -:10CD400000000000000000000000000000000000E3 -:10CD500000000000000000000000000000000000D3 -:10CD600000000000000000000000000000000000C3 -:10CD70000000000000000000000000200000020091 -:10CD800000000000000000000000000000000000A3 -:10CD90000000000000000000000000000000000093 -:10CDA0000000000000000000000000000000100073 -:10CDB000000000000000000000000000000800006B -:10CDC0001000000800080000000000000000000043 -:10CDD0000000100000000000000000000000000043 -:10CDE000000000001000000000000800000000002B -:10CDF0000000000000001000000000000000000023 -:10CE00000000000000000000100000000004080006 -:10CE10000000000000000000000010000000000002 -:10CE200000010000000000000800080000000000F1 -:10CE300000000000000000000000000000000000F2 -:10CE4000000000000000000000000480000400005A -:10CE500000020002000000000000000000000000CE -:10CE600000000000000000000000000000000000C2 -:10CE700000000000000000000000000000000000B2 -:10CE8000000000000000000000000000002400007E -:10CE90000000000000000000000000000000000092 -:10CEA0000000000000000000000000000000000082 -:10CEB0000000000000000000000000000000000072 -:10CEC0000000300000000000000000000000000032 -:10CED000000C00003000000C00000000000000000A -:10CEE0000000000000003000000000000000000012 -:10CEF0000000000000000000300E000000000800EC -:10CF000000000000000000000000300000000000F1 -:10CF100000000000000000000000000030000000E1 -:10CF200000000800000000000000B030A000300049 -:10CF300000000000000000000000000000000400ED -:10CF40005000000000000000000000000000000091 -:10CF500000000000000000000000000000000000D1 -:10CF6000000000000000000E0000000000000000B3 -:10CF700000000000000000000000000000000000B1 -:10CF800000000000000000000000000000000000A1 -:10CF90000000000000000000000000000000000091 -:10CFA0000030000800000C0000000000000000003D -:10CFB0000001000000000000000000000000000070 -:10CFC00000000000000000000000000000000E0053 -:10CFD0000000000000000000000000000000000051 -:10CFE0000000000000000000000000000000000041 -:10CFF0000000000000000000000000000000000031 -:10D0000000000100014000000000000000000000DE -:10D010000000000000000000000000000000000010 -:10D020000000000000000000000000000000000000 -:10D030000000000000000000000000000000008070 -:10D0400000000000000000000004000000000000DC -:10D0500000000000000000000000000000000000D0 -:10D0600000000000000000000000000000000000C0 -:10D0700000000000000000000000000000000000B0 -:10D0800000000000000000000000000000000000A0 -:10D090000000000000000000000000000000000090 -:10D0A0000000000000000000000000000000000080 -:10D0B0000000000000000040000000000000000030 -:10D0C0000000000000000000000000000000000060 -:10D0D0000000000000000000000000000000000050 -:10D0E0000000200000000000000000000000000020 -:10D0F0000000000000000000000000000000000030 -:10D1000000000000000000000000000000400000DF -:10D11000000000000000000000000000000000000F -:10D1200000000000800000000000000080000000FF -:10D1300000000000000000000000000000000040AF -:10D14000000000000000200020400000000000005F -:10D1500002000000000000000000000000000000CD -:10D1600000000000000000000000000000000000BF -:10D17000008000000000000000000000000000002F -:10D180000000000000000008000400000000000093 -:10D19000000000000000000000000000000000008F -:10D1A000000000000000000000000000000000007F -:10D1B000000000000000000000000000000000006F -:10D1C000000000000000000010000000000000004F -:10D1D000000000000000000000000000000000004F -:10D1E000000000000000000000000000000000003F -:10D1F00000000000000040000000000000000000EF -:10D20000000000000000000000000000000000001E -:10D21000000000000000000000000000000000000E -:10D2200000300000000000000000000002000000CC -:10D2300000000000000000003000000000000000BE -:10D2400030000000000000000000000000000000AE -:10D25000000000300000000000000000000000009E -:10D2600000000000040000000000000000000000BA -:10D2700000000000000000000000000000000000AE -:10D28000000000000010000000000000000000008E -:10D29000000000000040000000000000000100004D -:10D2A000000100000000000000000030000000004D -:10D2B000000000000000000000000000000000006E -:10D2C000000000000000000000000000000000005E -:10D2D00000000000020C0000000000000000000040 -:10D2E000000000400080000000000000000000007E -:10D2F000000000000000000000000000000000002E -:10D30000000000000000000000000000000000001D -:10D31000000000000000000000000000000000000D -:10D3200000000000080000000000000000000000F5 -:10D3300000000000000000000000004000000000AD -:10D3400000000000000000000000000000000000DD -:10D3500000000000000000000000000000000000CD -:10D3600000000000000000000000000000001000AD -:10D370001004000000000000000000000000000099 -:10D38000000000000000000000000000000000009D -:10D39000000000000000000000000000000080818C -:10D3A0000000000000000000000000000000000875 -:10D3B000000000000000000000000000000000204D -:10D3C000000000000000000000000000000000005D -:10D3D000000000000000000000000000000000004D -:10D3E0000000000000000000000400000000000039 -:10D3F00000000000000000000080080000000000A5 -:10D40000000000000000000000000000000000001C -:10D41000000000000000000000000000000000000C -:10D4200000000000000000000000000000000000FC -:10D4300000000000FFFFFFFF0000000000000000F0 -:10D4400000000000000000000000000000000000DC -:10D4500000000000000000000000000000000000CC -:10D4600000000000000000000000000000000000BC -:10D4700000000000000000000000000000000000AC -:10D4800000000000F1701E87000000000000000096 -:10D49000000000000F0511007F77EBAA0C0C1F079E -:10D4A0002233CDCE0000000000000000000000008C -:10D4B000000000000000000000000000000000006C -:10D4C000000000000000000000000000000000005C -:10D4D000000000000000000000000000000000004C -:10D4E000000000000000000000000000000000003C -:10D4F000000000000000000000000000000000002C -:10D50000000000000000000000000000008000009B -:10D51000000000000000000000000000000000000B -:10D5200000000000000000000000000000000000FB -:10D5300000000000000000000000000000000000EB -:10D54000000000000000000080240E000000000029 -:10D5500000000000000000000000000000000000CB -:10D5600000000000000000000000000000000000BB -:10D5700000000000000000000000000000000000AB -:10D58000000000000000000000000000000000009B -:10D59000000000000000000000201E00000000004D -:10D5A000000000000000000000000C0000201E0031 -:10D5B00000000C0010201E00000000000000000011 -:10D5C000000000000000000000000000000000005B -:10D5D000000000000000000000000000000000004B -:10D5E000000000000000000000000000000000003B -:10D5F000000000000000000000000000000000002B -:10D60000000000000000000000000000000000001A -:10D6100000000000000000000000100000000000FA -:10D6200000000000000000000000000000000000FA -:10D6300000000000000000000000000000000000EA -:10D6400000000000000000000000000000000000DA -:10D650000000000000000000000000009024120004 -:10D6600000000000000000000000000000000000BA -:10D6700000000000000000000000000000000000AA -:10D68000000000000000000000000000000000009A -:10D69000000000000000000000000000000000008A -:10D6A0000000000000000000000000004C8040B8B6 -:10D6B0004C8040B94D8040B1000000009004000053 -:10D6C00000000000900410000000000000000000B6 -:10D6D000000000000000000000000000000000004A -:10D6E000000000000000000000000000000000003A -:10D6F000000000000000000000000000000000002A -:10D700000000000000000000000000000000000019 -:10D710000000000000000000000000000000000009 -:10D7200000000000000000000000000000000020D9 -:10D7300000000000000000000000000000000000E9 -:10D7400000000000000000000000000000000000D9 -:10D7500000000000000000000000000000000000C9 -:10D7600000000000000000000000000000000000B9 -:10D77000FF33FF5500000000000000000000000023 -:10D780000000000000000000000000000000000099 -:10D790000000000000000000000000000000000089 -:10D7A0000000000000000000000000000000000079 -:10D7B0000000000000000000000000000000000069 -:10D7C000CC33CC333C3C66665A5A66660000000097 -:10D7D000CDDCFFFF7310FCBDFBFEEB000000000082 -:10D7E0000000000000000000000000000000000039 -:10D7F0000000000000000000000000000000000029 -:10D800000000000000000000000000000000000018 -:10D810000000000000000000000000000000000008 -:10D8200000000000000000000000000000000000F8 -:10D8300000000000000000000000000000000000E8 -:10D84000000000A000000000000000000000000038 -:10D8500000000000000000000000000000000000C8 -:10D8600000000000000000000000000000000000B8 -:10D8700000000000000000000000000000000000A8 -:10D880000000000001200402402000000000000011 -:10D890000000000000000000000000000000000088 -:10D8A0000000000000000000000000000000000078 -:10D8B0000000000000000000000000000000000068 -:10D8C0000000000000000000000000000000000058 -:10D8D0000000000000100000404000D000000000E8 -:10D8E00000000000800902022000080C81080202EA -:10D8F00020002804000000000000000000000000DC -:10D900000000000000000000000000000000000017 -:10D910000000000000000000000000000000000007 -:10D9200000000000000000000000000000000000F7 -:10D9300000000000000000000000000000000000E7 -:10D9400000000000000000000000000000000000D7 -:10D9500000000000000000040000000000000000C3 -:10D9600000000000000000000000000000000000B7 -:10D9700000000000000000000000000000000000A7 -:10D980000000000000000000000000000000000097 -:10D99000000000000000000002280000000000005D -:10D9A0000000000000000000000000000000000077 -:10D9B0000000000000000000000000000000000067 -:10D9C0000000000000000000000000000000000057 -:10D9D0000000000000000000000000000000000047 -:10D9E000000000000000000000280000000000808F -:10D9F000000000000000000091000000000184020F -:10DA0000910000000001040600000000000000007A -:10DA10000000000000000000000000000000000006 -:10DA200000000000000000000000000000000000F6 -:10DA300000000000000000000000000000000000E6 -:10DA400000000000000000000000000000000000D6 -:10DA500000000000000000000000000000000000C6 -:10DA600000000000000000000000000000000000B6 -:10DA700000000000000000000000000000000000A6 -:10DA80000000000000000000000000000000000096 -:10DA90000000000000000000000000000000000086 -:10DAA00000000000000000000000000000C00000B6 -:10DAB000C3C047000000000000000000000000009C -:10DAC0000000000000000000000000000000000056 -:10DAD0000000000000000000000000000000000046 -:10DAE0000000000000000000000000000000000036 -:10DAF000000000000000000000000000000088623C -:10DB0000000040000000000000000000000020C0F5 -:10DB1000C304030303C303C30300C0C00000000029 -:10DB200000000000000000000000000000000000F5 -:10DB300000000000000000000000000000000000E5 -:10DB400000000000000000000000000000000000D5 -:10DB500000000000000000000000000000000000C5 -:10DB600000000000000000000000000000000000B5 -:10DB70000000000000000000000000000000002085 -:10DB80000000400040000000000000000000000015 -:10DB90000000000000000000000000000000000085 -:10DBA0000000000000000000000000000000000075 -:10DBB0000000000000000000000000000000000065 -:10DBC00000040000C020040000000000000000006D -:10DBD0000000000000000000000000000000000045 -:10DBE0000000000000000000000000000000000035 -:10DBF0000000000000000000000000000000000025 -:10DC00000000000000000000000000000000000014 -:10DC100000000020000001000000000000000000E3 -:10DC200000480082C000410108110528050082104B -:10DC300000000000000000000000000000000000E4 -:10DC400000000000000000000000000000000000D4 -:10DC500000000000000000000000000000000000C4 -:10DC600000000000000000000000000000000000B4 -:10DC700000000000000000000000000000000000A4 -:10DC80000000000000000000000000000000000094 -:10DC90000000003400000000000000000000000050 -:10DCA0000000000000000000000000000000000074 -:10DCB0000000000000000000000000000000000064 -:10DCC0000000000000000000000000000000000054 -:10DCD0000000000000000008080000000000000034 -:10DCE0000000000000000000000000000000000034 -:10DCF0000000000000000000000000000000000024 -:10DD00000000000000000000000000000000000013 -:10DD10000000000000000000000000000000000003 -:10DD2000000000004E02000430C00100000000307E -:10DD300000200000085506005020F138090000407E -:10DD4000109E0740000000000000000000000000DE -:10DD500000000000000000000000000000000000C3 -:10DD600000000000000000000000000000000000B3 -:10DD700000000000000000000000000000000000A3 -:10DD80000000000000000000000000000000000093 -:10DD90000000000000000000000000000000000083 -:10DDA0000000000000000000000000000000000073 -:10DDB0000000000000000000000000000000000063 -:10DDC0000000000000000000000000000000000053 -:10DDD0000000000000000000000000000000000043 -:10DDE00000000000000000001200003422000000CB -:10DDF0000000000000000000000000000000000023 -:10DE00000000000000000000000000000000000012 -:10DE10000000000000000000000000000000000002 -:10DE200000000000000000000000000000000000F2 -:10DE30000000000000000000180700001CC02400C3 -:10DE400000000040000600000005E0000807207008 -:10DE50000100000009010040000000000000000077 -:10DE600000000000000000000000000000000000B2 -:10DE700000000000000000000000000000000000A2 -:10DE80000000000000000000000000000000000092 -:10DE90000000000000000000000000000000000082 -:10DEA0000000000000000000000000000000000072 -:10DEB00000000000000000000000000000008000E2 -:10DEC0000000000000000000000000000000000052 -:10DED0000000000000000000000000000000000042 -:10DEE0000000000000000000000000000000000032 -:10DEF0000000000000000000000000009000001082 -:10DF00000000000000000000000000000000000011 -:10DF10000000000000000000000000000000000001 -:10DF200000000000000000000000000000000000F1 -:10DF300000000000000000000000000000000000E1 -:10DF40000000000000000000000000000A230000A4 -:10DF500022000800000000000000000048144A00F1 -:10DF600048000908811840011088004200000000A4 -:10DF700000000000000000000000000000000000A1 -:10DF80000000000000000000000000000000000091 -:10DF90000000000000000000000000000000000081 -:10DFA0000000000000000000000000000000000071 -:10DFB0000000000000000000000000000000000061 -:10DFC0000000000000000000000000001000000041 -:10DFD0000000000000000000000000000000000041 -:10DFE0000000000000000000000000000000000031 -:10DFF0000000000000000000000000000000000021 -:10E000000000000000000000000000000000000010 -:10E0100000000000020000000000000000000000FE -:10E0200000000000000000000000000000000000F0 -:10E0300000000000000000000000000000000000E0 -:10E0400000000000000000000000000000000000D0 -:10E0500000000000000000000000000000000000C0 -:10E060002E000E3000200A00220000806907000008 -:10E070000000F03000E4E30C08088001A008000470 -:10E080000000000000000000000000000000000090 -:10E090000000000000000000000000000000000080 -:10E0A0000000000000000000000000000000000070 -:10E0B0000000000000000000000000000000000060 -:10E0C0000000000000000000000000000000000050 -:10E0D0000000000000000000000000000000000040 -:10E0E00000000008000070000000000000000000B8 -:10E0F0000000000000000000000000000000000020 -:10E10000000000000000000000000000000000000F -:10E1100000000000000000000000000000000000FF -:10E1200000000000000000000007000000000000E8 -:10E1300000000000000000000000000000000000DF -:10E1400000000000000000000000000000000000CF -:10E1500000000000000000000000000000000000BF -:10E1600000000000000000000000000000000000AF -:10E1700000000000080300022C00C10000000000A5 -:10E18000002600000C8C057A2C80F312000700009A -:10E1900000C0016800000000000000000000000056 -:10E1A000000000000000000000000000000000006F -:10E1B000000000000000000000000000000000005F -:10E1C000000000000000000000000000000000004F -:10E1D000000000000000000000000000000000003F -:10E1E000000000000000000000000000000000002F -:10E1F0000000000000000008000000000000000017 -:10E20000000000000000000000000000000000000E -:10E2100000000000000000000000000000000000FE -:10E2200000000000000000000020000020000000AE -:10E2300020080000000008000008000000000000A6 -:10E2400000000000000000000000000000000000CE -:10E2500000000000000000000000000000000000BE -:10E26000000000001008040000000008000000008A -:10E270000000000000001800000000000000048002 -:10E280000400800080000000400C00000001080035 -:10E29000010400000000040104010000000000006F -:10E2A00000000000008104000000000000000000E9 -:10E2B000000000000000000000000000000000005E -:10E2C0000000000000000000020800040000080434 -:10E2D000000000000000000000000000000000003E -:10E2E000000000000000000000000000000000002E -:10E2F000000000000000000000000000000000001E -:10E3000000000000000000000000002000000002EB -:10E3100000000000000000000000000000000000FD -:10E3200000000000000000000000000000000000ED -:10E33000000000000000700000000000000000006D -:10E3400000000000000000000000040000000000C9 -:10E3500000000000000000000000000000000000BD -:10E3600000000000000000090000000000000000A4 -:10E37000000000000000000030080000000000085D -:10E38000000000000000000000003C000000000051 -:10E39000000000000000000000000000B0080000C5 -:10E3A00000000C00900000000050900000000000F1 -:10E3B0000000006000500C600081B0000000800090 -:10E3C000000000000000000000000000000000004D -:10E3D0000000000000000000000000000E0400002B -:10E3E0000000040000000000000000000000000029 -:10E3F000000000000000000000000000000000001D -:10E40000000000000000000000000000000000000C -:10E4100000000000000000000000000000000000FC -:10E4200000000000000000000000000000000000EC -:10E4300000000000000000000000000000000000DC -:10E4400000000000000000000000000000000000CC -:10E4500000000000000000000000000000000000BC -:10E4600000000000000000000000000000000000AC -:10E47000000000000000000000000000000000009C -:10E4800001000000000000000000000000000C007F -:10E49000000000000000000000000000000000007C -:10E4A00000000000000008300C0030003000005078 -:10E4B00010000000000C0E00080400000000000C1A -:10E4C000000C00000000000000000F00000004002D -:10E4D000000000000000000000000000000000003C -:10E4E000000000000000000000000000000000002C -:10E4F0000C0000000000000400000000000000000C -:10E50000000000000000000000000000000000000B -:10E5100000000000000000000000000000000000FB -:10E5200000000000000000000000000000000000EB -:10E5300000000000000000000000000000000000DB -:10E5400000000000000000000000000000000000CB -:10E5500000000000000000000000000000000000BB -:10E5600000000000000200000000000000000000A9 -:10E57000000000000000000000000000000000009B -:10E58000000000003000000000000000000000005B -:10E59000000000000000000000000000000000007B -:10E5A000000000000000000000000000000000006B -:10E5B000000000000000000000000000000000401B -:10E5C0000000000000020000000000000000000049 -:10E5D000000000000000000000000000000000003B -:10E5E00000000000000000000000000080000000AB -:10E5F0000000000000000000000000000000020019 -:10E60000000000000000000000000000000000000A -:10E6100000000000000000000000000000000000FA -:10E6200000000000000000000000000000000000EA -:10E6300000000000000000000000000000000000DA -:10E6400000000000000000000000000000000000CA -:10E6500000000000000000000000000000000000BA -:10E6600000000000000000000000000000000000AA -:10E67000000000000000000000000000000000009A -:10E68000000000000000000000000000000000008A -:10E6900000000000000000008000000000000000FA -:10E6A0000000020000000000000000000000000068 -:10E6B000000000000000000000000000000000005A -:10E6C000000000000000000000000000000000004A -:10E6D0000000002000000000800400000000000096 -:10E6E000000000000000000000000000000000002A -:10E6F000000000000000000000000000000000100A -:10E700000000000000000000000000000000000009 -:10E7100000000000000000000000000000000000F9 -:10E7200000000000000000000000000000000000E9 -:10E7300000000000000000000000000000000000D9 -:10E7400000000000000000000000000000000000C9 -:10E7500000000000000000000000000082010081B5 -:10E760000080000000000000000000000000000029 -:10E770000000000000000000000000000000000099 -:10E780000000000000000000000000000000000089 -:10E790000000000000000000000000000000000079 -:10E7A0000000000000000000000000000000000069 -:10E7B0000000000000000000000000000000000059 -:10E7C0000000000000000000000000000000000049 -:10E7D0000000000000000000000000000000000039 -:10E7E0000000000000000000000000000000000029 -:10E7F0000000000000000000000000000000000019 -:10E800000000000000000000000000000000000008 -:10E8100000000020000000000000000000000000D8 -:10E8200000000000000000000000000000000000E8 -:10E8300000000000000000000000000000000000D8 -:10E8400000000000000000000000000000000000C8 -:10E8500000000000000000000000000000000000B8 -:10E8600000000000000000000000000000000000A8 -:10E870000000000000000000000000000000000098 -:10E880000000000000000000000000000000000088 -:10E89000000000000000000000000000F531FFAAA9 -:10E8A0000000000000000000000000000000000068 -:10E8B0000000000000000000000000000000000058 -:10E8C0000000000000000000000000000000000048 -:10E8D0000000000000000000000000000000000038 -:10E8E0000000000000000000EEEEBBEE00000000A3 -:10E8F0000000000000000000000000000000000018 -:10E90000565555950008EFFF000000007E81CFCCE2 -:10E91000CCC3A6A60000000000000000000000001C -:10E9200000000000000000000000000000000000E7 -:10E9300000000000000000000000000000000000D7 -:10E94000000000007F3FABBA0000000000000000A4 -:10E9500000000000000000000000000000000000B7 -:10E9600000000000000000000000000000000000A7 -:10E970000000000000000000000000000000000097 -:10E980000000000000000000000000000000000087 -:10E990000000000000000000000000000000000077 -:10E9A0000000000000000000000000000000000067 -:10E9B00090040C00000000000000000000000000B7 -:10E9C0000000000000000000000000000000000047 -:10E9D0000000000000000000000000000000000037 -:10E9E0000000000000000000000000000000000027 -:10E9F00000000000000000000000000090241E0045 -:10EA00000000000000000000000000000000000006 -:10EA10000000000048201E2000000C000000000044 -:10EA200090040C0000000C0000000000000000003A -:10EA300000000000000000000000000000000000D6 -:10EA400000000000000000000000000000000000C6 -:10EA5000000000000000000000201E000000000078 -:10EA600000000000000000000000000000000000A6 -:10EA70000000000000000000000000000000000096 -:10EA80000000000000000000000000000000000086 -:10EA90000000000000001000000000000000000066 -:10EAA0000000000000000000000000000000000066 -:10EAB0000000000000000000000000000000000056 -:10EAC0000000000000000000D8A472A9DFA472B901 -:10EAD000DFA472B9DFA472B9DFA472B00000000035 -:10EAE0000000000000000000000000000000000026 -:10EAF0000000000000000000000000000000000016 -:10EB00000000000000000000000000000000000005 -:10EB10000000000090040000000000000000000061 -:10EB20000000000000000000488040B84D8040B95F -:10EB30004C8040B100000000000000000000000018 -:10EB400000000000000000000000000000000000C5 -:10EB500000000000000000000000000000000000B5 -:10EB60000000000000000000000000001020120063 -:10EB70000000000000000000000000000000000095 -:10EB80000000000000000000000000000000000085 -:10EB90000000000000000000000000000000000075 -:10EBA0000000000000000000000000000000000065 -:10EBB0000000000000000000000000000000000055 -:10EBC0000000000000000000000000000000000045 -:10EBD0000000000000000000FFFF3FFFFF000F0FDC -:10EBE00033330F0FFF00555555550F0F5555CCCCEE -:10EBF000FFFFFFFF00000000000000000000000019 -:10EC00000000000000000000000000000000000004 -:10EC100000000000000000000000000000000000F4 -:10EC200000000000000000005FFFFFAA00000000DD -:10EC300000000000000000000000000066965AA5D9 -:10EC4000C3C3AA55CC33AA55150C64640000000058 -:10EC500000000000000000000000000000000000B4 -:10EC600000000000000000000000000000000000A4 -:10EC70000000000000000000000000000F03110071 -:10EC80003232CDCE00000000000000000000000085 -:10EC90000000000000000000000000000000000074 -:10ECA0000000000000000000000000000000000064 -:10ECB0000000000000000000000000000000000054 -:10ECC0000000000000000000000000000000000044 -:10ECD0000000000000000000000000000000000034 -:10ECE0000000000000000000000000008000000E96 -:10ECF0000000040300001401000004030000980059 -:10ED000000000402000008000000000000000000F5 -:10ED100000000000000000000000000000000000F3 -:10ED200000000000000000000000000000000000E3 -:10ED3000000000000000000000000004404000103F -:10ED400000000000000000000000000000000000C3 -:10ED500000300000404000D00009000020000026E4 -:10ED600000000000000000000000000000000000A3 -:10ED70000000000000000000000000000000000093 -:10ED80000000000000000000000000000000000083 -:10ED9000B009000420000020000000000000000076 -:10EDA0000000000000000000000000000000000063 -:10EDB0000000000000000000000000000000000053 -:10EDC0000000000000000000000000000000000043 -:10EDD0000000000000000000000000000000000033 -:10EDE0000000000000000000000000000000000023 -:10EDF0000000000000000000000000008000000093 -:10EE00000001000241008000000014014100800068 -:10EE100000001401010000000000140000000000C8 -:10EE200000000000000000000000000000000000E2 -:10EE300000000000000000000000000000000000D2 -:10EE400000000000000000000000000040208000E2 -:10EE500000004081000000000000000000000000F1 -:10EE6000000000000028000000000080800000007A -:10EE7000000100020000000000000000000000008F -:10EE80000000000000000000000000000000000082 -:10EE90000000000000000000000000000000000072 -:10EEA00000000000C0008000000108030000000016 -:10EEB0000000000000000000000000000000000052 -:10EEC0000000000000000000000000000000000042 -:10EED0000000000000000000000000000000000032 -:10EEE0000000000000000000000000000000000022 -:10EEF0000000000000000000000000000000000012 -:10EF00000000000000000000000000000000000001 -:10EF100000C0000004C0C00000C103CBC581C8C848 -:10EF200000C3031381C0C8EB002303C30000C0006B -:10EF300000000000000000000000000000000000D1 -:10EF400000000000000000000000000000000000C1 -:10EF500000000000000000000000000000000000B1 -:10EF600000C80000E00057000000000000000000A2 -:10EF70000000000000000000004088400100450043 -:10EF8000C30300000000C0000000000000400000BB -:10EF90000000000000000000000000000000000071 -:10EFA0000000000000000000000000000000000061 -:10EFB0000000000000000000008400C9C8000080BC -:10EFC0000000000000000000000000000000000041 -:10EFD0000000000000000000000000000000000031 -:10EFE0000000000000000000000000000000000021 -:10EFF0000000000000000000000000000000000011 -:10F000000000000000000000000000000000000000 -:10F0100000000000000000000000000000000000F0 -:10F02000000000000010000000101000002140014E -:10F030004003000000030400C0020000000204219D -:10F040000000800000000000000000000000000040 -:10F0500000000000000000000000000000000000B0 -:10F0600000000000000000000000000000000000A0 -:10F070000000000000000000000000000000000090 -:10F080000000000000000000000000000020002040 -:10F0900020002000182800000000100000000800D8 -:10F0A0000040000000000000000000000000000020 -:10F0B0000000000000000000000000000000000050 -:10F0C000000000000000000000000000000200013D -:10F0D000020001020000000000000000000000002B -:10F0E0000000000000000000000000000000000020 -:10F0F0000000000000000000000000000000000010 -:10F1000000000000000000000000000000000000FF -:10F1100000000000000000000000000000000000EF -:10F1200000000000000000000000000000000000DF -:10F1300000000000000000000020F0000000C000FF -:10F1400018000000000E0000000000000000E000B9 -:10F1500000000000000000000000000000000000AF -:10F16000000000000000000000000000000000009F -:10F17000000000000000000000000000000000008F -:10F1800000000000000000000000000000800300FC -:10F19000000000000000000000000000000000006F -:10F1A0000D005008B0980331028060000000F0109C -:10F1B00040000000140002000000000000000000F9 -:10F1C000000000000000000000000000000000003F -:10F1D000000000000000000000000000000000002F -:10F1E000002004042000A0E0000000000000000057 -:10F1F000000000000000000000000000000000000F -:10F2000000000000000000000000000000000000FE -:10F2100000000000000000000000000000000000EE -:10F2200000001000000000000000000000000000CE -:10F2300000000000000000000000000000000000CE -:10F240000000000000000000000000000080C3017A -:10F25000000000710000000000000000100000002D -:10F26000000000000000000000000000000000009E -:10F27000000000000000000000000000000000008E -:10F28000000000000000000000000000000000007E -:10F29000000000000000000000000000000000006E -:10F2A00000C0E100000000000000000000000000BD -:10F2B000000000001080057028D125E00200100039 -:10F2C0000000A0120000000012E001000000000099 -:10F2D000000000000000000000000000000000002E -:10F2E000000000000000000000000000000000001E -:10F2F000000000001080F0008001E03000000000FD -:10F3000000000000000000000000000000000000FD -:10F3100000000000000000000000000000000000ED -:10F3200000000000000000000000000000000000DD -:10F3300000000000100000000000000000000000BD -:10F3400000000000000000000000000000000000BD -:10F3500000000000000000000000000000000000AD -:10F360000000000000000008000002000002800011 -:10F370000000000000002000200000080000000045 -:10F38000000000000000000000000000000000007D -:10F39000000000000000000000000000000000006D -:10F3A000000000000000000000000000000000005D -:10F3B0000014050000A0180000000000000000007C -:10F3C0000000000000000000001440A90400448870 -:10F3D0000680000000000452002800001080000099 -:10F3E000000000000000000000000000000000001D -:10F3F000000000000000000000000000000000000D -:10F4000000000000000000003000202000008C20E0 -:10F4100000000000000000000000000000000000EC -:10F4200000000000000000000000000000000000DC -:10F4300000000000000000000000000000000000CC -:10F4400000000000000000001000000000000000AC -:10F4500000000000000000000000000000000000AC -:10F46000000000000000000000000000000000009C -:10F47000000000000080100C0C00001000000000D4 -:10F4800000000000000000000000C00000000000BC -:10F490000006000000000000000000000000000066 -:10F4A000000000000000000000000000000000005C -:10F4B000000000000000000000000000000000004C -:10F4C00000000000000000000000C000000000007C -:10F4D0000000000000000000000000004D52C002CB -:10F4E00000DF246810C0D70054000030000700007F -:10F4F00000200000000000000000000000000000EC -:10F5000000000000000000000000000000000000FB -:10F510000000000000000000000000001000632A4E -:10F52000000040100000000000000000000000008B -:10F5300000000000000000000000000000000000CB -:10F5400000000000000000000000000000000000BB -:10F5500000000000000000000000000000000000AB -:10F56000000000000000000000000000000000009B -:10F57000000000000000000000000000000000008B -:10F580000000000000000000002050000100003CCE -:10F590000000000000000000000000000010000259 -:10F5A000000000000020000000000000000000003B -:10F5B000000000000000000000000000000000004B -:10F5C000000000000000000000000000000000003B -:10F5D00000000000000000000000000000C0210248 -:10F5E000000000000000000000000000000000001B -:10F5F0002E4224381DA0C2100C407400400A109006 -:10F6000000070000000000000000000000000000F3 -:10F6100000000000000000000000000000000000EA -:10F6200000000000000000000000000000000000DA -:10F63000005003802C05F0B800000000000000001E -:10F6400000000000000000000000000000000000BA -:10F6500000000000000000000000000000000000AA -:10F66000000000000000000000000000000000009A -:10F67000000000000000000000000000000000008A -:10F68000000000000000000000000000000000007A -:10F690000000000000000000000000000400000066 -:10F6A0000000000004000000004400001000000002 -:10F6B0000000004000000000000000080000000002 -:10F6C000000000000000000000000000000000003A -:10F6D000000000000000000000000000000000002A -:10F6E0000000000008000000000000008000010091 -:10F6F00000000000010000000000000040002100A8 -:10F7000080008000884482010800880000501401B5 -:10F710000C080900008000100404400410180112B5 -:10F7200014000000000004000400000001000000BC -:10F7300000000000000000010000000100000000C7 -:10F740000000001004040800020000000000000097 -:10F7500000000000000000000000000000000000A9 -:10F760000000000000000000000000000000000099 -:10F770000000000000000000000000000000000089 -:10F780000000000000000000000000000000000079 -:10F790000000000000000000000000000000000069 -:10F7A0000000000000000000000000000000000059 -:10F7B0000000000000000000000600000000000043 -:10F7C0000000000000009060000C0000000000003D -:10F7D0000000000000000000000000000000000029 -:10F7E0000000000000000000000000000000000019 -:10F7F0000000000000000000080000000000000001 -:10F80000000000000000000000000000000000A058 -:10F810000000000000000000080D09000C000400BA -:10F8200008303000040C0C107C06002000509000C2 -:10F8300020247020207000000000000A000000005A -:10F8400000000000000000000000000000000000B8 -:10F8500000000000000000200C000C00620000000E -:10F860005000000000000000000000000000000048 -:10F870000000000000000000000000000000000088 -:10F880000000000000000000000000000000000078 -:10F890000000000000000000000000000000000068 -:10F8A0000000000000000000000000000000000058 -:10F8B0000000000000000000000000000000000048 -:10F8C000000000000000000000000A00000000002E -:10F8D00000040E00000000000000002000010000F5 -:10F8E0000000000000000000000000000000000018 -:10F8F0000000000000000000000000000000000008 -:10F9000000000000000000000000000000000000F7 -:10F9100000000000200004000000000008000000BB -:10F920000000000030001C001000100030183C00E7 -:10F93000000010000F0000080000088001200000F7 -:10F940000000100400000008040000000000040093 -:10F95000040000000C00000000000000000000088F -:10F960000000000C00000000005000000D08000026 -:10F970000000000000000000000000000000000087 -:10F980000000000000000000000000000000000077 -:10F990000000000000000000000000000000000067 -:10F9A0000000000000000000000000000000000057 -:10F9B0000000000000000000000000000000000047 -:10F9C0000000000000000000000000000000000037 -:10F9D0000000000000000000000000000000000027 -:10F9E0000000000000000000000000000000000017 -:10F9F00000000000000000004020000000000000A7 -:10FA000000000000000100300000000000000000C5 -:10FA100000000000000100000000000000000000E5 -:10FA20000030000000000000000000400000000066 -:10FA300000000000000020000000000000000000A6 -:10FA40000000000000002000000000000000000096 -:10FA500000000000000000000000000000000000A6 -:10FA60000000000000000010000000000000000086 -:10FA70000000000000000000000000000000000086 -:10FA80000000000000000000000000000000000076 -:10FA90000000000000000000000000000000000066 -:10FAA0000000000000000000000000000000000056 -:10FAB0000000000000000000000000000000000046 -:10FAC0000000000000000000000000000000000036 -:10FAD0000000000000000000000000000000000026 -:10FAE0000000000000000000000000000080000096 -:10FAF0000000000000000000000000000000000006 -:10FB000000000000000000000000000020000000D5 -:10FB10000000000000000000000400B00000000031 -:10FB200000000000000000080004000000000000C9 -:10FB300000000000000000000000000000000020A5 -:10FB400000000000000000000000000000000000B5 -:10FB500000000000000000000000000000000000A5 -:10FB60000000000000000000000000000000000095 -:10FB700000000000000000000000009000000000F5 -:10FB80000000000000000000000000000000000075 -:10FB90000000000000000000000000000000000065 -:10FBA0000000000000000000000000000000000055 -:10FBB0000000000000000000000000000000000045 -:10FBC0000000000000000000000000000000000035 -:10FBD00000000000000000008101000000000000A3 -:10FBE0000000000000000000000000000000000015 -:10FBF0000000000000000000000000000000000005 -:10FC000000000000000000000000000000000000F4 -:10FC100000000000000000000010000000000000D4 -:10FC200000100000000000000000000000000020A4 -:10FC300000000000000000000000000400000000C0 -:10FC400000000000000000000010000000000000A4 -:10FC50000000200000000000000000000000100074 -:10FC60000000000000000000000000000000100084 -:10FC70000000000000000000000000000000000084 -:10FC80000000000000000000000000000000002054 -:10FC90000000000000000000000000000000000064 -:10FCA0000000000000000000000000000000000054 -:10FCB0000000000000000000000000000000000044 -:10FCC0000000000000000000000000000000000034 -:10FCD0000000000000000000000000000000000024 -:10FCE0000000000000000000000000000000000014 -:10FCF0000000000000000000000000000000000004 -:10FD000000000000000000000000000000000000F3 -:10FD1000000000007F4C0501FF5FAF23FFFF0300E1 -:10FD2000FEFFFFEEFF2007002AAAFFBB0000000035 -:10FD300000000000000000000000000000000000C3 -:10FD400000000000000000000000000000000000B3 -:10FD500000000000000000000000000000000000A3 -:10FD60000000000000000000000000000000000093 -:10FD70000000000000000000000000000000000083 -:10FD8000FEFFFFFE00000000000000000000000079 -:10FD900000000000CC4CC4443F2A3700FFFFFFFFA7 -:10FDA000C0CC33C900000000C33CAAFF0303070313 -:10FDB000F0F033CC00000000000000000000000064 -:10FDC0000000000000000000000000000000000033 -:10FDD0000000000000000000000000000000000023 -:10FDE0000000000000000000000000000000000013 -:10FDF0000000000000000000000000000000000003 -:10FE000000000000000000000000000000000000F2 -:10FE100000000000000000000000000000000000E2 -:10FE2000000000000000000000001C0000201E0078 -:10FE300000000C0000000C0000201E0010000C0050 -:10FE400000000000000000000000000000000000B2 -:10FE500000000000000000000000000000000000A2 -:10FE60000000000000000000000000000000000092 -:10FE70000000000000000000000000000000000082 -:10FE80000000000000000000000000000000000072 -:10FE90000000000000000C00000000000000000056 -:10FEA000000000000000000048000C2048000C206A -:10FEB00080240E0000000C000000000000000C0078 -:10FEC00000000C0000000C0000000000000000001A -:10FED0000000000000000000000000000000000022 -:10FEE0000000000000000000000000000000000012 -:10FEF0000000000000000000000000000000000002 -:10FF000000000000000000000000000000000000F1 -:10FF100000001000000000000000000000000000D1 -:10FF200000000000000000000000000000000000D1 -:10FF300000000000000000000000000000000000C1 -:10FF400000201200002012004800002000000000E5 -:10FF5000800400000000000000000000000000001D -:10FF60000000000000000000000000000000000091 -:10FF70000000000000000000000000000000000081 -:10FF80000000000000000000000000000000000071 -:10FF9000000000004C8040B84D8040B94D8040B119 -:10FFA000488040B84D8040B94D8040B0900400007A -:10FFB000000010000020120000000000800400007B -:10FFC000900400004D8040B84C8040B94C8040B057 -:10FFD00000000000488040B84D8040B84C8040B0E0 -:10FFE0000000000000000000000000000000000011 -:10FFF0000000000000000000000000000000000001 -:020000040001F9 -:1000000000000000000000000000000000000000F0 -:1000100000000000000000000000000000000000E0 -:1000200000000000000000000000000000000000D0 -:1000300000000000000000000000000000000000C0 -:1000400000000000000000000000000000000000B0 -:10005000FF7F0002FFBFAF23FF55CF45FF37FF1DD6 -:1000600000000000FFFFFFFF000000000000000094 -:100070000000000000000000000000000000000080 -:100080000000000000000000000000000000000070 -:100090000000000000000000000000000000000060 -:1000A0000000000000000000CC33CC3366666666BA -:1000B000AA55666666965AA5C3C3AA555A5ACC3342 -:1000C000EFFF0010FAFE0F0A0705B313000000004F -:1000D000FFFFFFFFCDCD01046669666696996969E9 -:1000E000999966660000000066965AA50FF0CC3319 -:1000F000CC33666600000000000000000000000035 -:1001000000000000000000000000000000000000EF -:1001100000000000000000000000000000000000DF -:1001200000000000000000000000000000000000CF -:1001300000000000000000000000000000000000BF -:1001400000000000000000000000000000000000AF -:10015000000000000000000000000000000000009F -:100160000000000000000001C020C0CF0000040219 -:1001700000002C0044000001C02080E700000000C7 -:10018000000000000000000000000000000000006F -:10019000000000000000000000000000000000005F -:1001A000000000000000000000000000000000004F -:1001B000000000000000000000000000000000003F -:1001C000000000000000000000000000000000002F -:1001D0000000000000000206000080010100020291 -:1001E000000008000000020600008000000000007F -:1001F000404000400000000000000000000000003F -:1002000000000000000000000000000000000000EE -:1002100000000000000000000000000000000000DE -:1002200000000000000000000000000000000000CE -:1002300000000000000000000000000000000000BE -:1002400000000000000000000000000000000000AE -:10025000000000000000000000000000000000009E -:10026000000000000000000000000000000000008E -:100270000000000000000000C02080000001008B92 -:100280000100000000000400C0208000000108936D -:10029000000000000000000000000000000000005E -:1002A000000000000000000000000000000000004E -:1002B000000000000000000000000000000000003E -:1002C000000000000000000000000000000000002E -:1002D000000000000000000000000000000000001E -:1002E0000000000000000000510080000000080134 -:1002F0001100000000008400514080000000400117 -:10030000002000000000009000000000000000003D -:1003100000000000000000000000000000000000DD -:1003200000000000000000000000000000000000CD -:1003300000000000000000000000000000000000BD -:1003400000000000000000000000000000000000AD -:10035000000000000000000000000000000000009D -:10036000000000000000000000000000000000008D -:10037000000000000000000000000000000000007D -:10038000000000000000000000000000000000016C -:1003900044C1000000C0A0C00023010501DB4522CC -:1003A00000C0441300000000000000000000000036 -:1003B000000000000000000000000000000000003D -:1003C000000000000000000000000000000000002D -:1003D000000000000000000000000000000000001D -:1003E0000000000000008800000000000000840001 -:1003F000000000000000000001040000C003C0C0B5 -:10040000C303810100C0C4E300C0000001C0CB03EE -:100410000013D3134451F147E207A0110000000478 -:1004200000000000001188910000000000000000A2 -:1004300000000000000000000000000000000000BC -:1004400000000000000000000000000000000000AC -:10045000000000000000000000000000000000009C -:10046000000000000000000000000000000000008C -:10047000000000000000000000000000000000007C -:10048000000000000000000000000000000000006C -:10049000000000000000000000000000000000005C -:1004A00000000041024102802020044000002000A2 -:1004B00020002000001000000000000000000000EC -:1004C000000000000000000000000000000000002C -:1004D000000000000000000000000000000000001C -:1004E000000000000000000000000000000000000C -:1004F00000000000000000000000000000000000FC -:1005000000000000000000000000000020000004C7 -:1005100080018002C001C0010020004000200000D6 -:10052000200A40210000800000200020040000007C -:10053000000000000000000000002004080010007F -:1005400000000000000000000000000000000000AB -:10055000000000000000000000000000000000009B -:10056000000000000000000000000000000000008B -:10057000000000000000000000000000000000007B -:10058000000000000000000000000000000000006B -:10059000000000000000000000000000000000005B -:1005A000000000000000000000000000000000004B -:1005B000000000005E10F22A1CC403020600D03DB9 -:1005C00000844305000F04001400017000000000C7 -:1005D000000000000000000000000000000000001B -:1005E000000000000000000000000000000000000B -:1005F00000000000000000000000000000000000FB -:100600000000000000000000000000000C00004896 -:100610000100000008D003300100A0020010E40037 -:1006200014200012165000004004F00000000004E6 -:100630000D04000000137001114058001E400650C8 -:10064000B000407000000009000000801080000829 -:100650002C0A02600C0000400000000000000000B6 -:10066000000000000000000000000000000000008A -:10067000000000000000000000000000000000007A -:10068000000000000000000000000000000000006A -:10069000000000000000000000000000000000005A -:1006A000000000000000000000000000000000004A -:1006B000000000000000000000000000000000003A -:1006C0000000000000000000A00423080248C00051 -:1006D00006208800340842784004000036E001F427 -:1006E000000000000000000000000000000000000A -:1006F00000000000000000000000000000000000FA -:1007000000000000000000000000000000000000E9 -:1007100000000000000000000000000000000000D9 -:100720000300000010000000008003000800C0006B -:100730000280001029010074020000000004C002C1 -:1007400016000008120C00000003D0000200008414 -:10075000000020001C030018000060401C00003056 -:100760000C0B02386813200200000000000000009B -:100770000000000000000000000000000000000079 -:100780000000000000000000000000000000000069 -:100790000000000000000000000000000000000059 -:1007A0000000000000000000000000000000000049 -:1007B0000000000000000000000000000000000039 -:1007C0000000000000000000000000000000000029 -:1007D00000000000000000000000000028000013DE -:1007E0000169902034000A0C6001200000024000E2 -:1007F0000080021000000000000000000000000067 -:1008000000000000000000000000000000000000E8 -:1008100000000000000000000000000000000000D8 -:1008200000000000000000000000000000000000C8 -:10083000000000008200020001000000050000101E -:1008400004049000020028400004002402800000FC -:1008500088020000B00000408000000000142090DA -:100860000400080020000000500028D00000000014 -:100870000205001038020D18002804020D000000C7 -:100880000000000000000000000000000000000068 -:100890000000000000000000000000000000000058 -:1008A0000000000000000000000000000000000048 -:1008B0000000000000000000000000000000000038 -:1008C0000000000010000000000000000000000018 -:1008D0000000000000000000000000000000000018 -:1008E0000000000000000000000000000000000008 -:1008F0000ED400001446E07E408213301C14E00D3C -:100900000008004C208001040000000000000000EE -:1009100000000000000000000000000000000000D7 -:1009200000000000000000000000000000000000C7 -:1009300000000000000000000000000000000000B7 -:1009400000000000000000002E0006001000100053 -:100950000E20084060015000100062000000107876 -:10096000320008008C083000000000008000000009 -:1009700000808C023410A4841E00038C20032060AD -:1009800022000080200600700088038882084080D2 -:100990000000000000000000000000000000000057 -:1009A0000000000000000000000000000000000047 -:1009B0000000000000000000000000000000000037 -:1009C0000000000000000000000000000000000027 -:1009D0000000000000000000000000000000000017 -:1009E0000000000000000000000000000000000007 -:1009F00000000000000000000000000000000000F7 -:100A0000000000004E5078809688471000C011000A -:100A10000008E1110000083800800A7000000000A2 -:100A200000000000000000000000000000000000C6 -:100A300000000000000000000000000000000000B6 -:100A400000000000000000000000000000000000A6 -:100A50000000000000000000000000000080010015 -:100A60000000B00000400088000600000C002800D4 -:100A700000010010004000004000B0000000000035 -:100A800000140000404861000000B00200600B014B -:100A90003C0710411600D000160600E00802800254 -:100AA00008C4031006000048000F0000000000000A -:100AB0000000000000000000000000000000000036 -:100AC0000000000000000000000000000000000026 -:100AD0000000000000000000000000000000000016 -:100AE0000000000000000000000000000000000006 -:100AF00000000000000000000000000000000000F6 -:100B000000000000000000000000000000000000E5 -:100B1000000000000000000002000000204000046F -:100B200000403000000000140000101010002000F1 -:100B300000000000000000000000000000000000B5 -:100B400000000000000000000000000000000000A5 -:100B50000000000000000000000000000000008015 -:100B60000000000080000000804040008000002065 -:100B700010040000200010000080001021008010F0 -:100B80000000500C000044002024005048840C0158 -:100B90000400000001201820281001004010824AA3 -:100BA000023C00000202102090021101000010100F -:100BB0000000000200000000001000001404000407 -:100BC0000800040400000004000000000000000011 -:100BD0000000000000000000000000000000000015 -:100BE0000000000000000000000000000000000005 -:100BF00000000000000000000000000000000000F5 -:100C000000000000000000000000000000000000E4 -:100C100000000000000000000000000000000000D4 -:100C200000000000000000000000000000000802BA -:100C30000000000000000000004000000040000034 -:100C400000000D600000000000000900000000002E -:100C50000000000000000000000000000000000094 -:100C60000000000000000000000000000000000084 -:100C70000000000900000000000900000000000062 -:100C800000000800300000000000300000000010EC -:100C90000D000D30000A080400009D00B80000306F -:100CA000000000090010001E700E3C00042000002F -:100CB000003A0008662400007000206000403010F8 -:100CC000B00000300000000000000050B020900094 -:100CD0002000000000500010000000000000000094 -:100CE0000000000000000000000000000000000004 -:100CF00000000000000000000000000000000000F4 -:100D000000000000000000000000000000000000E3 -:100D100000000000000000000000000000000000D3 -:100D200000000000000000000000000000000000C3 -:100D300000000000000000000000000000000000B3 -:100D4000000001002000000400200000000000045A -:100D50000000000000001000000000000000000083 -:100D60000000000000000000000000000000000083 -:100D70000000000000000000000000000000000073 -:100D80000000000000000030000000000000000033 -:100D9000301030003000013000040000300000004E -:100DA000003000403C51300000D031000000200AEB -:100DB0002100000E2024000800D000800C200A0032 -:100DC0001A000C0030001828043000000000003029 -:100DD00030080C880000000000000A080000000035 -:100DE000000000000000000000000C80000000086F -:100DF00000000000000000000000000000000000F3 -:100E000000000000000000000000000000000000E2 -:100E100000000000000000000000000000000000D2 -:100E200000000000000000000000000000000000C2 -:100E300000000000000000000000000000000000B2 -:100E400000000000000000000000000000000000A2 -:100E50000000000000000000000000000000000092 -:100E60000000000020000000000000000000000062 -:100E70000000000000000000000000000000000072 -:100E80000000000000000000000000000000000062 -:100E90000000000000000000001000000000000042 -:100EA0000000000000000000000000000000002022 -:100EB0000000000000000000000000000000000032 -:100EC0000000000000000001000000000000000021 -:100ED0000000000000000000000000000000000012 -:100EE0000000000000000040004000000000000082 -:100EF00000000000000000000000000000000000F2 -:100F000000000000000000000000000000000000E1 -:100F100000000000000000000000000000000000D1 -:100F200000000000000000000000000000000000C1 -:100F300000000000000000000000000000000000B1 -:100F400000000000000000000000000000000000A1 -:100F50000000000000000000000000000000000091 -:100F60000000000000000000000000000000000081 -:100F700000000000000000008000000000000000F1 -:100F80000000000000000000000000000000000061 -:100F90000000000000000030000000000000000021 -:100FA0000000000000000000000000000040000001 -:100FB0000000000000000000000000000000000031 -:100FC0000000000000000000000000000000000021 -:100FD00000000000000000000000000C0000000005 -:100FE00000000000000000000000000000000020E1 -:100FF00000000000000000100000002000200000A1 -:101000000000000000008000000000000000000060 -:1010100000000000000000000000000000000000D0 -:1010200000000000000000000000000000000000C0 -:1010300000000000000000000000000000000000B0 -:1010400000000000000000000000000000000000A0 -:1010500000000000C00000000000000000000000D0 -:101060000000000000000000000000000000000080 -:101070000000000000000000000000000000000070 -:101080000000000000000000000000000000000060 -:101090000000000000000000000000000000000050 -:1010A0000000000000000000000000200000000020 -:1010B0000000000000000000000000000000000030 -:1010C0000000000000000000000000000000000020 -:1010D0000000000000000010000000000000000000 -:1010E0000000000000000000000000000000000000 -:1010F00000000000000000000000000000000000F0 -:10110000000000200000000000000020000000009F -:1011100000000000002000000000000000000000AF -:1011200000000000000000000000000000000000BF -:1011300000000000000000000000000000000000AF -:10114000000000000000000000000000000000009F -:10115000000000000000000000000000000000008F -:10116000000000000000000000000000000000007F -:10117000000000000000000000000000000000006F -:10118000000000000000000000000000000000005F -:10119000000000000000000000000000000000004F -:1011A000000000000000000000000000000000003F -:1011B000000000000000000000000000000000002F -:1011C000000000000000000000000000000000001F -:1011D000000000000000000000000000000000000F -:1011E00000000000000000000000000000000000FF -:1011F000000000000000000000000000C000135FBD -:10120000FF51F5F1EF007707FFFF444433CC00FFB7 -:101210007F404555F7FFF75DFF898E8E5595939377 -:101220007FFFBF1F55959595ECFF0F0CDF57EFAB78 -:10123000BBAA3CCC5655FEFF000000007F01B77BE7 -:10124000000000000000000000000000000000009E -:10125000000000000000000000000000000000008E -:10126000000000000000000000000000000000007E -:10127000000000000000000000000000000000006E -:10128000000000000000000000000000000000005E -:10129000000000000000000000000000000000004E -:1012A000000000000000000000000000000000003E -:1012B000000000000000000000000000000000002E -:1012C000000000000000000000000000000000001E -:1012D000000000000000000000000000000000000E -:1012E00000000000000000000000000000000000FE -:1012F00000000000000000000000000000000000EE -:1013000000000000000000000000000000000000DD -:1013100000000C0000201C0000201E0000000C003B -:1013200000000C0000000C0000000C0090041C00E9 -:1013300000000C0000000C0000000C0000001C006D -:1013400048201E2090040C0000000C00000000004B -:1013500000001E000000000000000000000000006F -:10136000000000000000000000000000000000007D -:10137000000000000000000000000000000000006D -:10138000000000000000000000000000000010004D -:10139000000000000000000000000000000000004D -:1013A000000000000000000000000000000000003D -:1013B00000000000000000000000000048000020C5 -:1013C000000000000000000000000000000000001D -:1013D000000000000000000000000000000000000D -:1013E00000000000000000000000000000000000FD -:1013F00000000000000000000000000000000000ED -:1014000000000000000000000000000000000000DC -:1014100000000000000000000000000000000000CC -:10142000000000000000000000000000488040B8FC -:101430004D8040B94D8040B0000000000000000029 -:101440004C8040B94C8040B94C8040B14C8040B891 -:101450004D8040B84C8040B04D8040B84C8040B882 -:101460004C8040B1000000000000000000000000BF -:10147000000000000000000000000000000000006C -:10148000000000000000000000000000000000005C -:10149000000000000000000000000000000000004C -:1014A000000000000000000000000000000000003C -:1014B000000000000000000000000000000000002C -:1014C000000000000000000000000000000000001C -:1014D000FEFFFFFFFFFFFFFFFFFFFFFF0000000019 -:1014E00000000000000000000000000000000000FC -:1014F00000000000000000000000000000000000EC -:1015000000000000000000000000000000000000DB -:1015100000000000000000000000000000000000CB -:1015200000000000000000000000000000000000BB -:101530000000000000000000FF02FF7F000000002C -:101540006C935AA50FF066665A5A3C3C1505DF5756 -:1015500000C0BFBC66665A9666999999CC33AA5565 -:1015600066666696969699993C3C66666669669943 -:1015700066666966696999990000000000000000CC -:10158000000000000000000000000000000000005B -:10159000000000000000000000000000000000004B -:1015A000000000000000000000000000000000003B -:1015B000000000000000000000000000000000002B -:1015C000000000000000000000000000000000001B -:1015D000000000000000000000000000000000000B -:1015E00000000000000000000000040200000800ED -:1015F00000000000000000000000000000000000EB -:1016000000000000000000000000000000000000DA -:1016100000000000000000000000000000000000CA -:1016200000000000000000000000000000000000BA -:1016300000000000000000000000000000000000AA -:10164000000000000000000000000000000000009A -:1016500080280000604000A20000000000000000A0 -:1016600000000000000000000000000040400000FA -:1016700000000000000000008008000060400044FE -:1016800000000000404000C080080000200000066C -:10169000000000000000000000000000000000004A -:1016A000000000000000000000000000000000003A -:1016B000000000000000000000000000000000002A -:1016C000000000000000000000000000000000001A -:1016D000000000000000000000000000000000000A -:1016E00000000000000000000000000000000000FA -:1016F00000000000000000000000000001000000E9 -:1017000000002400000000000000000000000000B5 -:1017100000000000000000000000000000000000C9 -:1017200000000000000000000000000000000000B9 -:1017300000000000000000000000000000000000A9 -:101740000000000000000000000000000000000099 -:101750000000000000000000000000000000000089 -:10176000000000008028000000010092000000003E -:101770000000000000000000000000000020000049 -:101780000000009000000000000000008020000029 -:10179000000100E20020000000000088800000003E -:1017A0000001000600000000000000000000000032 -:1017B0000000000000000000000000000000000029 -:1017C0000000000000000000000000000000000019 -:1017D0000000000000000000000000000000000009 -:1017E00000000000000000000000000000000000F9 -:1017F00000000000000000000000000000000000E9 -:1018000000000000000000000000000000000000D8 -:1018100000000000000000030000000000000000C5 -:1018200000000000000000000000000000000000B8 -:1018300000000000000000000000000000000000A8 -:101840000000000000000000000000000000000098 -:101850000000000000000000000000000000000088 -:101860000000000000000000000000000000000078 -:10187000000000000005000000A2002186224500B3 -:10188000000000000000A0000000000800000000B0 -:10189000885155C74622E0404000852184000080E1 -:1018A000000044000240440000C788C70311E0075D -:1018B0000000000000000000000000000000000028 -:1018C0000000000000000000000000000000000018 -:1018D0000000000000000000000000000000000008 -:1018E00000000000000000000000000000000000F8 -:1018F00000000000000000000000000000000000E8 -:1019000000000000000000000000000000000000D7 -:1019100000000000000000000000000000000000C7 -:101920000000002000000002000000010000000094 -:1019300000000000000000000000000000000000A7 -:101940000000000000000000000000000000000097 -:101950000000000000000000000000000000000087 -:101960000000000000000000000000000000000077 -:101970000000000000000000000000000000000067 -:101980000000000000000000000420010020020010 -:101990000400048000002000000800000001800016 -:1019A0000000800000200004040000200108040062 -:1019B00000000004018000C0052002400004000473 -:1019C00020000010018002840100000000000000DF -:1019D0000000000000000000000000000000000007 -:1019E00000000000000000000000000000000000F7 -:1019F00000000000000000000000000000000000E7 -:101A000000000000000000000000000000000000D6 -:101A100000000000000000000000000000000000C6 -:101A200000000000000000000000000000000000B6 -:101A300000C00304000000000000000000000000DF -:101A40000000000000000000000000000000000096 -:101A50000000000000000000000000000000000086 -:101A60000000000000000000000000000000000076 -:101A70000000000000000000000000000000000066 -:101A80000000000000000000000000000000000056 -:101A9000000000000000000000C007001400E0701B -:101AA000405080331440D2128C0000000040C0002F -:101AB00042E01102015E40700003D030005808601F -:101AC0000C12700840802B40000F1000000002C96B -:101AD0000003806A10A0454000002C081C20006014 -:101AE00000000000000000000000000000000000F6 -:101AF00000000000000000000000000000000000E6 -:101B000000000000000000000000000000000000D5 -:101B100000000000000000000000000000000000C5 -:101B200000000000000000000000000000000000B5 -:101B300000000000000000000000000000000000A5 -:101B400000000000000000000000B00000000000E5 -:101B50000000000000000000000000000000000085 -:101B60000000000000000000000000000000000075 -:101B70000000000000000000000000000000000065 -:101B80000000000000000000000000000000000055 -:101B90000000000000000000000000000000000045 -:101BA0000000000000000000000000000000020033 -:101BB0000200A0C100842B3000E0C01C1007000010 -:101BC0000003E0022097830890E40170800B00007E -:101BD000000000F0CD05E080098001480000800E83 -:101BE0001C20414080000028085600790000008039 -:101BF00000060030000000000000000000000000AF -:101C000000000000000000000000000000000000D4 -:101C100000000000000000000000000000000000C4 -:101C200000000000000000000000000010000000A4 -:101C300000000000000000000000000000000000A4 -:101C40000000000000000000000000000000000094 -:101C50000000000000000000000000040800000078 -:101C60000000000400000000000000000000000070 -:101C70000000000000000000000000000000000064 -:101C80000000000000000000000000000000000054 -:101C90000000000000000000000000000000000044 -:101CA0000000000000000000000000000000000034 -:101CB0000000000000000000000000000000000024 -:101CC0000000040000001C88000300100A4000000F -:101CD000000008008001800C40C0400100502846F0 -:101CE00030002911400100088200420100802408D0 -:101CF00081001804908000044A602C010A0004400E -:101D0000800000020900000E00000000000000003A -:101D100000000000000000000000000000000000C3 -:101D200000000000000000000000000000000000B3 -:101D300000000000000000000000000000000000A3 -:101D40001000000000000000000000000000000083 -:101D50000000000000000000000000000000000083 -:101D60000000000000000000000000000000083833 -:101D70000000400000000000000000000000000023 -:101D80000000000000000000000000000000000053 -:101D90000000000000000000000000000000000043 -:101DA0000000000000000000000000000000000033 -:101DB0000000000000000000000000000000000023 -:101DC0000000000000000000000000000000000013 -:101DD0000000000000A0D91400004008000C829010 -:101DE0000DC01449260003000006C00A8FA88028F1 -:101DF000000F0178800A280200A4C13000B86100F9 -:101E0000011840100C48603860C103780207024294 -:101E100080104130220000420D0700080000000041 -:101E200000000000000000000000000000000000B2 -:101E300000000000000000000000000000000000A2 -:101E40000000000000000000000000000000000092 -:101E50000000000000000000000000000000000082 -:101E60000000000000000000000000000000000072 -:101E70000000000000000000000000000000000062 -:101E800000800000000000020000000000000000D0 -:101E90000000000000000000000000000000000042 -:101EA0000000000000000000000000000000000032 -:101EB0000000000000000000000000000000000022 -:101EC0000000000000000000000000000000000012 -:101ED0000000000000000000000000000000000002 -:101EE00000000000000000000080710100002010D0 -:101EF00000905B03010001140000000000280000B6 -:101F000001C7956A00A0D170100220480000F0823D -:101F1000008481710C00F90402CB69058006DA7037 -:101F2000094000282001FAF8000050388C0F00000A -:101F300000000000000000000000000000000000A1 -:101F40000000000000000000000000000000000091 -:101F50000000000000000000000000000000000081 -:101F60000000000000000000000000000000000071 -:101F70000000000000000000000000000000000061 -:101F80000000000000000000000000000000000051 -:101F90000000000000000000000000000000100031 -:101FA0001000000000000000000000080000000019 -:101FB0000000000000000000000000000000000021 -:101FC0000000000000000000000000000000000011 -:101FD0000000000000000000000000000000000001 -:101FE00000000000000000000000000000400010A1 -:101FF00000000000000000000000000004000804D1 -:10200000281000400220044001000011023020008E -:102010004030503048102004148218513020000005 -:1020200010019C50005290002101100050000854F3 -:102030000050922800402C101000000800080000FA -:102040000010000000000000000000000000000080 -:102050000000000000000000000000000000000080 -:102060000000000000000000000000000000000070 -:102070000000000000000000000000000000000060 -:102080000000000000000000000000000000000050 -:102090000000000000000000000000000000000040 -:1020A0000000000000000000000000000C000C0D0B -:1020B0000000000000005070000000000000000060 -:1020C0000000000000000000000000000000000010 -:1020D0000000000000000000000000000000000000 -:1020E00000000000000000000000000000000000F0 -:1020F0000000080000000000000C000000000000CC -:102100000000003000000000080000000000000097 -:10211000080D040904300500060008000009080045 -:102120000012000040001030041000B000600420D5 -:102130000940000020400000402000000000300C5A -:102140002000042008100004000034202070000843 -:1021500060047D7040200000000000000000A0002E -:10216000000000000000000000000000000000006F -:10217000000000000000000000000000000000005F -:10218000000000000000000000000000000000004F -:10219000000000000000000000000000000000003F -:1021A000000000000000000000000000000000002F -:1021B000000000000000000000000000000000001F -:1021C000010001000000000000000000000000000D -:1021D00000000000000000000000000000000000FF -:1021E00000000000000000000000000000000000EF -:1021F00000000000000000000000000000000000DF -:1022000000000000000001000000000000010000CC -:10221000000000000030000000000000010040004D -:1022200000000000014000000000003000A0053068 -:102230000CC0010804200000302010001A0A2004FD -:102240000C38003C2E000E00000810100014100086 -:102250001C0C000F00000A3001101C20001084002C -:102260000E00000000000000000000000000000060 -:10227000000000000000000000000000000000005E -:10228000000000000000000000000000000000004E -:10229000000000000000000000000000000000003E -:1022A000000000000000000000000000000000002E -:1022B000000000000000000000000000000000001E -:1022C000000000000000000000000000000000000E -:1022D00000000000000000000000000000000000FE -:1022E00000000000000000000000000000000000EE -:1022F00000000000000000000000000000000000DE -:10230000000000000000000000300000003000006D -:10231000000000000000004000000000000000007D -:1023200000000000000000000000000000000000AD -:10233000000000000000004000400000000000100D -:10234000000000000000000000400000000000004D -:10235000000000000000400000000000000000003D -:10236000000020000000000000400000000000000D -:10237000004000000000000000000000000000001D -:10238000000000000000000000000000000000004D -:10239000000000000000000000000000000000003D -:1023A000000000000000000000000000000000002D -:1023B000000000000000000000000000000000001D -:1023C000000000000000000000000000000000000D -:1023D00000000000000000000000000000000000FD -:1023E00000000000000000000000000000000000ED -:1023F00000000030000000000000000000000000AD -:1024000000000000000000000000000000000000CC -:102410000000000800000000000000000080000034 -:1024200000800000000000000000003000000000FC -:10243000000000080008000000000000000000008C -:10244000000000000000000000000030002001003B -:1024500000000080000000000000000000200000DC -:10246000000000000000000000002000000000004C -:1024700000000000000080000000000000200000BC -:10248000000000000000000000000000000000004C -:10249000000000000000000000000000000000003C -:1024A000000000000000000000000000000000002C -:1024B00000000030000000000000000000000000EC -:1024C000000000000000000000000000000000000C -:1024D00001010000000000000000000000000000FA -:1024E00000000000000000000000000000000000EC -:1024F00000000000000000000000000000000000DC -:1025000000000000000000200000000000000000AB -:1025100000000000000000000000000000000000BB -:1025200000000000000000040000000000000000A7 -:10253000000000000000000000000000000000009B -:102540000000000000000004000400000000000083 -:10255000000000000000000000000000000000007B -:10256000000000000000000000000000000000006B -:10257000000000000000001000000000000000004B -:10258000000000000000000000000000000000004B -:10259000000000000000804100000000002000005A -:1025A000000000000000000000000000000000002B -:1025B000000000000000000000000000000000001B -:1025C00000000000000000200000000000000000EB -:1025D00000000000000000000000000000000000FB -:1025E00000000000000000000000000000000000EB -:1025F00000000000000000000000000000000000DB -:1026000000000000000000000000000000000000CA -:1026100000000000000000000000000000000000BA -:1026200000000000000000000000000000000000AA -:10263000000000000000000000000000000000009A -:10264000000000000000000000000000000000008A -:10265000000000000000000000000000000000007A -:10266000000000000000000000000000000000006A -:1026700000000000AF0A7711F3F3BFBF0F4FFF5107 -:10268000DD0DDD0D00000000000000005F5F80A098 -:10269000F30377554FEFFF02333BFFF5BB11174DA7 -:1026A00033C9A0F03F9F6F3FFFFFFFFF0000000016 -:1026B000509060500000000000000000000000008A -:1026C000000000000000000000000000000000000A -:1026D00000000000000000000000000000000000FA -:1026E00000000000000000000000000000000000EA -:1026F00000000000000000000000000000000000DA -:1027000000000000000000000000000000000000C9 -:1027100000000000000000000000000000000000B9 -:1027200000000000000000000000000000000000A9 -:102730000000000000000000000000000000000099 -:102740000000000000000000000000000000000089 -:102750000000000000000000000000000000000079 -:102760000000000000000000000000000000000069 -:102770000000000000000000000000000000000059 -:10278000000000000000000000000C0000000C0031 -:1027900000000C0000000C00000000000000000021 -:1027A00000000C0000000C0000000C0000000C00F9 -:1027B00000000C0000000C0048001E2080240E00C9 -:1027C0000000000048201C20000000000000000065 -:1027D00000000000000000000000000000000000F9 -:1027E00000000000000000000000000000000000E9 -:1027F00000000000000000000000000000000000D9 -:1028000000000000000000000000000000000000C8 -:1028100000000000000000000000000000000000B8 -:1028200000000000000000000000000000000000A8 -:102830000000000000000000000000000000000098 -:102840000000000000000000000000000000000088 -:102850000000000000000000000000000000000078 -:102860000000000000000000000000000000000068 -:102870000000000000000000000000000000000058 -:102880000000000000000000000000000000000048 -:102890000000000000000000000000000000000038 -:1028A00000000000102402000000000000000000F2 -:1028B0000000000090000000900000004D8040B833 -:1028C0004C8040B94C8040B14D8040B84C8040B8FD -:1028D0004D8040B00000000000000000000000003B -:1028E0009004100000000000000000000000000044 -:1028F00000000000000000000000000000000000D8 -:1029000000000000000000000000000000000000C7 -:1029100000000000000000000000000000000000B7 -:1029200000000000000000000000000000000000A7 -:102930000000000000000000000000000000000097 -:102940000000000000000000000000000000000087 -:102950000000000000000000000000000000000077 -:102960000000000000000000000000000000000067 -:102970000000000000000000000000000000000057 -:102980000000000000000000000000000000000047 -:102990000000000000000000000000000000000037 -:1029A0000000000000000000000000000000000027 -:1029B00000000000FFFFCC005AA5FF4000CCF5F757 -:1029C0000000000000000000D77D00AADF9BB8B81F -:1029D000AA553C3C3C3C6666CC33AA559666666676 -:1029E00096999966A5A53C3C0000000000000000F7 -:1029F0000000000057DD0CCF0000000000000000C8 -:102A000000000000000000000000000000000000C6 -:102A100000000000000000000000000000000000B6 -:102A200000000000000000000000000000000000A6 -:102A30000000000000000000000000000000000096 -:102A40000000000000000000000000000000000086 -:102A50000000000000000000000000000000000076 -:102A60000000000000000000000000000000000066 -:102A70000000000000000000000000000000000056 -:102A80000000000000000000000000000000000046 -:102A90000000000000000000000000000000000036 -:102AA0000000000000000000000000000000000026 -:102AB0000000000000000000000000000000000016 -:102AC0000000000000000000000000000000020202 -:102AD00000000400000000000000000010000206DA -:102AE00000004401000000000000000000000000A1 -:102AF00000000000003000006040000800030000FB -:102B00002000000E00000004000080010000000012 -:102B100000000000000000000000000000000000B5 -:102B200000000000000000000000000000000000A5 -:102B30000000000000000000000000000000000095 -:102B40000000000000000000000000000000000085 -:102B50000000000000000000000000000000000075 -:102B60000000000000000000000000000000000065 -:102B70000000000000000000000000000000000055 -:102B80000000000000000000000000000000000045 -:102B90000000000000000000000000000000000035 -:102BA0000000000000000000000000000000000025 -:102BB0000000000000000000000000000000000015 -:102BC0000000000000000000000000000000000005 -:102BD00000000000000000000000000000000000F5 -:102BE00011000008000024000000000000000000A8 -:102BF000510080000000840100000000000000007F -:102C00000000000000000000802400000001008A95 -:102C10008000000000010002400080000000400130 -:102C200000000000000000000000000000000000A4 -:102C30000000000000000000000000000000000094 -:102C40000000000000000000000000000000000084 -:102C50000000000000000000000000000000000074 -:102C60000000000000000000000000000000000064 -:102C70000000000000000000000000000000000054 -:102C80000000000000000000000000000000000044 -:102C90000000000000000000000000000000000034 -:102CA0000000000000000000000000000000000024 -:102CB0000000000000000000000000000000000014 -:102CC0000000000000000000000000000000000004 -:102CD00000000000000000000000000000000000F4 -:102CE00000000000000000000000000000000000E4 -:102CF00004800040C4C3C0080000C0000000000001 -:102D000000000000C8C3010000CBDBD388058800A9 -:102D10000000000084000100840000004422C00084 -:102D20000322C0400000000000000000C813DB8048 -:102D30000001008000000000000000000000000012 -:102D40000000000000000000000000000000000083 -:102D50000000000000000000000000000000000073 -:102D60000000000000000000000000000000000063 -:102D70000000000000000000000000000000000053 -:102D80000000000000000000000000000000000043 -:102D90000000000000000000000000000000000033 -:102DA0000000000000000000000000000000000023 -:102DB0000000000000000000000000000000000013 -:102DC0000000000000000000000000000000000003 -:102DD00000000000000000000000000000000000F3 -:102DE00000000000000000000000000000000000E3 -:102DF00000000000000000000000000000000000D3 -:102E00000000000400040048002188000000802029 -:102E10000000000000000000000320200801000264 -:102E2000000008000000000000000400000000088E -:102E30000200104008001220010001000100000003 -:102E4000000000020001000200000000000000007D -:102E50000000000000000000000000000000000072 -:102E60000000000000000000000000000000000062 -:102E70000000000000000000000000000000000052 -:102E80000000000000000000000000000000000042 -:102E90000000000000000000000000000000000032 -:102EA0000000000000000000000000000000000022 -:102EB0000000000000000000000000000000000012 -:102EC0000000000000000000000000000000000002 -:102ED00000000000000000000000000000000000F2 -:102EE00000000000000000000000000000000000E2 -:102EF00000000000000000000000000000000000D2 -:102F000000000000000000000000000000000000C1 -:102F1000000000000002044A000001000152F70016 -:102F20000006F238000000000000000080C3070027 -:102F30001220E1351C08F0031248020043037829EF -:102F4000008001814E0800020C40A90000008400AE -:102F50001C000030008000000000C00100000000E4 -:102F60000000000000000000000000000000000061 -:102F70000000000000000000000000000000000051 -:102F80000000000000000000000000000000000041 -:102F90000000000000000000000000000000000031 -:102FA0000000000000000000000000000000000021 -:102FB0000000000000000000000000000000000011 -:102FC0000000000000000000000000000000000001 -:102FD00000000000000000000000000000000000F1 -:102FE00000000000000000000000000000000000E1 -:102FF00000000000000000000000000000000000D1 -:1030000000000000000000000000000000000000C0 -:1030100000000000000000000000000000000000B0 -:1030200000000000000000000082E0083C000208F0 -:103030005C800300D18107600000000000000000F8 -:103040003C1400000006E2704004800808E8B11457 -:103050000C07E8003C9025301E000010A807F10185 -:10306000000000000000003000A008000000C000C8 -:103070000000000000000000000000000000000050 -:103080000000000000000000000000000000000040 -:103090000000000000000000000000000000000030 -:1030A0000000000000000000100000000000000010 -:1030B0000000000000000000000000000000000010 -:1030C0000000000000000000000000000000000000 -:1030D00000000000000000000000000000000000F0 -:1030E00000000000000000000000000000000000E0 -:1030F00000000000000000000000000000000000D0 -:1031000000000000000000000000000000000000BF -:1031100000000000000000000000000000000000AF -:10312000000000000000000000000000000000009F -:103130000000000000000000000000000014A404D3 -:1031400000000008200C20081100100800000000FA -:1031500000000000800000084000800220080200FB -:10316000101400904004001000001404100000002F -:10317000480002000000A000080000C3000000009A -:1031800000008000000000000000000000000000BF -:10319000000000000000000000000000000000002F -:1031A000000000000000000000000000000000001F -:1031B00000000000000000000000000010000000FF -:1031C00000000000000000000000000000000000FF -:1031D00000000000000000000000000000000000EF -:1031E00000000000000000000000000000000000DF -:1031F00000000000000000000000000000000000CF -:1032000000000000000000000000000000000000BE -:1032100000000000000000000000000000000000AE -:10322000000000000000000000000000000000009E -:10323000000000000000000000000000000000008E -:10324000000000000000000000000000000000007E -:1032500000306030002002000C888B0E0026004CED -:10326000000000000000000000A8098E150440685E -:103270000CA8800A000001110188C93D02280060E5 -:10328000CDA300805CC1C1020000800000000010DE -:10329000008001000000300000000000000000007D -:1032A000000000000000000000000000000000001E -:1032B000000000000000000000000000000000000E -:1032C00000000000000000000000000000000000FE -:1032D00000000000000000000000000000000000EE -:1032E00000000000000000000000000000000000DE -:1032F00000000000000000000000000000000000CE -:1033000000000000000000000000000000000000BD -:1033100000000000000000000000000000000000AD -:10332000000000000000000000000000000000009D -:10333000000000000000000000000000000000008D -:10334000000000000000000000000000000000007D -:10335000000000000000000000000000000000006D -:103360000000000000006000000000000067203442 -:1033700034E5231000000000000000000C886130DC -:1033800001CFB19200C41580004000300E108004BF -:103390001C4041780F0500080F8407000000100052 -:1033A0000100001000900300000000000000000079 -:1033B000000000000000000000000000000000000D -:1033C00000000000000000000000000000000000FD -:1033D00000000000000000000000000000000000ED -:1033E00000000000000000000000000000000000DD -:1033F00000000000000000000000000000000000CD -:1034000000000000000000000000000000000000BC -:1034100000000000000000000000000000000000AC -:10342000000000000000000000000000000000009C -:10343000000000000000000000000000000000008C -:10344000000000000000000000000000000000007C -:10345000000000000000000000000000000000006C -:10346000000000000000000000000000000000005C -:1034700000000000000000000000000800000080C4 -:103480000000001050000000440010180010000060 -:10349000503010904010300000802000002020208C -:1034A00000003010000840000010004000005800EC -:1034B00010000818001000100000000000000000BC -:1034C00000000000000000000000000000000000FC -:1034D00000000000000000000000000000000000EC -:1034E00000000000000000000000000000000000DC -:1034F00000000000000000000000000000000000CC -:1035000000000000000000000000000000000000BB -:1035100000000000000000000000000000000000AB -:10352000000000000000000000000000000000009B -:10353000000000000000000000000000000000008B -:10354000000000000000000000000000000000007B -:10355000000000000000000000000000000000006B -:10356000000000000000000000000000000000005B -:103570000000000000000E00000005000000000038 -:10358000000000090000000000000C010C00000415 -:103590000000A00006060830300008000CB000341F -:1035A0000C180CB0001000107000004000020C005D -:1035B00000700E09027C293000004900001C000048 -:1035C00000020000000A0004000000200DAD000011 -:1035D0000C02000000A00000A0000000000000009D -:1035E00000000000000000000000000000000000DB -:1035F00000000000000000000000000000000000CB -:1036000000000000000000000000000000000000BA -:1036100000000000000000000000000000000000AA -:10362000000000000000000000000000000000009A -:10363000000000000000000000000000000000008A -:10364000000000000000000000000000000000007A -:10365000000000000000000000000000000000006A -:10366000000000000000000000000000000000005A -:10367000000000000000000000000000000000004A -:10368000000000000000000000000000000000003A -:103690000000000000000000000000000000010029 -:1036A0000100000000000030800001001000010057 -:1036B0003100000001010100301000303000100026 -:1036C0000030010000202000000110000000000078 -:1036D00000010010000030010000000000000000A8 -:1036E00000000000010000000000000000000000D9 -:1036F00000000000000000000000000000000000CA -:1037000000000000000000000000000000000000B9 -:1037100000000000000000000000000000000000A9 -:103720000000000000000000000000000000000099 -:103730000000000000000000000000000000000089 -:103740000000000000000000000000000000000079 -:103750000000000000000000000000000000000069 -:103760000000000000000000000000000000000059 -:103770000010000000000000000000000000000039 -:103780000000000000008000000080000000000039 -:103790000000000000000000000000000000000029 -:1037A0000000000000000000000000000000000019 -:1037B00000000000000000000000001000000000F9 -:1037C0000000400000200000000000000000000099 -:1037D00000000000000000000000000000000000E9 -:1037E0000040000000000000000000000000000099 -:1037F00000000000000000000000000000000000C9 -:1038000000000000000000000000000000000000B8 -:1038100000000000000000000000000000000000A8 -:103820000000000000000000000000000000000098 -:103830000000000000000000000000000000000088 -:103840000000000000000000000000000000000078 -:103850000000000000000000000000000000000068 -:103860000000000000000000000000000000000058 -:103870000000000000000000000000000000000048 -:1038800000000000004000000000000000000000F8 -:1038900000000000000000000000200000002000E8 -:1038A0000000000000000000000000000000000018 -:1038B0000000000000000000000000000000000008 -:1038C0000000000000000000000000000000008078 -:1038D00000000000000000000000000000000000E8 -:1038E0002080000000000000000000000000000038 -:1038F00000000000000000000000000000000000C8 -:1039000000000000000000000000000000000000B7 -:1039100000000000000000000000000000000000A7 -:103920000000000000000000000000000000003067 -:103930000000000000000000000000000000000087 -:103940000000000000000000000000000200000075 -:103950000000000000000000000000000000000067 -:103960000000000000000000000000000000000057 -:103970000000000000000000000000000000000047 -:103980000000000000000000000000000000000037 -:103990000000000000000000000000000000000027 -:1039A0000000000000000000000000000000000017 -:1039B0000000000000000000000000000000000007 -:1039C00000000000000000000000000000000000F7 -:1039D00000000000000000000000000000000000E7 -:1039E00000000000000000000000000000100000C7 -:1039F0000000000020000000200000000000000087 -:103A000000000000000000000000000000C20000F4 -:103A10000000000010000000000000000000000096 -:103A20000000000000000000000000000000000096 -:103A30000000000000000000000000000000000086 -:103A40000000002000000000000000000000000056 -:103A50000000000000000000000000000000000066 -:103A60000000000000000000000000000000000056 -:103A70000000000000000000000000000000000046 -:103A80000000000000000000000000000000000036 -:103A90000000000000000000000000000000000026 -:103AA0000000000000000000000000000000000016 -:103AB0000000000000000000000000000000000006 -:103AC00000000000000000000000000000000000F6 -:103AD00000000000000000000000000000000000E6 -:103AE00000000000000000000000000000000000D6 -:103AF000A5A55F5F000000000000000000000000BE -:103B000000000000000000000000000000000000B5 -:103B100000000000000000000000000000000000A5 -:103B20000000000000000000000000000000000095 -:103B30000000000000000000000000000000000085 -:103B40000000000000000000000000000000000075 -:103B50000000000000000000000000000000000065 -:103B60000000000000000000000000000000000055 -:103B70000000000000000000000000000000000045 -:103B80000000000000000000000000000000000035 -:103B90000000000000000000000000000000000025 -:103BA0000000000000000000000000000000000015 -:103BB0000000000000000000000000000000000005 -:103BC00000000000000000000000000000000000F5 -:103BD00000000000000000000000000000000000E5 -:103BE00000000000000000000000000000000000D5 -:103BF00000000000000000000000000000000000C5 -:103C00000000000090241E000000000000000000E2 -:103C100000000000000000000000000000000000A4 -:103C20000000000000000000000000000000000094 -:103C30000000000000000000000000000000000084 -:103C40000000000000000000000000000000000074 -:103C50000000000000000000000000000000000064 -:103C60000000000000000000000000000000000054 -:103C70000000000000000000000000000000000044 -:103C80000000000000000000000000000000000034 -:103C90000000000000000000000000000000000024 -:103CA0000000000000000000000000000000000014 -:103CB0000000000000000000000000000000000004 -:103CC00000000000000000000000000000000000F4 -:103CD00000000000000000000000000000000000E4 -:103CE00000000000000000000000000000000000D4 -:103CF00000000000000000000000000000000000C4 -:103D000000000000000000000000000000000000B3 -:103D100000000000000000000000000000000000A3 -:103D2000D8A472A9DFA472B9DFA472B9DFA472B9F2 -:103D3000DFA472B0000000000000000000000000DE -:103D40000000000000000000000000000000000073 -:103D50000000000000000000000000000000000063 -:103D60000000000000000000000000000000000053 -:103D70000000000000000000000000000000000043 -:103D80000000000000000000000000000000000033 -:103D90000000000000000000000000000000000023 -:103DA0000000000000000000000000000000000013 -:103DB0000000000000000000000000000000000003 -:103DC00000000000000000000000000000000000F3 -:103DD00000000000000000000000000000000000E3 -:103DE00000000000000000000000000000000000D3 -:103DF00000000000000000000000000000000000C3 -:103E000000000000000000000000000000000000B2 -:103E100000000000000000000000000000000000A2 -:103E20000000000000000000000000000000000092 -:103E3000000000000F0FFF005555333333330F0FD1 -:103E40005555FF00555500FFFFFFFFFF0000000024 -:103E50000000000000000000000000000000000062 -:103E60000000000000000000000000000000000052 -:103E70000000000000000000000000000000000042 -:103E80000000000000000000000000000000000032 -:103E90000000000000000000000000000000000022 -:103EA0000000000000000000000000000000000012 -:103EB0000000000000000000000000000000000002 -:103EC00000000000000000000000000000000000F2 -:103ED00000000000000000000000000000000000E2 -:103EE00000000000000000000000000000000000D2 -:103EF00000000000000000000000000000000000C2 -:103F000000000000000000000000000000000000B1 -:103F100000000000000000000000000000000000A1 -:103F20000000000000000000000000000000000091 -:103F30000000000000000000000000000000000081 -:103F4000000000000050000000000A040000000013 -:103F500000000A040000000000000A000000000049 -:103F60000000000000000000000000000000000051 -:103F70000000000000000000000000000000000041 -:103F80000000000000000000000000000000000031 -:103F90000000000000000000000000000000000021 -:103FA0000000000000000000000000000000000011 -:103FB0000000000000000000000000000000000001 -:103FC00000000000000000000000000000000000F1 -:103FD00000000000000000000000000000000000E1 -:103FE00000000000000000000000000000000000D1 -:103FF00000000000000000000000000000000000C1 -:1040000000000000000000000000000000000000B0 -:1040100000000000000000000000000000000000A0 -:104020000000000000000000000000000000000090 -:104030000000000000000000000000000000000080 -:104040000000000000000000000000000000000070 -:1040500000000000002000000000000041000800F7 -:104060000000000041000800000000000100000006 -:104070000000000000000000000000000000000040 -:104080000000000000000000000000000000000030 -:104090000000000000000000000000000000000020 -:1040A0000000000000000000000000000000000010 -:1040B0000000000000000000000000000000000000 -:1040C00000000000000000000000000000000000F0 -:1040D00000000000000000000000000000000000E0 -:1040E00000000000000000000000000000000000D0 -:1040F00000000000000000000000000000000000C0 -:1041000000000000000000000000000000000000AF -:10411000000000000000000000000000000000009F -:10412000000000000000000000000000000000008F -:10413000000000000000000000000000000000007F -:10414000000000000000000000000000000000006F -:10415000000000000000000000000000000000005F -:104160000000000000000000000700000040000008 -:1041700003E3C383C000CB00008000C0C400C800BC -:1041800000C000000000C0000000000000000000AF -:10419000000000000000000000000000000000001F -:1041A000000000000000000000000000000000000F -:1041B00000000000000000000000000000000000FF -:1041C00000000000000000000000000000000000EF -:1041D00000000000000000000000000000000000DF -:1041E00000000000000000000000000000000000CF -:1041F00000000000000000000000000000000000BF -:1042000000000000000000000000000000000000AE -:10421000000000000000000000000000000000009E -:10422000000000000000000000000000000000008E -:10423000000000000000000000000000000000007E -:10424000000000000000000000000000000000006E -:10425000000000000000000000000000000000005E -:10426000000000000000000000000000000000004E -:10427000000000000000000000000000000000003E -:10428000002000000420C00380000400000200029F -:10429000000000000020000000008000000000007E -:1042A000000000000000000000000000000000000E -:1042B00000000000000000000000000000000000FE -:1042C00000000000000000000000000000000000EE -:1042D00000000000000000000000000000000000DE -:1042E00000000000000000000000000000000000CE -:1042F00000000000000000000000000000000000BE -:1043000000000000000000000000000000000000AD -:10431000000000000000000000000000000000009D -:10432000000000000000000000000000000000008D -:10433000000000000000000000000000000000007D -:10434000000000000000000000000000000000006D -:10435000000000000000000000000000000000005D -:10436000000000000000000000000000000000004D -:10437000000000000000000000000000000000003D -:10438000000000000000000000000000000000002D -:104390000005000000000000000000000000000018 -:1043A00000000000000E000000000080020000007D -:1043B00000000000000000000000000000000000FD -:1043C00000000000000000000000000000000000ED -:1043D00000000000000000000000000000000000DD -:1043E00000000000000000000000000000000000CD -:1043F00000000000000000000000000000000000BD -:1044000000000000000000000000000000000000AC -:10441000000000000000000000000000000000009C -:10442000000000000000000000000000000000008C -:10443000000000000000000000000000000000007C -:10444000000000000000000000000000000000006C -:10445000000000000000000000000000000000005C -:10446000000000000000000000000000000000004C -:10447000000000000000000000000000000000003C -:10448000000000000000000000000000000000002C -:10449000000000000000000000000000000000001C -:1044A0000000000000050000000000000000000007 -:1044B00000070000000000000000000000000000F5 -:1044C00000000000000000000000000000000000EC -:1044D00000000000000000000000000000000000DC -:1044E00000000000000000000000000000000000CC -:1044F00000000000000000000000000000000000BC -:1045000000000000000000000000000000000000AB -:10451000000000000000000000000000000000009B -:10452000000000000000000000000000000000008B -:10453000000000000000000000000000000000007B -:10454000000000000000000000000000000000006B -:10455000000000000000000000000000000000005B -:10456000000000000000000000000000000000004B -:10457000000000000000000000000000000000003B -:10458000000000000000000000000000000000002B -:10459000000000000000000000000000000000001B -:1045A000000000000000000000000000000000000B -:1045B00000000000000000000014000000000000E7 -:1045C000100008008000000000000400000200004D -:1045D00010000000000000000000000000000000CB -:1045E00000000000000000000000000000000000CB -:1045F00000000000000000000000000000000000BB -:1046000000000000000000000000000000000000AA -:10461000000000000000000000000000000000009A -:10462000000000000000000000000000000000008A -:10463000000000000000000000000000000000007A -:10464000000000000000000000000000000000006A -:10465000000000000000000000000000000000005A -:10466000000000000000000000000000000000004A -:10467000000000000000000000000000000000003A -:10468000000000000000000000000000000000002A -:10469000000000000000000000000000000000001A -:1046A000000000000000000000000000000000000A -:1046B00000000000000000000000000000000000FA -:1046C00000000000000000000000000000040000E6 -:1046D00000000000000000000000C000100000000A -:1046E0000000C00000000000000600000000000004 -:1046F00000000000000000000000000000000000BA -:1047000000000000000000000000000000000000A9 -:104710000000000000000000000000000000000099 -:104720000000000000000000000000000000000089 -:104730000000000000000000000000000000000079 -:104740000000000000000000000000000000000069 -:104750000000000000000000000000000000000059 -:104760000000000000000000000000000000000049 -:104770000000000000000000000000000000000039 -:104780000000000000000000000000000000000029 -:104790000000000000000000000000000000000019 -:1047A0000000000000000000000000000000000009 -:1047B00000000000000000000000000000000000F9 -:1047C00000000000000000000000000000000000E9 -:1047D00000000000000000000000000000000000D9 -:1047E000400C00000000000000000000000000027B -:1047F0000000000000000002000000000020000097 -:1048000000000000000000000000000000000000A8 -:104810000000000000000000000000000000000098 -:104820000000000000000000000000000000000088 -:104830000000000000000000000000000000000078 -:104840000000000000000000000000000000000068 -:104850000000000000000000000000000000000058 -:104860000000000000000000000000000000000048 -:104870000000000000000000000000000000000038 -:104880000000000000000000000002000000000026 -:104890000000000000000000000000000000000018 -:1048A0000000000000000000000000000000000008 -:1048B00000000000000000000000000000000000F8 -:1048C00000000000000000000000000000000000E8 -:1048D00000000000000000000000000000000000D8 -:1048E00000000000000000000000000000000000C8 -:1048F00000000000000000000000040000000000B4 -:1049000000000000000000000000000000000000A7 -:104910000040000000000000000000000000000057 -:104920000000000000000000000000000000000087 -:104930000000000000000000000000000000000077 -:104940000000000000000000000000000000000067 -:104950000000000000000000000000000000000057 -:104960000000000000000000000000000000000047 -:104970000000000000000000000000000000000037 -:104980000000000000000000000000000000000027 -:104990000000000000000000000000000000000017 -:1049A0000000000000000000000000000000000007 -:1049B00000000000000000000000000000000000F7 -:1049C00000000000000000000000000000000000E7 -:1049D00000000000000000000000000000000000D7 -:1049E00000000000000000000000000000000000C7 -:1049F00000000000000000000000000000000000B7 -:104A000000000000000000000000000000000000A6 -:104A10000000000000000000000000000000000096 -:104A20000000000000000000000000000000000086 -:104A30000000000000000000000000000000000076 -:104A40000000000000000000000000000000000066 -:104A50000000000000000000000000000000000056 -:104A60000000000000000000000000000000000046 -:104A70000000000000000000000000000000000036 -:104A80000000000000000000000000000000000026 -:104A90000000000000000000000000000000000016 -:104AA0000000000000000000000000000000000006 -:104AB00000000800000000000000000000000000EE -:104AC00000000000000000000000000000000000E6 -:104AD00000000000000000000000000000000000D6 -:104AE00000000000000000000000000000000000C6 -:104AF00000000000000000000000000000000000B6 -:104B000000000000000000000000000000000000A5 -:104B10000000000000000000000000000000000095 -:104B20000000000000000000000000000000000085 -:104B30000000000000000000002000000000000055 -:104B40000000000000000000000000000000000065 -:104B50000000000000000000000000000000000055 -:104B60000000000000000000000000000000000045 -:104B70000000000000000000000000000000000035 -:104B80000000000000000000000000000000000025 -:104B90000000000000000000000000000000000015 -:104BA0000000000000000000000000000000000005 -:104BB00000000000000000000000000000000000F5 -:104BC00000000000000000000000000000000000E5 -:104BD00000000000000000000000000000002000B5 -:104BE00000000000000000000000000000000000C5 -:104BF00000000000000000000000000000000000B5 -:104C000000000000000000000000000000000000A4 -:104C10000000000000000000000000000000000094 -:104C20000000000000000000000000000000000084 -:104C30000000000000000000000000000000000074 -:104C40000000000000000000000000000000000064 -:104C50000000000000000000000000000000000054 -:104C60000000000000000000000000000000000044 -:104C70000000000000000000000000000000000034 -:104C80000000000000000000000000000000000024 -:104C90000000000000000000000000000000000014 -:104CA0000000000000000000000000000000000004 -:104CB00010000000000000000000000000000000E4 -:104CC00000000000000000000000000000000000E4 -:104CD00000000000000000000000000000000000D4 -:104CE00000000000000000000000000000000000C4 -:104CF0000000400000000000000000000000000074 -:104D000000000000000000000000000000000000A3 -:104D10000000000000000000000000000000000093 -:104D20000000000000000000000000000000000083 -:104D30000000000000000000000000000000000073 -:104D40000000000000000000000000000000000063 -:104D50000000000000000000000000000000000053 -:104D60000000000000000000000000000000000043 -:104D70000000000000000000000000000000000033 -:104D80000000000000000000000000000000000023 -:104D90000000000000000000000000000000000013 -:104DA0000000000000000000000000000000000003 -:104DB00000000000000000000000000000000000F3 -:104DC00000000000000000000000000000000000E3 -:104DD00000000000000000000000000000000000D3 -:104DE00000000000000000000000000000000000C3 -:104DF00000000000000000000000000000000000B3 -:104E000000000000000000000000000000000000A2 -:104E10000000000000000000000000000000000092 -:104E20000000000000000000000000000000000082 -:104E30000000000000000000000000000000000072 -:104E40000000000000000000000000000000000062 -:104E50000000000000000000000000000000000052 -:104E600000C2000000000000000000000000000080 -:104E70000000000000000000000000000000000032 -:104E80000000000000000000000000000000000022 -:104E90000000000000000000000000000000000012 -:104EA0000000000000000000000000000000000002 -:104EB00000000000000000000000000000000000F2 -:104EC00000000000000000000000000000000000E2 -:104ED00000000000000000000000000000000000D2 -:104EE00000000000000000000000000000000000C2 -:104EF00000000000000000000000000000000000B2 -:104F000000000000000000000000000000000000A1 -:104F10000000000000000000000000000000000091 -:104F20000000000000000000000000000000000081 -:104F30000000000000000000000000000000000071 -:104F40000000000000000000000000000000000061 -:104F50000000000000000000000000000000000051 -:104F6000000000000000000000000000FFFFFFFF45 -:104F700000000000A0A0FFFF0000000000000000F3 -:104F80000000000000000000000000000000000021 -:104F90000000000000000000000000000000000011 -:104FA0000000000000000000000000000000000001 -:104FB00000000000000000000000000000000000F1 -:104FC00000000000000000000000000000000000E1 -:104FD00000000000000000000000000000000000D1 -:104FE00000000000000000000000000000000000C1 -:104FF00000000000000000000000000000000000B1 -:1050000000000000000000000000000000000000A0 -:105010000000000000000000000000000000000090 -:105020000000000000000000000000000000000080 -:105030000000000000000000000000000000000070 -:105040000000000000000000000000000000000060 -:105050000000000000000000000000000000000050 -:105060000000000000000000000000000000000040 -:105070000000000000000000000000000000000030 -:1050800000100C000000000090040C000000000064 -:105090000000000000000000000000000000000010 -:1050A0000000000000000000000000000000000000 -:1050B00000000000000000000000000000000000F0 -:1050C00000000000000000000000000000000000E0 -:1050D00000000000000000000000000000000000D0 -:1050E00000000000000000000000000000000000C0 -:1050F00000000000000000000000000000000000B0 -:10510000000000000000000000000000000000009F -:10511000000000000000000000000000000000008F -:1051200000000000801002000000000000000000ED -:10513000000000000000000000000000000000006F -:10514000000000000000000000000000000000005F -:10515000000000000000000000000000000000004F -:10516000000000000000000000000000000000003F -:10517000000000000000000000000000000000002F -:10518000000000000000000000000000000000001F -:10519000000000000000000000000000000000000F -:1051A00000100000000000000000000000000000EF -:1051B00000000000000000000000000000000000EF -:1051C00000000000000000000000000000000000DF -:1051D00000000000000000000000000000100000BF -:1051E00000000000000000000000000000000000BF -:1051F00000000000000000000000000000000000AF -:10520000000000000000000000000000000000009E -:10521000000000000000000000000000000000008E -:10522000000000000000000000000000000000007E -:105230000000000000000000FFFFFFFF0000000072 -:10524000000000000000000000000000000000005E -:10525000000000000000000000000000000000004E -:10526000000000000000000000000000000000003E -:10527000000000000000000000000000000000002E -:10528000000000000000000000000000000000001E -:10529000FFFFFFFF00000000000000000000000012 -:1052A0000000000000000000FFFFFFFF0000000002 -:1052B00000000000FFFFFFFF0000000000000000F2 -:1052C00000000000000000000000000000000000DE -:1052D00000000000000000000000000000000000CE -:1052E00000000000000000000000000000000000BE -:1052F000FFFFFFFF000000000000000000000000B2 -:10530000000000000000000000000000000000009D -:10531000000000000000000000000000000000008D -:10532000000000000000000000000000000000007D -:10533000000000000000000000000000000000006D -:1053400000000000000000000000000000000A0053 -:10535000000000000000000000000000000000004D -:10536000000000000000000000000000000000003D -:10537000000000000000000000000000000000002D -:10538000000000000000000000000000000000001D -:10539000000000000000000000000000000000000D -:1053A00000000000000000000000000000000000FD -:1053B00000000000000000000000000000000000ED -:1053C00000500080000000040050100100000000A8 -:1053D00000000000000000000000000000000000CD -:1053E00000000000000000000000000000000000BD -:1053F00000000000000000000000000000000000AD -:105400000000000000000A0000000400000000008E -:10541000000000000000000000000000000000008C -:10542000000000000000000000000000000000007C -:10543000000000000000000000000000000000006C -:10544000000000000000000000000000000000005C -:10545000000000000000000000000000000000004C -:10546000010000080000140000000000000000001F -:10547000000000000000000000000000000000002C -:10548000000000000000000000000000000000001C -:10549000000000000000000000000000000000000C -:1054A00000000000000000000000000000000000FC -:1054B00000000000000000000000000000000000EC -:1054C00000000000000000000000000000000000DC -:1054D00000200010000000C0402008000000000173 -:1054E00000000000000000000000000000000000BC -:1054F00000000000000000000000000000000000AC -:10550000000000000000000000000000000000009B -:105510000000000000000000014000000000140036 -:10552000000000000000000000000000000000007B -:10553000000000000000000000000000000000006B -:10554000000000000000000000000000000000005B -:10555000000000000000000000000000000000004B -:10556000000000000000000000000000000000003B -:105570000000000000230003000000000000000005 -:10558000000000000000000000000000000000001B -:10559000000000000000000000000000000000000B -:1055A00000000000000000000000000000000000FB -:1055B00000000000000000000000000000000000EB -:1055C00000000000000000000000000000000003D8 -:1055D00003000000000000000000000000000000C8 -:1055E00000000000000000070300000000000007AA -:1055F0001300000000000000000000000000000098 -:10560000000000000000000000000000000000009A -:10561000000000000000000000000000000000008A -:10562000000000000000000000000000000000007A -:105630000000030000000000000000000000000067 -:10564000000000000000000000000000000000005A -:10565000000000000000000000000000000000004A -:10566000000000000000000000000000000000003A -:10567000000000000000000000000000000000002A -:105680000000000000000000000000010000000019 -:10569000000000000000000000000000000000000A -:1056A00000000000000000000000000000000000FA -:1056B00000000000000000000000000000000000EA -:1056C00000000000000000000000000000000000DA -:1056D00000000000000000000000000000000000CA -:1056E0000000008002000000000000000000000038 -:1056F00000000000000000000000000002000000A8 -:105700000000000000000000000000000000000099 -:105710000000000000000000000000000000000089 -:105720000000000000000000000000000000000079 -:105730000000000000000000000000000000000069 -:105740000000000000004000000000000000000019 -:105750000000000000000000000000000000000049 -:105760000000000000000000000000000000000039 -:105770000000000000000000000000000000000029 -:105780000000000000000000000000000000000019 -:105790000000000000000000000000000000008089 -:1057A0002C000000000000000000000000000000CD -:1057B00000000000000000000000000000000000E9 -:1057C00000000000000000000000000000000000D9 -:1057D00000000000000000000000000000000000C9 -:1057E00000000000000000000000000000000000B9 -:1057F00000000000000000000000000000000000A9 -:105800000000000000000000000000000000000098 -:105810001C000000000D002C000000000000000033 -:105820000000000000000000000000000000000078 -:105830000000000000000000000000000000000068 -:105840000000000000000000000000000000000058 -:105850000000000000000000000000000000000048 -:105860000000000000000000000000000000000038 -:105870000000000000000000000000000000000028 -:105880000000000000000000000000000000000018 -:105890000000000000000000000000000000000008 -:1058A00000000000000000000000000000000000F8 -:1058B00000000000000000000000000000000000E8 -:1058C00000000000000000000000000000000000D8 -:1058D00000000000000000000000000000000000C8 -:1058E00000000000000000000000000000000000B8 -:1058F00000000000000000000000000000000000A8 -:105900000000000000000000000000000000000097 -:105910000000000000000000000000000000000087 -:105920000000000002000000000000000000000075 -:105930000000000000000000000000000000000067 -:105940000000000000000000000000000000000057 -:105950000000000000000000000000000000000047 -:105960000000000000000000000000000000000037 -:105970000000000000000000000000000000000027 -:105980000000000000000000000000000000000017 -:105990000000000000000000000000000000000007 -:1059A00000000000000000000000000000000000F7 -:1059B00000000000000000000000000000000000E7 -:1059C00000000000000000000000000000000000D7 -:1059D00000000000000000000000000000000000C7 -:1059E00000000000000000000000000000000000B7 -:1059F00000000000000000000000000000000000A7 -:105A00000000000000000000000000000000000096 -:105A10000000000000000000000000000000000086 -:105A20000000000000000000000000000000000076 -:105A30000000000000000000000000000000000066 -:105A40000000000000000000000000000000000056 -:105A50000000000000000000000000000000000046 -:105A60000000000000000000000000000000000036 -:105A70000000000000000000000000000000000422 -:105A80000000000000000000000000000000000016 -:105A90000000000000000000000000000000000006 -:105AA00000000000000000000000000000000000F6 -:105AB00000000000000000000000000000000000E6 -:105AC00000000000000000000000000000000000D6 -:105AD00000000000000000000000000000000000C6 -:105AE00000000000000000000000000000000000B6 -:105AF00000000000000000000000000000000000A6 -:105B00000000000000000000000000000000000095 -:105B10000000000000000000000000000000000085 -:105B20000000000000000000000000000000000075 -:105B30000000000000000000000000000000000065 -:105B40000000000000000000000000000000000055 -:105B500000100080000000000000000000000000B5 -:105B60000000000000000000000000000000000035 -:105B70000000000000000000000000000000000025 -:105B80000000000000000000000000000000000015 -:105B900000000010000000000000000000000000F5 -:105BA00000000000000000000000000000000000F5 -:105BB00000000000000000000000000000000000E5 -:105BC00000000000000000000000000000000000D5 -:105BD00000000000000000000000000000000000C5 -:105BE000000000000000000000000000000000783D -:105BF0003C00000000000000000000000000000069 -:105C00000000000000000000000000000000000094 -:105C10000000000000000000000000000000000084 -:105C20000000000000000000000000000000000074 -:105C30000000000000000000000000000000000064 -:105C40000000000000000000000000000000000054 -:105C50000000000000000000000000000000000044 -:105C60000000000000020000000000000000000032 -:105C70000000000000000000000000000000000024 -:105C80000000000000000000000000000000000014 -:105C90000000000000000000000000000000000004 -:105CA00000000000000000000000000000000000F4 -:105CB00000000000000000000000000000000000E4 -:105CC00000000000000000000000000000000000D4 -:105CD00000000000000000000000000000000000C4 -:105CE00000000000000000000000000000000200B2 -:105CF0000000000000000000000010000000000094 -:105D00000000000000000000000000000000000093 -:105D10000000000000000000000000000000000083 -:105D20000000000000000000000000000000000073 -:105D30000000000000000000000000000000000063 -:105D40000000000000000000000000000000000053 -:105D50000000000000000000000000000000000043 -:105D60000000000000000000000000000000000033 -:105D700000000000000040040000000000000100DE -:105D800020000000000000000000000000000000F3 -:105D900000002000000000000000000000000000E3 -:105DA0000000000020000000000080000000000053 -:105DB00000000000000020000000000000000100C2 -:105DC00000002000000000000000000000000000B3 -:105DD00000000000010000000000000000000000C2 -:105DE00000000000000000000000000000000000B3 -:105DF00000000000000000000000000000000000A3 -:105E00000000000000000000000000000000200072 -:105E10000000000000000000000000000000000082 -:105E20000000000000000000000000000000000072 -:105E30000000000000000000000000000000000062 -:105E40000000000000000000000000000000000052 -:105E50000000000000000000000000000000000042 -:105E60000000000000000000000000000000000032 -:105E70000000000000000000000000000000000022 -:105E80000000000000000000000000000000000012 -:105E90000000000000000000000000000000000002 -:105EA00000000000000000000000000000000000F2 -:105EB00000000000000000000000000000000000E2 -:105EC00000000000000000000000000000000000D2 -:105ED00000000000000000000000000000000000C2 -:105EE00000000000000000000000000000000000B2 -:105EF00000000000000000000000000000000000A2 -:105F00000000000000000000000000000000000091 -:105F1000000000000000080000000E00000000006B -:105F20000000000000000000000000000000000071 -:105F300000000000000000000000800000000000E1 -:105F40000000000000000000000000000000000051 -:105F50000000000000000000000000000000000041 -:105F60000000000000000000000000000000000031 -:105F70000000000000000000000000000000000021 -:105F80000000000000000000000000000000000011 -:105F900000000000000000000000000000003000D1 -:105FA00000000000000008002000000000000000C9 -:105FB00000000000000000000000100000000000D1 -:105FC00000000000000000000000000010000000C1 -:105FD0000000200000000000000000000000100091 -:105FE00000000000000008000000000000000000A9 -:105FF0000000000000000000000000000C00000095 -:106000000000000000000000000000000000000090 -:106010000000000000000000100010000000000060 -:106020000000000000000000000000000000000070 -:106030000000000000000000000000000000000060 -:106040000000300000000000000000000000000020 -:106050000000000000000000000000000000000040 -:106060000000000000000000000000000000000030 -:1060700000000000300000000000000000003000C0 -:106080000000000000000000000000000000000010 -:106090000000000000000000000000000000000000 -:1060A00000000000000000000000000000000000F0 -:1060B00000000000000040000000000000000000A0 -:1060C00000000000000000000000000000000000D0 -:1060D00000000000000000000000000000000000C0 -:1060E00000000000000000000000000000000000B0 -:1060F00000000000000000000000000000000000A0 -:10610000000000000000000000000000000000008F -:10611000000000000000000000000000000000007F -:10612000000000000000000000000000100000005F -:10613000000000000000000000000000000000005F -:10614000000000000000000000000000000000004F -:10615000000000000000000000000000000000003F -:10616000000000000000000000000000000000002F -:10617000000000000000000000000000000000001F -:10618000000000000000000000000000000000000F -:1061900000000000000000000000000000000000FF -:1061A00000000000000000000000000000000000EF -:1061B00000000000000000000000000000000000DF -:1061C00000000000000000000000200000000000AF -:1061D00000000000000000000000000000000000BF -:1061E00000000000000000000000000000000000AF -:1061F000000000000000000000000000000000009F -:10620000000000000000000000000000000000008E -:10621000000000000000000000000000000000007E -:10622000000000000000000000000000000000006E -:10623000000000000000000000000000000000005E -:10624000000000000000000000000000000000004E -:10625000000000000000000000000000000000003E -:10626000000000000000000000001000000000001E -:10627000000000000000000000000000000000001E -:10628000000000000000000000000000000000000E -:1062900000000000000000000000000010000000EE -:1062A00000000000000010000000000000000000DE -:1062B00000000000000000000000000000000000DE -:1062C00000000000000000000000000000001000BE -:1062D00000000000000000001000000000000000AE -:1062E00000000000000000000000000000000000AE -:1062F000000000000000000000000000000000009E -:10630000000000000000000000000000000000008D -:10631000000000000000000000000000000000007D -:10632000000000000000000000000000000000006D -:10633000000000000000000000000000000000005D -:10634000000000000000000000000000000000004D -:10635000000000000000000000000000000000003D -:106360000000000000000000000000001081080094 -:106370000000000000000000000000001081080084 -:10638000000000000000000000000000000000000D -:1063900000000000000000000000000000000000FD -:1063A00000000000000000000000000000000000ED -:1063B00000000000000000000000000000000000DD -:1063C00000000000000000000000000000000000CD -:1063D0000000000010810800000000000000000024 -:1063E0000000000000000000000000001081080014 -:1063F0000000000010810800000000000000000004 -:10640000000000000000000000000000000000008C -:10641000000000000000000000000000000000007C -:10642000000000000000000000000000000000006C -:10643000000000000000000000000000000000005C -:10644000000000000000000000000000100100003B -:10645000000000000000000000000000000000003C -:10646000000000000000000000000000000000002C -:10647000000000000000000000000000000000001C -:10648000008100000000000000000000000000008B -:10649000008100000000000000000000000000007B -:1064A00000000000000000000000000000000000EC -:1064B00000000000000000000000000000000000DC -:1064C00000000000000000000000000000000000CC -:1064D00000000000000000000000000000000000BC -:1064E000000000000000000000810000000000002B -:1064F000000000000000000000000000000000009C -:106500000081000000000000008100000000000089 -:10651000000000000000000000000000000000007B -:10652000000000000000000000000000000000006B -:10653000000000000000000000000000000000005B -:10654000000000000000000000000000000000004B -:10655000000000000000000000000000000000003B -:10656000000100000000000000000000000000002A -:10657000000000000000000000000000000000001B -:10658000000000000000000000000000000000000B -:1065900000000000000000000000000000000000FB -:1065A00000000000000000000000000000000000EB -:1065B00000000000000000000000000000000000DB -:1065C00000000000000000000000000000000000CB -:1065D00000000000000000000000000000000000BB -:1065E00000000000000000000000000000000000AB -:1065F000000000000000000000000000000000009B -:10660000000000000000000000000000000000008A -:10661000000000000000000000000000000000007A -:10662000000000000000000000000000000000006A -:10663000000000000000000000000000000000005A -:10664000000000000000000000000000000000004A -:10665000000000000000000000000000000000003A -:10666000000000000000000000000000000000002A -:10667000000000000000000000000000000000001A -:10668000000000000000000000000000000000000A -:1066900000000000000000000000000000000000FA -:1066A00000000000000000000000000000000000EA -:1066B00000000000000000000000000000000000DA -:1066C00000000000000000000000000000000000CA -:1066D00000000000000000000000000000000000BA -:1066E00000000000000000000000000000000000AA -:1066F000000000000000000000000000000000009A -:106700000000000000000000000000000000000089 -:106710000000000000000000000000000000000079 -:106720000000000000000000000000000000000069 -:106730000000000000000000000000000000000059 -:106740000000000000000000000000000000000049 -:106750000000000000000000000000000000000039 -:106760000000000000000000000000000000000029 -:106770000000000000000000000000000000000019 -:106780000000000000000000000000000000000009 -:1067900000000000000000000000000000000000F9 -:1067A00000000000000000000000000000000000E9 -:1067B00000000000000000000000000000000000D9 -:1067C00000000000000000000000000000000000C9 -:1067D00000000000000000000000000000000000B9 -:1067E00000000000000000000000000000000000A9 -:1067F0000000000000000000000000000000000099 -:106800000000000000000000000000000000000088 -:106810000000000000000000000000000000000078 -:106820000000000000000000000000000000000068 -:106830000000000000000000000000000000000058 -:106840000000000000000000000000000000000048 -:106850000000000000000000000000000000000038 -:106860000000000000000000000000000000000028 -:106870000000000000000000000000000000000018 -:106880000000000000000000000000000000000008 -:1068900000000000000000000000000000000000F8 -:1068A00000000000000000000000000000000000E8 -:1068B00000000000000000000000000000000000D8 -:1068C00000000000000000000000000000000000C8 -:1068D00000000000000000000000000000000000B8 -:1068E00000000000000000000000000000000000A8 -:1068F0000000000000000000000000000000000098 -:106900000000000000000000000000000000000087 -:106910000000000000000000000000000000000077 -:106920000000000000000000000000000000000067 -:106930000000000000000000000000000000000057 -:106940000000000000000000000000000000000047 -:106950000000000000000000000000000000000037 -:106960000000000000000000000000000000000027 -:106970000000000000000000000000000000000017 -:106980000000000000000000000000000000000007 -:1069900000000000000000000000000000000000F7 -:1069A00000000000000000000000000000000000E7 -:1069B00000000000000000000000000000000000D7 -:1069C00000000000000000000000000000000000C7 -:1069D00000000000000000000000000000000000B7 -:1069E00000000000000000000000000000000000A7 -:1069F0000000000000000000000000000000000097 -:106A00000000000000000000000000000000000086 -:106A10000000000000000000000000000000000076 -:106A20000000000000000000000000000000000066 -:106A30000000000000000000000000000000000056 -:106A40000000000000000000000000000000000046 -:106A50000000000000000000000000000000000036 -:106A60000000000000000000000000000000000026 -:106A70000000000000000000000000000000000016 -:106A80000000000000000000000000000000000006 -:106A900000000000000000000000000000000000F6 -:106AA00000000000000000000000000000000000E6 -:106AB00000000000000000000000000000000000D6 -:106AC00000000000000000000000000000000000C6 -:106AD00000000000000000000000000000000000B6 -:106AE00000000000000000000000000000000000A6 -:106AF0000000000000000000000000000000000096 -:106B00000000000000000000000000000000000085 -:106B10000000000000000000000000000000000075 -:106B20000000000000000000000000000000000065 -:106B30000000000000000000000000000000000055 -:106B40000000000000000000000000000000000045 -:106B50000000000000000000000000000000000035 -:106B60000000000000000000000000000000000025 -:106B70000000000000000000000000000000000015 -:106B80000000000000000000000000000000000005 -:106B900000000000000000000000000000000000F5 -:106BA00000000000000000000000000000000000E5 -:106BB00000000000000000000000000000000000D5 -:106BC00000000000000000000000000000000000C5 -:106BD00000000000000000000000000000000000B5 -:106BE00000000000000000000000000000000000A5 -:106BF0000000000000000000000000000000000095 -:106C00000000000000000000000000000000000282 -:106C10000000000000000000000000000000000074 -:106C20000000000000000000000000000000000064 -:106C30000000000000000000000000000000000054 -:106C40000000000000000000000000000000000044 -:106C50000000000000000000000000000000000034 -:106C60000000000000000000000000000000000024 -:106C70000000000000000000000000000000000014 -:106C80000000000000000000000000000000000004 -:106C900000000000000000000000000000000000F4 -:106CA00000000000000000000000000000000000E4 -:106CB00000000000000000000000000000000000D4 -:106CC00000000000000000000000000000000000C4 -:106CD00000000000000000000000000000000000B4 -:106CE00000000000000000000000000000000000A4 -:106CF0000000000000000000000000000000000094 -:106D00000000000000000000000000000000000083 -:106D10000000000000000000000000000000000073 -:106D20000000200000000000000000000000000043 -:106D30000000000000000000000000000000000053 -:106D40000000000000000000000000000000000043 -:106D50000000000000000000000000000000000033 -:106D60000000000000000000000000000000000023 -:106D70000000000000000000000000000000000013 -:106D800000000000000000000000B0000000000053 -:106D900000000000000000000000000000000000F3 -:106DA0000000B000000000000000B0000000000083 -:106DB00000000000000000000000000000000000D3 -:106DC00000000000000000000000000000000000C3 -:106DD00000000000000000000000000000000000B3 -:106DE00000000000000000000000000000000000A3 -:106DF0000000000000000000000000000000000093 -:106E00000000C002000000000000000000000000C0 -:106E10000000000000000000000000000000000072 -:106E20000000000000000000000000000000000062 -:106E30000000000000000000000000000000000052 -:106E40000000000000000000000000000000000042 -:106E50000000000000000000000000000000000032 -:106E60000000000000000000000000000000000022 -:106E70000000000000000000000000000000000012 -:106E80000000000000000000000000000000000002 -:106E900000000000000000000000000000000000F2 -:106EA00000000000000000000000000000000000E2 -:106EB00000000000000000000000000000000000D2 -:106EC00000000000000000000000000000000000C2 -:106ED00000000000000000000000000000000000B2 -:106EE00000000000000000000000000000000000A2 -:106EF0000000000000000000000000000000000092 -:106F00000000000000000000000000000000000081 -:106F10000000000000000000000000000000000071 -:106F20000000000000000000000000000000000061 -:106F30000000000000000000000000000000000051 -:106F4000000000000000000200000000000000003F -:106F5000000000000000000200000000000000002F -:106F60000000000000000000000000000000000021 -:106F70000000000000000000000000000000000011 -:106F80000000000000000000000000000000000001 -:106F900000000000000000000000000000000000F1 -:106FA00000000000000000000000000000000002DF -:106FB00000000000000000000000000000000000D1 -:106FC00000000000000000020000000000000002BD -:106FD00000000000000000000000000000000000B1 -:106FE00000000000000000000000000000000000A1 -:106FF0000000000000000000000000000000000091 -:107000000000000000000000000000000000000080 -:107010000000000000000000000000000000000070 -:107020000000000000000000000000000000000060 -:107030000000000000000000000000000000000050 -:107040000000000000000000000000000000000040 -:107050000000000000000000000010000000000020 -:107060000000000000000000000020000000000000 -:107070000000000000000000000000000000000010 -:107080000000000000000000000000000000000000 -:1070900000000000000000000000000000000000F0 -:1070A00000000000000000000000000000000000E0 -:1070B00000000000000000000000000000000000D0 -:1070C00000002000000000000000000000000000A0 -:1070D0000000000000000000000020000000000090 -:1070E0000000200000000000000000000000000080 -:1070F0000000000000000000000000000000000090 -:10710000000000000000000000000000000000007F -:10711000000000000000000000000000000000006F -:10712000000000000000000000000000000000005F -:10713000000000000000000000000000000000004F -:10714000000000000000000000000000000000003F -:10715000000000000000000000000000000000002F -:10716000000000000000000080000000000000009F -:10717000000000000000000000000000000000000F -:1071800000000000000000000000000000000000FF -:1071900000000000000000000000000000000000EF -:1071A00000000000000000000000000000000000DF -:1071B00000000000000000000000000000000000CF -:1071C00000000000000000000000000000000000BF -:1071D00000000000000000000000000000000000AF -:1071E000000000000000000000000000000000009F -:1071F000000000000000000000000000000000008F -:10720000000000000000000000000000000000007E -:10721000000000000000000000000000000000006E -:10722000000000000000000000000000000000005E -:10723000000000000000000000000000000000004E -:10724000000000000000000000000000000000003E -:10725000000000000000000000000000000000002E -:10726000000000000000000000000000000000001E -:10727000000000000000000000000000000000000E -:1072800000000000000000000000000000000000FE -:1072900000000000000000000000000000000000EE -:1072A00000000000000000000000000000000000DE -:1072B00000000000000000000000000000000000CE -:1072C00000000000000000000000000000000000BE -:1072D00000000000000000000000000000000000AE -:1072E000000000000000000000000000000000009E -:1072F000000000000000000000000000000000008E -:10730000000000000000000000000000000000007D -:10731000000000000000000000000000000000006D -:10732000000000000000000000000000000000005D -:10733000000000000000000000000000000000004D -:10734000000000000000000000000000000000003D -:10735000000000000000000000000000000000002D -:10736000000000000000000000000000000000001D -:10737000000000000000000000000000000000000D -:1073800000000000000000000000000000000000FD -:1073900030000000000000000000000000000000BD -:1073A00000000000000000000000000000000000DD -:1073B00000000000000000000000000000000000CD -:1073C00000000000000000000000000000000000BD -:1073D00000000000000000000000000000000000AD -:1073E000000000000000000000000000000000009D -:1073F000000000000000000000000000000000008D -:10740000000000000000000000000000000000007C -:10741000000000000000000000000000000000006C -:10742000000000000000000000000000000000005C -:10743000000000000000000000000000000000004C -:10744000000000000000000000000000000000003C -:10745000000000000000000000000000000000002C -:10746000000000000000000000000000000000001C -:10747000000000000000000000000000000000000C -:1074800000000000000000000000000000000000FC -:1074900000000000000000000000000000000000EC -:1074A00000000000000000000000000000000000DC -:1074B00000000000000000000000000000000000CC -:1074C00000000000000000000000000000000000BC -:1074D00000000000000000000000000000000000AC -:1074E000000000000000000000000000000000009C -:1074F000000000000000000000000000000000008C -:10750000000000000000000000000000000000007B -:10751000000000000000000000000000000000006B -:10752000000000000000000000000000000000005B -:10753000000000000000000000000000000000004B -:10754000000000000000000000000000000000003B -:10755000000000000000000000000000000000002B -:10756000000000000000000000000000000000001B -:10757000000000000000000000000000000000000B -:1075800000000000000000000000000000000000FB -:1075900000000000000000000000000000000000EB -:1075A00000000000000000000000000000000000DB -:1075B00000000000000000000000000000000000CB -:1075C00000000000000000000000000000000000BB -:1075D00000000000000000000000000000000000AB -:1075E000000000000000000000000000000000009B -:1075F000000000000000000000000000000000008B -:10760000000000000000000000000000000000007A -:10761000000000000000000000000000000000006A -:10762000000000000000000000000000000000005A -:10763000000000000000000000000000000000004A -:10764000000000000000000000000000000000003A -:10765000000000000000000000000000000000002A -:10766000000000000000000000000000000000001A -:10767000000000000000000000000000000000000A -:1076800000000000000000000000000000000000FA -:1076900000000000000000000000000000000000EA -:1076A00000000000000000000000000000000000DA -:1076B00000000000000000000000000000000000CA -:1076C00000000000000000000000000000000000BA -:1076D00000000000000000000000000000000000AA -:1076E000000000000000000000000000000000009A -:1076F000000000000000000000000000000000008A -:107700000000000000000000000000000000000079 -:107710000000000000000000000000000000000069 -:107720000000000000000000000000000000000059 -:107730000000000000000000000000000000000049 -:107740000000000000000000000000000000000039 -:107750000000000000000000000000000000000029 -:107760000000000000000000000000000000000019 -:107770000000000000000000000000000000000009 -:1077800000000000000000000000000000000000F9 -:1077900000000000000000000000000000000000E9 -:1077A00000000000000000000000000000000000D9 -:1077B00000000000000000000000000000000000C9 -:1077C00000000000000000000000000000000000B9 -:1077D00000000000000000000000000000000000A9 -:1077E00000008001100810400102000000000000AD -:1077F0000000008082011000100001000000000065 -:107800000000000000000000000000000000000078 -:107810000000000000000000000000000000000068 -:107820000000000000000000000000000000000058 -:107830000000000000000000000000000000000048 -:107840000000000000000000000080800310000025 -:1078500080010000000000000000000000000000A7 -:107860000000800110081040010280800310000019 -:107870008001000000000000000000000000000087 -:1078800000000000000000000000000000000000F8 -:1078900000000000000000000000000000000000E8 -:1078A00000000000000000000000000000000000D8 -:1078B00000000000000000000000000000000000C8 -:1078C000000080011008000000000000000000001F -:1078D00000000000000000000000000000000000A8 -:1078E0000000000000000000000000000000000098 -:1078F0000000000000000000000000000000000088 -:107900000000000000000000000000000040000037 -:107910000000000000000000000000000000000067 -:107920000000000000000000000000000000000057 -:107930000000000000000000000000000000000047 -:107940000000000000000000000000000000000037 -:107950000000000000000000000000000000000027 -:107960000000000400000000000000000000000013 -:107970000000000000000000000000000000000007 -:1079800000000004000000000000000000000000F3 -:1079900000000000000000000000000000000000E7 -:1079A00000000000000000000000000000000000D7 -:1079B00000000000000000000000000000000000C7 -:1079C00000000000000000000000000000000000B7 -:1079D00000000000000000000000000000000000A7 -:1079E0000000000000000000000000000000000097 -:1079F0000000000000000000000000000000000087 -:107A00000000000000000000000000000000000076 -:107A10000000000000000000000000000000000066 -:107A20000000000000000000000000000000000056 -:107A30000000000000000000000000000000000046 -:107A40000000000000000000000000000000000036 -:107A50000000000000000000000000000000000026 -:107A60000000000000000000000000000000000016 -:107A70000000000000000000000000000000000006 -:107A800000000000000000000000000000000000F6 -:107A900000000000000000000000000000000000E6 -:107AA00000000000000000000000000000000000D6 -:107AB00000000000000000000000000000000000C6 -:107AC00000000000000000000000000000000000B6 -:107AD00000000000000000000000000000000000A6 -:107AE0000000000000000000000000000000000096 -:107AF0000000000000000000000000000000000086 -:107B00000000000000000000000000000000000075 -:107B10000000000000000000000000000000000065 -:107B20000000000000000000000000000000000055 -:107B30000000000000000000000000000000000045 -:107B40000000000000000000000000000000000035 -:107B50000000000000000000000000000000000025 -:107B60000000000000000000000000000000000015 -:107B70000000000000000000000000000000000005 -:107B800000000000000000000000000000000000F5 -:107B900000000000000000000000000000000000E5 -:107BA00000000000000000000000000000000000D5 -:107BB00000000000000000000000000000000000C5 -:107BC00000000000000000000000000000000000B5 -:107BD00000000000000000000000000000000000A5 -:107BE0000000000000000000000000000000000095 -:107BF0000000000000000000000000000000000085 -:107C00000000000000000000000000000000000074 -:107C10000000000000000000000000000000000064 -:107C20000000000000000000000000000000000054 -:107C30000000000000000000000000000000000044 -:107C40000000000000000000000000000000000034 -:107C50000000000000000000000000000000000024 -:107C60000000000000000000000000000000000014 -:107C70000000000000000000000000000000000004 -:107C800000000000000000000000000000000000F4 -:107C900000000000000000000000000000000000E4 -:107CA00000000000000000000000000000000000D4 -:107CB00000000000000000000000000000000000C4 -:107CC00000000000000000000000000000000000B4 -:107CD00000000000000000000000000000000000A4 -:107CE0000000000000000000000000000000000094 -:107CF0000000000000000000000000000000000084 -:107D00000000000000000000000000000000000073 -:107D10000000000000000000000000000000000063 -:107D20000000000000000000000000000000000053 -:107D30000000000000000000000000000000000043 -:107D40000000000000000000000000000000000033 -:107D50000000000000000000000000000000000023 -:107D60000000000000000000000000000000000013 -:107D70000000000000000000000000000000000003 -:107D800000000000000000000000000000000000F3 -:107D900000000000000000000000000000000000E3 -:107DA00000000000000000000000000000000000D3 -:107DB00000000000000000000000000000000000C3 -:107DC00000000000000000000000000000000000B3 -:107DD00000000000000000000000000000000000A3 -:107DE0000000000000000000000000000000000093 -:107DF0000000000000000000000000000000000083 -:107E00000000000000000000000000000000000072 -:107E10000000000000000000000000000000000062 -:107E20000000000000000000000000000000000052 -:107E30000000000000000000000000000000000042 -:107E40000000000000000000000000000000000032 -:107E50000000000000000000000000000000000022 -:107E60000000000000000000000000000000000012 -:107E70000000000000000000000000000000000002 -:107E800000000000000000000000000000000000F2 -:107E900000000000000000000000000000000000E2 -:107EA00000000000000000000000000000000000D2 -:107EB00000000000000000000000000000000000C2 -:107EC00000000000000000000000000000000000B2 -:107ED00000000000000000000000000000000000A2 -:107EE0000000000000000000000000000000000092 -:107EF0000000000000000000000000000000000082 -:107F00000000000000000000000000000000000071 -:107F10000000000000000000000000000000000061 -:107F20000000000000000000000000000000000051 -:107F30000000000000000000000000000000000041 -:107F40000000000000000000000000000000000031 -:107F50000000000000000000000000000000000021 -:107F60000000000000000000000000000000000011 -:107F70000000000000000000000000000000000001 -:107F800000000000000000000000000000000000F1 -:107F900000000000000000000000000000000000E1 -:107FA00000000000000000000000000000000000D1 -:107FB00000000000000000000000000000000000C1 -:107FC00000000000000000000000000000000000B1 -:107FD00000000000000000000000000000000000A1 -:107FE0000000000000000000000000000000000091 -:107FF0000000000000000000000000000000000081 -:108000000000000000000000000000000000000070 -:108010000000000000000000000000000000000060 -:108020000000000000000000000000000000000050 -:108030000000000000000000000000000000000040 -:108040000000000000000000000000000000000030 -:108050000000000000000000000000000000000020 -:108060000000000000000000000000000000000010 -:108070000000000000000000000000000000000000 -:1080800000000000000000000000000000000000F0 -:1080900000000000000000000000000000000000E0 -:1080A00000000000000000000000000000000000D0 -:1080B00000000000000000000000000000000000C0 -:1080C00000000000000000000000000000000000B0 -:1080D00000000000000000000000000000000000A0 -:1080E0000000000000000000000000000000000090 -:1080F0000000000000000000000000000000000080 -:10810000000000000000000000000000000000006F -:10811000000000000000000000000000000000005F -:10812000000000000000000000000000000000004F -:10813000000000000000000000000000000000003F -:10814000000000000000000000000000000000002F -:10815000000000000000000000000000000000001F -:10816000000000000000000000000000000000000F -:1081700000000000000000000000000000000000FF -:1081800000000000000000000000000000000000EF -:1081900000000000000000000000000000000000DF -:1081A00000000000000000000000000000000000CF -:1081B00000000000000000000000000000000000BF -:1081C00000000000000000000000000000000000AF -:1081D000000000000000000000000000000000009F -:1081E000000000000000000000000000000000008F -:1081F000000000000000000000000000000000007F -:10820000000000000000000000000000000000006E -:10821000000000000000000000000000000000005E -:10822000000000000000000000000000000000004E -:10823000000000000000000000000000000000003E -:10824000000000000000000000000000000000002E -:10825000000000000000000000000000000000001E -:10826000000000000000000000000000000000000E -:1082700000000000000000000000000000000000FE -:1082800000000000000000000000000000000000EE -:1082900000000000000000000000000000000000DE -:1082A00000000000000000000000000000000000CE -:1082B00000000000000000000000000000000000BE -:1082C00000000000000000000000000000000000AE -:1082D000000000000000000000000000000000009E -:1082E000000000000000000000000000000000008E -:1082F000000000000000000000000000000000007E -:10830000000000000000000000000000000000006D -:10831000000000000000000000000000000000005D -:10832000000000000000000000000000000000004D -:10833000000000000000000000000000000000003D -:10834000000000000000000000000000000000002D -:10835000000000000000000000000000000000001D -:10836000000000000000000000000000000000000D -:1083700000000000000000000000000000000000FD -:1083800000000000000000000000000000000000ED -:1083900000000000000000000000000000000000DD -:1083A00000000000000000000000000000000000CD -:1083B00000000000000000000000000000000000BD -:1083C00000000000000000000000000000000000AD -:1083D000000000000000000000000000000000009D -:1083E000000000000000000000000000000000008D -:1083F000000000000000000000000000000000007D -:10840000000000000000000000000000000000006C -:10841000000000000000000000000000000000005C -:10842000000000000000000000000000000000004C -:10843000000000000000000000000000000000003C -:10844000000000000000000000000000000000002C -:10845000000000000000000000000000000000001C -:10846000000000000000000000000000000000000C -:1084700000000000000000000000000000000000FC -:1084800000000000000000000000000000000000EC -:1084900000000000000000000000000000000000DC -:1084A00000000000000000000000000000000000CC -:1084B00000000000000000000000000000000000BC -:1084C00000000000000000000000000000000000AC -:1084D000000000000000000000000000000000009C -:1084E000000000000000000000000000000000008C -:1084F000000000000000000000000000000000007C -:10850000000000000000000000000000000000006B -:10851000000000000000000000000000000000005B -:10852000000000000000000000000000000000004B -:10853000000000000000000000000000000000003B -:10854000000000000000000000000000000000002B -:10855000000000000000000000000000000000001B -:10856000000000000000000000000000000000000B -:1085700000000000000000000000000000000000FB -:1085800000000000000000000000000000000000EB -:1085900000000000000000000000000000000000DB -:1085A00000000000000000000000000000000000CB -:1085B00000000000000000000000000000000000BB -:1085C00000000000000000000000000000000000AB -:1085D000000000000000000000000000000000009B -:1085E000000000000000000000000000000000008B -:1085F000000000000000000000000000000000007B -:10860000000000000000000000000000000000006A -:10861000000000000000000000000000000000005A -:10862000000000000000000000000000000000004A -:10863000000000000000000000000000000000003A -:10864000000000000000000000000000000000002A -:10865000000000000000000000000000000000001A -:10866000000000000000000000000000000000000A -:1086700000000000000000000000000000000000FA -:1086800000000000000000000000000000000000EA -:1086900000000000000000000000000000000000DA -:1086A00000000000000000000000000000000000CA -:1086B00000000000000000000000000000000000BA -:1086C00000000000000000000000000000000000AA -:1086D000000000000000000000000000000000009A -:1086E000000000000000000000000000000000008A -:1086F000000000000000000000000000000000007A -:108700000000000000000000000000000000000069 -:108710000000000000000000000000000000000059 -:108720000000000000000000000000000000000049 -:108730000000000000000000000000000000000039 -:108740000000000000000000000000000000000029 -:108750000000000000000000000000000000000019 -:108760000000000000000000000000000000000009 -:1087700000000000000000000000000000000000F9 -:1087800000000000000000000000000000000000E9 -:1087900000000000000000000000000000000000D9 -:1087A00000000000000000000000000000000000C9 -:1087B00000000000000000000000000000000000B9 -:1087C00000000000000000000000000000000000A9 -:1087D0000000000000000000000000000000000099 -:1087E0000000000000000000000000000000000089 -:1087F0000000000000000000000000000000000079 -:108800000000000000000000000000000000000068 -:108810000000000000000000000000000000000058 -:108820000000000000000000000000000000000048 -:108830000000000000000000000000000000000038 -:108840000000000000000000000000000000000028 -:108850000000000000000000000000000000000018 -:108860000000000000000000000000000000000008 -:1088700000000000000000000000000000000000F8 -:1088800000000000000000000000000000000000E8 -:1088900000000000000000000000000000000000D8 -:1088A00000000000000000000000000000000000C8 -:1088B00000000000000000000000000000000000B8 -:1088C00000000000000000000000000000000000A8 -:1088D0000000000000000000000000000000000098 -:1088E0000000000000000000000000000000000088 -:1088F0000000000000000000000000000000000078 -:108900000000000000000000000000000000000067 -:108910000000000000000000000000000000000057 -:108920000000000000000000000000000000000047 -:108930000000000000000000000000000000000037 -:108940000000000000000000000000000000000027 -:108950000000000000000000000000000000000017 -:108960000000000000000000000000000000000007 -:1089700000000000000000000000000000000000F7 -:1089800000000000000000000000000000000000E7 -:1089900000000000000000000000000000000000D7 -:1089A00000000000000000000000000000000000C7 -:1089B00000000000000000000000000000000000B7 -:1089C00000000000000000000000000000000000A7 -:1089D0000000000000000000000000000000000097 -:1089E0000000000000000000000000000000000087 -:1089F0000000000000000000000000000000000077 -:108A00000000000000000000000000000000000066 -:108A10000000000000000000000000000000000056 -:108A20000000000000000000000000000000000046 -:108A30000000000000000000000000000000000036 -:108A40000000000000000000000000000000000026 -:108A50000000000000000000000000000000000016 -:108A60000000000000000000000000000000000006 -:108A700000000000000000000000000000000000F6 -:108A800000000000000000000000000000000000E6 -:108A900000000000000000000000000000000000D6 -:108AA00000000000000000000000000000000000C6 -:108AB00000000000000000000000000000000000B6 -:108AC00000000000000000000000000000000000A6 -:108AD0000000000000000000000000000000000096 -:108AE0000000000000000000000000000000000086 -:108AF0000000000000000000000000000000000076 -:108B00000000000000000000000000000000000065 -:108B10000000000000000000000000000000000055 -:108B20000000000000000000000000000000000045 -:108B30000000000000000000000000000000000035 -:108B40000000000000000000000000000000000025 -:108B50000000000000000000000000000000000015 -:108B60000000000000000000000000000000000005 -:108B700000000000000000000000000000000000F5 -:108B800000000000000000000000000000000000E5 -:108B900000000000000000000000000000000000D5 -:108BA00000000000000000000000000000000000C5 -:108BB00000000000000000000000000000000000B5 -:108BC00000000000000000000000000000000000A5 -:108BD0000000000000000000000000000000000095 -:108BE0000000000000000000000000000000000085 -:108BF0000000000000000000000000000000000075 -:108C00000000000000000000000000000000000064 -:108C10000000000000000000000000000000000054 -:108C20000000000000000000000000000000000044 -:108C30000000000000000000000000000000000034 -:108C40000000000000000000000000000000000024 -:108C50000000000000000000000000000000000014 -:108C60000000000000000000000000000000000004 -:108C700000000000000000000000000000000000F4 -:108C800000000000000000000000000000000000E4 -:108C900000000000000000000000000000000000D4 -:108CA00000000000000000000000000000000000C4 -:108CB00000000000000000000000000000000000B4 -:108CC00000000000000000000000000000000000A4 -:108CD0000000000000000000000000000000000094 -:108CE0000000000000000000000000000000000084 -:108CF0000000000000000000000000000000000074 -:108D00000000000000000000000000000000000063 -:108D10000000000000000000000000000000000053 -:108D20000000000000000000000000000000000043 -:108D30000000000000000000000000000000000033 -:108D40000000000000000000000000000000000023 -:108D50000000000000000000000000000000000013 -:108D60000000000000000000000000000000000003 -:108D700000000000000000000000000000000000F3 -:108D800000000000000000000000000000000000E3 -:108D900000000000000000000000000000000000D3 -:108DA00000000000000000000000000000000000C3 -:108DB00000000000000000000000000000000000B3 -:108DC00000000000000000000000000000000000A3 -:108DD0000000000000000000000000000000000093 -:108DE0000000000000000000000000000000000083 -:108DF0000000000000000000000000000000000073 -:108E00000000000000000000000000000000000062 -:108E10000000000000000000000000000000000052 -:108E20000000000000000000000000000000000042 -:108E30000000000000000000000000000000000032 -:108E40000000000000000000000000000000000022 -:108E50000000000000000000000000000000000012 -:108E60000000000000000000000000000000000002 -:108E700000000000000000000000000010010000E1 -:108E800000000000000000000000000000000000E2 -:108E900000000000000000000000000000000000D2 -:108EA00000000000000000000000000000000000C2 -:108EB00000000000000000000000000000000000B2 -:108EC00000000000000000000000000000000000A2 -:108ED0000000000000000000000000000000000092 -:108EE0000000000000000000000000000000000082 -:108EF0000000000000000000000000000000000072 -:108F00000000000000000000000000000000000061 -:108F10000000000000000000000000000000000051 -:108F20000000000000000000000000000000000041 -:108F30000000000000000000000000000000000031 -:108F40000000000000000000000000000000000021 -:108F50000000000000000000000000000000000011 -:108F60000000000000000000000000000000000001 -:108F700000000000000000000000000000000000F1 -:108F800000000000000000000000000000000000E1 -:108F900000010000000000000000000000000000D0 -:108FA00000000000000000000000000000000000C1 -:108FB00000000000000000000000000000000000B1 -:108FC00000000000000000000000000000000000A1 -:108FD0000000000000000000000000000000000091 -:108FE0000000000000000000000000000000000081 -:108FF0000000000000000000000000000000000071 -:109000000000000000000000000000000000000060 -:109010000000000000000000000000000000000050 -:109020000000000000000000000000000000000040 -:109030000000000000000000000000000000000030 -:109040000000000000000000000000000000000020 -:109050000000000000000000000000000000000010 -:109060000000000000000000000000000000000000 -:1090700000000000000000000000000000000000F0 -:1090800000000000000000000000000000000000E0 -:1090900000000000000000000000000000000008C8 -:1090A00000000000000000000000000000000000C0 -:1090B00000000000000000000000000000000000B0 -:1090C00000000000000000000000000000000000A0 -:1090D0000000000000000000000000000000000090 -:1090E0000000000000000000000000000000000080 -:1090F0000000000000000000000000000000000070 -:10910000000000000000000000000000000000005F -:10911000000000000000000000000000000000004F -:10912000000000000000000000000000000000003F -:10913000000000000000000000000000000000002F -:10914000000000000000000000000000000000001F -:10915000000000000000000000000000000000000F -:1091600000000000000000000000000000000000FF -:1091700000000000000000000000000000000000EF -:1091800000000000000000000000000000000000DF -:1091900000000000000000000000000000000000CF -:1091A00000000000000000000000000000000000BF -:1091B000000000400000000000000000000000006F -:1091C000000000000000000000000000000000009F -:1091D000000000000000000000000000000000008F -:1091E000000000000000000000000000000000007F -:1091F000000000000000000000000000000000006F -:10920000000000000000000000000000000000005E -:10921000000000000000000000000000000000004E -:10922000000000000000000000000000000000003E -:10923000000000000000000000000000000000002E -:10924000000000000000000000000000000000001E -:10925000000000000000000000000000000000000E -:1092600000000000000000000000000000000000FE -:1092700000000000000000000000000000000000EE -:1092800000000000000000000000000000000000DE -:1092900000000000000000000000000000000000CE -:1092A00000000000000000000000000000000000BE -:1092B00000000000000000000000000000000000AE -:1092C000000000000000000000000000000000009E -:1092D000000000000000000000000000000000008E -:1092E000000000000000000000000000000000007E -:1092F000000000000000000000000000000000006E -:10930000000000000000000000000000000000005D -:10931000000000000000000000000000000000004D -:10932000000000000000000000000000000000003D -:10933000000000000000000000000000000000002D -:10934000000000000000000000000000000000001D -:10935000000000000000000000000000000000000D -:1093600000000000000000000000000000000000FD -:1093700000000000000000000000000000000000ED -:1093800000000000000000000000000000000000DD -:1093900000000000000000000000000000000000CD -:1093A00000000000000000000000000000000000BD -:1093B00000000000000000000000000000000000AD -:1093C000000000000000000000000000000000009D -:1093D000000000000000000000000000000000008D -:1093E000000000000000000000000000000000007D -:1093F000000000000000000000000000000000006D -:10940000000000000000000000000000000000005C -:10941000000000000000000000000000000000004C -:10942000000000000000000000000000000000003C -:10943000000000000000000000000000000000002C -:10944000000000000000000000000000000000001C -:10945000000000000000000000000000000000000C -:1094600000000000000000000000000000000000FC -:1094700000000000000000000000000000000000EC -:1094800000000000000000000000000000000000DC -:1094900000000000000000000000000000000000CC -:1094A00000000000000000000000000000000000BC -:1094B00000000000000000000000000000000000AC -:1094C000000000000000000000000000000000009C -:1094D000000000000000000000000000000000008C -:1094E000000000000000000000000000000000106C -:1094F000000000000000000000000000000000006C -:10950000000000000000000000000000000000005B -:10951000000000000000000000000000000000004B -:10952000000000000000000000000000000000003B -:10953000000000000000000000000000000000002B -:10954000000000000000000000000000000000001B -:10955000000000000000000000000000000000000B -:1095600000000000000000000000000000000000FB -:1095700000000000000000000000000000000000EB -:1095800000000000000000000000000000000000DB -:1095900000000000000000000000000000000000CB -:1095A00000000000000000000000000000000000BB -:1095B00000000000000000000000000000000000AB -:1095C000000000000000000000000000000000009B -:1095D000000000000000000000000000000000008B -:1095E000000000000000000000000000000000007B -:1095F000000000000000000000000000000000006B -:10960000000000200000000000000000000000003A -:10961000000000000000000000000000000000004A -:10962000000000000000000000000000000000003A -:10963000000000000000000000000000000000002A -:10964000000000000000000000000000000000001A -:10965000000000000000000000000000000000000A -:1096600000000000000000000000000000000000FA -:1096700000000000000000000000000000000000EA -:1096800000000000000000000000000000000000DA -:1096900000000000000000000000000000000000CA -:1096A00000000000000000000000000000000000BA -:1096B00000000000000000000000000000000000AA -:1096C000000000000000000000000000000000009A -:1096D000000000000000000000000000000000008A -:1096E000000000000000000000000000000000007A -:1096F000000000000000000000000000000000006A -:109700000000000000000000000000000000000059 -:109710000000000000000010000000000000000039 -:109720000000000000000000000000000000000039 -:109730000000000000000000000000000000000029 -:109740000000000000000000000000000000000019 -:109750000000000000000000000000000000000009 -:1097600000000000000000000000000000000000F9 -:1097700000000000000000000000000000000000E9 -:1097800000000000000000000000000000000000D9 -:1097900000000000000000000000000000000000C9 -:1097A00000000000000000000000000000000000B9 -:1097B00000000000000000000000000000000000A9 -:1097C0000000000000000000000000000000000099 -:1097D0000000000000000000000000000000000089 -:1097E0000000000000000000000000000000000079 -:1097F0000000000000000000000000000000000069 -:109800000000000000000000000000000000000058 -:109810000000000000000000000000000000000048 -:109820000000000000000000000000000000000038 -:1098300000003000000000000000000000000000F8 -:109840000000000000000000000000000000000018 -:109850000000000000000000000000000000000008 -:1098600000000000000000000000000000000000F8 -:1098700000000000000000000000000000000000E8 -:1098800000000000000000000000000000000000D8 -:1098900000000000000000000000000000000000C8 -:1098A00000000000000000000000000000000000B8 -:1098B00000000000000000000000000000000000A8 -:1098C0000000000000000000000000000000000098 -:1098D0000000000000000000000000000000000088 -:1098E0000000000000000000000000000000000078 -:1098F0000000000000000000000000000000000068 -:109900000000000000000000000000000000000057 -:109910000000000000000000000000000000000047 -:109920000000000000000000000000000000000037 -:109930000000000000000000000000000000000027 -:109940000000000000000000000000000000000017 -:109950000000000000000000000000000000000007 -:1099600000000000000000000000000000000000F7 -:1099700000000000000000000000000000000000E7 -:1099800000000000000000000000000000000000D7 -:1099900000000000000000000000000000000000C7 -:1099A00000000000000000000000000000000000B7 -:1099B00000000000000000000000000000000000A7 -:1099C0000000000000000000000000000000000097 -:1099D0000000000000000000000000000000000087 -:1099E0000000000000000000000000000000000077 -:1099F0000000000000000000000000000000000067 -:109A00000000000000000000000000000000000056 -:109A10000000000000000000000000000000000046 -:109A20000000000000000000000000000000000036 -:109A30000000000000000000000000000000000026 -:109A40000000000000000000000000000000000016 -:109A50000000000000000000000000000000000006 -:109A600000000000000000000000000000000000F6 -:109A700000000000000000000000000000000000E6 -:109A800000000000000000000000000000000000D6 -:109A900000000000000000000000000000000000C6 -:109AA00000000000000000000000000000000000B6 -:109AB00000000000000000000000000000000000A6 -:109AC0000000000000000000000000000000000096 -:109AD0000000000000000000000000000000000086 -:109AE0000000000000000000000000000000000076 -:109AF0000000000000000000000000000000000066 -:109B00000000000000000000000000000000000055 -:109B10000000000000000000000000000000000045 -:109B20000000000000000000000000000000000035 -:109B30000000000000000000000000000000000025 -:109B40000000000000000000000000000000000015 -:109B50000000000000000000000000000000000005 -:109B600000000000000000000000000000000000F5 -:109B700000000000000000000000000000000000E5 -:109B800000000000000000000000000000000000D5 -:109B900000000000000000000000000000000000C5 -:109BA00000000000000000000000000000000000B5 -:109BB00000000000000000000000000000000000A5 -:109BC0000000000000000000000000000000000095 -:109BD0000000000000000000000000000000000085 -:109BE0000000000000000000000000000000000075 -:109BF0000000000000000000000000000000000065 -:109C00000000000000000000000000000000000054 -:109C10000000000000000000000000000000000044 -:109C20000000000000000000000000000000000034 -:109C30000000000000000000000000000000000024 -:109C40000000000000000000000000000000000014 -:109C50000000000000000000000000000000000004 -:109C600000000000000000000000000000000000F4 -:109C700000000000000000000000000000000000E4 -:109C800000000000000000000000000000000000D4 -:109C900000000000000000000000000000000000C4 -:109CA00000000000000000000000000000000000B4 -:109CB00000000000000000000000000000000000A4 -:109CC0000000000000000000000000000000000094 -:109CD0000000000000000000000000000000000084 -:109CE0000000000000000000000000000000000074 -:109CF0000000000000000000000000000000000064 -:109D00000000000000000000000000000000000053 -:109D10000000000000000000000000000000000043 -:109D20000000000000000000000000000000000033 -:109D30000000000000000000000000000000000023 -:109D40000000000000000000000000000000000013 -:109D50000000000000000000000000000000000003 -:109D600000000000000000000000000000000000F3 -:109D700000000000000000000000000000000000E3 -:109D800000000000000000000000000000000000D3 -:109D900000000000000000000000000000000000C3 -:109DA00000000000000000000000000000000000B3 -:109DB00000000000000000000000000000000000A3 -:109DC0000000000000000000000000000000000093 -:109DD0000000000000000000000000000000000083 -:109DE0000000000000000000000000000000000073 -:109DF0000000000000000000000000000000000063 -:109E00000000000000000000000000000000000052 -:109E10000000000000000000000000000000000042 -:109E20000000000000000000000000000000000032 -:109E30000000000000000000000000000000000022 -:109E40000000000000000000000000000000000012 -:109E50000000000000000000000000000000000002 -:109E600000000000000000000000000000000000F2 -:109E700000000000000000000000000000000000E2 -:109E800000000000000000000000000000000000D2 -:109E900000000000000000000000000000000000C2 -:109EA00000000000000000000000000000000000B2 -:109EB00000000000000000000000000000000000A2 -:109EC0000000000000000000000000000000000092 -:109ED0000000000000000000000000000000000082 -:109EE0000000000000000000000000000000000072 -:109EF0000000000000000000000000000000000062 -:109F00000000000000000000000000000000000051 -:109F10000000000000000000000000000000000041 -:109F20000000000000000000000000000000000031 -:109F30000000000000000000000000000000000021 -:109F40000000000000000000000000000000000011 -:109F50000000000000000000000000000000000001 -:109F600000000000000000000000000000000000F1 -:109F700000000000000000000000000000000000E1 -:109F800000000000000000000000000000000000D1 -:109F900000000000000000000000000000000000C1 -:109FA00000000000000000000000000000000000B1 -:109FB00000000000000000000000000000000000A1 -:109FC0000000000000000000000000000000000091 -:109FD0000000000000000000000000000000000081 -:109FE0000000000000000000000000000000000071 -:109FF0000000000000000000000000000000000061 -:10A000000000000000000000000000000000000050 -:10A010000000000000000000000000000000000040 -:10A020000000000000000000000000000000000030 -:10A030000000000000000000000000000000000020 -:10A040000000000000000000000000000000000010 -:10A050000000000000000000000000000000000000 -:10A0600000000000000000000000000000000000F0 -:10A0700000000000000000000000000000000000E0 -:10A0800000000000000000000000000000000000D0 -:10A0900000000000000000000000000000000000C0 -:10A0A00000000000000000000000000000000000B0 -:10A0B00000000000000000000000000000000000A0 -:10A0C0000000000000000000000000000000000090 -:10A0D0000000000000000000000000000000000080 -:10A0E0000000000000000000000000000000000070 -:10A0F0000000000000000000000000000000000060 -:10A10000000000000000000000000000000000004F -:10A11000000000000000000000000000000000003F -:10A12000000000000000000000000000000000002F -:10A13000000000000000000000000000000000001F -:10A14000000000000000000000000000000000000F -:10A1500000000000000000000000000000000000FF -:10A1600000000000000000000000000000000000EF -:10A1700000000000000000000000000000000000DF -:10A1800000000000000000000000000000000000CF -:10A1900000000000000000000000000000000000BF -:10A1A00000000000000000000000000000000000AF -:10A1B000000000000000000000000000000000009F -:10A1C000000000000000000000000000000000008F -:10A1D000000000000000000000000000000000007F -:10A1E000000000000000000000000000000000006F -:10A1F000000000000000000000000000000000005F -:10A20000000000000000000000000000000000004E -:10A21000000000000000000000000000000000003E -:10A22000000000000000000000000000000000002E -:10A23000000000000000000000000000000000001E -:10A24000000000000000000000000000000000000E -:10A2500000000000000000000000000000000000FE -:10A2600000000000000000000000000000000000EE -:10A2700000000000000000000000000000000000DE -:10A2800000000000000000000000000000000000CE -:10A2900000000000000000000000000000000000BE -:10A2A00000000000000000000000000000000000AE -:10A2B000000000000000000000000000000000009E -:10A2C000000000000000000000000000000000008E -:10A2D000000000000000000000000000000000007E -:10A2E000000000000000000000000000000000006E -:10A2F000000000000000000000000000000000005E -:10A30000000000000000000000000000000000004D -:10A31000000000000000000000000000000000003D -:10A32000000000000000000000000000000000002D -:10A33000000000000000000000000000000000001D -:10A34000000000000000000000000000000000000D -:10A3500000000000000000000000000000000000FD -:10A3600000000000000000000000000000000000ED -:10A3700000000000000000000000000000000000DD -:10A3800000000000000000000000000000000000CD -:10A3900000000000000000000000000000000000BD -:10A3A00000000000000000000000000000000000AD -:10A3B000000000000000000000000000000000009D -:10A3C000000000000000000000000000000000008D -:10A3D000000000000000000000000000000000007D -:10A3E000000000000000000000000000000000006D -:10A3F000000000000000000000000000000000005D -:10A40000000000000000000000000000000000004C -:10A41000000000000000000000000000000000003C -:10A42000000000000000000000000000000000002C -:10A43000000000000000000000000000000000001C -:10A44000000000000000000000000000000000000C -:10A4500000000000000000000000000000000000FC -:10A4600000000000000000000000000000000000EC -:10A4700000000000000000000000000000000000DC -:10A4800000000000000000000000000000000000CC -:10A4900000000000000000000000000000000000BC -:10A4A00000000000000000000000000000000000AC -:10A4B000000000000000000000000000000000009C -:10A4C000000000000000000000000000000000008C -:10A4D000000000000000000000000000000000007C -:10A4E000000000000000000000000000000000006C -:10A4F000000000000000000000000000000000005C -:10A50000000000000000000000000000000000004B -:10A51000000000000000000000000000000000003B -:10A52000000000000000000000000000000000002B -:10A53000000000000000000000000000000000001B -:10A54000000000000000000000000000000000000B -:10A5500000000000000000000000000000000000FB -:10A5600000000000000000000000000000000000EB -:10A5700000000000000000000000000000000000DB -:10A5800000000000000000000000000000000000CB -:10A5900000000000000000000000000000000000BB -:10A5A00000000000000000000000000000000000AB -:10A5B000000000000000000000000000000000009B -:10A5C000000000000000000000000000000000008B -:10A5D000000000000000000000000000000000007B -:10A5E000000000000000000000000000000000006B -:10A5F000000000000000000000000000000000005B -:10A60000000000000000000000000000000000004A -:10A61000000000000000000000000000000000003A -:10A62000000000000000000000000000000000002A -:10A63000000000000000000000000000000000001A -:10A64000000000000000000000000000000000000A -:10A6500000000000000000000000000000000000FA -:10A6600000000000000000000000000000000000EA -:10A6700000000000000000000000000000000000DA -:10A6800000000000000000000000000000000000CA -:10A6900000000000000000000000000000000000BA -:10A6A00000000000000000000000000000000000AA -:10A6B000000000000000000000000000000000009A -:10A6C000000000000000000000000000000000008A -:10A6D000000000000000000000000000000000007A -:10A6E000000000000000000000000000000000006A -:10A6F000000000000000000000000000000000005A -:10A700000000000000000000000000000000000049 -:10A710000000000000000000000000000000000039 -:10A720000000000000000000000000000000000029 -:10A730000000000000000000000000000000000019 -:10A740000000000000000000000000000000000009 -:10A7500000000000000000000000000000000000F9 -:10A7600000000000000000000000000000000000E9 -:10A7700000000000000000000000000000000000D9 -:10A7800000000000000000000000000000000000C9 -:10A7900000000000000000000000000000000000B9 -:10A7A00000000000000000000000000000000000A9 -:10A7B0000000000000000000000000000000000099 -:10A7C0000000000000000000000000000000000089 -:10A7D0000000000000000000000000000000000079 -:10A7E0000000000000000000000000000000000069 -:10A7F0000000000000000000000000000000000059 -:10A800000000000000000000000000000000000048 -:10A810000000000000000000000000000000000038 -:10A820000000000000000000000000000000000028 -:10A830000000000000000000000000000000000018 -:10A840000000000000000000000000000000000008 -:10A8500000000000000000000000000000000000F8 -:10A8600000000000000000000000000000000000E8 -:10A8700000000000000000000000000000000000D8 -:10A8800000000000000000000000000000000000C8 -:10A8900000000000000000000000000000000000B8 -:10A8A00000000000000000000000000000000000A8 -:10A8B0000000000000000000000000000000000098 -:10A8C0000000000000000000000000000000000088 -:10A8D0000000000000000000000000000000000078 -:10A8E0000000000000000000000000000000000068 -:10A8F0000000000000000000000000000000000058 -:10A900000000000000000000000000000000000047 -:10A910000000000000000000000000000000000037 -:10A920000000000000000000000000000000000027 -:10A930000000000000000000000000000000000017 -:10A940000000000000000000000000000000000007 -:10A9500000000000000000000000000000000000F7 -:10A9600000000000000000000000000000000000E7 -:10A9700000000000000000000000000000000000D7 -:10A9800000000000000000000000000000000000C7 -:10A9900000000000000000000000000000000000B7 -:10A9A00000000000000000000000000000000000A7 -:10A9B0000000000000000000000000000000000097 -:10A9C0000000000000000000000000000000000087 -:10A9D0000000000000000000000000000000000077 -:10A9E0000000000000000000000000000000000067 -:10A9F0000000000000000000000000000000000057 -:10AA00000000000000000000000000000000000046 -:10AA10000000000000000000000000000000000036 -:10AA20000000000000000000000000000000000026 -:10AA30000000000000000000000000000000000016 -:10AA40000000000000000000000000000000000006 -:10AA500000000000000000000000000000000000F6 -:10AA600000000000000000000000000000000000E6 -:10AA700000000000000000000000000000000000D6 -:10AA800000000000000000000000000000000000C6 -:10AA900000000000000000000000000000000000B6 -:10AAA00000000000000000000000000000000000A6 -:10AAB0000000000000000000000000000000000096 -:10AAC0000000000000000000000000000000000086 -:10AAD0000000000000000000000000000000000076 -:10AAE0000000000000000000000000000000000066 -:10AAF0000000000000000000000000000000000056 -:10AB00000000000000000000000000000000000045 -:10AB10000000000000000000000000000000000035 -:10AB20000000000000000000000000000000000025 -:10AB30000000000000000000000000000000000015 -:10AB40000000000000000000000000000000000005 -:10AB500000000000000000000000000000000000F5 -:10AB600000000000000000000000000000000000E5 -:10AB700000000000000000000000000000000000D5 -:10AB800000000000000000000000000000000000C5 -:10AB900000000000000000000000000000000000B5 -:10ABA00000000000000000000000000000000000A5 -:10ABB0000000000000000000000000000000000095 -:10ABC0000000000000000000000000000000000085 -:10ABD0000000000000000000000000000000000075 -:10ABE0000000000000000000000000000000000065 -:10ABF0000000000000000000000000000000000055 -:10AC00000000000000000000000000000000000044 -:10AC10000000000000000000000000000000000034 -:10AC20000000000000000000000000000000000024 -:10AC30000000000000000000000000000000000014 -:10AC40000000000000000000000000000000000004 -:10AC500000000000000000000000000000000000F4 -:10AC600000000000000000000000000000000000E4 -:10AC700000000000000000000000000000000000D4 -:10AC800000000000000000000000000000000000C4 -:10AC900000000000000000000000000000000000B4 -:10ACA00000000000000000000000000000000000A4 -:10ACB0000000000000000000000000000000000094 -:10ACC0000000000000000000000000000000000084 -:10ACD0000000000000000000000000000000000074 -:10ACE0000000000000000000000000000000000064 -:10ACF0000000000000000000000000000000000054 -:10AD00000000000000000000000000000000000043 -:10AD10000000000000000000000000000000000033 -:10AD20000000000000000000000000000000000023 -:10AD30000000000000000000000000000000000013 -:10AD40000000000000000000000000000000000003 -:10AD500000000000000000000000000000000000F3 -:10AD600000000000000000000000000000000000E3 -:10AD700000000000000000000000000000000000D3 -:10AD800000000000000000000000000000000000C3 -:10AD900000000000000000000000000000000000B3 -:10ADA00000000000000000000000000000000000A3 -:10ADB0000000000000000000000000000000000093 -:10ADC0000000000000000000000000000000000083 -:10ADD0000000000000000000000000000000000073 -:10ADE0000000000000000000000000000000000063 -:10ADF0000000000000000000000000000000000053 -:10AE00000000000000000000000000000000000042 -:10AE10000000000000000000000000000000000032 -:10AE20000000000000000000000000000000000022 -:10AE30000000000000000000000000000000000012 -:10AE40000000000000000000000000000000000002 -:10AE500000000000000000000000000000000000F2 -:10AE600000000000000000000000000000000000E2 -:10AE700000000000000000000000000000000000D2 -:10AE800000000000000000000000000000000000C2 -:10AE900000000000000000000000000000000000B2 -:10AEA00000000000000000000000000000000000A2 -:10AEB0000000000000000000000000000000000092 -:10AEC0000000000000000000000000000000000082 -:10AED0000000000000000000000000000000000072 -:10AEE0000000000000000000000000000000000062 -:10AEF0000000000000000000000000000000000052 -:10AF00000000000000000000000000000000000041 -:10AF10000000000000000000000000000000000031 -:10AF20000000000000000000000000000000000021 -:10AF30000000000000000000000000000000000011 -:10AF40000000000000000000000000000000000001 -:10AF500000000000000000000000000000000000F1 -:10AF600000000000000000000000000000000000E1 -:10AF700000000000000000000000000000000000D1 -:10AF800000000000000000000000000000000000C1 -:10AF900000000000000000000000000000000000B1 -:10AFA00000000000000000000000000000000000A1 -:10AFB0000000000000000000000000000000000091 -:10AFC0000000000000000000000000000000000081 -:10AFD0000000000000000000000000000000000071 -:10AFE0000000000000000000000000000000000061 -:10AFF0000000000000000000000000000000000051 -:10B000000000000000000000000000000000000040 -:10B010000000000000000000000000000000000030 -:10B020000000000000000000000000000000000020 -:10B030000000000000000000000000000000000010 -:10B040000000000000000000000000000000000000 -:10B0500000000000000000000000000000000000F0 -:10B0600000000000000000000000000000000000E0 -:10B0700000000000000000000000000000000000D0 -:10B0800000000000000000000000000000000000C0 -:10B0900000000000000000000000000000000000B0 -:10B0A00000000000000000000000000000000000A0 -:10B0B0000000000000000000000000000000000090 -:10B0C0000000000000000000000000000000000080 -:10B0D0000000000000000000000000000000000070 -:10B0E0000000000000000000000000000000000060 -:10B0F0000000000000000000000000000000000050 -:10B10000000000000000000000000000000000003F -:10B11000000000000000000000000000000000002F -:10B12000000000000000000000000000000000001F -:10B13000000000000000000000000000000000000F -:10B1400000000000000000000000000000000000FF -:10B1500000000000000000000000000000000000EF -:10B1600000000000000000000000000000000000DF -:10B1700000000000000000000000000000000000CF -:10B1800000000000000000000000000000000000BF -:10B1900000000000000000000000000000000000AF -:10B1A000000000000000000000000000000000009F -:10B1B000000000000000000000000000000000008F -:10B1C000000000000000000000000000000000007F -:10B1D000000000000000000000000000000000006F -:10B1E000000000000000000000000000000000005F -:10B1F000000000000000000000000000000000004F -:10B20000000000000000000000000000000000003E -:10B21000000000000000000000000000000000002E -:10B22000000000000000000000000000000000001E -:10B23000000000000000000000000000000000000E -:10B2400000000000000000000000000000000000FE -:10B2500000000000000000000000000000000000EE -:10B2600000000000000000000000000000000000DE -:10B2700000000000000000000000000000000000CE -:10B2800000000000000000000000000000000000BE -:10B2900000000000000000000000000000000000AE -:10B2A000000000000000000000000000000000009E -:10B2B000000000000000000000000000000000008E -:10B2C000000000000000000000000000000000007E -:10B2D000000000000000000000000000000000006E -:10B2E000000000000000000000000000000000005E -:10B2F000000000000000000000000000000000004E -:10B30000000000000000000000000000000000003D -:10B31000000000000000000000000000000000002D -:10B32000000000000000000000000000000000001D -:10B33000000000000000000000000000000000000D -:10B3400000000000000000000000000000000000FD -:10B3500000000000000000000000000000000000ED -:10B3600000000000000000000000000000000000DD -:10B3700000000000000000000000000000000000CD -:10B3800000000000000000000000000000000000BD -:10B3900000000000000000000000000000000000AD -:10B3A000000000000000000000000000000000009D -:10B3B000000000000000000000000000000000008D -:10B3C000000000000000000000000000000000007D -:10B3D000000000000000000000000000000000006D -:10B3E000000000000000000000000000000000005D -:10B3F000000000000000000000000000000000004D -:10B40000000000000000000000000000000000003C -:10B41000000000000000000000000000000000002C -:10B42000000000000000000000000000000000001C -:10B43000000000000000000000000000000000000C -:10B4400000000000000000000000000000000000FC -:10B4500000000000000000000000000000000000EC -:10B4600000000000000000000000000000000000DC -:10B4700000000000000000000000000000000000CC -:10B4800000000000000000000000000000000000BC -:10B4900000000000000000000000000000000000AC -:10B4A000000000000000000000000000000000009C -:10B4B000000000000000000000000000000000008C -:10B4C000000000000000000000000000000000007C -:10B4D000000000000000000000000000000000006C -:10B4E000000000000000000000000000000000005C -:10B4F000000000000000000000000000000000004C -:10B50000000000000000000000000000000000003B -:10B51000000000000000000000000000000000002B -:10B52000000000000000000000000000000000001B -:10B53000000000000000000000000000000000000B -:10B5400000000000000000000000000000000000FB -:10B5500000000000000000000000000000000000EB -:10B5600000000000000000000000000000000000DB -:10B5700000000000000000000000000000000000CB -:10B5800000000000000000000000000000000000BB -:10B5900000000000000000000000000000000000AB -:10B5A000000000000000000000000000000000009B -:10B5B000000000000000000000000000000000008B -:10B5C000000000000000000000000000000000007B -:10B5D000000000000000000000000000000000006B -:10B5E000000000000000000000000000000000005B -:10B5F000000000000000000000000000000000004B -:10B60000000000000000000000000000000000003A -:10B61000000000000000000000000000000000002A -:10B62000000000000000000000000000000000001A -:10B63000000000000000000000000000000000000A -:10B6400000000000000000000000000000000000FA -:10B6500000000000000000000000000000000000EA -:10B6600000000000000000000000000000000000DA -:10B6700000000000000000000000000000000000CA -:10B6800000000000000000000000000000000000BA -:10B6900000000000000000000000000000000000AA -:10B6A000000000000000000000000000000000009A -:10B6B000000000000000000000000000000000008A -:10B6C000000000000000000000000000000000007A -:10B6D000000000000000000000000000000000006A -:10B6E000000000000000000000000000000000005A -:10B6F000000000000000000000000000000000004A -:10B700000000000000000000000000000000000039 -:10B710000000000000000000000000000000000029 -:10B720000000000000000000000000000000000019 -:10B730000000000000000000000000000000000009 -:10B7400000000000000000000000000000000000F9 -:10B7500000000000000000000000000000000000E9 -:10B7600000000000000000000000000000000000D9 -:10B7700000000000000000000000000000000000C9 -:10B7800000000000000000000000000000000000B9 -:10B7900000000000000000000000000000000000A9 -:10B7A0000000000000000000000000000000000099 -:10B7B0000000000000000000000000000000000089 -:10B7C0000000000000000000000000000000000079 -:10B7D0000000000000000000000000000000000069 -:10B7E0000000000000000000000000000000000059 -:10B7F0000000000000000000000000000000000049 -:10B800000000000000000000000000000000000038 -:10B810000000000000000000000000000000000028 -:10B820000000000000000000000000000000000018 -:10B830000000000000000000000000000000000008 -:10B8400000000000000000000000000000000000F8 -:10B8500000000000000000000000000000000000E8 -:10B8600000000000000000000000000000000000D8 -:10B8700000000000000000000000000000000000C8 -:10B8800000000000000000000000000000000000B8 -:10B8900000000000000000000000000000000000A8 -:10B8A0000000000000000000000000000000000098 -:10B8B0000000000000000000000000000000000088 -:10B8C0000000000000000000000000000000000078 -:10B8D0000000000000000000000000000000000068 -:10B8E0000000000000000000000000000000000058 -:10B8F0000000000000000000000000000000000048 -:10B900000000000000000000000000000000000037 -:10B910000000000000000000000000000000000027 -:10B920000000000000000000000000000000000017 -:10B930000000000000000000000000000000000007 -:10B9400000000000000000000000000000000000F7 -:10B9500000000000000000000000000000000000E7 -:10B9600000000000000000000000000000000000D7 -:10B9700000000000000000000000000000000000C7 -:10B9800000000000000000000000000000000000B7 -:10B9900000000000000000000000000000000000A7 -:10B9A0000000000000000000000000000000000097 -:10B9B0000000000000000000000000000000000087 -:10B9C0000000000000000000000000000000000077 -:10B9D0000000000000000000000000000000000067 -:10B9E0000000000000000000000000000000000057 -:10B9F0000000000000000000000000000000000047 -:10BA00000000000000000000000000000000000036 -:10BA10000000000000000000000000000000000026 -:10BA20000000000000000000000000000000000016 -:10BA30000000000000000000000000000000000006 -:10BA400000000000000000000000000000000000F6 -:10BA500000000000000000000000000000000000E6 -:10BA600000000000000000000000000000000000D6 -:10BA700000000000000000000000000000000000C6 -:10BA800000000000000000000000000000000000B6 -:10BA900000000000000000000000000000000000A6 -:10BAA0000000000000000000000000000000000096 -:10BAB0000000000000000000000000000000000086 -:10BAC0000000000000000000000000000000000076 -:10BAD0000000000000000000000000000000000066 -:10BAE0000000000000000000000000000000000056 -:10BAF0000000000000000000000000000000000046 -:10BB00000000000000000000000000000000000035 -:10BB10000000000000000000000000000000000025 -:10BB20000000000000000000000000000000000015 -:10BB30000000000000000000000000000000000005 -:10BB400000000000000000000000000000000000F5 -:10BB500000000000000000000000000000000000E5 -:10BB600000000000000000000000000000000000D5 -:10BB700000000000000000000000000000000000C5 -:10BB800000000000000000000000000000000000B5 -:10BB900000000000000000000000000000000000A5 -:10BBA0000000000000000000000000000000000095 -:10BBB0000000000000000000000000000000000085 -:10BBC0000000000000000000000000000000000075 -:10BBD0000000000000000000000000000000000065 -:10BBE0000000000000000000000000000000000055 -:10BBF0000000000000000000000000000000000045 -:10BC00000000000000000000000000000000000034 -:10BC10000000000000000000000000000000000024 -:10BC20000000000000000000000000000000000014 -:10BC30000000000000000000000000000000000004 -:10BC400000000000000000000000000000000000F4 -:10BC500000000000000000000000000000000000E4 -:10BC600000000000000000000000000000000000D4 -:10BC700000000000000000000000000000000000C4 -:10BC800000000000000000000000000000000000B4 -:10BC900000000000000000000000000000000000A4 -:10BCA0000000000000000000000000000000000094 -:10BCB0000000000000000000000000000000000084 -:10BCC0000000000000000000000000000000000074 -:10BCD0000000000000000000000000000000000064 -:10BCE0000000000000000000000000000000000054 -:10BCF0000000000000000000000000000000000044 -:10BD00000000000000000000000000000000000033 -:10BD10000000000000000000000000000000000023 -:10BD20000000000000000000000000000000000013 -:10BD30000000000000000000000000000000000003 -:10BD400000000000000000000000000000000000F3 -:10BD500000000000000000000000000000000000E3 -:10BD600000000000000000000000000000000000D3 -:10BD700000000000000000000000000000000000C3 -:10BD800000000000000000000000000000000000B3 -:10BD900000000000000000000000000000000000A3 -:10BDA0000000000000000000000000000000000093 -:10BDB0000000000000000000000000000000000083 -:10BDC0000000000000000000000000000000000073 -:10BDD0000000000000000000000000000000000063 -:10BDE0000000000000000000000000000000000053 -:10BDF0000000000000000000000000000000000043 -:10BE00000000000000000000000000000000000032 -:10BE10000000000000000000000000000000000022 -:10BE20000000000000000000000000000000000012 -:10BE30000000000000000000000000000000000002 -:10BE400000000000000000000000000000000000F2 -:10BE500000000000000000000000000000000000E2 -:10BE600000000000000000000000000000000000D2 -:10BE700000000000000000000000000000000000C2 -:10BE800000000000000000000000000000000000B2 -:10BE900000000000000000000000000000000000A2 -:10BEA0000000000000000000000000000000000092 -:10BEB0000000000000000000000000000000000082 -:10BEC0000000000000000000000000000000000072 -:10BED0000000000000000000000000000000000062 -:10BEE0000000000000000000000000000000000052 -:10BEF0000000000000000000000000000000000042 -:10BF00000000000000000000000000000000000031 -:10BF10000000000000000000000000000000000021 -:10BF20000000000000000000000000000000000011 -:10BF30000000000000000000000000000000000001 -:10BF400000000000000000000000000000000000F1 -:10BF500000000000000000000000000000000000E1 -:10BF600000000000000000000000000000000000D1 -:10BF700000000000000000000000000000000000C1 -:10BF800000000000000000000000000000000000B1 -:10BF900000000000000000000000000000000000A1 -:10BFA0000000000000000000000000000000000091 -:10BFB0000000000000000000000000000000000081 -:10BFC0000000000000000000000000000000000071 -:10BFD0000000000000000000000000000000000061 -:10BFE0000000000000000000000000000000000051 -:10BFF0000000000000000000000000000000000041 -:10C000000000000000000000000000000000000030 -:10C010000000000000000000000000000000000020 -:10C020000000000000000000000000000000000010 -:10C030000000000000000000000000000000000000 -:10C0400000000000000000000000000000000000F0 -:10C0500000000000000000000000000000000000E0 -:10C0600000000000000000000000000000000000D0 -:10C0700000000000000000000000000000000000C0 -:10C0800000000000000000000000000000000000B0 -:10C0900000000000000000000000000000000000A0 -:10C0A0000000000000000000000000000000000090 -:10C0B0000000000000000000000000000000000080 -:10C0C0000000000000000000000000000000000070 -:10C0D0000000000000000000000000000000000060 -:10C0E0000000000000000000000000000000000050 -:10C0F0000000000000000000000000000000000040 -:10C10000000000000000000000000000000000002F -:10C11000000000000000000000000000000000001F -:10C12000000000000000000000000000000000000F -:10C1300000000000000000000000000000000000FF -:10C1400000000000000000000000000000000000EF -:10C1500000000000000000000000000000000000DF -:10C1600000000000000000000000000000000000CF -:10C1700000000000000000000000000000000000BF -:10C1800000000000000000000000000000000000AF -:10C19000000000000000000000000000000000009F -:10C1A000000000000000000000000000000000008F -:10C1B000000000000000000000000000000000007F -:10C1C000000000000000000000000000000000006F -:10C1D000000000000000000000000000000000005F -:10C1E000000000000000000000000000000000004F -:10C1F000000000000000000000000000000000003F -:10C20000000000000000000000000000000000002E -:10C21000000000000000000000000000000000001E -:10C22000000000000000000000000000000000000E -:10C2300000000000000000000000000000000000FE -:10C2400000000000000000000000000000000000EE -:10C2500000000000000000000000000000000000DE -:10C2600000000000000000000000000000000000CE -:10C2700000000000000000000000000000000000BE -:10C2800000000000000000000000000000000000AE -:10C29000000000000000000000000000000000009E -:10C2A000000000000000000000000000000000008E -:10C2B000000000000000000000000000000000007E -:10C2C000000000000000000000000000000000006E -:10C2D000000000000000000000000000000000005E -:10C2E000000000000000000000000000000000004E -:10C2F000000000000000000000000000000000003E -:10C30000000000000000000000000000000000002D -:10C31000000000000000000000000000000000001D -:10C32000000000000000000000000000000000000D -:10C3300000000000000000000000000000000000FD -:10C3400000000000000000000000000000000000ED -:10C3500000000000000000000000000000000000DD -:10C3600000000000000000000000000000000000CD -:10C3700000000000000000000000000000000000BD -:10C3800000000000000000000000000000000000AD -:10C39000000000000000000000000000000000009D -:10C3A000000000000000000000000000000000008D -:10C3B000000000000000000000000000000000007D -:10C3C000000000000000000000000000000000006D -:10C3D000000000000000000000000000000000005D -:10C3E000000000000000000000000000000000004D -:10C3F000000000000000000000000000000000003D -:10C40000000000000000000000000000000000002C -:10C41000000000000000000000000000000000001C -:10C42000000000000000000000000000000000000C -:10C4300000000000000000000000000000000000FC -:10C4400000000000000000000000000000000000EC -:10C4500000000000000000000000000000000000DC -:10C4600000000000000000000000000000000000CC -:10C4700000000000000000000000000000000000BC -:10C4800000000000000000000000000000000000AC -:10C49000000000000000000000000000000000009C -:10C4A000000000000000000000000000000000008C -:10C4B000000000000000000000000000000000007C -:10C4C000000000000000000000000000000000006C -:10C4D000000000000000000000000000000000005C -:10C4E000000000000000000000000000000000004C -:10C4F000000000000000000000000000000000003C -:10C50000000000000000000000000000000000002B -:10C51000000000000000000000000000000000001B -:10C52000000000000000000000000000000000000B -:10C5300000000000000000000000000000000000FB -:10C5400000000000000000000000000000000000EB -:10C5500000000000000000000000000000000000DB -:10C5600000000000000000000000000000000000CB -:10C5700000000000000000000000000000000000BB -:10C5800000000000000000000000000000000000AB -:10C59000000000000000000000000000000000009B -:10C5A000000000000000000000000000000000008B -:10C5B000000000000000000000000000000000007B -:10C5C000000000000000000000000000000000006B -:10C5D000000000000000000000000000000000005B -:10C5E000000000000000000000000000000000004B -:10C5F000000000000000000000000000000000003B -:10C60000000000000000000000000000000000002A -:10C61000000000000000000000000000000000001A -:10C62000000000000000000000000000000000000A -:10C6300000000000000000000000000000000000FA -:10C6400000000000000000000000000000000000EA -:10C6500000000000000000000000000000000000DA -:10C6600000000000000000000000000000000000CA -:10C6700000000000000000000000000000000000BA -:10C6800000000000000000000000000000000000AA -:10C69000000000000000000000000000000000009A -:10C6A000000000000000000000000000000000008A -:10C6B000000000000000000000000000000000007A -:10C6C000000000000000000000000000000000006A -:10C6D000000000000000000000000000000000005A -:10C6E000000000000000000000000000000000004A -:10C6F000000000000000000000000000000000003A -:10C700000000000000000000000000000000000029 -:10C710000000000000000000000000000000000019 -:10C720000000000000000000000000000000000009 -:10C7300000000000000000000000000000000000F9 -:10C7400000000000000000000000000000000000E9 -:10C7500000000000000000000000000000000000D9 -:10C7600000000000000000000000000000000000C9 -:10C7700000000000000000000000000000000000B9 -:10C7800000000000000000000000000000000000A9 -:10C790000000000000000000000000000000000099 -:10C7A0000000000000000000000000000000000089 -:10C7B0000000000000000000000000000000000079 -:10C7C0000000000000000000000000000000000069 -:10C7D0000000000000000000000000000000000059 -:10C7E0000000000000000000000000000000000049 -:10C7F0000000000000000000000000000000000039 -:10C800000000000000000000000000000000000028 -:10C810000000000000000000000000000000000018 -:10C820000000000000000000000000000000000008 -:10C8300000000000000000000000000000000000F8 -:10C8400000000000000000000000000000000000E8 -:10C8500000000000000000000000000000000000D8 -:10C8600000000000000000000000000000000000C8 -:10C8700000000000000000000000000000000000B8 -:10C8800000000000000000000000000000000000A8 -:10C890000000000000000000000000000000000098 -:10C8A0000000000000000000000000000000000088 -:10C8B0000000000000000000000000000000000078 -:10C8C0000000000000000000000000000000000068 -:10C8D0000000000000000000000000000000000058 -:10C8E0000000000000000000000000000000000048 -:10C8F0000000000000000000000000000000000038 -:10C900000000000000000000000000000000000027 -:10C910000000000000000000000000000000000017 -:10C920000000000000000000000000000000000007 -:10C9300000000000000000000000000000000000F7 -:10C9400000000000000000000000000000000000E7 -:10C9500000000000000000000000000000000000D7 -:10C9600000000000000000000000000000000000C7 -:10C9700000000000000000000000000000000000B7 -:10C9800000000000000000000000000000000000A7 -:10C990000000000000000000000000000000000097 -:10C9A0000000000000000000000000000000000087 -:10C9B0000000000000000000000000000000000077 -:10C9C0000000000000000000000000000000000067 -:10C9D0000000000000000000000000000000000057 -:10C9E0000000000000000000000000000000000047 -:10C9F0000000000000000000000000000000000037 -:10CA00000000000000000000000000000000000026 -:10CA10000000000000000000000000000000000016 -:10CA20000000000000000000000000000000000006 -:10CA300000000000000000000000000000000000F6 -:10CA400000000000000000000000000000000000E6 -:10CA500000000000000000000000000000000000D6 -:10CA600000000000000000000000000000000000C6 -:10CA700000000000000000000000000000000000B6 -:10CA800000000000000000000000000000000000A6 -:10CA90000000000000000000000000000000000096 -:10CAA0000000000000000000000000000000000086 -:10CAB0000000000000000000000000000000000076 -:10CAC0000000000000000000000000000000000066 -:10CAD0000000000000000000000000000000000056 -:10CAE0000000000000000000000000000000000046 -:10CAF0000000000000000000000000000000000036 -:10CB00000000000000000000000000000000000025 -:10CB10000000000000000000000000000000000015 -:10CB20000000000000000000000000000000000005 -:10CB300000000000000000000000000000000000F5 -:10CB400000000000000000000000000000000000E5 -:10CB500000000000000000000000000000000000D5 -:10CB600000000000000000000000000000000000C5 -:10CB700000000000000000000000000000000000B5 -:10CB800000000000000000000000000000000000A5 -:10CB90000000000000000000000000000000000095 -:10CBA0000000000000000000000000000000000085 -:10CBB0000000000000000000000000000000000075 -:10CBC0000000000000000000000000000000000065 -:10CBD0000000000000000000000000000000000055 -:10CBE0000000000000000000000000000000000045 -:10CBF0000000000000000000000000000000000035 -:10CC00000000000000000000000000000000000024 -:10CC10000000000000000000000000000000000014 -:10CC20000000000000000000000000000000000004 -:10CC300000000000000000000000000000000000F4 -:10CC400000000000000000000000000000000000E4 -:10CC500000000000000000000000000000000000D4 -:10CC600000000000000000000000000000000000C4 -:10CC700000000000000000000000000000000000B4 -:10CC800000000000000000000000000000000000A4 -:10CC90000000000000000000000000000000000094 -:10CCA0000000000000000000000000000000000084 -:10CCB0000000000000000000000000000000000074 -:10CCC0000000000000000000000000000000000064 -:10CCD0000000000000000000000000000000000054 -:10CCE0000000000000000000000000000000000044 -:10CCF0000000000000000000000000000000000034 -:10CD00000000000000000000000000000000000023 -:10CD10000000000000000000000000000000000013 -:10CD20000000000000000000000000000000000003 -:10CD300000000000000000000000000000000000F3 -:10CD400000000000000000000000000000000000E3 -:10CD500000000000000000000000000000000000D3 -:10CD600000000000000000000000000000000000C3 -:10CD700000000000000000000000000000000000B3 -:10CD800000000000000000000000000000000000A3 -:10CD90000000000000000000000000000000000093 -:10CDA0000000000000000000000000000000000083 -:10CDB0000000000000000000000000000000000073 -:10CDC0000000000000000000000000000000000063 -:10CDD0000000000000000000000000000000000053 -:10CDE0000000000000000000000000000000000043 -:10CDF0000000000000000000000000000000000033 -:10CE00000000000000000000000000000000000022 -:10CE10000000000000000000000000000000000012 -:10CE20000000000000000000000000000000000002 -:10CE300000000000000000000000000000000000F2 -:10CE400000000000000000000000000000000000E2 -:10CE500000000000000000000000000000000000D2 -:10CE600000000000000000000000000000000000C2 -:10CE700000000000000000000000000000000000B2 -:10CE800000000000000000000000000000000000A2 -:10CE90000000000000000000000000000000000092 -:10CEA0000000000000000000000000000000000082 -:10CEB0000000000000000000000000000000000072 -:10CEC0000000000000000000000000000000000062 -:10CED0000000000000000000000000000000000052 -:10CEE0000000000000000000000000000000000042 -:10CEF0000000000000000000000000000000000032 -:10CF00000000000000000000000000000000000021 -:10CF10000000000000000000000000000000000011 -:10CF20000000000000000000000000000000000001 -:10CF300000000000000000000000000000000000F1 -:10CF400000000000000000000000000000000000E1 -:10CF500000000000000000000000000000000000D1 -:10CF600000000000000000000000000000000000C1 -:10CF700000000000000000000000000000000000B1 -:10CF800000000000000000000000000000000000A1 -:10CF90000000000000000000000000000000000091 -:10CFA0000000000000000000000000000000000081 -:10CFB0000000000000000000000000000000000071 -:10CFC0000000000000000000000000000000000061 -:10CFD0000000000000000000000000000000000051 -:10CFE0000000000000000000000000000000000041 -:10CFF0000000000000000000000000000000000031 -:10D000000000000000000000000000000000000020 -:10D010000000000000000000000000000000000010 -:10D020000000000000000000000000000000000000 -:10D0300000000000000000000000000000000000F0 -:10D0400000000000000000000000000000000000E0 -:10D0500000000000000000000000000000000000D0 -:10D0600000000000000000000000000000000000C0 -:10D0700000000000000000000000000000000000B0 -:10D0800000000000000000000000000000000000A0 -:10D090000000000000000000000000000000000090 -:10D0A0000000000000000000000000000000000080 -:10D0B0000000000000000000000000000000000070 -:10D0C0000000000000000000000000000000000060 -:10D0D0000000000000000000000000000000000050 -:10D0E0000000000000000000000000000000000040 -:10D0F0000000000000000000000000000000000030 -:10D10000000000000000000000000000000000001F -:10D11000000000000000000000000000000000000F -:10D1200000000000000000000000000000000000FF -:10D1300000000000000000000000000000000000EF -:10D1400000000000000000000000000000000000DF -:10D1500000000000000000000000000000000000CF -:10D1600000000000000000000000000000000000BF -:10D1700000000000000000000000000000000000AF -:10D18000000000000000000000000000000000009F -:10D19000000000000000000000000000000000008F -:10D1A000000000000000000000000000000000007F -:10D1B000000000000000000000000000000000006F -:10D1C000000000000000000000000000000000005F -:10D1D000000000000000000000000000000000004F -:10D1E000000000000000000000000000000000003F -:10D1F000000000000000000000000000000000002F -:10D20000000000000000000000000000000000001E -:10D21000000000000000000000000000000000000E -:10D2200000000000000000000000000000000000FE -:10D2300000000000000000000000000000000000EE -:10D2400000000000000000000000000000000000DE -:10D2500000000000000000000000000000000000CE -:10D2600000000000000000000000000000000000BE -:10D2700000000000000000000000000000000000AE -:10D28000000000000000000000000000000000009E -:10D29000000000000000000000000000000000008E -:10D2A000000000000000000000000000000000007E -:10D2B000000000000000000000000000000000006E -:10D2C000000000000000000000000000000000005E -:10D2D000000000000000000000000000000000004E -:10D2E000000000000000000000000000000000003E -:10D2F000000000000000000000000000000000002E -:10D30000000000000000000000000000000000001D -:10D31000000000000000000000000000000000000D -:10D3200000000000000000000000000000000000FD -:10D3300000000000000000000000000000000000ED -:10D3400000000000000000000000000000000000DD -:10D3500000000000000000000000000000000000CD -:10D3600000000000000000000000000000000000BD -:10D3700000000000000000000000000000000000AD -:10D38000000000000000000000000000000000009D -:10D39000000000000000000000000000000000008D -:10D3A000000000000000000000000000000000007D -:10D3B000000000000000000000000000000000006D -:10D3C000000000000000000000000000000000005D -:10D3D000000000000000000000000000000000004D -:10D3E000000000000000000000000000000000003D -:10D3F000000000000000000000000000000000002D -:10D40000000000000000000000000000000000001C -:10D41000000000000000000000000000000000000C -:10D4200000000000000000000000000000000000FC -:10D4300000000000000000000000000000000000EC -:10D4400000000000000000000000000000000000DC -:10D4500000000000000000000000000000000000CC -:10D4600000000000000000000000000000000000BC -:10D4700000000000000000000000000000000000AC -:10D48000000000000000000000000000000000009C -:10D49000000000000000000000000000000000008C -:10D4A000000000000000000000000000000000007C -:10D4B000000000000000000000000000000000006C -:10D4C000000000000000000000000000000000005C -:10D4D000000000000000000000000000000000004C -:10D4E000000000000000000000000000000000003C -:10D4F000000000000000000000000000000000002C -:10D50000000000000000000000000000000000001B -:10D51000000000000000000000000000000000000B -:10D5200000000000000000000000000000000000FB -:10D5300000000000000000000000000000000000EB -:10D5400000000000000000000000000000000000DB -:10D5500000000000000000000000000000000000CB -:10D5600000000000000000000000000000000000BB -:10D5700000000000000000000000000000000000AB -:10D58000000000000000000000000000000000009B -:10D59000000000000000000000000000000000008B -:10D5A000000000000000000000000000000000007B -:10D5B000000000000000000000000000000000006B -:10D5C000000000000000000000000000000000005B -:10D5D000000000000000000000000000000000004B -:10D5E000000000000000000000000000000000003B -:10D5F000000000000000000000000000000000002B -:10D60000000000000000000000000000000000001A -:10D61000000000000000000000000000000000000A -:10D6200000000000000000000000000000000000FA -:10D6300000000000000000000000000000000000EA -:10D6400000000000000000000000000000000000DA -:10D6500000000000000000000000000000000000CA -:10D6600000000000000000000000000000000000BA -:10D6700000000000000000000000000000000000AA -:10D68000000000000000000000000000000000009A -:10D69000000000000000000000000000000000008A -:10D6A000000000000000000000000000000000007A -:10D6B000000000000000000000000000000000006A -:10D6C000000000000000000000000000000000005A -:10D6D000000000000000000000000000000000004A -:10D6E000000000000000000000000000000000003A -:10D6F000000000000000000000000000000000002A -:10D700000000000000000000000000000000000019 -:10D710000000000000000000000000000000000009 -:10D7200000000000000000000000000000000000F9 -:10D7300000000000000000000000000000000000E9 -:10D7400000000000000000000000000000000000D9 -:10D7500000000000000000000000000000000000C9 -:10D7600000000000000000000000000000000000B9 -:10D7700000000000000000000000000000000000A9 -:10D780000000000000000000000000000000000099 -:10D790000000000000000000000000000000000089 -:10D7A0000000000000000000000000000000000079 -:10D7B0000000000000000000000000000000000069 -:10D7C0000000000000000000000000000000000059 -:10D7D0000000000000000000000000000000000049 -:10D7E0000000000000000000000000000000000039 -:10D7F0000000000000000000000000000000000029 -:10D800000000000000000000000000000000000018 -:10D810000000000000000000000000000000000008 -:10D8200000000000000000000000000000000000F8 -:10D8300000000000000000000000000000000000E8 -:10D8400000000000000000000000000000000000D8 -:10D8500000000000000000000000000000000000C8 -:10D8600000000000000000000000000000000000B8 -:10D8700000000000000000000000000000000000A8 -:10D880000000000000000000000000000000000098 -:10D890000000000000000000000000000000000088 -:10D8A0000000000000000000000000000000000078 -:10D8B0000000000000000000000000000000000068 -:10D8C0000000000000000000000000000000000058 -:10D8D0000000000000000000000000000000000048 -:10D8E0000000000000000000000000000000000038 -:10D8F0000000000000000000000000000000000028 -:10D900000000000000000000000000000000000017 -:10D910000000000000000000000000000000000007 -:10D9200000000000000000000000000000000000F7 -:10D9300000000000000000000000000000000000E7 -:10D9400000000000000000000000000000000000D7 -:10D9500000000000000000000000000000000000C7 -:10D9600000000000000000000000000000000000B7 -:10D9700000000000000000000000000000000000A7 -:10D980000000000000000000000000000000000097 -:10D990000000000000000000000000000000000087 -:10D9A0000000000000000000000000000000000077 -:10D9B0000000000000000000000000000000000067 -:10D9C0000000000000000000000000000000000057 -:10D9D0000000000000000000000000000000000047 -:10D9E0000000000000000000000000000000000037 -:10D9F0000000000000000000000000000000000027 -:10DA00000000000000000000000000000000000016 -:10DA10000000000000000000000000000000000006 -:10DA200000000000000000000000000000000000F6 -:10DA300000000000000000000000000000000000E6 -:10DA400000000000000000000000000000000000D6 -:10DA500000000000000000000000000000000000C6 -:10DA600000000000000000000000000000000000B6 -:10DA700000000000000000000000000000000000A6 -:10DA80000000000000000000000000000000000096 -:10DA90000000000000000000000000000000000086 -:10DAA0000000000000000000000000000000000076 -:10DAB0000000000000000000000000000000000066 -:10DAC0000000000000000000000000000000000056 -:10DAD0000000000000000000000000000000000046 -:10DAE0000000000000000000000000000000000036 -:10DAF0000000000000000000000000000000000026 -:10DB00000000000000000000000000000000000015 -:10DB10000000000000000000000000000000000005 -:10DB200000000000000000000000000000000000F5 -:10DB300000000000000000000000000000000000E5 -:10DB400000000000000000000000000000000000D5 -:10DB500000000000000000000000000000000000C5 -:10DB600000000000000000000000000000000000B5 -:10DB700000000000000000000000000000000000A5 -:10DB80000000000000000000000000000000000095 -:10DB90000000000000000000000000000000000085 -:10DBA0000000000000000000000000000000000075 -:10DBB0000000000000000000000000000000000065 -:10DBC0000000000000000000000000000000000055 -:10DBD0000000000000000000000000000000000045 -:10DBE0000000000000000000000000000000000035 -:10DBF0000000000000000000000000000000000025 -:10DC00000000000000000000000000000000000014 -:10DC10000000000000000000000000000000000004 -:10DC200000000000000000000000000000000000F4 -:10DC300000000000000000000000000000000000E4 -:10DC400000000000000000000000000000000000D4 -:10DC500000000000000000000000000000000000C4 -:10DC600000000000000000000000000000000000B4 -:10DC700000000000000000000000000000000000A4 -:10DC80000000000000000000000000000000000094 -:10DC90000000000000000000000000000000000084 -:10DCA0000000000000000000000000000000000074 -:10DCB0000000000000000000000000000000000064 -:10DCC0000000000000000000000000000000000054 -:10DCD0000000000000000000000000000000000044 -:10DCE0000000000000000000000000000000000034 -:10DCF0000000000000000000000000000000000024 -:10DD00000000000000000000000000000000000013 -:10DD10000000000000000000000000000000000003 -:10DD200000000000000000000000000000000000F3 -:10DD300000000000000000000000000000000000E3 -:10DD400000000000000000000000000000000000D3 -:10DD500000000000000000000000000000000000C3 -:10DD600000000000000000000000000000000000B3 -:10DD700000000000000000000000000000000000A3 -:10DD80000000000000000000000000000000000093 -:10DD90000000000000000000000000000000000083 -:10DDA0000000000000000000000000000000000073 -:10DDB0000000000000000000000000000000000063 -:10DDC0000000000000000000000000000000000053 -:10DDD0000000000000000000000000000000000043 -:10DDE0000000000000000000000000000000000033 -:10DDF0000000000000000000000000000000000023 -:10DE00000000000000000000000000000000000012 -:10DE10000000000000000000000000000000000002 -:10DE200000000000000000000000000000000000F2 -:10DE300000000000000000000000000000000000E2 -:10DE400000000000000000000000000000000000D2 -:10DE500000000000000000000000000000000000C2 -:10DE600000000000000000000000000000000000B2 -:10DE700000000000000000000000000000000000A2 -:10DE80000000000000000000000000000000000092 -:10DE90000000000000000000000000000000000082 -:10DEA0000000000000000000000000000000000072 -:10DEB0000000000000000000000000000000000062 -:10DEC0000000000000000000000000000000000052 -:10DED0000000000000000000000000000000000042 -:10DEE0000000000000000000000000000000000032 -:10DEF0000000000000000000000000000000000022 -:10DF00000000000000000000000000000000000011 -:10DF10000000000000000000000000000000000001 -:10DF200000000000000000000000000000000000F1 -:10DF300000000000000000000000000000000000E1 -:10DF400000000000000000000000000000000000D1 -:10DF500000000000000000000000000000000000C1 -:10DF600000000000000000000000000000000000B1 -:10DF700000000000000000000000000000000000A1 -:10DF80000000000000000000000000000000000091 -:10DF90000000000000000000000000000000000081 -:10DFA0000000000000000000000000000000000071 -:10DFB0000000000000000000000000000000000061 -:10DFC0000000000000000000000000000000000051 -:10DFD0000000000000000000000000000000000041 -:10DFE0000000000000000000000000000000000031 -:10DFF0000000000000000000000000000000000021 -:10E000000000000000000000000000000000000010 -:10E010000000000000000000000000000000000000 -:10E0200000000000000000000000000000000000F0 -:10E0300000000000000000000000000000000000E0 -:10E0400000000000000000000000000000000000D0 -:10E0500000000000000000000000000000000000C0 -:10E0600000000000000000000000000000000000B0 -:10E0700000000000000000000000000000000000A0 -:10E080000000000000000000000000000000000090 -:10E090000000000000000000000000000000000080 -:10E0A0000000000000000000000000000000000070 -:10E0B0000000000000000000000000000000000060 -:10E0C0000000000000000000000000000000000050 -:10E0D0000000000000000000000000000000000040 -:10E0E0000000000000000000000000000000000030 -:10E0F0000000000000000000000000000000000020 -:10E10000000000000000000000000000000000000F -:10E1100000000000000000000000000000000000FF -:10E1200000000000000000000000000000000000EF -:10E1300000000000000000000000000000000000DF -:10E1400000000000000000000000000000000000CF -:10E1500000000000000000000000000000000000BF -:10E1600000000000000000000000000000000000AF -:10E17000000000000000000000000000000000009F -:10E18000000000000000000000000000000000008F -:10E19000000000000000000000000000000000007F -:10E1A000000000000000000000000000000000006F -:10E1B000000000000000000000000000000000005F -:10E1C000000000000000000000000000000000004F -:10E1D000000000000000000000000000000000003F -:10E1E000000000000000000000000000000000002F -:10E1F000000000000000000000000000000000001F -:10E20000000000000000000000000000000000000E -:10E2100000000000000000000000000000000000FE -:10E2200000000000000000000000000000000000EE -:10E2300000000000000000000000000000000000DE -:10E2400000000000000000000000000000000000CE -:10E2500000000000000000000000000000000000BE -:10E2600000000000000000000000000000000000AE -:10E27000000000000000000000000000000000009E -:10E28000000000000000000000000000000000008E -:10E29000000000000000000000000000000000007E -:10E2A000000000000000000000000000000000006E -:10E2B000000000000000000000000000000000005E -:10E2C000000000000000000000000000000000004E -:10E2D000000000000000000000000000000000003E -:10E2E000000000000000000000000000000000002E -:10E2F000000000000000000000000000000000001E -:10E30000000000000000000000000000000000000D -:10E3100000000000000000000000000000000000FD -:10E3200000000000000000000000000000000000ED -:10E3300000000000000000000000000000000000DD -:10E3400000000000000000000000000000000000CD -:10E3500000000000000000000000000000000000BD -:10E3600000000000000000000000000000000000AD -:10E37000000000000000000000000000000000009D -:10E38000000000000000000000000000000000008D -:10E39000000000000000000000000000000000007D -:10E3A000000000000000000000000000000000006D -:10E3B000000000000000000000000000000000005D -:10E3C000000000000000000000000000000000004D -:10E3D000000000000000000000000000000000003D -:10E3E000000000000000000000000000000000002D -:10E3F000000000000000000000000000000000001D -:10E40000000000000000000000000000000000000C -:10E4100000000000000000000000000000000000FC -:10E4200000000000000000000000000000000000EC -:10E4300000000000000000000000000000000000DC -:10E4400000000000000000000000000000000000CC -:10E4500000000000000000000000000000000000BC -:10E4600000000000000000000000000000000000AC -:10E47000000000000000000000000000000000009C -:10E48000000000000000000000000000000000008C -:10E49000000000000000000000000000000000007C -:10E4A000000000000000000000000000000000006C -:10E4B000000000000000000000000000000000005C -:10E4C000000000000000000000000000000000004C -:10E4D000000000000000000000000000000000003C -:10E4E000000000000000000000000000000000002C -:10E4F000000000000000000000000000000000001C -:10E50000000000000000000000000000000000000B -:10E5100000000000000000000000000000000000FB -:10E5200000000000000000000000000000000000EB -:10E5300000000000000000000000000000000000DB -:10E5400000000000000000000000000000000000CB -:10E5500000000000000000000000000000000000BB -:10E5600000000000000000000000000000000000AB -:10E57000000000000000000000000000000000009B -:10E58000000000000000000000000000000000008B -:10E59000000000000000000000000000000000007B -:10E5A000000000000000000000000000000000006B -:10E5B000000000000000000000000000000000005B -:10E5C000000000000000000000000000000000004B -:10E5D000000000000000000000000000000000003B -:10E5E000000000000000000000000000000000002B -:10E5F000000000000000000000000000000000001B -:10E60000000000000000000000000000000000000A -:10E6100000000000000000000000000000000000FA -:10E6200000000000000000000000000000000000EA -:10E6300000000000000000000000000000000000DA -:10E6400000000000000000000000000000000000CA -:10E6500000000000000000000000000000000000BA -:10E6600000000000000000000000000000000000AA -:10E67000000000000000000000000000000000009A -:10E68000000000000000000000000000000000008A -:10E69000000000000000000000000000000000007A -:10E6A000000000000000000000000000000000006A -:10E6B000000000000000000000000000000000005A -:10E6C000000000000000000000000000000000004A -:10E6D000000000000000000000000000000000003A -:10E6E000000000000000000000000000000000002A -:10E6F000000000000000000000000000000000001A -:10E700000000000000000000000000000000000009 -:10E7100000000000000000000000000000000000F9 -:10E7200000000000000000000000000000000000E9 -:10E7300000000000000000000000000000000000D9 -:10E7400000000000000000000000000000000000C9 -:10E7500000000000000000000000000000000000B9 -:10E7600000000000000000000000000000000000A9 -:10E770000000000000000000000000000000000099 -:10E780000000000000000000000000000000000089 -:10E790000000000000000000000000000000000079 -:10E7A0000000000000000000000000000000000069 -:10E7B0000000000000000000000000000000000059 -:10E7C0000000000000000000000000000000000049 -:10E7D0000000000000000000000000000000000039 -:10E7E0000000000000000000000000000000000029 -:10E7F0000000000000000000000000000000000019 -:10E800000000000000000000000000000000000008 -:10E8100000000000000000000000000000000000F8 -:10E8200000000000000000000000000000000000E8 -:10E8300000000000000000000000000000000000D8 -:10E8400000000000000000000000000000000000C8 -:10E8500000000000000000000000000000000000B8 -:10E8600000000000000000000000000000000000A8 -:10E870000000000000000000000000000000000098 -:10E880000000000000000000000000000000000088 -:10E890000000000000000000000000000000000078 -:10E8A0000000000000000000000000000000000068 -:10E8B0000000000000000000000000000000000058 -:10E8C0000000000000000000000000000000000048 -:10E8D0000000000000000000000000000000000038 -:10E8E0000000000000000000000000000000000028 -:10E8F0000000000000000000000000000000000018 -:10E900000000000000000000000000000000000007 -:10E9100000000000000000000000000000000000F7 -:10E9200000000000000000000000000000000000E7 -:10E9300000000000000000000000000000000000D7 -:10E9400000000000000000000000000000000000C7 -:10E9500000000000000000000000000000000000B7 -:10E9600000000000000000000000000000000000A7 -:10E970000000000000000000000000000000000097 -:10E980000000000000000000000000000000000087 -:10E990000000000000000000000000000000000077 -:10E9A0000000000000000000000000000000000067 -:10E9B0000000000000000000000000000000000057 -:10E9C0000000000000000000000000000000000047 -:10E9D0000000000000000000000000000000000037 -:10E9E0000000000000000000000000000000000027 -:10E9F0000000000000000000000000000000000017 -:10EA00000000000000000000000000000000000006 -:10EA100000000000000000000000000000000000F6 -:10EA200000000000000000000000000000000000E6 -:10EA300000000000000000000000000000000000D6 -:10EA400000000000000000000000000000000000C6 -:10EA500000000000000000000000000000000000B6 -:10EA600000000000000000000000000000000000A6 -:10EA70000000000000000000000000000000000096 -:10EA80000000000000000000000000000000000086 -:10EA90000000000000000000000000000000000076 -:10EAA0000000000000000000000000000000000066 -:10EAB0000000000000000000000000000000000056 -:10EAC0000000000000000000000000000000000046 -:10EAD0000000000000000000000000000000000036 -:10EAE0000000000000000000000000000000000026 -:10EAF0000000000000000000000000000000000016 -:10EB00000000000000000000000000000000000005 -:10EB100000000000000000000000000000000000F5 -:10EB200000000000000000000000000000000000E5 -:10EB300000000000000000000000000000000000D5 -:10EB400000000000000000000000000000000000C5 -:10EB500000000000000000000000000000000000B5 -:10EB600000000000000000000000000000000000A5 -:10EB70000000000000000000000000000000000095 -:10EB80000000000000000000000000000000000085 -:10EB90000000000000000000000000000000000075 -:10EBA0000000000000000000000000000000000065 -:10EBB0000000000000000000000000000000000055 -:10EBC0000000000000000000000000000000000045 -:10EBD0000000000000000000000000000000000035 -:10EBE0000000000000000000000000000000000025 -:10EBF0000000000000000000000000000000000015 -:10EC00000000000000000000000000000000000004 -:10EC100000000000000000000000000000000000F4 -:10EC200000000000000000000000000000000000E4 -:10EC300000000000000000000000000000000000D4 -:10EC400000000000000000000000000000000000C4 -:10EC500000000000000000000000000000000000B4 -:10EC600000000000000000000000000000000000A4 -:10EC70000000000000000000000000000000000094 -:10EC80000000000000000000000000000000000084 -:10EC90000000000000000000000000000000000074 -:10ECA0000000000000000000000000000000000064 -:10ECB0000000000000000000000000000000000054 -:10ECC0000000000000000000000000000000000044 -:10ECD0000000000000000000000000000000000034 -:10ECE0000000000000000000000000000000000024 -:10ECF0000000000000000000000000000000000014 -:10ED00000000000000000000000000000000000003 -:10ED100000000000000000000000000000000000F3 -:10ED200000000000000000000000000000000000E3 -:10ED300000000000000000000000000000000000D3 -:10ED400000000000000000000000000000000000C3 -:10ED500000000000000000000000000000000000B3 -:10ED600000000000000000000000000000000000A3 -:10ED70000000000000000000000000000000000093 -:10ED80000000000000000000000000000000000083 -:10ED90000000000000000000000000000000000073 -:10EDA0000000000000000000000000000000000063 -:10EDB0000000000000000000000000000000000053 -:10EDC0000000000000000000000000000000000043 -:10EDD0000000000000000000000000000000000033 -:10EDE0000000000000000000000000000000000023 -:10EDF0000000000000000000000000000000000013 -:10EE00000000000000000000000000000000000002 -:10EE100000000000000000000000000000000000F2 -:10EE200000000000000000000000000000000000E2 -:10EE300000000000000000000000000000000000D2 -:10EE400000000000000000000000000000000000C2 -:10EE500000000000000000000000000000000000B2 -:10EE600000000000000000000000000000000000A2 -:10EE70000000000000000000000000000000000092 -:10EE80000000000000000000000000000000000082 -:10EE90000000000000000000000000000000000072 -:10EEA0000000000000000000000000000000000062 -:10EEB0000000000000000000000000000000000052 -:10EEC0000000000000000000000000000000000042 -:10EED0000000000000000000000000000000000032 -:10EEE0000000000000000000000000000000000022 -:10EEF0000000000000000000000000000000000012 -:10EF00000000000000000000000000000000000001 -:10EF100000000000000000000000000000000000F1 -:10EF200000000000000000000000000000000000E1 -:10EF300000000000000000000000000000000000D1 -:10EF400000000000000000000000000000000000C1 -:10EF500000000000000000000000000000000000B1 -:10EF600000000000000000000000000000000000A1 -:10EF70000000000000000000000000000000000091 -:10EF80000000000000000000000000000000000081 -:10EF90000000000000000000000000000000000071 -:10EFA0000000000000000000000000000000000061 -:10EFB0000000000000000000000000000000000051 -:10EFC0000000000000000000000000000000000041 -:10EFD0000000000000000000000000000000000031 -:10EFE0000000000000000000000000000000000021 -:10EFF0000000000000000000000000000000000011 -:10F000000000000000000000000000000000000000 -:10F0100000000000000000000000000000000000F0 -:10F0200000000000000000000000000000000000E0 -:10F0300000000000000000000000000000000000D0 -:10F0400000000000000000000000000000000000C0 -:10F0500000000000000000000000000000000000B0 -:10F0600000000000000000000000000000000000A0 -:10F070000000000000000000000000000000000090 -:10F080000000000000000000000000000000000080 -:10F090000000000000000000000000000000000070 -:10F0A0000000000000000000000000000000000060 -:10F0B0000000000000000000000000000000000050 -:10F0C0000000000000000000000000000000000040 -:10F0D0000000000000000000000000000000000030 -:10F0E0000000000000000000000000000000000020 -:10F0F0000000000000000000000000000000000010 -:10F1000000000000000000000000000000000000FF -:10F1100000000000000000000000000000000000EF -:10F1200000000000000000000000000000000000DF -:10F1300000000000000000000000000000000000CF -:10F1400000000000000000000000000000000000BF -:10F1500000000000000000000000000000000000AF -:10F16000000000000000000000000000000000009F -:10F17000000000000000000000000000000000008F -:10F18000000000000000000000000000000000007F -:10F19000000000000000000000000000000000006F -:10F1A000000000000000000000000000000000005F -:10F1B000000000000000000000000000000000004F -:10F1C000000000000000000000000000000000003F -:10F1D000000000000000000000000000000000002F -:10F1E000000000000000000000000000000000001F -:10F1F000000000000000000000000000000000000F -:10F2000000000000000000000000000000000000FE -:10F2100000000000000000000000000000000000EE -:10F2200000000000000000000000000000000000DE -:10F2300000000000000000000000000000000000CE -:10F2400000000000000000000000000000000000BE -:10F2500000000000000000000000000000000000AE -:10F26000000000000000000000000000000000009E -:10F27000000000000000000000000000000000008E -:10F28000000000000000000000000000000000007E -:10F29000000000000000000000000000000000006E -:10F2A000000000000000000000000000000000005E -:10F2B000000000000000000000000000000000004E -:10F2C000000000000000000000000000000000003E -:10F2D000000000000000000000000000000000002E -:10F2E000000000000000000000000000000000001E -:10F2F000000000000000000000000000000000000E -:10F3000000000000000000000000000000000000FD -:10F3100000000000000000000000000000000000ED -:10F3200000000000000000000000000000000000DD -:10F3300000000000000000000000000000000000CD -:10F3400000000000000000000000000000000000BD -:10F3500000000000000000000000000000000000AD -:10F36000000000000000000000000000000000009D -:10F37000000000000000000000000000000000008D -:10F38000000000000000000000000000000000007D -:10F39000000000000000000000000000000000006D -:10F3A000000000000000000000000000000000005D -:10F3B000000000000000000000000000000000004D -:10F3C000000000000000000000000000000000003D -:10F3D000000000000000000000000000000000002D -:10F3E000000000000000000000000000000000001D -:10F3F000000000000000000000000000000000000D -:10F4000000000000000000000000000000000000FC -:10F4100000000000000000000000000000000000EC -:10F4200000000000000000000000000000000000DC -:10F4300000000000000000000000000000000000CC -:10F4400000000000000000000000000000000000BC -:10F4500000000000000000000000000000000000AC -:10F46000000000000000000000000000000000009C -:10F47000000000000000000000000000000000008C -:10F48000000000000000000000000000000000007C -:10F49000000000000000000000000000000000006C -:10F4A000000000000000000000000000000000005C -:10F4B000000000000000000000000000000000004C -:10F4C000000000000000000000000000000000003C -:10F4D000000000000000000000000000000000002C -:10F4E000000000000000000000000000000000001C -:10F4F000000000000000000000000000000000000C -:10F5000000000000000000000000000000000000FB -:10F5100000000000000000000000000000000000EB -:10F5200000000000000000000000000000000000DB -:10F5300000000000000000000000000000000000CB -:10F5400000000000000000000000000000000000BB -:10F5500000000000000000000000000000000000AB -:10F56000000000000000000000000000000000009B -:10F57000000000000000000000000000000000008B -:10F58000000000000000000000000000000000007B -:10F59000000000000000000000000000000000006B -:10F5A000000000000000000000000000000000005B -:10F5B000000000000000000000000000000000004B -:10F5C000000000000000000000000000000000003B -:10F5D000000000000000000000000000000000002B -:10F5E000000000000000000000000000000000001B -:10F5F000000000000000000000000000000000000B -:10F6000000000000000000000000000000000000FA -:10F6100000000000000000000000000000000000EA -:10F6200000000000000000000000000000000000DA -:10F6300000000000000000000000000000000000CA -:10F6400000000000000000000000000000000000BA -:10F6500000000000000000000000000000000000AA -:10F66000000000000000000000000000000000009A -:10F67000000000000000000000000000000000008A -:10F68000000000000000000000000000000000007A -:10F69000000000000000000000000000000000006A -:10F6A000000000000000000000000000000000005A -:10F6B000000000000000000000000000000000004A -:10F6C000000000000000000000000000000000003A -:10F6D000000000000000000000000000000000002A -:10F6E000000000000000000000000000000000001A -:10F6F000000000000000000000000000000000000A -:10F7000000000000000000000000000000000000F9 -:10F7100000000000000000000000000000000000E9 -:10F7200000000000000000000000000000000000D9 -:10F7300000000000000000000000000000000000C9 -:10F7400000000000000000000000000000000000B9 -:10F7500000000000000000000000000000000000A9 -:10F760000000000000000000000000000000000099 -:10F770000000000000000000000000000000000089 -:10F780000000000000000000000000000000000079 -:10F790000000000000000000000000000000000069 -:10F7A0000000000000000000000000000000000059 -:10F7B0000000000000000000000000000000000049 -:10F7C0000000000000000000000000000000000039 -:10F7D0000000000000000000000000000000000029 -:10F7E0000000000000000000000000000000000019 -:10F7F0000000000000000000000000000000000009 -:10F8000000000000000000000000000000000000F8 -:10F8100000000000000000000000000000000000E8 -:10F8200000000000000000000000000000000000D8 -:10F8300000000000000000000000000000000000C8 -:10F8400000000000000000000000000000000000B8 -:10F8500000000000000000000000000000000000A8 -:10F860000000000000000000000000000000000098 -:10F870000000000000000000000000000000000088 -:10F880000000000000000000000000000000000078 -:10F890000000000000000000000000000000000068 -:10F8A0000000000000000000000000000000000058 -:10F8B0000000000000000000000000000000000048 -:10F8C0000000000000000000000000000000000038 -:10F8D0000000000000000000000000000000000028 -:10F8E0000000000000000000000000000000000018 -:10F8F0000000000000000000000000000000000008 -:10F9000000000000000000000000000000000000F7 -:10F9100000000000000000000000000000000000E7 -:10F9200000000000000000000000000000000000D7 -:10F9300000000000000000000000000000000000C7 -:10F9400000000000000000000000000000000000B7 -:10F9500000000000000000000000000000000000A7 -:10F960000000000000000000000000000000000097 -:10F970000000000000000000000000000000000087 -:10F980000000000000000000000000000000000077 -:10F990000000000000000000000000000000000067 -:10F9A0000000000000000000000000000000000057 -:10F9B0000000000000000000000000000000000047 -:10F9C0000000000000000000000000000000000037 -:10F9D0000000000000000000000000000000000027 -:10F9E0000000000000000000000000000000000017 -:10F9F0000000000000000000000000000000000007 -:10FA000000000000000000000000000000000000F6 -:10FA100000000000000000000000000000000000E6 -:10FA200000000000000000000000000000000000D6 -:10FA300000000000000000000000000000000000C6 -:10FA400000000000000000000000000000000000B6 -:10FA500000000000000000000000000000000000A6 -:10FA60000000000000000000000000000000000096 -:10FA70000000000000000000000000000000000086 -:10FA80000000000000000000000000000000000076 -:10FA90000000000000000000000000000000000066 -:10FAA0000000000000000000000000000000000056 -:10FAB0000000000000000000000000000000000046 -:10FAC0000000000000000000000000000000000036 -:10FAD0000000000000000000000000000000000026 -:10FAE0000000000000000000000000000000000016 -:10FAF0000000000000000000000000000000000006 -:10FB000000000000000000000000000000000000F5 -:10FB100000000000000000000000000000000000E5 -:10FB200000000000000000000000000000000000D5 -:10FB300000000000000000000000000000000000C5 -:10FB400000000000000000000000000000000000B5 -:10FB500000000000000000000000000000000000A5 -:10FB60000000000000000000000000000000000095 -:10FB70000000000000000000000000000000000085 -:10FB80000000000000000000000000000000000075 -:10FB90000000000000000000000000000000000065 -:10FBA0000000000000000000000000000000000055 -:10FBB0000000000000000000000000000000000045 -:10FBC0000000000000000000000000000000000035 -:10FBD0000000000000000000000000000000000025 -:10FBE0000000000000000000000000000000000015 -:10FBF0000000000000000000000000000000000005 -:10FC000000000000000000000000000000000000F4 -:10FC100000000000000000000000000000000000E4 -:10FC200000000000000000000000000000000000D4 -:10FC300000000000000000000000000000000000C4 -:10FC400000000000000000000000000000000000B4 -:10FC500000000000000000000000000000000000A4 -:10FC60000000000000000000000000000000000094 -:10FC70000000000000000000000000000000000084 -:10FC80000000000000000000000000000000000074 -:10FC90000000000000000000000000000000000064 -:10FCA0000000000000000000000000000000000054 -:10FCB0000000000000000000000000000000000044 -:10FCC0000000000000000000000000000000000034 -:10FCD0000000000000000000000000000000000024 -:10FCE0000000000000000000000000000000000014 -:10FCF0000000000000000000000000000000000004 -:10FD000000000000000000000000000000000000F3 -:10FD100000000000000000000000000000000000E3 -:10FD200000000000000000000000000000000000D3 -:10FD300000000000000000000000000000000000C3 -:10FD400000000000000000000000000000000000B3 -:10FD500000000000000000000000000000000000A3 -:10FD60000000000000000000000000000000000093 -:10FD70000000000000000000000000000000000083 -:10FD80000000000000000000000000000000000073 -:10FD90000000000000000000000000000000000063 -:10FDA0000000000000000000000000000000000053 -:10FDB0000000000000000000000000000000000043 -:10FDC0000000000000000000000000000000000033 -:10FDD0000000000000000000000000000000000023 -:10FDE0000000000000000000000000000000000013 -:10FDF0000000000000000000000000000000000003 -:10FE000000000000000000000000000000000000F2 -:10FE100000000000000000000000000000000000E2 -:10FE200000000000000000000000000000000000D2 -:10FE300000000000000000000000000000000000C2 -:10FE400000000000000000000000000000000000B2 -:10FE500000000000000000000000000000000000A2 -:10FE60000000000000000000000000000000000092 -:10FE70000000000000000000000000000000000082 -:10FE80000000000000000000000000000000000072 -:10FE90000000000000000000000000000000000062 -:10FEA0000000000000000000000000000000000052 -:10FEB0000000000000000000000000000000000042 -:10FEC0000000000000000000000000000000000032 -:10FED0000000000000000000000000000000000022 -:10FEE0000000000000000000000000000000000012 -:10FEF0000000000000000000000000000000000002 -:10FF000000000000000000000000000000000000F1 -:10FF100000000000000000000000000000000000E1 -:10FF200000000000000000000000000000000000D1 -:10FF300000000000000000000000000000000000C1 -:10FF400000000000000000000000000000000000B1 -:10FF500000000000000000000000000000000000A1 -:10FF60000000000000000000000000000000000091 -:10FF70000000000000000000000000000000000081 -:10FF80000000000000000000000000000000000071 -:10FF90000000000000000000000000000000000061 -:10FFA0000000000000000000000000000000000051 -:10FFB0000000000000000000000000000000000041 -:10FFC0000000000000000000000000000000000031 -:10FFD0000000000000000000000000000000000021 -:10FFE0000000000000000000000000000000000011 -:10FFF0000000000000000000000000000000000001 -:020000040002F8 -:1000000000000000000000000000000000000000F0 -:1000100000000000000000000000000000000000E0 -:1000200000000000000000000000000000000000D0 -:1000300000000000000000000000000000000000C0 -:1000400000000000000000000000000000000000B0 -:1000500000000000000000000000000000000000A0 -:100060000000000000000000000000000000000090 -:100070000000000000000000000000000000000080 -:100080000000000000000000000000000000000070 -:100090000000000000000000000000000000000060 -:1000A0000000000000000000000000000000000050 -:1000B0000000000000000000000000000000000040 -:1000C0000000000000000000000000000000000030 -:1000D0000000000000000000000000000000000020 -:1000E0000000000000000000000000000000000010 -:1000F0000000000000000000000000000000000000 -:1001000000000000000000000000000000000000EF -:1001100000000000000000000000000000000000DF -:1001200000000000000000000000000000000000CF -:1001300000000000000000000000000000000000BF -:1001400000000000000000000000000000000000AF -:10015000000000000000000000000000000000009F -:10016000000000000000000000000000000000008F -:10017000000000000000000000000000000000007F -:10018000000000000000000000000000000000006F -:10019000000000000000000000000000000000005F -:1001A000000000000000000000000000000000004F -:1001B000000000000000000000000000000000003F -:1001C000000000000000000000000000000000002F -:1001D000000000000000000000000000000000001F -:1001E000000000000000000000000000000000000F -:1001F00000000000000000000000000000000000FF -:1002000000000000000000000000000000000000EE -:1002100000000000000000000000000000000000DE -:1002200000000000000000000000000000000000CE -:1002300000000000000000000000000000000000BE -:1002400000000000000000000000000000000000AE -:10025000000000000000000000000000000000009E -:10026000000000000000000000000000000000008E -:10027000000000000000000000000000000000007E -:10028000000000000000000000000000000000006E -:10029000000000000000000000000000000000005E -:1002A000000000000000000000000000000000004E -:1002B000000000000000000000000000000000003E -:1002C000000000000000000000000000000000002E -:1002D000000000000000000000000000000000001E -:1002E000000000000000000000000000000000000E -:1002F00000000000000000000000000000000000FE -:1003000000000000000000000000000000000000ED -:1003100000000000000000000000000000000000DD -:1003200000000000000000000000000000000000CD -:1003300000000000000000000000000000000000BD -:1003400000000000000000000000000000000000AD -:10035000000000000000000000000000000000009D -:10036000000000000000000000000000000000008D -:10037000000000000000000000000000000000007D -:10038000000000000000000000000000000000006D -:10039000000000000000000000000000000000005D -:1003A000000000000000000000000000000000004D -:1003B000000000000000000000000000000000003D -:1003C000000000000000000000000000000000002D -:1003D000000000000000000000000000000000001D -:1003E000000000000000000000000000000000000D -:1003F00000000000000000000000000000000000FD -:1004000000000000000000000000000000000000EC -:1004100000000000000000000000000000000000DC -:1004200000000000000000000000000000000000CC -:1004300000000000000000000000000000000000BC -:1004400000000000000000000000000000000000AC -:10045000000000000000000000000000000000009C -:10046000000000000000000000000000000000008C -:10047000000000000000000000000000000000007C -:10048000000000000000000000000000000000006C -:10049000000000000000000000000000000000005C -:1004A000000000000000000000000000000000004C -:1004B000000000000000000000000000000000003C -:1004C000000000000000000000000000000000002C -:1004D000000000000000000000000000000000001C -:1004E000000000000000000000000000000000000C -:1004F00000000000000000000000000000000000FC -:1005000000000000000000000000000000000000EB -:1005100000000000000000000000000000000000DB -:1005200000000000000000000000000000000000CB -:1005300000000000000000000000000000000000BB -:1005400000000000000000000000000000000000AB -:10055000000000000000000000000000000000009B -:10056000000000000000000000000000000000008B -:10057000000000000000000000000000000000007B -:10058000000000000000000000000000000000006B -:10059000000000000000000000000000000000005B -:1005A000000000000000000000000000000000004B -:1005B000000000000000000000000000000000003B -:1005C000000000000000000000000000000000002B -:1005D000000000000000000000000000000000001B -:1005E000000000000000000000000000000000000B -:1005F00000000000000000000000000000000000FB -:1006000000000000000000000000000000000000EA -:1006100000000000000000000000000000000000DA -:1006200000000000000000000000000000000000CA -:1006300000000000000000000000000000000000BA -:1006400000000000000000000000000000000000AA -:10065000000000000000000000000000000000009A -:10066000000000000000000000000000000000008A -:10067000000000000000000000000000000000007A -:10068000000000000000000000000000000000006A -:10069000000000000000000000000000000000005A -:1006A000000000000000000000000000000000004A -:1006B000000000000000000000000000000000003A -:1006C000000000000000000000000000000000002A -:1006D000000000000000000000000000000000001A -:1006E000000000000000000000000000000000000A -:1006F00000000000000000000000000000000000FA -:1007000000000000000000000000000000000000E9 -:1007100000000000000000000000000000000000D9 -:1007200000000000000000000000000000000000C9 -:1007300000000000000000000000000000000000B9 -:1007400000000000000000000000000000000000A9 -:100750000000000000000000000000000000000099 -:100760000000000000000000000000000000000089 -:100770000000000000000000000000000000000079 -:100780000000000000000000000000000000000069 -:100790000000000000000000000000000000000059 -:1007A0000000000000000000000000000000000049 -:1007B0000000000000000000000000000000000039 -:1007C0000000000000000000000000000000000029 -:1007D0000000000000000000000000000000000019 -:1007E0000000000000000000000000000000000009 -:1007F00000000000000000000000000000000000F9 -:1008000000000000000000000000000000000000E8 -:1008100000000000000000000000000000000000D8 -:1008200000000000000000000000000000000000C8 -:1008300000000000000000000000000000000000B8 -:1008400000000000000000000000000000000000A8 -:100850000000000000000000000000000000000098 -:100860000000000000000000000000000000000088 -:100870000000000000000000000000000000000078 -:100880000000000000000000000000000000000068 -:100890000000000000000000000000000000000058 -:1008A0000000000000000000000000000000000048 -:1008B0000000000000000000000000000000000038 -:1008C0000000000000000000000000000000000028 -:1008D0000000000000000000000000000000000018 -:1008E0000000000000000000000000000000000008 -:1008F00000000000000000000000000000000000F8 -:1009000000000000000000000000000000000000E7 -:1009100000000000000000000000000000000000D7 -:1009200000000000000000000000000000000000C7 -:1009300000000000000000000000000000000000B7 -:1009400000000000000000000000000000000000A7 -:100950000000000000000000000000000000000097 -:100960000000000000000000000000000000000087 -:100970000000000000000000000000000000000077 -:100980000000000000000000000000000000000067 -:100990000000000000000000000000000000000057 -:1009A0000000000000000000000000000000000047 -:1009B0000000000000000000000000000000000037 -:1009C0000000000000000000000000000000000027 -:1009D0000000000000000000000000000000000017 -:1009E0000000000000000000000000000000000007 -:1009F00000000000000000000000000000000000F7 -:100A000000000000000000000000000000000000E6 -:100A100000000000000000000000000000000000D6 -:100A200000000000000000000000000000000000C6 -:100A300000000000000000000000000000000000B6 -:100A400000000000000000000000000000000000A6 -:100A50000000000000000000000000000000000096 -:100A60000000000000000000000000000000000086 -:100A70000000000000000000000000000000000076 -:100A80000000000000000000000000000000000066 -:100A90000000000000000000000000000000000056 -:100AA0000000000000000000000000000000000046 -:100AB0000000000000000000000000000000000036 -:100AC0000000000000000000000000000000000026 -:100AD0000000000000000000000000000000000016 -:100AE0000000000000000000000000000000000006 -:100AF00000000000000000000000000000000000F6 -:100B000000000000000000000000000000000000E5 -:100B100000000000000000000000000000000000D5 -:100B200000000000000000000000000000000000C5 -:100B300000000000000000000000000000000000B5 -:100B400000000000000000000000000000000000A5 -:100B50000000000000000000000000000000000095 -:100B60000000000000000000000000000000000085 -:100B70000000000000000000000000000000000075 -:100B80000000000000000000000000000000000065 -:100B90000000000000000000000000000000000055 -:100BA0000000000000000000000000000000000045 -:100BB0000000000000000000000000000000000035 -:100BC0000000000000000000000000000000000025 -:100BD0000000000000000000000000000000000015 -:100BE0000000000000000000000000000000000005 -:100BF00000000000000000000000000000000000F5 -:100C000000000000000000000000000000000000E4 -:100C100000000000000000000000000000000000D4 -:100C200000000000000000000000000000000000C4 -:100C300000000000000000000000000000000000B4 -:100C400000000000000000000000000000000000A4 -:100C50000000000000000000000000000000000094 -:100C60000000000000000000000000000000000084 -:100C70000000000000000000000000000000000074 -:100C80000000000000000000000000000000000064 -:100C90000000000000000000000000000000000054 -:100CA0000000000000000000000000000000000044 -:100CB0000000000000000000000000000000000034 -:100CC0000000000000000000000000000000000024 -:100CD0000000000000000000000000000000000014 -:100CE0000000000000000000000000000000000004 -:100CF00000000000000000000000000000000000F4 -:100D000000000000000000000000000000000000E3 -:100D100000000000000000000000000000000000D3 -:100D200000000000000000000000000000000000C3 -:100D300000000000000000000000000000000000B3 -:100D400000000000000000000000000000000000A3 -:100D50000000000000000000000000000000000093 -:100D60000000000000000000000000000000000083 -:100D70000000000000000000000000000000000073 -:100D80000000000000000000000000000000000063 -:100D90000000000000000000000000000000000053 -:100DA0000000000000000000000000000000000043 -:100DB0000000000000000000000000000000000033 -:100DC0000000000000000000000000000000000023 -:100DD0000000000000000000000000000000000013 -:100DE0000000000000000000000000000000000003 -:100DF00000000000000000000000000000000000F3 -:100E000000000000000000000000000000000000E2 -:100E100000000000000000000000000000000000D2 -:100E200000000000000000000000000000000000C2 -:100E300000000000000000000000000000000000B2 -:100E400000000000000000000000000000000000A2 -:100E50000000000000000000000000000000000092 -:100E60000000000000000000000000000000000082 -:100E70000000000000000000000000000000000072 -:100E80000000000000000000000000000000000062 -:100E90000000000000000000000000000000000052 -:100EA0000000000000000000000000000000000042 -:100EB0000000000000000000000000000000000032 -:100EC0000000000000000000000000000000000022 -:100ED0000000000000000000000000000000000012 -:100EE0000000000000000000000000000000000002 -:100EF00000000000000000000000000000000000F2 -:100F000000000000000000000000000000000000E1 -:100F100000000000000000000000000000000000D1 -:100F200000000000000000000000000000000000C1 -:100F300000000000000000000000000000000000B1 -:100F400000000000000000000000000000000000A1 -:100F50000000000000000000000000000000000091 -:100F60000000000000000000000000000000000081 -:100F70000000000000000000000000000000000071 -:100F80000000000000000000000000000000000061 -:100F90000000000000000000000000000000000051 -:100FA0000000000000000000000000000000000041 -:100FB0000000000000000000000000000000000031 -:100FC0000000000000000000000000000000000021 -:100FD0000000000000000000000000000000000011 -:100FE0000000000000000000000000000000000001 -:100FF00000000000000000000000000000000000F1 -:1010000000000000000000000000000000000000E0 -:1010100000000000000000000000000000000000D0 -:1010200000000000000000000000000000000000C0 -:1010300000000000000000000000000000000000B0 -:1010400000000000000000000000000000000000A0 -:101050000000000000000000000000000000000090 -:101060000000000000000000000000000000000080 -:101070000000000000000000000000000000000070 -:101080000000000000000000000000000000000060 -:101090000000000000000000000000000000000050 -:1010A0000000000000000000000000000000000040 -:1010B0000000000000000000000000000000000030 -:1010C0000000000000000000000000000000000020 -:1010D0000000000000000000000000000000000010 -:1010E0000000000000000000000000000000000000 -:1010F00000000000000000000000000000000000F0 -:1011000000000000000000000000000000000000DF -:1011100000000000000000000000000000000000CF -:1011200000000000000000000000000000000000BF -:1011300000000000000000000000000000000000AF -:10114000000000000000000000000000000000009F -:10115000000000000000000000000000000000008F -:10116000000000000000000000000000000000007F -:10117000000000000000000000000000000000006F -:10118000000000000000000000000000000000005F -:10119000000000000000000000000000000000004F -:1011A000000000000000000000000000000000003F -:1011B000000000000000000000000000000000002F -:1011C000000000000000000000000000000000001F -:1011D000000000000000000000000000000000000F -:1011E00000000000000000000000000000000000FF -:1011F00000000000000000000000000000000000EF -:1012000000000000000000000000000000000000DE -:1012100000000000000000000000000000000000CE -:1012200000000000000000000000000000000000BE -:1012300000000000000000000000000000000000AE -:10124000000000000000000000000000000000009E -:10125000000000000000000000000000000000008E -:10126000000000000000000000000000000000007E -:10127000000000000000000000000000000000006E -:10128000000000000000000000000000000000005E -:10129000000000000000000000000000000000004E -:1012A000000000000000000000000000000000003E -:1012B000000000000000000000000000000000002E -:1012C000000000000000000000000000000000001E -:1012D000000000000000000000000000000000000E -:1012E00000000000000000000000000000000000FE -:1012F00000000000000000000000000000000000EE -:1013000000000000000000000000000000000000DD -:1013100000000000000000000000000000000000CD -:1013200000000000000000000000000000000000BD -:1013300000000000000000000000000000000000AD -:10134000000000000000000000000000000000009D -:10135000000000000000000000000000000000008D -:10136000000000000000000000000000000000007D -:10137000000000000000000000000000000000006D -:10138000000000000000000000000000000000005D -:10139000000000000000000000000000000000004D -:1013A000000000000000000000000000000000003D -:1013B000000000000000000000000000000000002D -:1013C000000000000000000000000000000000001D -:1013D000000000000000000000000000000000000D -:1013E00000000000000000000000000000000000FD -:1013F00000000000000000000000000000000000ED -:1014000000000000000000000000000000000000DC -:1014100000000000000000000000000000000000CC -:1014200000000000000000000000000000000000BC -:1014300000000000000000000000000000000000AC -:10144000000000000000000000000000000000009C -:10145000000000000000000000000000000000008C -:10146000000000000000000000000000000000007C -:10147000000000000000000000000000000000006C -:10148000000000000000000000000000000000005C -:10149000000000000000000000000000000000004C -:1014A000000000000000000000000000000000003C -:1014B000000000000000000000000000000000002C -:1014C000000000000000000000000000000000001C -:1014D000000000000000000000000000000000000C -:1014E00000000000000000000000000000000000FC -:1014F00000000000000000000000000000000000EC -:1015000000000000000000000000000000000000DB -:1015100000000000000000000000000000000000CB -:1015200000000000000000000000000000000000BB -:1015300000000000000000000000000000000000AB -:10154000000000000000000000000000000000009B -:10155000000000000000000000000000000000008B -:10156000000000000000000000000000000000007B -:10157000000000000000000000000000000000006B -:10158000000000000000000000000000000000005B -:10159000000000000000000000000000000000004B -:1015A000000000000000000000000000000000003B -:1015B000000000000000000000000000000000002B -:1015C000000000000000000000000000000000001B -:1015D000000000000000000000000000000000000B -:1015E00000000000000000000000000000000000FB -:1015F00000000000000000000000000000000000EB -:1016000000000000000000000000000000000000DA -:1016100000000000000000000000000000000000CA -:1016200000000000000000000000000000000000BA -:1016300000000000000000000000000000000000AA -:10164000000000000000000000000000000000009A -:10165000000000000000000000000000000000008A -:10166000000000000000000000000000000000007A -:10167000000000000000000000000000000000006A -:10168000000000000000000000000000000000005A -:10169000000000000000000000000000000000004A -:1016A000000000000000000000000000000000003A -:1016B000000000000000000000000000000000002A -:1016C000000000000000000000000000000000001A -:1016D000000000000000000000000000000000000A -:1016E00000000000000000000000000000000000FA -:1016F00000000000000000000000000000000000EA -:1017000000000000000000000000000000000000D9 -:1017100000000000000000000000000000000000C9 -:1017200000000000000000000000000000000000B9 -:1017300000000000000000000000000000000000A9 -:101740000000000000000000000000000000000099 -:101750000000000000000000000000000000000089 -:101760000000000000000000000000000000000079 -:101770000000000000000000000000000000000069 -:101780000000000000000000000000000000000059 -:101790000000000000000000000000000000000049 -:1017A0000000000000000000000000000000000039 -:1017B0000000000000000000000000000000000029 -:1017C0000000000000000000000000000000000019 -:1017D0000000000000000000000000000000000009 -:1017E00000000000000000000000000000000000F9 -:1017F00000000000000000000000000000000000E9 -:1018000000000000000000000000000000000000D8 -:1018100000000000000000000000000000000000C8 -:1018200000000000000000000000000000000000B8 -:1018300000000000000000000000000000000000A8 -:101840000000000000000000000000000000000098 -:101850000000000000000000000000000000000088 -:101860000000000000000000000000000000000078 -:101870000000000000000000000000000000000068 -:101880000000000000000000000000000000000058 -:101890000000000000000000000000000000000048 -:1018A0000000000000000000000000000000000038 -:1018B0000000000000000000000000000000000028 -:1018C0000000000000000000000000000000000018 -:1018D0000000000000000000000000000000000008 -:1018E00000000000000000000000000000000000F8 -:1018F00000000000000000000000000000000000E8 -:1019000000000000000000000000000000000000D7 -:1019100000000000000000000000000000000000C7 -:1019200000000000000000000000000000000000B7 -:1019300000000000000000000000000000000000A7 -:101940000000000000000000000000000000000097 -:101950000000000000000000000000000000000087 -:101960000000000000000000000000000000000077 -:101970000000000000000000000000000000000067 -:101980000000000000000000000000000000000057 -:101990000000000000000000000000000000000047 -:1019A0000000000000000000000000000000000037 -:1019B0000000000000000000000000000000000027 -:1019C0000000000000000000000000000000000017 -:1019D0000000000000000000000000000000000007 -:1019E00000000000000000000000000000000000F7 -:1019F00000000000000000000000000000000000E7 -:101A000000000000000000000000000000000000D6 -:101A100000000000000000000000000000000000C6 -:101A200000000000000000000000000000000000B6 -:101A300000000000000000000000000000000000A6 -:101A40000000000000000000000000000000000096 -:101A50000000000000000000000000000000000086 -:101A60000000000000000000000000000000000076 -:101A70000000000000000000000000000000000066 -:101A80000000000000000000000000000000000056 -:101A90000000000000000000000000000000000046 -:101AA0000000000000000000000000000000000036 -:101AB0000000000000000000000000000000000026 -:101AC0000000000000000000000000000000000016 -:101AD0000000000000000000000000000000000006 -:101AE00000000000000000000000000000000000F6 -:101AF00000000000000000000000000000000000E6 -:101B000000000000000000000000000000000000D5 -:101B100000000000000000000000000000000000C5 -:101B200000000000000000000000000000000000B5 -:101B300000000000000000000000000000000000A5 -:101B40000000000000000000000000000000000095 -:101B50000000000000000000000000000000000085 -:101B60000000000000000000000000000000000075 -:101B70000000000000000000000000000000000065 -:101B80000000000000000000000000000000000055 -:101B90000000000000000000000000000000000045 -:101BA0000000000000000000000000000000000035 -:101BB0000000000000000000000000000000000025 -:101BC0000000000000000000000000000000000015 -:101BD0000000000000000000000000000000000005 -:101BE00000000000000000000000000000000000F5 -:101BF00000000000000000000000000000000000E5 -:101C000000000000000000000000000000000000D4 -:101C100000000000000000000000000000000000C4 -:101C200000000000000000000000000000000000B4 -:101C300000000000000000000000000000000000A4 -:101C40000000000000000000000000000000000094 -:101C50000000000000000000000000000000000084 -:101C60000000000000000000000000000000000074 -:101C70000000000000000000000000000000000064 -:101C80000000000000000000000000000000000054 -:101C90000000000000000000000000000000000044 -:101CA0000000000000000000000000000000000034 -:101CB0000000000000000000000000000000000024 -:101CC0000000000000000000000000000000000014 -:101CD0000000000000000000000000000000000004 -:101CE00000000000000000000000000000000000F4 -:101CF00000000000000000000000000000000000E4 -:101D000000000000000000000000000000000000D3 -:101D100000000000000000000000000000000000C3 -:101D200000000000000000000000000000000000B3 -:101D300000000000000000000000000000000000A3 -:101D40000000000000000000000000000000000093 -:101D50000000000000000000000000000000000083 -:101D60000000000000000000000000000000000073 -:101D70000000000000000000000000000000000063 -:101D80000000000000000000000000000000000053 -:101D90000000000000000000000000000000000043 -:101DA0000000000000000000000000000000000033 -:101DB0000000000000000000000000000000000023 -:101DC0000000000000000000000000000000000013 -:101DD0000000000000000000000000000000000003 -:101DE00000000000000000000000000000000000F3 -:101DF00000000000000000000000000000000000E3 -:101E000000000000000000000000000000000000D2 -:101E100000000000000000000000000000000000C2 -:101E200000000000000000000000000000000000B2 -:101E300000000000000000000000000000000000A2 -:101E40000000000000000000000000000000000092 -:101E50000000000000000000000000000000000082 -:101E60000000000000000000000000000000000072 -:101E70000000000000000000000000000000000062 -:101E80000000000000000000000000000000000052 -:101E90000000000000000000000000000000000042 -:101EA0000000000000000000000000000000000032 -:101EB0000000000000000000000000000000000022 -:101EC0000000000000000000000000000000000012 -:101ED0000000000000000000000000000000000002 -:101EE000000000000000000000800800000000006A -:101EF00000000000000000000000000000000000E2 -:101F000000000000000000000000000000000000D1 -:101F100000000000000000000000000000000000C1 -:101F200000000000000000000000000000000000B1 -:101F300000000000000000000000000000000000A1 -:101F40000000000000000000000000000000000091 -:101F50000000000000000000000000000000000081 -:101F60000000000000000000000000000000000071 -:101F70000000000000000000000000000000000061 -:101F80000000000000000000000000000000000051 -:101F90000000000000000000000000000000000041 -:101FA0000000000000000000000000000000000031 -:101FB0000000000000000000000000000000000021 -:101FC0000000000000000000000000000000000011 -:101FD0000000000000000000000000000000000001 -:101FE00000000000000000000000000000000000F1 -:101FF0000000000000000000000000000080000061 -:1020000000000000000000000000000000000000D0 -:1020100000000000000000000000000000000000C0 -:1020200000000000000000000000000000000000B0 -:1020300000000000000000000000000000000000A0 -:102040000000000000000000000000000000000090 -:102050000000000000000000000000000000000080 -:102060000000000000000000000000000000000070 -:102070000000000000000000000000000000000060 -:102080000000000000000000000000000000000050 -:102090000000000000000000000000000000000040 -:1020A0000000000000000000000000000000000030 -:1020B0000000000000000000000000000000000020 -:1020C0000000000000000000000000000000000010 -:1020D0000000000000000000000000000000000000 -:1020E00000000000000000000000000000000000F0 -:1020F00000000000000000000000000000000000E0 -:1021000000000000000000000000000000000000CF -:1021100000000000000000000000000000000000BF -:1021200000000000000000000000000000000000AF -:10213000000000000000000000000000000000009F -:10214000000000000000000000000000000000008F -:10215000000000000000000000000000000000007F -:10216000000000000000000000000000000000006F -:10217000000000000000000000000000000000005F -:10218000000000000000000000000000000000004F -:10219000000000000000000000000000000000003F -:1021A000000000000000000000000000000000002F -:1021B000000000000000000000000000000000001F -:1021C000000000000000000000000000000000000F -:1021D00000000000000000000000000000000000FF -:1021E00000000000000000000000000000000000EF -:1021F00000000000000000000000000000000000DF -:1022000000000000000000000000000000000000CE -:1022100000000000000000000000000000000000BE -:1022200000000000000000000000000000000000AE -:10223000000000000000000000000000000000009E -:10224000000000000000000000000000000000008E -:10225000000000000000000000000000000000007E -:10226000000000000000000000000000000000006E -:10227000000000000000000000000000000000005E -:10228000000000000000000000000000000000004E -:10229000000000000000000000000000000000003E -:1022A000000000000000000000000000000000002E -:1022B000000000000000000000000000000000001E -:1022C000000000000000000000000000000000000E -:1022D00000000000000000000000000000000000FE -:1022E00000000000000000000000000000000000EE -:1022F00000000000000000000000000000000000DE -:1023000000000000000000000000000000000000CD -:1023100000000000000000000000000000000000BD -:1023200000000000000000000000000000000000AD -:10233000000000000000000000000000000000009D -:10234000000000000000000000000000000000008D -:10235000000000000000000000000000000000007D -:10236000000000000000000000000000000000006D -:10237000000000000000000000000000000000005D -:10238000000000000000000000000000000000004D -:10239000000000000000000000000000000000003D -:1023A000000000000000000000000000000000002D -:1023B000000000000000000000000000000000001D -:1023C000000000000000000000000000000000000D -:1023D00000000000000000000000000000000000FD -:1023E00000000000000000000000000000000000ED -:1023F00000000000000000000000000000000000DD -:1024000000000000000000000000000000000000CC -:1024100000000000000000000000000000000000BC -:1024200000000000000000000000000000000000AC -:10243000000000000000000000000000000000009C -:10244000000000000000000000000000000000008C -:10245000000000000000000000000000000000007C -:10246000000000000000000000000000000000006C -:10247000000000000000000000000000000000005C -:10248000000000000000000000000000000000004C -:10249000000000000000000000000000000000003C -:1024A000000000000000000000000000000000002C -:1024B000000000000000000000000000000000001C -:1024C000000000000000000000000000000000000C -:1024D00000000000000000000000000000000000FC -:1024E00000000000000000000000000000000000EC -:1024F00000000000000000000000000000000000DC -:1025000000000000000000000000000000000000CB -:1025100000000000000000000000000000000000BB -:1025200000000000000000000000000000000000AB -:10253000000000000000000000000000000000009B -:10254000000000000000000000000000000000008B -:10255000000000000000000000000000000000007B -:10256000000000000000000000000000000000006B -:10257000000000000000000000000000000000005B -:10258000000000000000000000000000000000004B -:10259000000000000000000000000000000000003B -:1025A000000000000000000000000000000000002B -:1025B000000000000000000000000000000000001B -:1025C000000000000000000000000000000000000B -:1025D00000000000000000000000000000000000FB -:1025E00000000000000000000000000000000000EB -:1025F00000000000000000000000000000000000DB -:1026000000000000000000000000000000000000CA -:1026100000000000000000000000000000000000BA -:1026200000000000000000000000000000000000AA -:10263000000000000000000000000000000000009A -:10264000000000000000000000000000000000008A -:10265000000000000000000000000000000000007A -:10266000000000000000000000000000000000006A -:10267000000000000000000000000000000000005A -:10268000000000000000000000000000000000004A -:10269000000000000000000000000000000000003A -:1026A000000000000000000000000000000000002A -:1026B000000000000000000000000000000000001A -:1026C000000000000000000000000000000000000A -:1026D00000000000000000000000000000000000FA -:1026E00000000000000000000000000000000000EA -:1026F00000000000000000000000000000000000DA -:1027000000000000000000000000000000000000C9 -:1027100000000000000000000000000000000000B9 -:1027200000000000000000000000000000000000A9 -:102730000000000000000000000000000000000099 -:102740000000000000000000000000000000000089 -:102750000000000000000000000000000000000079 -:102760000000000000000000000000000000000069 -:102770000000000000000000000000000000000059 -:102780000000000000000000000000000000000049 -:102790000000000000000000000000000000000039 -:1027A0000000000000000000000000000000000029 -:1027B0000000000000000000000000000000000019 -:1027C0000000000000000000000000000000000009 -:1027D00000000000000000000000000000000000F9 -:1027E00000000000000000000000000000000000E9 -:1027F00000000000000000000000000000000000D9 -:1028000000000000000000000000000000000000C8 -:1028100000000000000000000000000000000000B8 -:1028200000000000000000000000000000000000A8 -:102830000000000000000000000000000000000098 -:102840000000000000000000000000000000000088 -:102850000000000000000000000000000000000078 -:102860000000000000000000000000000000000068 -:102870000000000000000000000000000000000058 -:102880000000000000000000000000000000000048 -:102890000000000000000000000000000000000038 -:1028A0000000000000000000000000000000000028 -:1028B0000000000000000000000000000000000018 -:1028C0000000000000000000000000000000000008 -:1028D00000000000000000000000000000000000F8 -:1028E00000000000000000000000000000000000E8 -:1028F00000000000000000000000000000000000D8 -:1029000000000000000000000000000000000000C7 -:1029100000000000000000000000000000000000B7 -:1029200000000000000000000000000000000000A7 -:102930000000000000000000000000000000000097 -:102940000000000000000000000000000000000087 -:102950000000000000000000000000000000000077 -:102960000000000000000000000000000000000067 -:102970000000000000000000000000000000000057 -:102980000000000000000000000000000000000047 -:102990000000000000000000000000000000000037 -:1029A0000000000000000000000000000000000027 -:1029B000000000000008000000000000000000000F -:1029C0000000000000000000000000000000000007 -:1029D00000000000000000000000000000000000F7 -:1029E00000000000000000000000000000000000E7 -:1029F00000000000000000000000000000000000D7 -:102A000000000000000000000000000000000000C6 -:102A100000000000000000000000000000000000B6 -:102A200000000000000000000000000000000000A6 -:102A30000000000000000000000000000000000096 -:102A40000000000000000000000000000000000086 -:102A50000000000000000000000000000000000076 -:102A60000000000000000000000000000000000066 -:102A70000000000000000000000000000000000056 -:102A80000000000000000000000000000000000046 -:102A90000000000000000000000000000000000036 -:102AA0000000000000000000000000000000000026 -:102AB0000000000000000000000000000000000016 -:102AC00000001000000000000010000000000000E6 -:102AD00000000000000000000000000000000000F6 -:102AE00000000000000000000000000000000000E6 -:102AF00000000000000000000000000000000000D6 -:102B000000000000000000000000000000000000C5 -:102B100000000000000000000000000000000000B5 -:102B200000000000000000000000000000000000A5 -:102B30000000000000000000000000000000000095 -:102B40000000000000000000000000000000000085 -:102B50000000000000000000000000000000000075 -:102B60000000000000000000000000000000000065 -:102B70000000000000000000000000000000000055 -:102B80000000000000000000000000000000000045 -:102B90000000000000000000000000000000000035 -:102BA0000000000000000000000000000000000025 -:102BB0000000000000000000000000000000000015 -:102BC0000000000000000000000000000000000005 -:102BD0000000000000006000000000000000000095 -:102BE00000000000000000000000000000000000E5 -:102BF00000000000000000080000000000000000CD -:102C000000000000000000000000000000000000C4 -:102C100000000000000000000000000000000000B4 -:102C200000000800000080000008000000100008FC -:102C3000000000080000000000000000000010007C -:102C40000000000008000000000800000000000074 -:102C50000000000000000000000000000000000074 -:102C60000000000000000000000000000000000064 -:102C70000000000000000000000000000000000054 -:102C80000000000000000000000000000000000044 -:102C90000000000000000000000000000000000034 -:102CA0000000000000000000000000000000000024 -:102CB0000000000000000000000000000000000014 -:102CC0000000000000000000000000000000000004 -:102CD0000000000000000000000000800000000074 -:102CE00000000000000000000000040000000000E0 -:102CF0000040000000000000000000000000000094 -:102D000000000000000000000000000800000000BB -:102D100000000000000000000000000000000000B3 -:102D200000000000000000000000000000000000A3 -:102D30000800000000000400000000000A04000079 -:102D4000002909040000000000000C000000000041 -:102D5000000020000000000004000000000400004B -:102D60000000000000000000000000000000000063 -:102D70000000000000000000000000000000000053 -:102D80000000000000000000000000000000000043 -:102D90000000000000000000000000000000000033 -:102DA000000000000C0000000000000020000000F7 -:102DB0000000000000000000000000000000000013 -:102DC0000000000000000000000000000000000003 -:102DD00000000000000000000000000000000000F3 -:102DE00000000000000000000000000000000000E3 -:102DF00000000000000000000000000000000000D3 -:102E0000000A0000000000000000000000020000B6 -:102E100000000000000000000000000000000000B2 -:102E200000000000000000000000000000000000A2 -:102E30000000000000000000000000000000000092 -:102E40000000000001000000000000000000100071 -:102E50000000000000000000000000000000010071 -:102E60000000000000000000000000000000000062 -:102E70000000000000000000000000000000000052 -:102E80000000000000000000000000000000000042 -:102E90000000000000000000000000000000000032 -:102EA0000000000000000000000000000000000022 -:102EB0000000000000000000010000000000000011 -:102EC0008000000000000000000000000000000082 -:102ED00000000000000000000000000000000000F2 -:102EE00000000000000000000000000000000000E2 -:102EF00000000000000000000000000000000000D2 -:102F00000000003000000000000000000000000091 -:102F100000000C0000000000004400000000000061 -:102F200000000000000000000000000000000000A1 -:102F30000000000000000000000000000000000091 -:102F40000000000000000000000000000000000081 -:102F50000000000000000000000000000000000071 -:102F60000000000000000000000000000000000061 -:102F70000000000000000000000000002000000031 -:102F80000000000000000000000000000000000041 -:102F90000000000000000000000000000000000031 -:102FA0000000000000000000000000000000000021 -:102FB0000000000000000000000000000000000011 -:102FC0000000000000000000000000000000000001 -:102FD00000000000000000000000000000000000F1 -:102FE00000000000000000000000000000000000E1 -:102FF00000000000000000000000000000000000D1 -:1030000000000000000000000000000000000000C0 -:1030100000000000000000000000000000000000B0 -:1030200000000000000000000000000000000000A0 -:103030000000000000000000000000000000000090 -:103040000000000000000000000000000000000080 -:103050000000000000000000000000000000000070 -:103060000000000000300000000000000000000030 -:103070000000000000000000000000000000000050 -:103080000000000000000000000000000000000040 -:103090000000000000000000000000000000000030 -:1030A0000000000000000000000000000000000020 -:1030B0000000000000000000000000000000000010 -:1030C0000000000000000000000000000000000000 -:1030D00000000000000000000000000000000000F0 -:1030E00000000000000000000000000000000000E0 -:1030F00000000000000000000000000000000000D0 -:1031000000000000000000000000000000000000BF -:1031100000000000000000000000000000000000AF -:10312000000000000000000000000000000000009F -:10313000000000000000000000000000000000008F -:10314000000000000000000000000000000000007F -:10315000000000000000000000000000000000006F -:10316000000000000000000000000000000000005F -:10317000000000000000000000200000000000002F -:10318000000000000000000000000000000000003F -:10319000000000000000000000000000000000002F -:1031A000000000001000000000000000000000000F -:1031B000000000000000000000000000000000000F -:1031C00000000000000000000000000000000000FF -:1031D00000000000000000000000000000000000EF -:1031E00000000000000000000000000000000000DF -:1031F00000000000000000000000000000000000CF -:1032000000000000010000000000000000000000BD -:1032100000000000000000000000000000000000AE -:10322000000000000000000000000000000000009E -:10323000000000000000000000000000000000008E -:10324000000000000000000000000000000000007E -:10325000000000000000000000000000000000006E -:10326000000000000000000000000000000000005E -:10327000000000000000000000000000000000004E -:10328000000000000000000000000000000000003E -:10329000000000000000000000000000000000002E -:1032A000000000000000000000000000000000001E -:1032B000000000000000000000000000000000000E -:1032C00000000000000000000000000000000000FE -:1032D00000000000000000000000000000000000EE -:1032E00000000000000000000000000000000000DE -:1032F00000000000000000000000000000000000CE -:1033000000000000000000000000000000000000BD -:1033100000000000000000000000000000000000AD -:10332000000000000000000000000000000000009D -:10333000000000000000000000000000000000008D -:10334000000000000000000000000000000000007D -:10335000000000000000000000000000000000006D -:10336000000000000000000000000000000000005D -:10337000000000000000000000000000000000004D -:10338000000000000000000000000000000000003D -:10339000000000000000000000000000000000002D -:1033A000000000000000000000000000000000001D -:1033B000000000000000000000000000000000000D -:1033C00000000000000000000000000000000000FD -:1033D00000000000000000000000000000000000ED -:1033E00000000000000000000000000000000000DD -:1033F00000000000000000000000000000000000CD -:1034000000000000000000000000000000000000BC -:1034100000000000000000000000000000000000AC -:10342000000000000000000000000000000000009C -:10343000000000000000000000000000000000008C -:10344000000000000000000000000000000000007C -:10345000000000000000000000000000000000006C -:10346000000000000000000000000000000000005C -:10347000000000000000000000000000000000004C -:10348000000000000000000000000000000000003C -:10349000000000000000000000000000000000002C -:1034A000000000000000000000000000000000001C -:1034B000000000000000000000000000000000000C -:1034C00000000000000000000000000000000000FC -:1034D00000000000000000000000000000000000EC -:1034E00000000000000000000000000000000000DC -:1034F00000000000000000000000000000000000CC -:1035000000000000000000000000000000000000BB -:1035100000000000000000000000000000000000AB -:10352000000000000000000000000000000000009B -:10353000000000000000000000000000000000008B -:10354000000000000000000000000000000000007B -:10355000000000000000000000000000000000006B -:10356000000000000000000000000000000000005B -:10357000000000000000000000000000000000004B -:10358000000000000000000000000000000000003B -:10359000000000000000000000000000000000002B -:1035A000000000000000000000000000000000001B -:1035B000000000000000000000000000000000000B -:1035C00000000000000000000000000000000000FB -:1035D00000000000000000000000000000000000EB -:1035E00000000000000000000000000000000000DB -:1035F00000000000000000000000000000000000CB -:1036000000000000000000000000000000000000BA -:1036100000000000000000000000000000000000AA -:10362000000000000000000000000000000000009A -:10363000000000000000000000000000000000008A -:10364000000000000000000000000000000000007A -:10365000000000000000000000000000000000006A -:10366000000000000000000000000000000000005A -:10367000000000000000000000000000000000004A -:10368000000000000000000000000000000000003A -:10369000000000000000000000000000000000002A -:1036A000000000000000000000000000000000001A -:1036B000000000000000000000000000000000000A -:1036C00000000000000000000000000000000000FA -:1036D00000000000000000000000000000000000EA -:1036E00000000000000000000000000000000000DA -:1036F00000000000000000000000000000000000CA -:1037000000000000000000000000000000000000B9 -:1037100000000000000000000000000000000000A9 -:103720000000000000000000000000000000000099 -:103730000000000000000000000000000000000089 -:103740000000000000000000000000000000000079 -:103750000000000000000000000000000000000069 -:103760000000000000000000000000000000000059 -:103770000000000000000000000000000000000049 -:103780000000000000000000000000000000000039 -:103790000000000000000000000000000000000029 -:1037A0000000000000000000000000000000000019 -:1037B0000000000000000000000000000000000009 -:1037C00000000000000000000000000000000000F9 -:1037D00000000000000000000000000000000000E9 -:1037E00000000000000000000000000000000000D9 -:1037F00000000000000000000000000000000000C9 -:1038000000000000000000000000000000000000B8 -:1038100000000000000000000000000000000000A8 -:103820000000000000000000000000000000000098 -:103830000000000000000000000000000000000088 -:103840000000000000000000000000000000000078 -:103850000000000000000000000000000000000068 -:103860000000000000000000000000000000000058 -:103870000000000000000000000000000000000048 -:103880000000000000000000000000000000000038 -:103890000000000000000000000000000000000028 -:1038A0000000000000000000000000000000000018 -:1038B0000000000000000000000000000000000008 -:1038C00000000000000000000000000000000000F8 -:1038D00000000000000000000000000000000000E8 -:1038E00000000000000000000000000000000000D8 -:1038F00000000000000000000000000000000000C8 -:1039000000000000000000000000000000000000B7 -:1039100000000000000000000000000000000000A7 -:103920000000000000000000000000000000000097 -:103930000000000000000000000000000000000087 -:103940000000000000000000000000000000000077 -:103950000000000000000000000000000000000067 -:103960000000000000000000000000000000000057 -:103970000000000000000000000000000000000047 -:103980000000000000000000000000000000000037 -:103990000000000000000000000000000000000027 -:1039A0000000000000000000000000000000000017 -:1039B0000000000000000000000000000000000007 -:1039C00000000000000000000000000000000000F7 -:1039D00000000000000000000000000000000000E7 -:1039E00000000000000000000000000000000000D7 -:1039F00000000000000000000000000000000000C7 -:103A000000000000000000000000000000000000B6 -:103A100000000000000000000000000000000000A6 -:103A20000000000000000000000000000000000096 -:103A30000000000000000000000000000000000086 -:103A40000000000000000000000000000000000076 -:103A50000000000000000000000000000000000066 -:103A60000000000000000000000000000000000056 -:103A70000000000000000000000000000000000046 -:103A80000000000000000000000000000000000036 -:103A90000000000000000000000000000000000026 -:103AA0000000000000000000000000000000000016 -:103AB0000000000000000000000000000000000006 -:103AC00000000000000000000000000000000000F6 -:103AD00000000000000000000000000000000000E6 -:103AE00000000000000000000000000000000000D6 -:103AF00000000000000000000000000000000000C6 -:103B000000000000000000000000000000000000B5 -:103B100000000000000000000000000000000000A5 -:103B20000000000000000000000000000000000095 -:103B30000000000000000000000000000000000085 -:103B40000000000000000000000000000000000075 -:103B50000000000000000000000000000000000065 -:103B60000000000000000000000000000000000055 -:103B70000000000000000000000000000000000045 -:103B80000000000000000000000000000000000035 -:103B90000000000000000000000000000000000025 -:103BA0000000000000000000000000000000000015 -:103BB0000000000000000000000000000000000005 -:103BC00000000000000000000000000000000000F5 -:103BD00000000000000000000000000000000000E5 -:103BE00000000000000000000000000000000000D5 -:103BF00000000000000000000000000000000000C5 -:103C000000000000000000000000000000000000B4 -:103C100000000000000000000000000000000000A4 -:103C20000000000000000000000000000000000094 -:103C30000000000000000000000000000000000084 -:103C40000000000000000000000000000000000074 -:103C50000000000000000000000000000000000064 -:103C60000000000000000000000000000000000054 -:103C70000000000000000000000000000000000044 -:103C80000000000000000000000000000000000034 -:103C90000000000000000000000000000000000024 -:103CA0000000000000000000000000000000000014 -:103CB0000000000000000000000000000000000004 -:103CC00000000000000000000000000000000000F4 -:103CD00000000000000000000000000000000000E4 -:103CE00000000000000000000000000000000000D4 -:103CF00000000000000000000000000000000000C4 -:103D000000000000000000000000000000000000B3 -:103D100000000000000000000000000000000000A3 -:103D20000000000000000000000000000000000093 -:103D30000000000000000000000000000000000083 -:103D40000000000000000000000000000000000073 -:103D50000000000000000000000000000000000063 -:103D60000000000000000000000000000000000053 -:103D70000000000000000000000000000000000043 -:103D80000000000000000000000000000000000033 -:103D90000000000000000000000000000000000023 -:103DA0000000000000000000000000000000000013 -:103DB0000000000000000000000000000000000003 -:103DC00000000000000000000000000000000000F3 -:103DD00000000000000000000000000000000000E3 -:103DE00000000000000000000000000000000000D3 -:103DF00000000000000000000000000000000000C3 -:103E000000000000000000000000000000000000B2 -:103E100000000000000000000000000000000000A2 -:103E20000000000000000000000000000000000092 -:103E30000000000000000000000000000000000082 -:103E40000000000000000000000000000000000072 -:103E50000000000000000000000000000000000062 -:103E60000000000000000000000000000000000052 -:103E70000000000000000000000000000000000042 -:103E80000000000000000000000000000000000032 -:103E90000000000000000000000000000000000022 -:103EA0000000000000000000000000000000000012 -:103EB0000000000000000000000000000000000002 -:103EC00000000000000000000000000000000000F2 -:103ED00000000000000000000000000000000000E2 -:103EE00000000000000000000000000000000000D2 -:103EF00000000000000000000000000000000000C2 -:103F000000000000000000000000000000000000B1 -:103F100000000000000000000000000000000000A1 -:103F20000000000000000000000000000000000091 -:103F30000000000000000000000000000000000081 -:103F40000000000000000000000000000000000071 -:103F50000000000000000000000000000000000061 -:103F60000000000000000000000000000000000051 -:103F70000000000000000000000000000000000041 -:103F80000000000000000000000000000000000031 -:103F90000000000000000000000000000000000021 -:103FA0000000000000000000000000000000000011 -:103FB0000000000000000000000000000000000001 -:103FC00000000000000000000000000000000000F1 -:103FD00000000000000000000000000000000000E1 -:103FE00000000000000000000000000000000000D1 -:103FF00000000000000000000000000000000000C1 -:1040000000000000000000000000000000000000B0 -:1040100000000000000000000000000000000000A0 -:104020000000000000000000000000000000000090 -:104030000000000000000000000000000000000080 -:104040000000000000000000000000000000000070 -:104050000000000000000000000000000000000060 -:104060000000000000000000000000000000000050 -:104070000000000000000000000000000000000040 -:104080000000000000000000000000000000000030 -:104090000000000000000000000000000000000020 -:1040A0000000000000000000000000000000000010 -:1040B0000000000000000000000000000000000000 -:1040C00000000000000000000000000000000000F0 -:1040D00000000000000000000000000000000000E0 -:1040E00000000000000000000000000000000000D0 -:1040F0000000000000000000000000004000000080 -:10410000000000000000100000000000002000007F -:10411000000000000000000000000000000000108F -:10412000000000000000000000000000000000008F -:10413000000000000000000000000000000000007F -:10414000000000000000000000000000000000006F -:10415000000000000000000000000000000000005F -:10416000000000000000000000000000000000004F -:10417000000000000000000000000000000000003F -:10418000000000000000000000000000000000002F -:10419000000000000000000000000000000000001F -:1041A000000000000000000000000000000000000F -:1041B00000000000000000000000000000000000FF -:1041C00000000000000000000000000000000000EF -:1041D00000000000000000000000000000000000DF -:1041E00000000000000000000000000000000000CF -:1041F00000000000000000000000000000000000BF -:1042000000000000000000000109000000000000A4 -:104210000000000000000000090000000000000095 -:1042200000000000000000000000000D0000000081 -:104230000800000A0000000000000000000000016B -:1042400000810000000100000000000000000000EC -:10425000000000000000000000000000000000005E -:10426000000000000000000000000000000000004E -:10427000000000000000000000000000000000003E -:10428000000000000000000000000000000000002E -:10429000000000000000000000000000000000001E -:1042A000000000000000000000000000000000000E -:1042B00000000000000000000000000000000000FE -:1042C00000000000000000000000000000000000EE -:1042D00000000000000000000000000000000000DE -:1042E00000000000000000000000000000000000CE -:1042F00000000000000000000000000000000000BE -:1043000000000000000000000000000000000000AD -:10431000000000000000000000000000000000009D -:10432000000000003000000000000000000000005D -:10433000000000000020000000000000000000005D -:10434000000000000100000000000000000000006C -:10435000000000000000000000000000000000005D -:10436000000000000000000000000000000000004D -:10437000000000000000000000000000000000003D -:10438000000000000000000000000000000000002D -:10439000000000000000000000000000000000001D -:1043A000000000000000000000000000000000000D -:1043B00000000000000000000000000000000000FD -:1043C00000000000000000000000000000000000ED -:1043D00000000000000000000000000000000000DD -:1043E00000000000000000000000000000000000CD -:1043F00000000000000000000000000000000000BD -:1044000000000000000000000000000000000000AC -:10441000000000000000000000000000000000009C -:10442000000000000000000000000000000000008C -:10443000000000000000000000000000000000007C -:10444000000000000000000000000000000000006C -:10445000000000000000000000000000000000005C -:10446000000000010000000000000000000000004B -:10447000000000000000000000000000000000003C -:10448000000000000000000000000000000000002C -:10449000000000000000000000000000000000001C -:1044A000000000000000000000000000000C000000 -:1044B00000000000000000000000000000000000FC -:1044C00000000000000000000000000000000000EC -:1044D00000000000000000000000000000000000DC -:1044E00000000000000000000000000000000000CC -:1044F00000000000000000000000000000000000BC -:1045000000000000000000000000000000000000AB -:10451000000000000000000000000000000000009B -:10452000000000000000000000000000000000008B -:10453000000000000000000000000000000000007B -:10454000000000000000000000000000000000006B -:10455000000000000000000000000000000000005B -:10456000000000000000000000000000000000004B -:10457000000000000000000000000000000000003B -:10458000000000000000000000000000000000002B -:10459000000000000000000000000000000000001B -:1045A000000000000000000000000000000000000B -:1045B00000000000000000000000000000000000FB -:1045C00000040000000000000000000000000000E7 -:1045D00000000000000000000000000000000000DB -:1045E00000000000000000000000000000000000CB -:1045F00000000000000000000000000000000000BB -:1046000000000000000000000000000000000000AA -:10461000000000000000000000000000000000009A -:10462000000000000000000000000000000000008A -:10463000000000000000000000000000000000007A -:10464000000000000000000000000000000000006A -:10465000000000000000000000100000000000004A -:10466000000000000000000000000000000000004A -:10467000000000000000000000000000000000003A -:10468000000000000000000000000000000000002A -:10469000000000000000000000000000000000001A -:1046A000000000000000000000000000000000000A -:1046B00000000000000000000000000000000000FA -:1046C00000000000000000000000000000000000EA -:1046D00000000000000000000000000000000000DA -:1046E00000000000000000000000000000000000CA -:1046F00000000000000000000000000000000000BA -:1047000000000000000000000000000000000000A9 -:104710000000000000000000000000000000000099 -:104720000000000000000000000000000000000089 -:104730000000000000000000000000000000000079 -:104740000000000000000000000000000000000069 -:104750000000000000000000000000000000000059 -:104760000000000000000000000000000000000049 -:104770000000000000000000000000000000000039 -:104780000000000000000000000000000000000029 -:104790000000000000000000000000000000000019 -:1047A0000000000000000000000000000000000009 -:1047B00000000000000000000000000000000000F9 -:1047C00000000000000000000000000000000000E9 -:1047D00000000000000000000000000000000000D9 -:1047E0000000000000000C4000B8DB310C850050D8 -:1047F0000C8500C004000400040004000C8500A027 -:104800000C8700810C8300810C400018E0040C85AB -:1048100000B00400040004000400040004000400CC -:104820000400040004000400040004000400040068 -:02483000040082 -:00000001FF Index: pong/pong_top.vf =================================================================== --- pong/pong_top.vf (revision 427) +++ pong/pong_top.vf (nonexistent) @@ -1,118 +0,0 @@ -//////////////////////////////////////////////////////////////////////////////// -// Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -//////////////////////////////////////////////////////////////////////////////// -// ____ ____ -// / /\/ / -// /___/ \ / Vendor: Xilinx -// \ \ \/ Version : 12.3 -// \ \ Application : sch2hdl -// / / Filename : pong_top.vf -// /___/ /\ Timestamp : 05/07/2014 20:14:34 -// \ \ / \ -// \___\/\___\ -// -//Command: sch2hdl -intstyle ise -family spartan3a -verilog /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.vf -w /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.sch -//Design Name: pong_top -//Device: spartan3a -//Purpose: -// This verilog netlist is translated from an ECS schematic.It can be -// synthesized and simulated, but it should not be modified. -// -`timescale 1ns / 1ps - -module pong_top(btn3, - clk_ic4, - ps2c, - ps2d, - an, - ld, - seg_a, - seg_b, - seg_c, - seg_d, - seg_dp, - seg_e, - seg_f, - seg_g, - vga_blue, - vga_green, - vga_hs, - vga_red, - vga_vs); - - (* LOC = "P83" *) - input btn3; - (* LOC = "P43" *) - input clk_ic4; - (* LOC = "P19" *) - input ps2c; - (* LOC = "P21" *) - input ps2d; - (* LOC = "P60,P61,P57,P59" *) - output [3:0] an; - (* LOC = "P27,P5,P3,P98,P93,P89,P86,P84" *) - output [7:0] ld; - (* LOC = "P65" *) - output seg_a; - (* LOC = "P64" *) - output seg_b; - (* LOC = "P72" *) - output seg_c; - (* LOC = "P70" *) - output seg_d; - (* LOC = "P71" *) - output seg_dp; - (* LOC = "P28" *) - output seg_e; - (* LOC = "P62" *) - output seg_f; - (* LOC = "P73" *) - output seg_g; - (* LOC = "P20" *) - output vga_blue; - (* LOC = "P16" *) - output vga_green; - (* LOC = "P15" *) - output vga_hs; - (* LOC = "P13" *) - output vga_red; - (* LOC = "P12" *) - output vga_vs; - - wire hsync; - wire [1:0] lf_dir; - wire [1:0] rt_dir; - wire serve; - wire vsync; - wire [1:0] XLXN_31; - - cntrl cntrl_inst (.CLK(clk_ic4), - .left_dir(lf_dir[1:0]), - .RESET(btn3), - .right_dir(rt_dir[1:0]), - .SERVE(serve), - .COLOR(XLXN_31[1:0]), - .HSYNCH(hsync), - .VSYNCH(vsync)); - read_ps2 read_ps2_inst (.Clk(clk_ic4), - .PS2_Clk(ps2c), - .PS2_Data(ps2d), - .Reset(btn3), - .left_dir(lf_dir[1:0]), - .ps2_code(ld[7:0]), - .right_dir(rt_dir[1:0]), - .serve(serve)); - game_title title_inst (.clk(clk_ic4), - .an(an[3:0]), - .seven_seg({seg_a, seg_b, seg_c, seg_d, seg_e, seg_f, - seg_g, seg_dp})); - vga_int vga_inst (.CLK(clk_ic4), - .COLOR(XLXN_31[1:0]), - .HSYNCH_IN(hsync), - .VSYNCH_IN(vsync), - .BLUE(vga_blue), - .GREEN(vga_green), - .HSYNCH_OUT(vga_hs), - .RED(vga_red), - .VSYNCH_OUT(vga_vs)); -endmodule Index: pong/testram.vhd =================================================================== --- pong/testram.vhd (revision 427) +++ pong/testram.vhd (nonexistent) @@ -1,142 +0,0 @@ ------------------------------------------------------------------- --- Copyright (c) 1995-2005 Xilinx, Inc. --- All Right Reserved. ------------------------------------------------------------------- --- ____ ____ --- / /\/ / --- /___/ \ / Vendor: Xilinx --- \ \ \/ Version : 8.1i --- \ \ Application : --- / / Filename : testram.vhd --- /___/ /\ Timestamp : 9/20/2005 11:04:05 --- \ \ / \ --- \___\/\___\ --- --- --- This Entity contains an array of data elements representing VGA display patterns - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity testram is Port ( - address: in std_logic_vector(6 downto 0); - data: out std_logic_vector(3 downto 0) - ); -end testram; - -architecture behavioral of testram is - -type mem_array is array (0 to 79) of std_logic_vector(3 downto 0); -constant characters: mem_array := ( - - -- 0 - "0000", - "1111", - "1001", - "1001", - "1001", - "1001", - "1001", - "1111", - - -- 1 - "0000", - "0001", - "0001", - "0001", - "0001", - "0001", - "0001", - "0001", - - -- 2 - "0000", - "1111", - "0001", - "0001", - "1111", - "1000", - "1000", - "1111", - - -- 3 - "0000", - "1111", - "0001", - "0001", - "1111", - "0001", - "0001", - "1111", - - -- 4 - "0000", - "1001", - "1001", - "1001", - "1111", - "0001", - "0001", - "0001", - - -- 5 - "0000", - "1111", - "1000", - "1000", - "1111", - "0001", - "0001", - "1111", - - -- 6 - "0000", - "1111", - "1000", - "1000", - "1111", - "1001", - "1001", - "1111", - - -- 7 - "0000", - "1111", - "0001", - "0001", - "0001", - "0001", - "0001", - "0001", - - -- 8 - "0000", - "1111", - "1001", - "1001", - "1111", - "1001", - "1001", - "1111", - - -- 9 - "0000", - "1111", - "1001", - "1001", - "1111", - "0001", - "0001", - "0001" - ); - -begin - -process (address ) -begin - data <= characters(conv_integer(address)); -end process; - -end behavioral; \ No newline at end of file
pong/testram.vhd Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/pong_top.twr =================================================================== --- pong/pong_top.twr (revision 427) +++ pong/pong_top.twr (nonexistent) @@ -1,97 +0,0 @@ --------------------------------------------------------------------------------- -Release 12.3 Trace (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/trce -intstyle ise -v 3 -s 4 -n 3 --fastpaths -xml pong_top.twx pong_top.ncd -o pong_top.twr pong_top.pcf - -Design file: pong_top.ncd -Physical constraint file: pong_top.pcf -Device,package,speed: xc3s200a,vq100,-4 (PRODUCTION 1.41 2010-09-15) -Report level: verbose report - -Environment Variable Effect --------------------- ------ -NONE No environment variables were set --------------------------------------------------------------------------------- - -INFO:Timing:2698 - No timing constraints found, doing default enumeration. -INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths - option. All paths that are not constrained will be reported in the - unconstrained paths section(s) of the report. -INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on - a 50 Ohm transmission line loading model. For the details of this model, - and for more information on accounting for different loading conditions, - please see the device datasheet. -INFO:Timing:3390 - This architecture does not support a default System Jitter - value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock - Uncertainty calculation. -INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and - 'Phase Error' calculations, these terms will be zero in the Clock - Uncertainty calculation. Please make appropriate modification to - SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase - Error. - - - -Data Sheet report: ------------------ -All values displayed in nanoseconds (ns) - -Setup/Hold to clock clk_ic4 -------------+------------+------------+------------------+--------+ - |Max Setup to|Max Hold to | | Clock | -Source | clk (edge) | clk (edge) |Internal Clock(s) | Phase | -------------+------------+------------+------------------+--------+ -btn3 | 4.817(R)| -0.312(R)|clk_ic4_BUFGP | 0.000| -ps2c | 2.072(R)| 0.422(R)|clk_ic4_BUFGP | 0.000| -------------+------------+------------+------------------+--------+ - -Clock clk_ic4 to Pad -------------+------------+------------------+--------+ - | clk (edge) | | Clock | -Destination | to PAD |Internal Clock(s) | Phase | -------------+------------+------------------+--------+ -an<0> | 7.153(R)|clk_ic4_BUFGP | 0.000| -an<1> | 7.532(R)|clk_ic4_BUFGP | 0.000| -an<2> | 7.155(R)|clk_ic4_BUFGP | 0.000| -an<3> | 7.040(R)|clk_ic4_BUFGP | 0.000| -ld<0> | 11.236(R)|clk_ic4_BUFGP | 0.000| -ld<1> | 8.948(R)|clk_ic4_BUFGP | 0.000| -ld<2> | 10.018(R)|clk_ic4_BUFGP | 0.000| -ld<3> | 8.639(R)|clk_ic4_BUFGP | 0.000| -ld<4> | 9.925(R)|clk_ic4_BUFGP | 0.000| -ld<5> | 9.190(R)|clk_ic4_BUFGP | 0.000| -ld<6> | 9.327(R)|clk_ic4_BUFGP | 0.000| -ld<7> | 8.654(R)|clk_ic4_BUFGP | 0.000| -seg_c | 7.563(R)|clk_ic4_BUFGP | 0.000| -seg_d | 7.039(R)|clk_ic4_BUFGP | 0.000| -seg_e | 9.675(R)|clk_ic4_BUFGP | 0.000| -seg_g | 8.761(R)|clk_ic4_BUFGP | 0.000| -vga_blue | 7.019(R)|clk_ic4_BUFGP | 0.000| -vga_green | 7.659(R)|clk_ic4_BUFGP | 0.000| -vga_hs | 8.630(R)|clk_ic4_BUFGP | 0.000| -vga_red | 7.046(R)|clk_ic4_BUFGP | 0.000| -vga_vs | 8.001(R)|clk_ic4_BUFGP | 0.000| -------------+------------+------------------+--------+ - -Clock to Setup on destination clock clk_ic4 ----------------+---------+---------+---------+---------+ - | Src:Rise| Src:Fall| Src:Rise| Src:Fall| -Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------+---------+---------+---------+---------+ -clk_ic4 | 6.652| | | | ----------------+---------+---------+---------+---------+ - - -Analysis completed Wed May 7 20:15:17 2014 --------------------------------------------------------------------------------- - -Trace Settings: -------------------------- -Trace Settings - -Peak Memory Usage: 102 MB - - - Index: pong/pong_top_bitgen.xwbt =================================================================== --- pong/pong_top_bitgen.xwbt (revision 427) +++ pong/pong_top_bitgen.xwbt (nonexistent) @@ -1,8 +0,0 @@ -INTSTYLE=ise -INFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.ncd -OUTFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.bit -FAMILY=Spartan3A and Spartan3AN -PART=xc3s200a-4vq100 -WORKINGDIR=/home/lguanuco/opencores/phr/trunk/codigo/demos/pong -LICENSE=WebPack -USER_INFO=0_0_320 Index: pong/pong_top_summary.xml =================================================================== --- pong/pong_top_summary.xml (revision 427) +++ pong/pong_top_summary.xml (nonexistent) @@ -1,10 +0,0 @@ - - - - - - Index: pong/pong_top.syr =================================================================== --- pong/pong_top.syr (revision 427) +++ pong/pong_top.syr (nonexistent) @@ -1,973 +0,0 @@ -Release 12.3 - xst M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. ---> -Parameter TMPDIR set to xst/projnav.tmp - - -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.03 secs - ---> -Parameter xsthdpdir set to xst - - -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.03 secs - ---> -Reading design: pong_top.prj - -TABLE OF CONTENTS - 1) Synthesis Options Summary - 2) HDL Compilation - 3) Design Hierarchy Analysis - 4) HDL Analysis - 5) HDL Synthesis - 5.1) HDL Synthesis Report - 6) Advanced HDL Synthesis - 6.1) Advanced HDL Synthesis Report - 7) Low Level Synthesis - 8) Partition Report - 9) Final Report - 9.1) Device utilization summary - 9.2) Partition Resource Summary - 9.3) TIMING REPORT - - -========================================================================= -* Synthesis Options Summary * -========================================================================= ----- Source Parameters -Input File Name : "pong_top.prj" -Input Format : mixed -Ignore Synthesis Constraint File : NO - ----- Target Parameters -Output File Name : "pong_top" -Output Format : NGC -Target Device : xc3s200a-4-vq100 - ----- Source Options -Top Module Name : pong_top -Automatic FSM Extraction : YES -FSM Encoding Algorithm : Auto -Safe Implementation : No -FSM Style : LUT -RAM Extraction : Yes -RAM Style : Auto -ROM Extraction : Yes -Mux Style : Auto -Decoder Extraction : YES -Priority Encoder Extraction : Yes -Shift Register Extraction : YES -Logical Shifter Extraction : YES -XOR Collapsing : YES -ROM Style : Auto -Mux Extraction : Yes -Resource Sharing : YES -Asynchronous To Synchronous : NO -Multiplier Style : Auto -Automatic Register Balancing : No - ----- Target Options -Add IO Buffers : YES -Global Maximum Fanout : 500 -Add Generic Clock Buffer(BUFG) : 24 -Register Duplication : YES -Slice Packing : YES -Optimize Instantiated Primitives : NO -Use Clock Enable : Yes -Use Synchronous Set : Yes -Use Synchronous Reset : Yes -Pack IO Registers into IOBs : Auto -Equivalent register Removal : YES - ----- General Options -Optimization Goal : Speed -Optimization Effort : 1 -Keep Hierarchy : No -Netlist Hierarchy : As_Optimized -RTL Output : Yes -Global Optimization : AllClockNets -Read Cores : YES -Write Timing Constraints : NO -Cross Clock Analysis : NO -Hierarchy Separator : / -Bus Delimiter : <> -Case Specifier : Maintain -Slice Utilization Ratio : 100 -BRAM Utilization Ratio : 100 -Verilog 2001 : YES -Auto BRAM Packing : NO -Slice Utilization Ratio Delta : 5 - -========================================================================= - - -========================================================================= -* HDL Compilation * -========================================================================= -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vgacore_multi.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vga_interface.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling verilog file "ps2_cntrl.v" in library work -Compiling verilog file "read_ps2.v" in library work -Module compiled -Compiling verilog file "game_title.v" in library work -Module compiled -Compiling verilog file "pong_top.vf" in library work -Module compiled -Module compiled -No errors in compilation -Analysis of file <"pong_top.prj"> succeeded. - - -========================================================================= -* Design Hierarchy Analysis * -========================================================================= -Analyzing hierarchy for module in library . - -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for module in library . - -Analyzing hierarchy for module in library . - -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for module in library with parameters. - idle = "00" - shifting = "11" - - -========================================================================= -* HDL Analysis * -========================================================================= -Analyzing top module . -Module is correct for synthesis. - - Set user-defined property "LOC = P83" for signal in unit . - Set user-defined property "LOC = P43" for signal in unit . - Set user-defined property "LOC = P19" for signal in unit . - Set user-defined property "LOC = P21" for signal in unit . - Set user-defined property "LOC = P60 P61 P57 P59" for signal in unit . - Set user-defined property "LOC = P27 P5 P3 P98 P93 P89 P86 P84" for signal in unit . - Set user-defined property "LOC = P65" for signal in unit . - Set user-defined property "LOC = P64" for signal in unit . - Set user-defined property "LOC = P72" for signal in unit . - Set user-defined property "LOC = P70" for signal in unit . - Set user-defined property "LOC = P71" for signal in unit . - Set user-defined property "LOC = P28" for signal in unit . - Set user-defined property "LOC = P62" for signal in unit . - Set user-defined property "LOC = P73" for signal in unit . - Set user-defined property "LOC = P20" for signal in unit . - Set user-defined property "LOC = P16" for signal in unit . - Set user-defined property "LOC = P15" for signal in unit . - Set user-defined property "LOC = P13" for signal in unit . - Set user-defined property "LOC = P12" for signal in unit . -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - -Analyzing Entity in library (Architecture ). -WARNING:Xst:790 - "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd" line 139: Index value(s) does not match array range, simulation mismatch. -Entity analyzed. Unit generated. - -Analyzing module in library . -Module is correct for synthesis. - -Analyzing module in library . - idle = 2'b00 - shifting = 2'b11 -Module is correct for synthesis. - -Analyzing module in library . -Module is correct for synthesis. - -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - - -========================================================================= -* HDL Synthesis * -========================================================================= - -Performing bidirectional port resolution... - -Synthesizing Unit . - Related source file is "game_title.v". - Found 4x12-bit ROM for signal . - Found 4-bit register for signal . - Found 8-bit register for signal . - Found 10-bit up counter for signal . - Summary: - inferred 1 ROM(s). - inferred 1 Counter(s). - inferred 12 D-type flip-flop(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vga_interface.vhd". - Found 4x3-bit ROM for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Summary: - inferred 1 ROM(s). - inferred 7 D-type flip-flop(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vgacore_multi.vhd". -WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. -WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. - Found finite state machine for signal . - ----------------------------------------------------------------------- - | States | 7 | - | Transitions | 13 | - | Inputs | 6 | - | Outputs | 7 | - | Clock | CLK (rising_edge) | - | Reset | RESET (positive) | - | Reset type | asynchronous | - | Reset State | 000 | - | Power Up State | 000 | - | Encoding | automatic | - | Implementation | LUT | - ----------------------------------------------------------------------- - Found finite state machine for signal . - ----------------------------------------------------------------------- - | States | 7 | - | Transitions | 13 | - | Inputs | 5 | - | Outputs | 6 | - | Clock | VCLK (rising_edge) | - | Reset | RESET (positive) | - | Reset type | asynchronous | - | Reset State | 000 | - | Power Up State | 000 | - | Encoding | automatic | - | Implementation | LUT | - ----------------------------------------------------------------------- - Found 6-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 7-bit register for signal . - Found 1-bit register for signal . - Found 10-bit up counter for signal . - Found 9-bit register for signal . - Found 10-bit register for signal . - Found 1-bit register for signal . - Found 10-bit up counter for signal . - Found 1-bit register for signal . - Summary: - inferred 2 Finite State Machine(s). - inferred 2 Counter(s). - inferred 38 D-type flip-flop(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd". - Found 80x4-bit ROM for signal created at line 139. - Summary: - inferred 1 ROM(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "ps2_cntrl.v". - Found finite state machine for signal . - ----------------------------------------------------------------------- - | States | 2 | - | Transitions | 4 | - | Inputs | 2 | - | Outputs | 2 | - | Clock | filter_clk (rising_edge) | - | Reset | Reset (positive) | - | Reset type | asynchronous | - | Reset State | 00 | - | Encoding | automatic | - | Implementation | LUT | - ----------------------------------------------------------------------- - Found 1-bit register for signal . - Found 8-bit register for signal . - Found 1-bit register for signal . - Found 4-bit register for signal . - Found 4-bit adder for signal created at line 128. - Found 2-bit register for signal . - Found 2-bit adder for signal created at line 96. - Found 2-bit comparator greatequal for signal created at line 97. - Found 3-bit up counter for signal . - Found 3-bit up counter for signal . - Found 1-bit register for signal . - Found 9-bit register for signal . - Found 4-bit comparator greatequal for signal created at line 135. - Summary: - inferred 1 Finite State Machine(s). - inferred 2 Counter(s). - inferred 26 D-type flip-flop(s). - inferred 2 Adder/Subtractor(s). - inferred 2 Comparator(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd". - Register equivalent to has been removed - Found 1-bit register for signal . - Found 2-bit register for signal . - Found 6-bit adder for signal <$add0000> created at line 321. - Found 6-bit adder for signal <$add0001> created at line 337. - Found 6-bit adder for signal <$add0002> created at line 342. - Found 6-bit adder for signal <$add0003> created at line 389. - Found 6-bit adder for signal <$add0004> created at line 404. - Found 6-bit adder for signal <$add0005> created at line 404. - Found 6-bit subtractor for signal <$sub0000> created at line 321. - Found 7-bit updown counter for signal . - Found 1-bit register for signal . - Found 7-bit comparator greatequal for signal created at line 337. - Found 7-bit comparator greatequal for signal created at line 321. - Found 7-bit comparator greatequal for signal created at line 389. - Found 7-bit comparator greatequal for signal created at line 404. - Found 7-bit comparator greatequal for signal created at line 424. - Found 7-bit comparator greater for signal created at line 342. - Found 7-bit comparator lessequal for signal created at line 404. - Found 7-bit comparator less for signal created at line 342. - Found 7-bit comparator less for signal created at line 337. - Found 7-bit comparator less for signal created at line 321. - Found 7-bit comparator less for signal created at line 389. - Found 7-bit comparator less for signal created at line 424. - Found 6-bit register for signal . - Found 6-bit comparator greatequal for signal created at line 455. - Found 6-bit comparator lessequal for signal created at line 449. - Found 6-bit addsub for signal . - Found 1-bit register for signal . - Found 6-bit comparator greater for signal created at line 449. - Found 6-bit comparator less for signal created at line 455. - Found 2-bit register for signal . - Found 2-bit subtractor for signal created at line 326. - Found 2-bit adder for signal created at line 335. - Found 2-bit comparator greater for signal created at line 325. - Found 7-bit comparator greater for signal created at line 404. - Found 2-bit comparator less for signal created at line 334. - Found 7-bit comparator less for signal created at line 389. - Found 3-bit up counter for signal . - Found 3-bit comparator greatequal for signal created at line 304. - Found 1-bit register for signal . - Found 7-bit comparator greatequal for signal created at line 321. - Found 7-bit comparator lessequal for signal created at line 342. - Found 6-bit register for signal . - Found 4-bit up counter for signal . - Found 3-bit comparator less for signal created at line 304. - Found 7-bit comparator equal for signal created at line 175. - Found 6-bit comparator equal for signal created at line 176. - Found 7-bit comparator greatequal for signal created at line 194. - Found 6-bit comparator greatequal for signal created at line 169. - Found 6-bit comparator greatequal for signal created at line 162. - Found 8-bit comparator greatequal for signal created at line 219. - Found 7-bit comparator lessequal for signal created at line 194. - Found 6-bit comparator lessequal for signal created at line 194. - Found 6-bit comparator lessequal for signal created at line 169. - Found 6-bit comparator lessequal for signal created at line 162. - Found 8-bit comparator lessequal for signal created at line 219. - Found 6-bit register for signal . - Found 6-bit addsub for signal . - Found 7-bit comparator greater for signal created at line 272. - Found 7-bit comparator less for signal created at line 270. - Found 6-bit register for signal . - Found 6-bit addsub for signal . - Found 4-bit register for signal >. - Found 6-bit register for signal . - Found 7-bit comparator greater for signal created at line 282. - Found 7-bit comparator less for signal created at line 280. - Found 4-bit up counter for signal . - Found 1-bit register for signal . - Summary: - inferred 4 Counter(s). - inferred 11 D-type flip-flop(s). - inferred 12 Adder/Subtractor(s). - inferred 39 Comparator(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "read_ps2.v". - Found 2-bit register for signal . - Found 2-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 1-bit register for signal . - Summary: - inferred 8 D-type flip-flop(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "pong_top.vf". -Unit synthesized. - -INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. - -========================================================================= -HDL Synthesis Report - -Macro Statistics -# ROMs : 3 - 4x12-bit ROM : 1 - 4x3-bit ROM : 1 - 80x4-bit ROM : 1 -# Adders/Subtractors : 14 - 2-bit adder : 2 - 2-bit subtractor : 1 - 4-bit adder : 1 - 6-bit adder : 6 - 6-bit addsub : 3 - 6-bit subtractor : 1 -# Counters : 9 - 10-bit up counter : 3 - 3-bit up counter : 3 - 4-bit up counter : 2 - 7-bit updown counter : 1 -# Registers : 48 - 1-bit register : 29 - 10-bit register : 1 - 2-bit register : 5 - 4-bit register : 2 - 6-bit register : 6 - 7-bit register : 1 - 8-bit register : 2 - 9-bit register : 2 -# Comparators : 41 - 2-bit comparator greatequal : 1 - 2-bit comparator greater : 1 - 2-bit comparator less : 1 - 3-bit comparator greatequal : 1 - 3-bit comparator less : 1 - 4-bit comparator greatequal : 1 - 6-bit comparator equal : 1 - 6-bit comparator greatequal : 3 - 6-bit comparator greater : 1 - 6-bit comparator less : 1 - 6-bit comparator lessequal : 4 - 7-bit comparator equal : 1 - 7-bit comparator greatequal : 7 - 7-bit comparator greater : 4 - 7-bit comparator less : 8 - 7-bit comparator lessequal : 3 - 8-bit comparator greatequal : 1 - 8-bit comparator lessequal : 1 - -========================================================================= - -========================================================================= -* Advanced HDL Synthesis * -========================================================================= - -Analyzing FSM for best encoding. -Optimizing FSM on signal with sequential encoding. -------------------- - State | Encoding -------------------- - 00 | 0 - 11 | 1 -------------------- -Analyzing FSM for best encoding. -Optimizing FSM on signal with one-hot encoding. -------------------- - State | Encoding -------------------- - 000 | 0000001 - 001 | 0001000 - 010 | 0000100 - 011 | 0010000 - 100 | 0000010 - 101 | 0100000 - 110 | 1000000 -------------------- -Analyzing FSM for best encoding. -Optimizing FSM on signal with one-hot encoding. -------------------- - State | Encoding -------------------- - 000 | 0000001 - 001 | 0010000 - 010 | 0100000 - 011 | 1000000 - 100 | 0000010 - 101 | 0000100 - 110 | 0001000 -------------------- -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . -WARNING:Xst:2677 - Node of sequential type is unconnected in block . - -========================================================================= -Advanced HDL Synthesis Report - -Macro Statistics -# FSMs : 3 -# ROMs : 3 - 4x12-bit ROM : 1 - 4x3-bit ROM : 1 - 80x4-bit ROM : 1 -# Adders/Subtractors : 14 - 2-bit adder : 2 - 2-bit subtractor : 1 - 4-bit adder : 1 - 6-bit adder : 6 - 6-bit addsub : 3 - 6-bit subtractor : 1 -# Counters : 9 - 10-bit up counter : 3 - 3-bit up counter : 3 - 4-bit up counter : 2 - 7-bit updown counter : 1 -# Registers : 128 - Flip-Flops : 128 -# Comparators : 41 - 2-bit comparator greatequal : 1 - 2-bit comparator greater : 1 - 2-bit comparator less : 1 - 3-bit comparator greatequal : 1 - 3-bit comparator less : 1 - 4-bit comparator greatequal : 1 - 6-bit comparator equal : 1 - 6-bit comparator greatequal : 3 - 6-bit comparator greater : 1 - 6-bit comparator less : 1 - 6-bit comparator lessequal : 4 - 7-bit comparator equal : 1 - 7-bit comparator greatequal : 7 - 7-bit comparator greater : 4 - 7-bit comparator less : 8 - 7-bit comparator lessequal : 3 - 8-bit comparator greatequal : 1 - 8-bit comparator lessequal : 1 - -========================================================================= - -========================================================================= -* Low Level Synthesis * -========================================================================= -WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. - -Optimizing unit ... - -Optimizing unit ... - -Optimizing unit ... - -Optimizing unit ... - -Optimizing unit ... - -Optimizing unit ... - -Optimizing unit ... - -Mapping all equations... -Building and optimizing final netlist ... -Found area constraint ratio of 100 (+ 5) on block pong_top, actual ratio is 15. - -Final Macro Processing ... - -Processing Unit : - Found 3-bit shift register for signal . - Found 2-bit shift register for signal . -Unit processed. - -========================================================================= -Final Register Report - -Macro Statistics -# Registers : 188 - Flip-Flops : 188 -# Shift Registers : 2 - 2-bit shift register : 1 - 3-bit shift register : 1 - -========================================================================= - -========================================================================= -* Partition Report * -========================================================================= - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -========================================================================= -* Final Report * -========================================================================= -Final Results -RTL Top Level Output File Name : pong_top.ngr -Top Level Output File Name : pong_top -Output Format : NGC -Optimization Goal : Speed -Keep Hierarchy : No - -Design Statistics -# IOs : 29 - -Cell Usage : -# BELS : 621 -# GND : 1 -# INV : 13 -# LUT1 : 27 -# LUT2 : 106 -# LUT2_D : 1 -# LUT2_L : 4 -# LUT3 : 83 -# LUT3_D : 7 -# LUT3_L : 9 -# LUT4 : 188 -# LUT4_D : 13 -# LUT4_L : 21 -# MUXCY : 99 -# MUXF5 : 18 -# VCC : 1 -# XORCY : 30 -# FlipFlops/Latches : 190 -# FD : 23 -# FDC : 65 -# FDCE : 46 -# FDE : 29 -# FDP : 11 -# FDPE : 9 -# FDR : 3 -# FDRS : 1 -# FDS : 3 -# Shift Registers : 2 -# SRL16 : 2 -# Clock Buffers : 3 -# BUFG : 2 -# BUFGP : 1 -# IO Buffers : 28 -# IBUF : 3 -# OBUF : 25 -========================================================================= - -Device utilization summary: ---------------------------- - -Selected Device : 3s200avq100-4 - - Number of Slices: 246 out of 1792 13% - Number of Slice Flip Flops: 190 out of 3584 5% - Number of 4 input LUTs: 474 out of 3584 13% - Number used as logic: 472 - Number used as Shift registers: 2 - Number of IOs: 29 - Number of bonded IOBs: 29 out of 68 42% - Number of GCLKs: 3 out of 24 12% - ---------------------------- -Partition Resource Summary: ---------------------------- - - No Partitions were found in this design. - ---------------------------- - - -========================================================================= -TIMING REPORT - -NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. - FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT - GENERATED AFTER PLACE-and-ROUTE. - -Clock Information: ------------------- ------------------------------------+-------------------------------------+-------+ -Clock Signal | Clock buffer(FF name) | Load | ------------------------------------+-------------------------------------+-------+ -clk_ic4 | BUFGP | 93 | -cntrl_inst/VGA1/VCLK1 | BUFG | 31 | -cntrl_inst/VCLK1 | BUFG | 53 | -read_ps2_inst/ps2_ctrl/filter_clk | NONE(read_ps2_inst/ps2_ctrl/s_reg_0)| 15 | ------------------------------------+-------------------------------------+-------+ -INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. - -Asynchronous Control Signals Information: ----------------------------------------- ---------------------------------------------------------------------------------+---------------------------------+-------+ -Control Signal | Buffer(FF name) | Load | ---------------------------------------------------------------------------------+---------------------------------+-------+ -btn3 | IBUF | 111 | -cntrl_inst/VGA1/COUNTER_RESET(cntrl_inst/VGA1/COUNTER_RESET:Q) | NONE(cntrl_inst/VGA1/HCOUNTER_0)| 10 | -cntrl_inst/VGA1/VERTICAL_COUNTER_RESET(cntrl_inst/VGA1/VERTICAL_COUNTER_RESET:Q)| NONE(cntrl_inst/VGA1/VCOUNTER_0)| 10 | ---------------------------------------------------------------------------------+---------------------------------+-------+ - -Timing Summary: ---------------- -Speed Grade: -4 - - Minimum period: 9.314ns (Maximum Frequency: 107.365MHz) - Minimum input arrival time before clock: 4.898ns - Maximum output required time after clock: 6.162ns - Maximum combinational path delay: No path found - -Timing Detail: --------------- -All values displayed in nanoseconds (ns) - -========================================================================= -Timing constraint: Default period analysis for Clock 'clk_ic4' - Clock period: 7.686ns (frequency: 130.107MHz) - Total number of paths / destination ports: 804 / 114 -------------------------------------------------------------------------- -Delay: 7.686ns (Levels of Logic = 6) - Source: cntrl_inst/number_address_3 (FF) - Destination: cntrl_inst/COLOR_0 (FF) - Source Clock: clk_ic4 rising - Destination Clock: clk_ic4 rising - - Data Path: cntrl_inst/number_address_3 to cntrl_inst/COLOR_0 - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FD:C->Q 12 0.591 0.964 cntrl_inst/number_address_3 (cntrl_inst/number_address_3) - LUT4:I3->O 1 0.648 0.452 cntrl_inst/CGEN1/Mrom_data_rom0000141 (cntrl_inst/CGEN1/Mrom_data_rom000014) - LUT3:I2->O 2 0.648 0.479 cntrl_inst/CGEN1/Mrom_data_rom0000161_6 (cntrl_inst/CGEN1/Mrom_data_rom0000101_6) - LUT3_L:I2->LO 1 0.648 0.103 cntrl_inst/CGEN1/Mrom_data_rom0000161_5_f51 (cntrl_inst/CGEN1/Mrom_data_rom0000161_5_f5) - LUT4:I3->O 3 0.648 0.534 cntrl_inst/next_COLOR<0>1122 (cntrl_inst/next_COLOR<0>1122) - LUT4:I3->O 1 0.648 0.423 cntrl_inst/next_COLOR<0>_SW1 (N55) - LUT4:I3->O 1 0.648 0.000 cntrl_inst/next_COLOR<0> (cntrl_inst/next_COLOR<0>) - FDR:D 0.252 cntrl_inst/COLOR_0 - ---------------------------------------- - Total 7.686ns (4.731ns logic, 2.955ns route) - (61.6% logic, 38.4% route) - -========================================================================= -Timing constraint: Default period analysis for Clock 'cntrl_inst/VGA1/VCLK1' - Clock period: 7.169ns (frequency: 139.489MHz) - Total number of paths / destination ports: 262 / 37 -------------------------------------------------------------------------- -Delay: 7.169ns (Levels of Logic = 6) - Source: cntrl_inst/VGA1/VCOUNTER_5 (FF) - Destination: cntrl_inst/VGA1/VERTICAL_COUNTER_RESET (FF) - Source Clock: cntrl_inst/VGA1/VCLK1 rising - Destination Clock: cntrl_inst/VGA1/VCLK1 rising - - Data Path: cntrl_inst/VGA1/VCOUNTER_5 to cntrl_inst/VGA1/VERTICAL_COUNTER_RESET - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDC:C->Q 4 0.591 0.730 cntrl_inst/VGA1/VCOUNTER_5 (cntrl_inst/VGA1/VCOUNTER_5) - LUT3:I0->O 1 0.648 0.423 cntrl_inst/VGA1/Vertical_State_cmp_eq00001_SW0 (N45) - LUT4_D:I3->LO 1 0.648 0.243 cntrl_inst/VGA1/Vertical_State_cmp_eq00001 (N194) - LUT3:I0->O 7 0.648 0.851 cntrl_inst/VGA1/Vertical_State_cmp_eq000021 (cntrl_inst/VGA1/N4) - LUT4:I0->O 1 0.648 0.000 cntrl_inst/VGA1/VERTICAL_COUNTER_RESET_mux000135_F (N182) - MUXF5:I0->O 1 0.276 0.563 cntrl_inst/VGA1/VERTICAL_COUNTER_RESET_mux000135 (cntrl_inst/VGA1/VERTICAL_COUNTER_RESET_mux000135) - LUT4:I0->O 1 0.648 0.000 cntrl_inst/VGA1/VERTICAL_COUNTER_RESET_mux000193 (cntrl_inst/VGA1/VERTICAL_COUNTER_RESET_mux0001) - FDP:D 0.252 cntrl_inst/VGA1/VERTICAL_COUNTER_RESET - ---------------------------------------- - Total 7.169ns (4.359ns logic, 2.810ns route) - (60.8% logic, 39.2% route) - -========================================================================= -Timing constraint: Default period analysis for Clock 'cntrl_inst/VCLK1' - Clock period: 9.314ns (frequency: 107.365MHz) - Total number of paths / destination ports: 3257 / 91 -------------------------------------------------------------------------- -Delay: 9.314ns (Levels of Logic = 7) - Source: cntrl_inst/left_y_3 (FF) - Destination: cntrl_inst/ball_ydir (FF) - Source Clock: cntrl_inst/VCLK1 rising - Destination Clock: cntrl_inst/VCLK1 rising - - Data Path: cntrl_inst/left_y_3 to cntrl_inst/ball_ydir - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDP:C->Q 17 0.591 1.054 cntrl_inst/left_y_3 (cntrl_inst/left_y_3) - LUT4:I3->O 1 0.648 0.500 cntrl_inst/Madd__add0003_xor<5>11 (cntrl_inst/_add0003<5>) - LUT2:I1->O 1 0.643 0.000 cntrl_inst/Mcompar_ball_xdir_cmp_lt0003_lut<5> (cntrl_inst/Mcompar_ball_xdir_cmp_lt0003_lut<5>) - MUXCY:S->O 7 0.836 0.711 cntrl_inst/Mcompar_ball_xdir_cmp_lt0003_cy<5> (cntrl_inst/Mcompar_ball_xdir_cmp_lt0003_cy<5>) - LUT4:I3->O 1 0.648 0.452 cntrl_inst/ball_ydir_and000032_SW1 (N109) - LUT4_D:I2->LO 1 0.648 0.132 cntrl_inst/ball_ydir_and000032 (N203) - LUT3:I2->O 1 0.648 0.423 cntrl_inst/ball_ydir_and000088_SW0 (N77) - LUT4:I3->O 1 0.648 0.420 cntrl_inst/ball_ydir_and0000119 (cntrl_inst/ball_ydir_and0000) - FDE:CE 0.312 cntrl_inst/ball_ydir - ---------------------------------------- - Total 9.314ns (5.622ns logic, 3.692ns route) - (60.4% logic, 39.6% route) - -========================================================================= -Timing constraint: Default period analysis for Clock 'read_ps2_inst/ps2_ctrl/filter_clk' - Clock period: 3.403ns (frequency: 293.858MHz) - Total number of paths / destination ports: 41 / 15 -------------------------------------------------------------------------- -Delay: 3.403ns (Levels of Logic = 2) - Source: read_ps2_inst/ps2_ctrl/bit_count_2 (FF) - Destination: read_ps2_inst/ps2_ctrl/trigger (FF) - Source Clock: read_ps2_inst/ps2_ctrl/filter_clk rising - Destination Clock: read_ps2_inst/ps2_ctrl/filter_clk rising - - Data Path: read_ps2_inst/ps2_ctrl/bit_count_2 to read_ps2_inst/ps2_ctrl/trigger - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDC:C->Q 3 0.591 0.674 read_ps2_inst/ps2_ctrl/bit_count_2 (read_ps2_inst/ps2_ctrl/bit_count_2) - LUT3:I0->O 2 0.648 0.590 read_ps2_inst/ps2_ctrl/trigger_mux000011 (read_ps2_inst/ps2_ctrl/N13) - LUT3:I0->O 1 0.648 0.000 read_ps2_inst/ps2_ctrl/trigger_mux00001 (read_ps2_inst/ps2_ctrl/trigger_mux0000) - FDE:D 0.252 read_ps2_inst/ps2_ctrl/trigger - ---------------------------------------- - Total 3.403ns (2.139ns logic, 1.264ns route) - (62.9% logic, 37.1% route) - -========================================================================= -Timing constraint: Default OFFSET IN BEFORE for Clock 'clk_ic4' - Total number of paths / destination ports: 22 / 22 -------------------------------------------------------------------------- -Offset: 4.097ns (Levels of Logic = 2) - Source: btn3 (PAD) - Destination: cntrl_inst/VGA1/PIXEL_0 (FF) - Destination Clock: clk_ic4 rising - - Data Path: btn3 to cntrl_inst/VGA1/PIXEL_0 - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - IBUF:I->O 115 0.849 1.288 btn3_IBUF (btn3_IBUF) - INV:I->O 14 0.648 1.000 read_ps2_inst/ps2_ctrl/Reset_inv1_INV_0 (cntrl_inst/VGA1/RESET_inv) - FDE:CE 0.312 cntrl_inst/VGA1/PIXEL_0 - ---------------------------------------- - Total 4.097ns (1.809ns logic, 2.288ns route) - (44.1% logic, 55.9% route) - -========================================================================= -Timing constraint: Default OFFSET IN BEFORE for Clock 'cntrl_inst/VGA1/VCLK1' - Total number of paths / destination ports: 12 / 12 -------------------------------------------------------------------------- -Offset: 4.097ns (Levels of Logic = 2) - Source: btn3 (PAD) - Destination: cntrl_inst/VGA1/LINE_0 (FF) - Destination Clock: cntrl_inst/VGA1/VCLK1 rising - - Data Path: btn3 to cntrl_inst/VGA1/LINE_0 - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - IBUF:I->O 115 0.849 1.288 btn3_IBUF (btn3_IBUF) - INV:I->O 14 0.648 1.000 read_ps2_inst/ps2_ctrl/Reset_inv1_INV_0 (cntrl_inst/VGA1/RESET_inv) - FDE:CE 0.312 cntrl_inst/VGA1/LINE_0 - ---------------------------------------- - Total 4.097ns (1.809ns logic, 2.288ns route) - (44.1% logic, 55.9% route) - -========================================================================= -Timing constraint: Default OFFSET IN BEFORE for Clock 'cntrl_inst/VCLK1' - Total number of paths / destination ports: 2 / 2 -------------------------------------------------------------------------- -Offset: 4.898ns (Levels of Logic = 3) - Source: btn3 (PAD) - Destination: cntrl_inst/ball_ydir (FF) - Destination Clock: cntrl_inst/VCLK1 rising - - Data Path: btn3 to cntrl_inst/ball_ydir - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - IBUF:I->O 115 0.849 1.431 btn3_IBUF (btn3_IBUF) - LUT2:I0->O 2 0.648 0.590 cntrl_inst/ball_xdir_and000039 (cntrl_inst/ball_xdir_and000039) - LUT4:I0->O 1 0.648 0.420 cntrl_inst/ball_ydir_and0000119 (cntrl_inst/ball_ydir_and0000) - FDE:CE 0.312 cntrl_inst/ball_ydir - ---------------------------------------- - Total 4.898ns (2.457ns logic, 2.441ns route) - (50.2% logic, 49.8% route) - -========================================================================= -Timing constraint: Default OFFSET IN BEFORE for Clock 'read_ps2_inst/ps2_ctrl/filter_clk' - Total number of paths / destination ports: 3 / 3 -------------------------------------------------------------------------- -Offset: 4.097ns (Levels of Logic = 2) - Source: btn3 (PAD) - Destination: read_ps2_inst/ps2_ctrl/trigger (FF) - Destination Clock: read_ps2_inst/ps2_ctrl/filter_clk rising - - Data Path: btn3 to read_ps2_inst/ps2_ctrl/trigger - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - IBUF:I->O 115 0.849 1.288 btn3_IBUF (btn3_IBUF) - INV:I->O 14 0.648 1.000 read_ps2_inst/ps2_ctrl/Reset_inv1_INV_0 (cntrl_inst/VGA1/RESET_inv) - FDE:CE 0.312 read_ps2_inst/ps2_ctrl/trigger - ---------------------------------------- - Total 4.097ns (1.809ns logic, 2.288ns route) - (44.1% logic, 55.9% route) - -========================================================================= -Timing constraint: Default OFFSET OUT AFTER for Clock 'clk_ic4' - Total number of paths / destination ports: 21 / 21 -------------------------------------------------------------------------- -Offset: 6.162ns (Levels of Logic = 1) - Source: read_ps2_inst/ps2_ctrl/Scan_Code_1 (FF) - Destination: ld<1> (PAD) - Source Clock: clk_ic4 rising - - Data Path: read_ps2_inst/ps2_ctrl/Scan_Code_1 to ld<1> - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDCE:C->Q 17 0.591 1.051 read_ps2_inst/ps2_ctrl/Scan_Code_1 (read_ps2_inst/ps2_ctrl/Scan_Code_1) - OBUF:I->O 4.520 ld_1_OBUF (ld<1>) - ---------------------------------------- - Total 6.162ns (5.111ns logic, 1.051ns route) - (82.9% logic, 17.1% route) - -========================================================================= - - -Total REAL time to Xst completion: 7.00 secs -Total CPU time to Xst completion: 7.42 secs - ---> - - -Total memory usage is 158104 kilobytes - -Number of errors : 0 ( 0 filtered) -Number of warnings : 19 ( 0 filtered) -Number of infos : 2 ( 0 filtered) - Index: pong/pong_top_map.ngm =================================================================== --- pong/pong_top_map.ngm (revision 427) +++ pong/pong_top_map.ngm (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$9205=6>2.Yi{g|inl9$4(5<8$9"9.+109'V`pn{`ee6-?!53"'5>6339:;<95?0137?567:=1;<=8;;12241=78;:?7=>;05934=6339:H895?0DD1?57339;;N95?1037?5751;1;>95?29C7?5278=1;8L:;;14;27=70=1;I=:>;0685576<2;;5?:410336>73<2;?89<419085G2<9LL;>6?I1:01?7633;:;<95=0GD7?727=;19495=89:7?7G50=19MLL=;237?674;;18>95<7201?6?33:L=Ii5dh;;3:586:L09080A2<==?;86;:1568103C<2?=ML:456DE5>033?8?<9592747?3011=1=4K>=;7;7?3?>1=1=5L;;;7;@77=1I=1=ML7;;7CBE1=1IOL?7;MLC0940>15?>80;K:47GDF5>>531:?75>?059;4573318949578927?=>?:8:047AZTQWW>ib;?3:5866L05687<22HON:48G:;5>?530:?74>?059:45?330;NI?56259:6543308IM?56659:2>;12H<5N2:C30>G7>9>0M=O74:C3AF4G5N>>0M?HI2:C00>G11H80M5:4A9030>G>NO>0MLJA59@GF?53JO97NG:;BIAQC51H^HO[EE38@7=C==1O98:;;E7611=C01297IH;;ED347=CAl1O^NQ\UBAFWV@RD81N>6K;4:G70140ILO74:GBED40IN:L4:G@E523?7KO8259EEGG33OKM495IARV1?CD33OHJ>95ICG:1?CB43ONH86HKCD78BAEWM:1MHH=4FER7?CBWM:1MH_:4FEPP1>@CZZO87KJ\2:DF0>@B88>0JHKI2:DE0>@A8;>0JK?;4:DE6G2@AK1>0JKKN4:DEB32;F37?BCIM[K_II._ORV.BIOI\880KH@JR@VF@%VH[]'L?==4GOF6?BTH]Y30K_AZPU[SA4=N:2C;>6G>2:K16>O4<2CIYK;4ICWEC7=NF:1BBL=4IO@;?LHAM[XNH45FNHVPPDRB;2CEZ55FNWGQP@Bb3@DTBJJPAOF\FFB13@EHC@^9;HMW]UC33@ZJH?5CI69OMGTFZP<0@BOKEE18HJE?3EEHMALZF69OKBODIE90@XZ;;MWW51=K]]8=7A[[2^F5?ISS:VF?7A[[379OQQ5XL?1GYY=PL59OQQ213E__8RJ9;MWW0ZJ23D$<KFXYOOm6CNP^OL@PJBl2GJ\RZFMDQWEHVe3DK[S[K]SHMM5<=JDVMNBH\NTDF\TJUS%NXJBDZJ3:OV\0=J]QIR96CZXG7`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`=;O21?K733G;;=95A1117?K77==1E==8;;O33<1=I993?7C?>159M54533G;:995A1057?K760=1E=?>;;O3101=I9;43G;886@>3168J455<2D:8=:4N0670>H6<190B<;;;O3641=I9<8?7C?:459M50033G;>495A1727?K71:=1E=;:;;O3521=I9?2?7C?8059M52433G;<895A1677?K70>=1E=:9;;O34<1=I9>3?7C?7059M5=733G;3>95A1917?K7?<=1E=5;;;O3;21=I91=?7C?7859M5=?43G;286@>9168J4?6<2D:5?:4N0;00>H61=>0B<7:4:L2=329968J4?><2D9<=:4N3220>H58;>0B?><4:L141286@=0718J7743G88?6@=929M745H3=:1E84<4N418J0743G?>?6@9729M2<5=87C973:L;46=I0;80B4=4N850?K?>?2DNXZA]K99MKQYW@PY:7B&*K0-70$<;;N?+,-xN8#"B='G=)()+M5,/v@;!E?'&)*K0-4c)()J7,7b3F7#$%pF0+*J5/O4! !#E=$F1(+(M7/6m2E6$%&qI1(+M4,N; # $D>%I2+*DL4.9k1D1%&'~H2),{O5#wC8%$%F0((J5,7f3F7#$%pF0+K2-..N8#C8%$$qI3+2=>I: !"uE=$F1()J4,,/A;!B?$'>f:M>,-.yA9 B>$%'I1(+M7-yA:#"%'G>)078K8./ wC;&D<&+H2*.{O6!8k0C0&'(K3.L5.#!C;&sG=)((J5,7d3F7#$%pF0+|J5,-/A9 uE>'&*K1-4g%(K2.L4.!"tB?$?:;N?+,L6- wC:&sG=)()J7,743F7#$D>%I0+(,L4-A:#"=95@=)*J4/O6!""uE?$F3(+f?J;/ @:!E<'$I3+20>I: !C;&sG>)**J6/O4! l0C0&'I1(}M4/,A;#:96A2()K3DL7."!tB>'pF3(+27>I: !C;LD?&+)K1/L5.!8>0C0&'I1B}M4/- @8!E>'&149L9-.N8ItB=$$'I3B}M6/.n2E6$%G?@K2-/O5!8?0C0&'I1B}M4/, wC9'D=&)238K8./v@:!$%&qI0(J6,-N9 B?$'$(H2),-xN9#tB>$%qI2+*-7`%()*}M4,yA:# E<'%I3+*/-O7"!"B='G<)AK1-,/492E6$%pF0+*+,{O6"wC8%&G>)+|J6,/, @:!$sG>*)K1.L5.! #9o6A2()|J4/./A8 B>$%qI2+*/-O7"!tB=&&qI3)}M6/.! 837B3'(K3.-.N9IC9%'G<)()+M5,/ @;KE?'$I2+*-1e%()|J5/.N:#tB?$'$(H3),{O5"@9"%$'$(H2),-xN9#"uE?%F3(+(,L7- @8 rD=&)(+*75=H5!"uE=$'(K2.-O5#@9"%&&F1+*}M7,N; #"%&&F0+|J5,/3k2E6$%pF0+*+zL7- @8 E>'&+)K2.-xN:#tB?$'&)**J4/./v@;!$sG=*K0-,-/A8 #E?%F3(+*-,2d3F7#$sG?*)*}M4,/A;!uE>'&+)K2.-xN:#C8%$'&+)K3.-.yA8 #rD<%I2+*/-O6"!C9'sG<)(+*-6d%()|J5/.yA; B?$'$(H3),{O5#wC8%$'&+)K3.-O6#!C9'D=&)(+7g>I: !tB<'&'~H3),{O5"wC8%$%'I0(+M7,N; #"%&&F0+*+zL7- @8 E>'&+)K2.-xN:"tB?$'&)(6`?J;/ wC;&%&qI0(+zL4-v@9"%&&F1+*J6.O4! #"'%G?*)*}M4,/A;!B?$'$(H3),{O5"wC8%$'&)228K8./v@:!$%pF1+*}M7,yA:#"'%G>*)K1/L5.! # $D>%I0+*0f=H5!"uE=$'(K2.-xN:"C8%$%'I0(+M7,yA:#"%$%'I1(+,{O6"!C9&sG<)()+M4,/v@8 E>'&)(+7g>I: !tB<'&'~H3),{O5#wC8%$%'I0(+M7,N; #"%&&F0+*+zL7- @8!E>'&+)K2.-xN:"tB?$'&)(11?J;/ wC;&%&qI0(J7,-/A8 #rD<$~H1*-,/, @:!$sG>+K1-,/5k2E6$%pF0+*+zL7-v@8"'D=&)**J4/.yA8!#rD<$I2+*-,4d3F7#$sG?*)*}M4,yA;# rD=&)**J4/.N9""B>&pF3(+*-7`%()|J5..N9#C9%$$F3(+(,L6- wC:'%G=+H1*-,/502E6$%pF0+*J5/.N:#C8%$'$(H2),L7, @8 E>'&)(0a?J;/ wC;&%G>*)K1.L5.! !#E=$'~H3(,{O5#wC8%$'&2g9L9-.yA9 #E<$'I3(}M6/.!""B<'&'~H3(,L7-A:#"&D<&)(03?J;/ wC;&%G>*)K1/{O4! # $D>%~H3*-7?%(H3),{O5"@9"%$%'I1(+M4,/A;!B?$'&)368K8./v@:!$D?%I3+*/-O7"!"B='G=)*|J7,/.9l1D1%&qI1(+M4,N: # $D>%(H3(M7/.!8l0C0&'~H2),L7-A;#"'%G?*)|J5/O4! #9<6A2()|J4/.N9#C9%$%'I1(+zL7,v@8"%$<<;N?+,{O7"!C:&D=&)**J4/./A8 B?$%F2(+*74=H5!"uE=$'I0(}M7/.#!C;&%&qI0(}M7/, @;!$D<%~H1*-,/.:91D1%&qI1(+M4,yA;#"'%G?*)K1.{O4! #996A2()|J4/.N9#tB?$'$(H2),-O6"wC8%&G=)(+11>I: !tB<'&F1+|J7,/, @:!$D?%(H0)zL5.! #946A2()|J4/.N9""B>&G<)(+(,L6- @;!$D<%I2+*-,4e3F7#$sG?*)K2/-O5#@9"%$%'I1(+zL7, wC9'sG<)(+*65=H5!"uE=$'I0)}M7/.#!C;&%G>*K1-,/6i2E6$%pF0+*J5.xN: # $D>%I2+*51=H5!"uE=$'I0BJ6,/,A:#986A2()|J4/.N9IC8%$%'I1(+,L7-A;# rD=&)(06?J;/ wC;&%G>@K1-,-/A9 #E*)K1.L5.! #">o5@=)*}M5,/v@;!$sG=*K0-,/, @:!$D?%(H0)M6/.! 8n7B3'(K3.-xN9#"uE?$qI2+*-..N8#"uE<%'~H0(zL5.! #9:6A2()|J4/.yA8 B>$'$(H2),-O6HwC9%'pF3(+*63=H5!"uE=$'~H3)M7/.#!C;&%&F1A|J6,-yA:#"%,-xN8#"uE<$F2(+(,L6- @;!rD<&)(03?J;/ wC;&%pF1+K1-,-/A9 #E%(K2.L4.!""B<'&qI0)J6,/.:?1D1%&qI1(+zL7-v@8"%&&F0+*+M4FN: uE>'&)3`8K8./v@:!$sG>+)|J6.xN; #"'%G?*)K2/-O5#@9"%$'<0:M>,-xN8#"uE<%F2(+(,L6- !tB='G=)**J5/.yA;!B?$'&)(3a?J;/ wC;&%pF1*|J6,/, @:!E<'&2g9L9-.yA9 B=$%'I1(+,{O6"!C9&D=&)**J5/.yA;!B?$'&)(13?J;/ wC;&D?&+)K3.-.yA8 #E?$F3(+(,L7- wC9'sG<)(+*-4?%I0+(,L6- @8!E>'&)068K8./v@:!E<'$(H2)M7/.9l1D1%&qI1(J6,-/A9 #E<%'I3)J7,/.!8k0C0&'~H2)M7/, @:!$sG>+H1*-,433F7#$sG?*H1*/-O7"!"uE<$F3()+M4,N: #"%<84O<*+zL6-v@;"'%G?*K1-,773F7#$sG?*K2-.O5!8;0C0&'~H2)zL7.#wC9%??4O<*+zL6-v@9"'%G?*)|J5..N:"tB?$'&)0`8K8./v@:!rD=&+)K3.-xN9"C9%$'=0:M>,-xN8""B<'&'~H3)zL4.#@;"%$$F3(3a?J;/ wC;'%G?*)K1/{O4! #!rD?&149L9-.yA9!#E=$F2(+)zL7.9=1D1%&qI1)+M5,N: #KE<'>3:M>,L6- !C:&D<&+H1*-4285@=)K3.-.yA8 #E?$F3(+(,L7- wC9'sG<)(+*5c=H5!C;&%&qI0(+M7-N; # $D?%~H0*-,423F7#E=$'(K2.-xN:#tB?$'$(H3),L4,A:#"%$?6;N?+M5,/ wC:&D<&+)K2.L5.! ;27B3'I1(+,{O6"@9"'%G>*H0*-,7e3F7#E=$'(K2.{O5!""B='pF3(+*56=H5!C;&%G>*)K1.L5.! ;?7B3'I1(+M4,/A; uE>'&)018K8.N8#"B='&F2*K0-,/b3F7#E=$'I0(J6,/a3F7#E=$'I0(}M7/.9:1D1%G?*)K2/-O5#@9"%$?;;N?+M5,/A8!#rD<$I2+*-`=H5!C;&%G>+H0*-45%(K2/-xN:"C8%$'i;N?+M5,/v@; E?'&9:M>,L6-A8#j7B3'I1(}M4/6;2E6$D>$()K2.L4.#@9"%<:4O<*J4../A8 uE?'$I2+*53=H5!C;'%&qI0(}M7/,v@9"%<74O<*J4../v@; $D?%I2+*.L4.!890C0&F0**J5/.N:#C8%$'>4:M>,L6, @;!$D<%~H1*-,723F7#E=%'I0(+zL4-v@9"%$?<;N?+M5-/A8!#E?%F3(+*51=H5!C;'%G>+)K1D{O4! #n7B3'I1)+M4-N: #:86A2(H2(,{O6#!C9'D=&)(36?J;/A9!#rD?$(K1/L5.! l0C0&F0**}M4-N: #27B3'I1)J5,g+)K2.{O4! JB>$'j;N?+M5F/A8JB>$'i;N?+M5F/A8JuE?'&9:M>,L6GA8#j7B3'I1B}M4/6<2E6$sG?*)*J5/O4!"C9%$?;;N?+zL6- !C:LD<&+H1*-70%(H3),{O5"@9"%$h4O<*}M5,/A8 B>$'i;N?+zL6- @;KE?'&179L9-xN8#"uE<$'I3(}M6/.!8?0C0&qI1(+zL7- @8 E>'&)048K8.yA9 #rD?%(H0(zL5.! ;<7B3'~H2),{O6"!tB>'pF3(+*52=H5!tB<'&qI0(+zL4,v@9"%$?>;N?+zL6- wC:&sG=)(c8K8.yA9 B=$l4O<*}M5,yA8#:;6A2(K3/-.yA8 uE?'$~H1*-42$(H3(zL4.!8<0C0&qI1)+zL7, wC9'D=&)(32?J;/v@: $sG>+K1-,d0CO[I3:MMA1=HXHN87B[[1:R0?U75;2Z:?>5_1418T4043Y;2?6^=029S645V3;;1[9>5_5618T0?43Y<;?6^9129S27587]8:3:R446=W?890\:<<;Q507>V?;:1[49=4P940?U>>;2Z2?>5_9918TDB33YKYX55_HXQJGDJf3YCESO[\IEZa?UOIWK_XBLCJb:RJJZOTKEEHG85_OVGQ7>VUMh1[^HJJFHLF@4=V;2[:9<5]7:PFIJPBL8:0^HC@VDF\PWGT@JKEn6\JPMK@EQOHF8:0^H\JSNNUAZVFZHGX?6\@M29QWQ7<[:1XIA=4SDV0?V@A12YBKRM@UOV0?VHL;2YYOi5\RB]KM@TFZJBR96]]L045?VTK9?O<7^\CC04F0>UU[]>0_^K[8:QWQFMXI]>0_T@L9:QZJFYF\]Xm7YO]GDV\TDTS\PZN46ZJRLKMEI0<\@ODJKl4TSCMWUGUMG^?7YW_E79VJPUBLj1^_H\PVHQJFIC43_IH56XFEV]W]UC6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO43QEY96V@RB[5?]USD@H<7U][_FLG3>^T\V\HOo5WV3]AQCAJ]Q20T[EPFMMS=>^QCVG^TNW6;YTH[HS_N<30T[EPXNP@]3=_lkUBhh5Wdi]@kwtEdfi`<>4Xej\GjtuFl~|ce|c:ZglZEhz{Zbb~?7;Yfk[HgwKfxyOb`|t`lwPwgt`890TifPM`r@kwtJm{mnhYa>7:ZglZKfxJey~_kbowggPwgt`k1SheQ_rhoJ`}d15:cm84823hd7>394ao>0>5823hd7?374ao]3[JDRN01jbR?POCWE=>giW;UDNXH6;`l\7ZIE]O>0ny`<9:`wj6YNJ\L<7nbd_ha7`>ekcVch8RLZFFR26>ekcVch8RLZFFR,FP@@:81h`fQfc5]AQCAW'K_MKRG?_lw{[vckWzcl=>5llj]jg1YE]OM[#DLZFF`8gkrudVcey!>1:ampwjXagy#NIJN0-16>ei|{fTec}{/BEFJ4)JzfgThlzn_smn4567:h1hby|c_hlpp*E@MG;$Aab_ecweZthe9:;<<>>_4]e17110]PS5433jd~aQfnrv,GBCI9&Gyc`Qkauc\vjk789::>?;4covqhZoi{}%HKH@>/LpliZbf|hUyc`>?013157285lnupo[lht|&ILIC? Msmn[agsiVxda=>?001275=df}xgSd`|t.ADAK7(E{efSio{a^pli567889:#A[[4^N\FP@5?2iexbPioqw+FABF8%F~bcPd`vb[wij89:;=>? O368gkrudVcey!LGDL2+HtheVnjxlQ}ol234573:<1hby|c_hlpp*E@MG;$Aab_ecweZthe9:;<<:>259`jqtkW`dxx"MHEO3,IwijWmkmR|`m1234435i2iexbPioqw+FABF8%F~bcPd`vb[wij89:;=;?P5^d66g=df}xgSd`|t.ADAK7(E{efSio{a^pli56788<:S8Qi5015?fhszeUbb~z CFGM5*KugdUomyoPrno34566>8U>Sk;>/MWW7ZJXJ\L9h6matsn\mkus'JMNB?173\1Z`29&E9;6matsn\mkus'JMNB?173\271/LpliZbf|hUyc`>?010360=df}xgSd`|t.ADAK7(E{efSio{a^pli5678;::>l5lnupo[lht|&ILIC? Msmn[agsiVxda=>?0302[0Ya=;=0ocz}l^kmwq)DOLD:#@|`m^fbpdYugd:;<=<=1^413>ei|{fTec}{/BEFJ4)JzfgThlzn_smn4567:;;T;?74covqhZoi{}%HKH@>/LpliZbf|hUyc`>?0162[0Ya=;<0ocz}l^kmwq)DOLD:#@|`m^fbpdYugd:;<=:>_70b?fhszeUbb~z CFGM5*KugdUomyoPrno345639V=6:ampwjXagy#NIJN0-NvjkXlh~jSab012304Y0:h1hby|c_hlpp*E@MG;$Aab_ecweZthe9:;<9?P7^QT445>008gkrudVcey!LOMMQ[5753jd~aQfnrv,GJJHZV;9=6matsn\mkus'DkohRQnde2345Yg{6>203\MKPX8;;0ocz}l^kmwq)JimnTSljk0121[}iu4=4:=??4covqhZoi{}%FmijP_`fg4565Wqey080>1338gkrudVcey!Baef\[dbc89:8Sua}<4<2571:_ymq86869VCEZR>=1:ampwjXagy#@okd^]b`a6780315>ei|{fTec}{/Lcg`ZYflm:;<8Qwos>6:47512iexbPioqw+HgclziSoocl^{\wmgum9:;ei|{fTec}{/Lcg`vseWkkg`RwPsicqa5678Vir0=0>1248gkrudVcey!BaefpqgYeiefTuR}gasg3456Xkp6;2?_b{?5;4e3jd~aQfnrv,Idbc{|hTnlbc_x]pldtb89:;Snw31?326<=df}xgSd`|t.Ob`aurjVhj`aQv_rjbv`6789Uhu1=12c9`jqtkW`dxx"CndeqvfZdfdeUrS~fnrd2345Ydq595=<=9;blwviYnfz~$Aljkst`\fdjkWpUxdl|j0123[f;;7;:#A[[4^F\FP@5m2iexbPioqw+HgclziSckwtmgepZXimnxyo>?01]`}979;91hby|c_hlpp*Kflmy~nR`jxunfbqY~Whnoxl?012\g|:668;9i6matsn\mkus'Dkoh~{m_og{pica|VsTmij|uc2345Ydq585?=5lnupo[lht|&Gjhi}zb^lf|qjbn}UrSljkst`3456Xkp692031b>ei|{fTec}{/Lcg`vseWgosxakit^{\eabt}k:;<=Qcuu>2:66?_mww8486:o1hby|c_hlpp*Kflmy~nR`jxunfbqY~Whnoxl?012\hpr;:79;7n`{rm]jjvr(EhnoxlPndzwh``sWpUjhi}zb1234Zjr|585=?h4covqhZoi{}%Fmij|uc]ma}rkmo~TuRokdrwa4567We0>0<0:ampwjXagy#@okdrwa[kc|eomxRwPaefpqg6789Ugyy2<>00e?fhszeUbb~z M`fgwpdXflr`hh{_x]b`aurj9:;Pltv?0;75n2iexbPioqw+HgclziSckwtskdlqY~Whnoxl?012\g|:66:;0ocz}l^kmwq)JimnxyoQaeyvqmbnsWpUjhi}zb1234Ze~484:=?h4covqhZoi{}%Fmij|uc]ma}ruanbStQndeqvf5678Vir0?0<1:ampwjXagy#@okdrwa[kc|{cldyQv_`fgwpd789:Tot2=>031b>ei|{fTec}{/Lcg`vseWgosxghhu]z[dbc{|h;<=>Pcx>0:67?0^az86869::0ocz}l^kmwq)JimnxyoQaeyvqmbnsWpUjhi}zb1234Zjr|5;5?<5lnupo[lht|&Gjhi}zb^lf|qtnoa~TuRokdrwa4567We0<0>319`jqtkW`dxx"CndeqvfZhbp}xbkezPy^cg`vse89:;Sa{{<3<05>ei|{fTec}{/Lcg`vseWgosxghhu]z[dbc{|h;<=>Pltv?6;7482iexbPioqw+HgclziSckwtskdlqY~Whnoxl?012\hpr;;79:7n`{rm]jjvr(EhnoxlPndzwvlao|VsTmij|uc2345Yk}}682<=?;blwviYnfz~$Aljkst`\j`~sz`mcxRwPaefpqg6789Ugyy2;>238gkrudVcey!BaefpqgYimq~yejf{_x]b`aurj9:;3:7b2:7b1:7b0:7b7:7b6:7c=_mww8485m2iexbPioqw+HeheykySoocl^zgmwYdeyUli=>?2^nvp949:l1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzTkh>?03]oqq:46;o0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Sjk?010\hpr;<78n7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rij0121[iss4<49h6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qhe1237Ze~4949h6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qhe1237Ze~4849h6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qhe1237Ze~4;49h6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qhe1237Ze~4:49h6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qhe1237Ze~4=49h6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qhe1237Ze~4<49i6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qhe1237Zjr|5:5>h5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pgd2346Yk}}6:2?k4covqhZoi{}%Fobcas]aeijXpmcySnc_fg3455Xd|~7>30=e:ampwjXagy#@m`mqcq[ggkdVroeQlmq]da567;Vf~x1:12d9`jqtkW`dxx"ClolrbvZdfdeUshd|Pclr\c`678:Ugyy2:>208gkrudVcey!BcnosewYeiefTtig}_bos[bc7899T`xz35?]PS54c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVm<=>?_b{?4;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVm<=>?_b{?5;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVm<=>?_b{?6;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVm<=>?_b{?7;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVm<=>?_b{?0;4c3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVm<=>?_b{?1;4b3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVm<=>?_mww8585m2iexbPioqw+HeheykySoocl^zgmwYdeyUlx=>?0^nvp979:l1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzTky>?01]oqq:56;o0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Sjz?012\hpr;;78n7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Ri{0123[iss4=49i6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qht1234Zjr|5?5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pld2345Ydq5:5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pld2345Ydq5;5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pld2345Ydq585>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pld2345Ydq595>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pld2345Ydq5>5>i5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pld2345Ydq5?5>h5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Pld2345Yk}}6;2?k4covqhZoi{}%Fobcas]aeijXpmcySnc_mg3456Xd|~7=33g8gkrudVcey!BcnosewYeiefTtig}_bos[ic789:T`xz35?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89:;Snw30?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89:;Snw31?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89:;Snw32?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89:;Snw33?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89:;Snw34?0g?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89:;Snw35?0f?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89:;Sa{{<1<1a>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;1:7cPltv?7;4b3jd~aQfnrv,IfijxhxTnlbc_yfjvZejxVf<=>?_mww8185m2iexbPioqw+HeheykySoocl^zgmwYdeyUgx=>?0^nvp939;;1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzT`y>?01]oqq:26VY\2?k4covqhZoi{}%Fobcas]aeijXpmcySnc_mv3457Xd|~7<33g8gkrudVcey!BcnosewYeiefTtig}_bos[ir789;T`xz34?0f?fhszeUbb~z MbmntdtXjhfgSujfr^antZjs89::Sa{{<4<1`>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;>Rmv<1<1`>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;>Rmv<0<1`>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;>Rmv<3<1`>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;>Rmv<2<1`>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;>Rmv<5<1`>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;>Rmv<4<1a>ei|{fTec}{/LaliuguWkkg`Rvkis]`iuYk|9:;>Rbzt=2=6`=df}xgSd`|t.O`khvfzVhj`aQwdhp\ghvXd}:;2:7c=_mww8685m2iexbPioqw+HeheykySoocl^zgmwYdeyUgx=>?2^nvp929:l1hby|c_hlpp*Kdgdzj~Rlnlm]{`ltXkdzT`y>?03]oqq:26;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?011\g|:76;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?011\g|:66;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?011\g|:56;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?011\g|:46;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?011\g|:36;n0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?011\g|:26;o0ocz}l^kmwq)Jkfg{mQmamn\|aouWjg{Saz?011\hpr;878n7n`{rm]jjvr(Ejef|l|Pb`no[}bnzVif|Rb{0120[iss4849i6matsn\mkus'Dida}o}_ccohZ~ca{Uha}Qct1237Zjr|585>h5lnupo[lht|&Ghc`~nr^`bhiYl`xTo`~Plu2346Yk}}682?k4covqhZoi{}%Fobcas]aeijXpmcySnc_mv3455Xd|~78312d9`jqtkW`dxx"ClolrbvZhbp}UHCAA]_bos[bc789;Tot2>>3g8gkrudVcey!BcnosewYimq~TOBB@R^antZab89::Snw32?0f?fhszeUbb~z MbmntdtXflrSNACOS]`iuY`m9:;=Rmv<2<1a>ei|{fTec}{/LaliuguWgosxRM@LNP\ghvXol:;<6:7`>_mww8585n2iexbPioqw+HeheykySckwt^ALHJTXkdzTkh>?00]oqq:66;l0ocz}l^kmwq)Jkfg{mQaeyv\GJJHZVif|Rij0122[iss4;49j6matsn\mkus'Dida}o}_og{pZEHDFXTo`~Pgd2344Yk}}682?h4covqhZoi{}%Fobcas]ma}rXKFFD^Rmbp^ef4566We090=f:ampwjXagy#@m`mqcq[kc|VID@B\Pclr\c`6788Ugyy2:>3g8gkrudVcey!BcnosewYimq~TOBB@R^antZab89:9Snw30?0f?fhszeUbb~z MbmntdtXflrSNACOS]`iuY`m9:;>Rmv<0<1a>ei|{fTec}{/LaliuguWgosxRM@LNP\ghvXol:;0:7c=_b{?0;4b3jd~aQfnrv,IfijxhxTbhv{_BMOKWYdeyUli=>?2^az8085n2iexbPioqw+HeheykySckwt^ALHJTXkdzTkh>?03]oqq:76;l0ocz}l^kmwq)Jkfg{mQaeyv\GJJHZVif|Rij0121[iss4849j6matsn\mkus'Dida}o}_og{pZEHDFXTo`~Pgd2347Yk}}692?h4covqhZoi{}%Fobcas]ma}rXKFFD^Rmbp^ef4565We0>0=f:ampwjXagy#@m`mqcq[kc|VID@B\Pclr\c`678;Ugyy2;>3d8gkrudVcey!BcnosewYimq~TOBB@R^antZab89:9Sa{{<4<1a>ei|{fTec}{/LaliuguWgosxRM@LNP\ghvXdl:;2:7c=_b{?6;4b3jd~aQfnrv,IfijxhxTbhv{_BMOKWYdeyUgi=>?2^az8685m2iexbPioqw+HeheykySckwt^ALHJTXkdzT`h>?03]`}929:l1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sak?010\g|:26;l0ocz}l^kmwq)Jkfg{mQaeyv\GJJHZVif|Rbj0121[iss4949j6matsn\mkus'Dida}o}_og{pZEHDFXTo`~Pld2347Yk}}6:2?h4covqhZoi{}%Fobcas]ma}rXKFFD^Rmbp^nf4565We0?0=f:ampwjXagy#@m`mqcq[kc|VID@B\Pclr\h`678;Ugyy2<>3d8gkrudVcey!BcnosewYimq~TOBB@R^antZjb89:9Sa{{<5<1b>ei|{fTec}{/LaliuguWgosxRM@LNP\ghvXdl:;6:7c<_b{?4;4b3jd~aQfnrv,IfijxhxTbhv{_BMOKWYdeyUgi=>?3^az8485m2iexbPioqw+HeheykySckwt^ALHJTXkdzT`h>?02]`}949:l1hby|c_hlpp*Kdgdzj~R`jxu]@KIIUWjg{Sak?011\g|:46;o0ocz}l^kmwq)Jkfg{mQaeyv\GJJHZVif|Rbj0120[f;<78n7n`{rm]jjvr(Ejef|l|Pndzw[FIKG[Uha}Qce1237Ze~4<49j6matsn\mkus'Dida}o}_og{pZEHDFXTo`~Pld2346Yk}}6;2?h4covqhZoi{}%Fobcas]ma}rXKFFD^Rmbp^nf4564We0<0=f:ampwjXagy#@m`mqcq[kc|VID@B\Pclr\h`678:Ugyy2=>3d8gkrudVcey!BcnosewYimq~TOBB@R^antZjb89:8Sa{{<2<1b>ei|{fTec}{/LaliuguWgosxRM@LNP\ghvXdl:;<>Qcuu>7:7`<_mww8085;2iexbPioqw+Heh}g~Tnlbc_y]`}9599VY\>?94covqhZoi{}%Fob{at^`bhiYWqey0=0>1^KMRZ6592iexbPioqw+Heh}g~Tnlbc_y]{kw:668;9=6matsn\mkus'DidyczPb`no[}Yg{6920316>ei|{fTec}{/LalqkrXjhfgSuQwos>0:476:;1hby|c_hlpp*Kdg|dSoocl^z\|jt;;7;:>?:4covqhZoi{}%Fob{at^`bhiYWqey0>0>1^d664=df}xgSd`|t.O`kphsWkkg`RvPxnp?0;76:81hby|c_hlpp*Kdg|dSoocl^z\|jt;=7;:>?5lnupo[lht|&Ghcx`{_ccohZ~Xpfx793?>1308gkrudVcey!BcnwmpZdfdeUsSua}<4<257433jd~aQfnrv,Ifirf}UimabPx^zlv93998Um9??4covqhZoi{}%Fob{at^`bhiYWqey0;0>1378gkrudVcey!BcnwmpZdfdeUsSua}<7<25ZUP88=0ocz}l^kmwq)JkfexRjjl`{2<>ei|{fTec}{/LalqkrXllfju10:8gkrudVcey!BcnwmpZjtkfxn=45lnupo[lht|&Ghcx`{_mq`kwc6901hby|c_hlpp*Kdg|dSa}losg15<=df}xgSd`|t.O`kphsWeyhck<269`jqtkW`dxx"Clotlw[iudg{oTtb|30?32[LHQW98:7n`{rm]jjvr(Eje~byQcsbmqaZ~hz5;5=<<>;blwviYnfz~$Anaznu]owfiumVrd~1<11002?fhszeUbb~z MbmvjqYk{jeyiRv`r=1=547?3jd~aQfnrv,Ifirf}Uyna}e0;8gkrudVcey!BcnwmpZttkfxn=<74covqhZoi{}%Fob{at^ppgjtb:830ocz}l^kmwq)JkfexR||cnpf771=1:ampwjXagy#@m`uov\vvehzlUsc2>>0315>ei|{fTec}{/LalqkrXzzid~hQwos>1:47592iexbPioqw+Heh}g~T~~m`rd]{kw:468;9=6matsn\mkus'Dy~nRQ|uc2345Yg{682e:ampwjXagy#C?8j;blwviYnfz~$B9=k;blwviYnfz~$B;?;;blwviYnfz~$^h}zlu>3:42ei|{fTec}{/Sgpqir;;7;?7n`{rm]jjvr(Zly~`y2;>068gkrudVcey!]erwop9399=1hby|c_hlpp*Tb{|f0;0i;blwviYnfz~$ZNBD119`jqtkW`dxx"XLLJ320>ei|{fTec}{/WAOOZDRNN887n`{rm]jjvr(^JF@SO[IG^K3[hsWzogS~gh119`jqtkW`dxx"XHA0-2`>ei|{fTec}{/WEB5*EH]G^N^R\JSDV11>ei|{fTec}{/WEB5*EH]G^N^R\JSDV\ip~789;946matsn\mkus'_MJ="M@UOVFVZTB[L^Taxv?013247403jd~aQfnrv,RBG6'JE^BYK]_SGPAQYj}q:;<269`jqtkW`dxx"XHA0-@KPHSM[UYI^K[_lw{45669>8<7n`{rm]jjvr(^NK:#NAZNUGQ[WCTM]Ufyu>?00606g=df}xgSd`|t.TDE4)DG\D_I_Q]ERGW[hs89::8>Q\W115?fhszeUbb~z VFC2+FIRF]OYS_K\EU]nq}6788>8S^Y?/MWW7ZJXJ\L9h6matsn\mkus'_MJ="M@UOVFVZTB[L^Taxv?01377ZUP8&E9;6matsn\mkus'_MJ="M@UOVFVZTB[L^Taxv?0136671>:80ocz}l^kmwq)QOH;$OB[ATDP\V@UB\Vg~t=>?184,HPR3WEUIYK<6;blwviYnfz~$ZJO>/BMVJQCUW[OXIYQbuy2344?1'F;=7n`{rm]jjvr(^NK:#ELCAOI2f>ei|{fTec}{/WEB5*NDG\D_I_2?>0`8gkrudVcey!YG@3,LFIRF]OY0<0>b:ampwjXagy#[IN1.J@KPHSM[692ei|{fTec}{/WEB5*Nhz`pdbyoc_Rvbp`YA[DUMJi<"Io31=>ei|{fTec}{/WEB5*Nhz`pdbyoc_Rvbp`YA[DUMJi==c:ampwjXagy#[IN1.Jlvl|hf}kgS^zntd]EWHYANm9&Ec/Imqmii|hfT_yo{e^DPIZ@Al:'Bb<<6;blwviYnfz~$ZJO>/Imqmii|hfT_yo{e^DPIZ@Al=8h7n`{rm]jjvr(^NK:#Ea}i{mmpdjX[}kiRH\M^DE`1+Nf::0ocz}l^kmwq)QOH;$Db|fznlweiYT|h~nSK]B_GDg0(OiWZ]:>45lnupo[lht|&\LMei|{fTec}{/WEB5*Nhz`pdbyoc_Rvbp`YdeyUn}=>?00]PS54c3jd~aQfnrv,RBG6'Aeyewaat`n\WqgsmVif|Rk~0123645?3jd~aQfnrv,RBG6'Aeyewaat`n\WqgsmVif|Rk~012364)K]]9THRLZF3g8gkrudVcey!YG@3,LjtnrfdmaQ\t`vf[fkwWl{;<=?>140f?fhszeUbb~z VFC2+MiuaseexlbPSucwaZejxVoz<=>>1040=>ei|{fTec}{/WEB5*Nhz`pdbyoc_Rvbp`YdeyUn}=>?1035+ISS:VNTNXH=d:ampwjXagy#[IN1.Jlvl|hf}kgS^zntd]`iuYby9:;=<:<8:ampwjXagy#[IN1.Jlvl|hf}kgS^zntd]`iuYby9:;=<: LTV7[AYE]O8i7n`{rm]jjvr(^NK:#Ea}i{mmpdjX[}kiRmbp^gr4565:j1hby|c_hlpp*P@I8%CcguoovbhZUsi}oTo`~Pep234774?2iexbPioqw+SAF9&Bd~dt`nuco[Vrf|lUha}Qjq12364)K]]>THRLZF3`8gkrudVcey!YG@3,LjtnrfdmaQ\t`vf[fkwWl{;<===c:ampwjXagy#[IN1.Jlvl|hf}kgS^zntd]`iuYby9:;?<=8;blwviYnfz~$ZJO>/Imqmii|hfT_yo{e^antZcv89:8="BZT5]G[GSA:k1hby|c_hlpp*P@I8%CcguoovbhZUsi}oTo`~Pep23414d3jd~aQfnrv,RBG6'Aeyewaat`n\WqgsmVif|Rk~012757dei|{fTec}{/WEB5*Nhz`pdbyoc_Rvbp`YdeyUn}=>?5034?fhszeUbb~z VFC2+IOIM5:5=:5lnupo[lht|&\LM/MKMAZ66>2iexbPioqw+SAF9&FBBHQ>179`jqtkW`dxx"XHA0-OMKCX:8<0ocz}l^kmwq)QOH;$@D@J_235?fhszeUbb~z VFC2+IOIMV>::6matsn\mkus'_MJ="BFND]65c=df}xgSd`|t.TDE4)KAGOTaxv?013?7;473jd~aQfnrv,RBG6'ECEIRczx123595998l0ocz}l^kmwq)QOH;$@D@J_lw{45664=49<6matsn\mkus'_MJ="BFND]nq}67886?2/MKMAZkrp9:;=1;1219`jqtkW`dxx"XHA0-OMKCXe|r;<=?35?32b>ei|{fTec}{/WEB5*JNFLUfyu>?00>5:76006?fhszeUbb~z VFC2+Heh}g~TDNAZNUGQ[f;878>7n`{rm]jjvr(^NK:#@m`uov\LFIRF]OYSnw31?0;?fhszeUbb~z VFC2+Heh}g~TDNAZNUGQ[f;97Uyx?;4covqhZoi{}%]KL? MbmvjqYOKF_EXH\Pcx>1:7>ei|{fTec}{/WEB5*Kdg|dSEM@UOVFVZe~4=4946matsn\mkus'_MJ="Clotlw[MEH]G^N^Rmv<5<\vq423jd~aQfnrv,RBG6'DidyczPHBMVJQCUWjs793<7;blwviYnfz~$ZJO>/LalqkrX@JE^BYK]_b{?1;Yu|;?0ocz}l^kmwq)QOH;$Anaznu]KGJSI\LXTot29>3:8gkrudVcey!YG@3,Ifirf}UCOB[ATDP\g|:16Vx>85lnupo[lht|&\LMei|{fTec}{/WEB5*Kdg|dSEM@UOVFVZ~hz5;5>;5lnupo[lht|&\LM1:70/LalqkrX@JE^BYK]_ymq8185>2iexbPioqw+SAF9&Ghcx`{_IALQKRBZVrd~1;1279`jqtkW`dxx"XHA0-Ngjsi|VBHCX@[ES]{kw:16;<0ocz}l^kmwq)QOH;$Anaznu]KGJSI\LXTtb|37?05?fhszeUbb~z VFC2+Heh}g~TDNAZNUGQ[}iu4149:6matsn\mkus'_MJ="Clotlw[MEH]G^N^Rv`r=;=6<=df}xgSd`|t.TDE4)JkfexRFLOTLWAWYg{622R|{249`jqtkW`dxx"XHA0-Ngjsi|V\HCX@[ES]`}969:<1hby|c_hlpp*P@I8%Fob{at^T@KPHSM[Uhu1?1299`jqtkW`dxx"XHA0-Ngjsi|V\HCX@[ES]`}979W{~996matsn\mkus'_MJ="Clotlw[SEH]G^N^Rmv<3<1<>ei|{fTec}{/WEB5*Kdg|dS[M@UOVFVZe~4;4T~y<:;blwviYnfz~$ZJO>/LalqkrX^JE^BYK]_b{?7;4?3jd~aQfnrv,RBG6'DidyczPVBMVJQCUWjs7?3Q}t378gkrudVcey!YG@3,Ifirf}U]OB[ATDP\g|:36;20ocz}l^kmwq)QOH;$Anaznu]UGJSI\LXTot2;>^pw60=df}xgSd`|t.TDE4)JkfexRXLOTLWAWYdq5?5>55lnupo[lht|&\LM7n`{rm]jjvr(^NK:#@m`uov\RFIRF]OYSnw37?0;?fhszeUbb~z VFC2+Heh}g~TZNAZNUGQ[f;?7Uyx?;4covqhZoi{}%]KL? MbmvjqYQKF_EXH\Pcx>;:7>348gkrudVcey!YG@3,Ifirf}U]OB[ATDP\|jt;978=7n`{rm]jjvr(^NK:#@m`uov\RFIRF]OYSua}<3<12>ei|{fTec}{/WEB5*Kdg|dS[M@UOVFVZ~hz595>;5lnupo[lht|&\LM7:70/LalqkrX^JE^BYK]_ymq8385>2iexbPioqw+SAF9&Ghcx`{_WALQKRBZVrd~191279`jqtkW`dxx"XHA0-Ngjsi|V\HCX@[ES]{kw:?6;<0ocz}l^kmwq)QOH;$Anaznu]UGJSI\LXTtb|39?0:?fhszeUbb~z VFC2+Heh}g~TZNAZNUGQ[}iu404T~y?<;blwviYnfz~$ZJO>/O2256=df}xgSd`|t.TDE4)I98;97n`{rm]jjvr(^NK:#C<>2:ampwjXagy#[IN1.L757=df}xgSd`|t.TDE4)I=880ocz}l^kmwq)QOH;$B;?7;blwviYnfz~$ZJO>/QK[AI:76820ocz}l^kmwq)QOH;$\DVJL=3=5==df}xgSd`|t.TDE4)WAQOG0?0>8:ampwjXagy#[IN1.RJ\@J;;7;37n`{rm]jjvr(^NK:#]GWEM>7:4>7:ampwjXagy#[IN1.RJ\@JX:8=0ocz}l^kmwq)QOH;$\DVJL^123>ei|{fTec}{/WEB5*VNPLFT8<94covqhZoi{}%]KL? PHZFHZ36?2iexbPioqw+SAF9&ZBTHBP6328gkrudVcey!YG@3,TL^BDVg~t=>?1=1=64=df}xgSd`|t.TDE4)WAQOGS`{w01228686:91hby|c_hlpp*P@I8%[EUKC_lw{45664=49=6matsn\mkus'_MJ="^FXDN\ip~789;783?=0:ampwjXagy#[IN1.RJ\@JXe|r;<=?35?02?fhszeUbb~z VFC2+UO_MEUfyu>?00>6:4473jd~aQfnrv,RBG6'YCSIAQbuy2344:16;;0ocz}l^kmwq)QOH;$\DVJL^ov|56795<5=?>4covqhZoi{}%]KL? PHZFHZkrp9:;=191209`jqtkW`dxx"XHA0-SM]CKWds<=>><6<265=df}xgSd`|t.TDE4)WAQOGS`{w01228=8592iexbPioqw+SAF9&ZBTHBPmtz3457;07;9<6matsn\mkus'_MJ="^FXDN\ip~789;753<>;blwviYnfz~$ZJO>/QK[AIYj}q:;<<26>03:?fhszeUbb~z VFC2+WCTM]Ubb{?6;blwviYnfz~$ZJO>/Sgpqir;87;j7n`{rm]jjvr(^NK:#_k|umv?4;7612iexbPioqw+SAF9&Xnxb{<0<2e>ei|{fTec}{/WEB5*Tb{|f0<0>189`jqtkW`dxx"XHA0-Qavsk|585=l5lnupo[lht|&\LM0:4g03:?fhszeUbb~z VFC2+Wct}e~783?n;blwviYnfz~$ZJO>/Sgpqir;<7;:56matsn\mkus'_MJ="\jstnw8086i2iexbPioqw+SAF9&Xnxb{<4<25<=df}xgSd`|t.TDE4)Umzgx1811`9`jqtkW`dxx"XHA0-Qavsk|5<5=<74covqhZoi{}%]KL? Rdqvhq:068k0ocz}l^kmwq)QOH;$^h}zlu>4:47>3jd~aQfnrv,RBG6'[oxyaz38?3b?fhszeUbb~z VFC2+Wct}e~743?>9:ampwjXagy#[IN1.Pfwpjs404:m6matsn\mkus'_MJ="\jstnw8<869j1hby|c_hlpp*P@I8%_I@^PLHLF8686k2iexbPioqw+SAF9&^NA]QCIOG?0;7d3jd~aQfnrv,RBG6']OF\RBFND>6:4eei|{fTec}{/WEB5*RBEYUGECK38?3a?fhszeUbb~z VFC2+QCJXVFBBHQ<1c9`jqtkW`dxx"XHA0-WAHVXD@DNS9?m;blwviYnfz~$ZJO>/UGNTZJNFLU>=o5lnupo[lht|&\LMd:ampwjXagy#[IN1.VFIUYWAQOG0>0>d:ampwjXagy#[IN1.VFIUYWAQOG090>d:ampwjXagy#[IN1.VFIUYWAQOG080>d:ampwjXagy#[IN1.VFIUYWAQOG0;0>d:ampwjXagy#[IN1.VFIUYWAQOG0:0>d:ampwjXagy#[IN1.VFIUYWAQOG050>d:ampwjXagy#[IN1.VFIUYWAQOG040>c:ampwjXagy#[IN1.VFIUYWAQOGS>?l;blwviYnfz~$ZJO>/UGNTZVNPLFT8=n5lnupo[lht|&\LM;h7n`{rm]jjvr(^NK:#YKBP^RJ\@JX08i0ocz}l^kmwq)QOH;$XHC__QK[AIY>:;1hby|c_hlpp*P@I8%_I@^PPHZFHZgil9:;?01320>ei|{fTec}{/WEB5*PDDB;>7n`{rm]jjvr(^NK:#[MCK03:?fhszeUbb~z VFC2+SEKCVH^JJ<7;blwviYnfz~$ZJO>/WAOOZDRNNUB/WALQKRBZ5?5=o5lnupo[lht|&\LM7;i7n`{rm]jjvr(^NK:#[M@UOVFV9199k1hby|c_hlpp*P@I8%]OB[ATDP?<;7e3jd~aQfnrv,RBG6'_IDYCZJR=;=5d=df}xgSd`|t.TDE4)QKF_EXH\P00c8gkrudVcey!YG@3,RFIRF]OYS/WALQKRBZV8:m6matsn\mkus'_MJ="XLOTLWAWY49h1hby|c_hlpp*P@I8%]OB[ATDP\04ga:ampwjXagy#[IN1.T@KPHSM[U<=l5lnupo[lht|&\LM>319`jqtkW`dxx"XHA0-UAWRNKHFTOB[ATDP\V@UB\Vg~t=>?13004>ei|{fTec}{/WEB5*PBZ]CHMAQLOTLWAWYUMZO_S`{w012270553jd~aQfnrv,RBG6'_OYXDMNL^ALQKRBZVXN_HZPmtz34574=VL8>6matsn\mkus'_MJ="XJRUK@EIYDG\D_I_Q]ERGW[hs89::?8QH319`jqtkW`dxx"XHA0-UAWRNKHFTOB[ATDP\V@UB\Vg~t=>?19700>ei|{fTec}{/WEB5*PBZ]CHMAQLOTLWAWYUMZO_S`{w0122<0YT_99>7n`{rm]jjvr(^NK:#[K]THABHZEH]G^N^R\JSDV\ip~789;39R]X0014?fhszeUbb~z VFC2+SCU\@IJ@RM@UOVFVZTB[L^Taxv?013;1ZUP8Vl>?=5lnupo[lht|&\LM6:ampwjXagy#[IN1.TP]KEO9l1hby|c_hlpp*P@I8%]_T@LH^ov|56798l0ocz}l^kmwq)QOH;$Z^WACI]nq}6788;9;6matsn\mkus'_MJ="Xjruk`eiYT|h~nSK]B_GDg57g269`jqtkW`dxx"XHA0-UawrnkhfT_yo{e^DPIZ@Al;8j7n`{rm]jjvr(^NK:#[k}thabhZUsi}oTJ^CPFGf1)Lh5m2iexbPioqw+SAF9&\n~yglam]PpdrbWOYFSKHk2,Km[VQ7:>1hby|c_hlpp*P@I8%]izfc`n\WqgsmVLXARHId20b?fhszeUbb~z VFC2+Scu|`ij`R]{aug\BVKXNOn8!D`=b:ampwjXagy#[IN1.TfvqodieUXxlzj_GQN[C@c;$Ce=?94covqhZoi{}%]KL? VdpwmfgkWZ~jxhQISL]EBa25i2iexbPioqw+SAF9&\n~yglam]PpdrbWOYFSKHk4,Km6g=df}xgSd`|t.TDE4)Qm{~bolbPSucwaZ@TEVLMh9#Fn004?fhszeUbb~z VFC2+Scu|`ij`R]{aug\BVKXNOn>>:5lnupo[lht|&\LMei|{fTec}{/WEB5*Pbz}chmaQ\t`vf[CUJWOLo: Ga_RU37<=df}xgSd`|t.TDE4)Qm{~bolbPSucwaZ@TEVLMh;#Fn^QT4*JR\=UGSO[I319`jqtkW`dxx"XHA0-UawrnkhfT_yo{e^DPIZ@Al?'BbR]X0.M13>ei|{fTec}{/WEB5*Pbz}chmaQ\t`vf[CUJWOLo;?74covqhZoi{}%]KL? VdpwmfgkWZ~jxhQlmq]fu5678;k0ocz}l^kmwq)QOH;$Zh|{ibco[Vrf|lUha}Qjq12344523jd~aQfnrv,RBG6'_oyxdmnl^QweqcXkdzTi|>?013,HPR3WMUIYK/WgqplefdVYmykPclr\at6789;T_Z>=a:ampwjXagy#[IN1.TfvqodieUXxlzj_bos[`w789:9>o5lnupo[lht|&\LM?0031=>ei|{fTec}{/WEB5*Pbz}chmaQ\t`vf[fkwWl{;<==<4:ampwjXagy#[IN1.TfvqodieUXxlzj_bos[`w7899$@XZ;_E]AQC4e3jd~aQfnrv,RBG6'_oyxdmnl^QweqcXkdzTi|>?023273=df}xgSd`|t.TDE4)Qm{~bolbPSucwaZejxVoz<=><10-OQQ2XLVH^J?j4covqhZoi{}%]KL? VdpwmfgkWZ~jxhQlmq]fu567;VY\?053,HPR4WMUIYK?7;blwviYnfz~$ZJO>/^ov|56788<0ocz}l^kmwq)Ximn;<=>35?35?fhszeUbb~z _`fg45664=4:;6matsn\mkus'Vkoh=>?1=6=5402iexbPioqw+Zgcl9:;>1;1179`jqtkW`dxx"Qnde2346:268<0ocz}l^kmwq)Ximn;<=:34?34?fhszeUbb~z _`fg45634=4:=;5lnupo[lht|&Ujhi>?04>0:402iexbPioqw+Zurj9:;<1=1199`jqtkW`dxx"Q|uc2345:368;:n6matsn\mkus'Vy~n=>?0=6=[gbc88>0ocz}l^kmwq)eiefTt1>1159`jqtkW`dxx"lnlm]{8486<2iexbPioqw+ggkdVr7>3?;;blwviYnfz~$nlbc_y>0:425=95lnupo[lht|&hj`aQw<4<20>ei|{fTec}{/ccohZ~;>7;87n`{rm]jjvr(jhfgSuQ?129`jqtkW`dxx"lnlm]{[4743jd~aQfnrv,fdjkWqU9=>5lnupo[lht|&hj`aQw_230?fhszeUbb~z b`no[}Y39:1hby|c_hlpp*dfdeUsS8?<;blwviYnfz~$nlbc_y]55<=df}xgSd`|t.`bhiYWge<=>>159`jqtkW`dxx"lnlm]{`lt6k2iexbPioqw+ggkdVroeQnne23457b3jd~aQfnrv,fdjkWqnb~Road12346?6m2iexbPioqw+ggkdVroeQnne234525:81hby|c_hlpp*dfdeUshd|Paof34560WZ];>?5lnupo[lht|&hj`aQwdhp\ekb789:?7^QT47433jd~aQfnrv,fdjkWqnb~Road12342YT_9Um9<1g9`jqtkW`dxx"lnlm]{`ltXkdzT`h>?013`?fhszeUbb~z b`no[}bnzVg~t=>?00g8gkrudVcey!mamn\|aouWds<=>?120:?fhszeUbb~z b`no[}bnzVg~t=>?001,HPR3WEUIYK?4201?fhszeUbb~z b`no[}bnzVg~t=>?051\WR66j2iexbPioqw+ggkdVroeQ`r12344e?013,HPR4WMUIYK?m;blwviYnfz~$nlbc_yfjvZiu89:9=n5lnupo[lht|&hj`aQwdhp\kw678;;9;6matsn\mkus'kkg`Rvkis]lv567:8%GYY=PD^@VB42ei|{fTec}{/ccohZ;:7;?7n`{rm]jjvr(jhfgSt2<>068gkrudVcey!mamn\}9299=1hby|c_hlpp*dfdeUr080>3:ampwjXagy#oocl^{\445ei|{fTec}{/ccohZXe|r;<=>30?3g?fhszeUbb~z b`no[|Yj}q:;<=2?>03g?fhszeUbb~z b`no[|Yj}q:;<=2?>33e?fhszeUbb~z b`no[|Yj}q:;<=2?>^d65f=df}xgSd`|t.`bhiY~Wds<=>?<0<2`>ei|{fTec}{/ccohZXe|r;<=>31?32a>ei|{fTec}{/ccohZXe|r;<=>31?326<=df}xgSd`|t.`bhiY~Wds<=>?<0<25*JR\:UOSO[I1b9`jqtkW`dxx"lnlm]z[hs89:;0?0>d:ampwjXagy#oocl^{\ip~789:7>3?>f:ampwjXagy#oocl^{\ip~789:7>3?PF0d8gkrudVcey!mamn\}Zkrp9:;<1<11^E2g>ei|{fTec}{/ccohZXe|r;<=>33?3g?fhszeUbb~z b`no[|Yj}q:;<=2<>03`?fhszeUbb~z b`no[|Yj}q:;<=2;>0f8gkrudVcey!mamn\}Zkrp9:;<1:11338gkrudVcey!mamn\}Zkrp9:;<1:11^QT44e?0=7=5a=df}xgSd`|t.`bhiY~Wds<=>?<4<25`=df}xgSd`|t.`bhiY~Wds<=>?<4<254c?0=7=57473jd~aQfnrv,fdjkWpUfyu>?01>6:4Ya=830ocz}l^kmwq)eiefTuR``t12364g?2037?fhszeUbb~z b`no[|bnz8i0ocz}l^kmwq)eiefTuig}_`lg45679l1hby|c_hlpp*dfdeUrhd|Paof3456698l0ocz}l^kmwq)eiefTuig}_`lg45679839<6matsn\mkus'kkg`Rwkis]bja67898:=<=9:ampwjXagy#oocl^{gmwYffm:;<===/MWW0ZBXJ\L9>6matsn\mkus'kkg`Rwkis]bja678999S^Y?239`jqtkW`dxx"lnlm]z`ltXign;<=><2^QT54c>?5lnupo[lht|&hj`aQvdhp\ekb789:34R]X0308gkrudVcey!mamn\}aouWhdo<=>?89]PS44c3jd~aQfnrv,fdjkWpnb~Road1234=>X[^;$@XZ;_M]AQC433jd~aQfnrv,fdjkWpnb~Road1234=>X[^;$C=0:ampwjXagy#oocl^{gmwYdeyUlx=>?0007?fhszeUbb~z b`no[|bnzVif|Ri{01235ZUP8;l0ocz}l^kmwq)eiefTuig}_bos[br789::S^Y?/MWW6ZJXJ\L9:6matsn\mkus'kkg`Rwkis]`iuY`|9:;<0ocz}l^kmwq)eiefTuig}_bos[ir789::S^Y?2g9`jqtkW`dxx"lnlm]z`ltXkdzT`y>?013\WR6(D\^9SAQMUG05?fhszeUbb~z b`no[|bnzVif|Rb{01235ZUP8&E:o6matsn\mkus'kkg`Rwkis]nq}6789887n`{rm]jjvr(jhfgStjfr^ov|56788UBB[Q?199`jqtkW`dxx"lnlm]zvdrb494:46matsn\mkus'kkg`Rw}aug?5;703jd~aQfnrv,fdjkWpxjxhQ?169`jqtkW`dxx"lnlm]zvdrbW88;7n`{rm]jjvr(jhfgSt|ntd]nq}678=6;2?<4covqhZoi{}%imabPyscwaZkrp9:;81>11101?fhszeUbb~z b`no[|tf|lUfyu>?05>3:475;2iexbPioqw+ggkdVsymykPmtz3452;87;:??l4covqhZoi{}%imabPyscwaZkrp9:;81>1101\WR6X[^:8:6matsn\mkus'kkg`Rw}aug\ip~789>7<3?>3^QT4ZUP8&F^X?QC_CWE6a=df}xgSd`|t.`bhiY~zh~nS`{w012785869:UX[=Q\W1-L66=df}xgSd`|t.`bhiY~zh~nS`{w012785869>8n7n`{rm]jjvr(jhfgSt|ntd]nq}678=6;27<3?>_RU366=df}xgSd`|t.`bhiY~zh~nS`{w01278586?88:7n`{rm]jjvr(jhfgSt|ntd]nq}678=6;2?<=;blwviYnfz~$nlbc_xpbp`Yj}q:;<92?>331`>ei|{fTec}{/ccohZui}oTaxv?016?4;46'E__8RBPBTD10>ei|{fTec}{/ccohZui}oTaxv?016?4;46'F897n`{rm]jjvr(jhfgSt|ntd]nq}678=6;29>=1:ampwjXagy#oocl^{qeqcXe|r;<=:30?414>ei|{fTec}{/ccohZui}oTaxv?016?5;453jd~aQfnrv,fdjkWpxjxhQbuy2341:668:9>6matsn\mkus'kkg`Rw}aug\ip~789>7=3<;239`jqtkW`dxx"lnlm]zvdrbWds<=>;<0<0674>16]PS5)K]]>T@RLZF378gkrudVcey!mamn\}wgsmVddx=>?105\WR6(G8l0ocz}l^kmwq)eiefTuo{e^llp5679;2:i6matsn\mkus'kkg`Rw}aug\jjr789;3>?5lnupo[lht|&hj`aQvr`vf[kis89::4R]X03f8gkrudVcey!mamn\}wgsmVddx=>?19]PS5)K]]>T@RLZF368gkrudVcey!mamn\}wgsmVddx=>?19]PS5)H9:1hby|c_hlpp*bbdhs7<3?<;blwviYnfz~$hhbny=3=56=df}xgSd`|t.ffhd;:7;97n`{rm]jjvr(llfjuR>>2:ampwjXagy#ikcax]257=df}xgSd`|t.ffhdX:8;0ocz}l^kmwq)bfhhgi<74covqhZoi{}%nbllce^cm`56708k0ocz}l^kmwq)bfhhgiRoad123<47d3jd~aQfnrv,akgedlUha}Qjq12344b?1^QT4*JR\=UGSO[I239`jqtkW`dxx"kaacnf[fkwWl{;<=?PSV2,K4??00d8gkrudVcey!jn``oaZkrp9:;<ei|{fTec}{/dlbficXe|r;<=>>1^QT4*JR\=UGSO[I209`jqtkW`dxx"kaacnf[hs89:;=?10]PS4463jd~aQfnrv,akgedlUfyu>?0132[VQ6WO8:7n`{rm]jjvr(mgki`hQbuy234576WZ]:SJ?m;blwviYnfz~$icomld]nq}678982=o5lnupo[lht|&oemobj_lw{4567;=;i7n`{rm]jjvr(mgki`hQbuy234522901hby|c_hlpp*ciikfnSca{01225g=df}xgSd`|t.gmegjbWge<=>>3205?fhszeUbb~z eocah`Yig}:;<<=b:ampwjXagy#h`nbmg\jjr789;>4<:4covqhZoi{}%gikzPy=2=51=df}xgSd`|t.nfbqY~484:86matsn\mkus'eomxRw32?37?fhszeUbb~z lddw[|:468>0ocz}l^kmwq)kmo~Tu1:1159`jqtkW`dxx"bjfu]z8086;2iexbPioqw+ica|VsT<<=4covqhZoi{}%gikzPy^327>ei|{fTec}{/mgepZX:890ocz}l^kmwq)kmo~TuR=>3:ampwjXagy#akit^{\0452:42ei|{fTec}{/mq`kwcX8890ocz}l^kmwq)k{jeyiR?>3:ampwjXagy#a}losg\645c:ampwjXagy#ckwt^ALHJT;87;:>??l;blwviYnfz~$bhv{_BMOKW:768;9??94covqhZoi{}%eiuzPCNNLV9699888#A[[3^N\FP@6m2iexbPioqw+kc|VID@B\30?3266)H:91hby|c_hlpp*hbp}UHCAA]<1<2575X[^:9<6matsn\mkus'gosxRM@LNP?4;76::UX[=UM=i5lnupo[lht|&dntyQLOMMQ8586>=UL=o5lnupo[lht|&dntyQLOMMQ85860?8=7n`{rm]jjvr(flrSNACOS>3:4>1'E__?RJPBTD2g>ei|{fTec}{/og{pZEHDFX7<3<>043f?fhszeUbb~z ndzw[FIKG[6;2???5^D2a>ei|{fTec}{/og{pZEHDFX7<3<>04]D5g=df}xgSd`|t.lf|qYDGEEY0=0=453b?fhszeUbb~z ndzw[FIKG[6;2?9>c:ampwjXagy#ckwt^ALHJT;87UX[2:4YT_9;h7n`{rm]jjvr(flrSNACOS>2:ZUP8;=0ocz}l^kmwq)imq~TOBB@R=3=[VQ7'E__8RBPBTD2a>ei|{fTec}{/og{pZEHDFX7=3Q\W1-L5a=df}xgSd`|t.lf|qYDGEEYSl`k01235c=df}xgSd`|t.lf|qYDGEEYSl`k012375443jd~aQfnrv,j`~sWJEGC_Qnne234557WZ];>h5lnupo[lht|&dntyQLOMMQ[dhc89:;?=Q\W1-OQQ5XDVH^J?;4covqhZoi{}%eiuzPCNNLVZgil9:;<>>PSV2,K4cTHRLZF378gkrudVcey!aeyv\GJJHZVkeh=>>7^QT4ZUP8;:0ocz}l^kmwq)imq~TOBB@R^antZab89::>=5lnupo[lht|&dntyQLOMMQ[fkwWno;<=<=0:ampwjXagy#ckwt^ALHJTXkdzT`h>?0303?fhszeUbb~z ndzw[FIKG[Uha}Qce1237746;2?=4covqhZoi{}%eiuzPCNNLVZkrp9:;;1>11511a>ei|{fTec}{/og{pZEHDFXTaxv?015?4;73;&F^X9QC_CWE60=df}xgSd`|t.lf|qYDGEEYS`{w01248586<:%D>>5lnupo[lht|&dntyQLOMMQ[hs89:<0=0>7100?fhszeUbb~z ndzw[FIKG[Ufyu>?06>3:777::1hby|c_hlpp*hbp}UHCAA]_lw{456049498;<<;blwviYnfz~$bhv{_BMOKWYj}q:;<:2?>35067=df}xgSd`|t.lf|qYDGEEYS`{w012485851;<0ocz}l^kmwq)imq~TOBB@R^ov|567?5:5>4Q\W000?fhszeUbb~z ndzw[FIKG[Ufyu>?06>3:667::1hby|c_hlpp*hbp}UHCAA]_lw{45604948<<<<;blwviYnfz~$bhv{_BMOKWYj}q:;<:2?>21166=df}xgSd`|t.lf|qYDGEEYS`{w01248584=<887n`{rm]jjvr(flrSNACOS]nq}678>6;2>8:239`jqtkW`dxx"`jxu]@KIIUWds<=>8<1<717b?5lnupo[lht|&dntyQLOMMQ[hs89:<0=0913f8gkrudVcey!aeyv\GJJHZVg~t=>?7=2=24)K]]9T@RLZF368gkrudVcey!aeyv\GJJHZVg~t=>?7=2=24)H:;1hby|c_hlpp*hbp}UHCAA]_lw{4560494<=?<4covqhZoi{}%eiuzPCNNLVZkrp9:;;1>1900g?fhszeUbb~z ndzw[FIKG[Ufyu>?06>3:<7(D\^?SAQMUG07?fhszeUbb~z ndzw[FIKG[Ufyu>?06>3:<7(G;<0ocz}l^kmwq)imq~TOBB@R^ov|567?5:55ei|{fTec}{/og{pica|Vs7?3?7;blwviYnfz~$bhv{lddw[|:36820ocz}l^kmwq)imq~gikzPy=7=52=df}xgSd`|t.lf|qjbn}UrS=?8;blwviYnfz~$bhv{lddw[|Y69>1hby|c_hlpp*hbp}fnjyQv_334?fhszeUbb~z ndzwh``sWpU8=:5lnupo[lht|&dntybjfu]z[1703jd~aQfnrv,j`~sdllStQ:219`jqtkW`dxx"`jxunfbqY~Wjg{Sjz?01215>ei|{fTec}{/og{pica|VsTo`~Pgu234575=2iexbPioqw+kc|eomxRwPclr\cq6789;T_Z>=0:ampwjXagy#ckwtmgepZXkdzT`y>?0102?fhszeUbb~z ndzwh``sWpUha}Qct12344423jd~aQfnrv,j`~sdllStQlmq]op56788UX[=368gkrudVcey!aeyvoacrXqVg~t=>?4=2=[VQ7:91hby|c_hlpp*hbp}fnjyQv_lw{45634849=6matsn\mkus'gosxakit^{\ip~789>7=3?=0:ampwjXagy#ckwtmgepZXe|r;<=:32?02?fhszeUbb~z ndzwh``sWpUfyu>?05>1:4473jd~aQfnrv,j`~sdllStQbuy2341:46;;0ocz}l^kmwq)imq~gikzPy^ov|567<595=?>4covqhZoi{}%eiuzcegv\}Zkrp9:;81:1209`jqtkW`dxx"`jxunfbqY~Wds<=>;<5<265=df}xgSd`|t.lf|qjbn}UrS`{w0127808592iexbPioqw+kc|eomxRwPmtz3452;=7;:i6matsn\mkus'gosxakit^{\ip~789?:=i5lnupo[lht|&dntybjfu]z[kis89:9=h5lnupo[lht|&dntybjfu]z[kis89:9=<74covqhZoi{}%eiuz}ifjw[|:76830ocz}l^kmwq)imq~yejf{_x>2:4?0;8gkrudVcey!aeyvqmbnsWp682<74covqhZoi{}%eiuz}ifjw[|:36830ocz}l^kmwq)imq~yejf{_x>6:4>ei|{fTec}{/og{pwo``}UrS`{w01278485:2iexbPioqw+kc|{cldyQv_lw{4563484:><5lnupo[lht|&dnty|fgiv\}Zkrp9:;81<1239`jqtkW`dxx"`jxupjcmrXqVg~t=>?4=0=577;blwviYnfz~$bhv{rhekpZXe|r;<=:34?01?fhszeUbb~z ndzwvlao|VsTaxv?016?0;7592iexbPioqw+kc|{cldyQv_lw{45634<49>6matsn\mkus'gosxghhu]z[hs89:?080>1g9`jqtkW`dxx"`jxupjcmrXqVg~t=>?503f?fhszeUbb~z ndzwvlao|VsTbbz?0102b>ei|{fTec}{/og{pwo``}UrSca{012154eei|{fTec}{/ownf`tXimnyi~}35?3`?fhszeUbb~z ntoaawYflmxn~29>0`8gkrudVcey!aul`fvZgcl{oxR==3:ampwjXagy#c{bbdp\eabumzyT?Rczx123672=259`jqtkW`dxx"`zmcgq[dbczlyxS9Qbuy234776j2iexbPioqw+ksjjlxTmij}erq\175?203a?fhszeUbb~z ntoaawYflmxn~Q9229`jqtkW`dxx"`zmcgq[dbczlyxS;Qbuy2347433jd~aQfnrv,jpkem{Ujhi|jsr]5[hs89:9=<;4covqhZoi{}%yejf{_x>3:431:437:432<:4covqhZoi{}%yejf{_x]351=df}xgSd`|t.pjcmrXqV;:86matsn\mkus'{cldyQv_337?fhszeUbb~z rhekpZX;8>0ocz}l^kmwq)uanbStQ;159`jqtkW`dxx"|fgiv\}Z36l2iexbPioqw+wo``}UrSnc_fv34566m2iexbPioqw+wo``}UrSnc_fv34566:;1hby|c_hlpp*tnoa~TuRmbp^ew45679VY\<ei|{fTec}{/skdlqY~Wds<=>=<3<2a>ei|{fTec}{/skdlqY~Wds<=>=<3<25a=df}xgSd`|t.pjcmrXqVg~t=>?2=1=5`=df}xgSd`|t.pjcmrXqVg~t=>?2=1=54b?03>7:4c?03>7:47c3jd~aQfnrv,vlao|VsTaxv?010?1;7b3jd~aQfnrv,vlao|VsTaxv?010?1;76<2iexbPioqw+wudg{o7<3?;;blwviYnfz~$~~m`rd>2:42ei|{fTec}{/sq`kwcX8890ocz}l^kmwq)u{jeyiR?>3:ampwjXagy#}losg\645?103,HPR3WMUIYK?m;blwviYnfz~$~~m`rd]mkq67888:=i5lnupo[lht|&xxob|j_omw4566WZ];96bk<1<6?ib;97?0`i2=>49o`959=2fo090:;mf?1;3jcWvoqzchmaQhrnws[fhszeUbb~z VBNH66=w`pybolbPgsmvtZei|{fTec}{/WEB5*PDDB8o7}fvshabhZaug|zTocz}l^kmwq)eiefTuig}_`lg45670<20|b`h_ums0>vt:j30|~;sgb`Zvt:Vcey!A1631?wcflVzx>Rgasu-M647682xnmiQs3]jjvr(F<;;7knd^rp6Zoi{}%E5<74rdcg[uu5W`dxx"bjfu]gmw:76830~hok_qq1[lht|&fnjyQkis>2:4>?<1<2672;5}e`f\tv4Xagy#akit^fjvZkrp9:;<1>113]e175?01>3:705;2xnmiQs3]jjvr(dllSig}_lw{4567494?=?<4rdcg[uu5W`dxx"bjfu]gmwYj}q:;<=2?>80g?wcflVzx>Rgasu-oacrXl`xTaxv?012?4;?(D\^?SAQMUG07?wcflVzx>Rgasu-oacrXl`xTaxv?012?4;?(G;;0~hok_qq1[lht|&fnjyQkis]nq}67896:2?=4rdcg[uu5W`dxx"bjfu]gmwYj}q:;<=2>>3617>tbimU{?Qfnrv,h``sWmcyS`{w01238485>;80~hok_qq1[lht|&fnjyQkis]nq}67896:2431?;,HPR3WEUIYK<;;sgb`Zvt:Vcey!cegv\`ltXe|r;<=>31?;,K412d9qadbXxz8Tec}{/qq1[frud&Ghcx`{_bnh[mo``Vlb`yk}2248v`gcWyy9Sd`|t.rp6Zesze%Fob{at^aooZnnoaUmeazjr^zlv969989=7knd^rp6Zoi{}%{?Qltsn,Ifirf}Uh`fQgifj\bljsm{Usc2>>0302>tbimU{?Qfnrv,tv4Xk}xg#@m`uov\gimX``mcSkgctdp\|jt;:7;:>n5}e`f\tv4Xagy#}}=_bvqh*Kdg|dSnbd_mmt[cok|lx9h6|jae]sw7Ynfz~$|~0301>tbimU{?Qfnrv,tv4Xk}xg#@m`uov\gimXdf}Tjdb{es]{kw:668;896|jae]sw7Ynfz~$|~032e>tbimU{?Qfnrv,tv4Xk}xg#C?<269qadbXxz8Tec}{/qq1[frud&XnhzPiot2[LHQW9887knd^rp6Zoi{}%{?Qltsn,WfgiWJeoi1>1229qadbXxz8Tec}{/qq1[frud&YhmcQLoeg?5;443{ojhR~|2^kmwq)w{;Uhxb Sbcm[Ficm585>>5}e`f\tv4Xagy#}}=_bvqh*UdigUHcik33?00?wcflVzx>Rgasu-sw7Yd|{f$_noa_Bmga929::1yiljPpr0\mkus'yy9Snz}l.Q`ekYDgmo793<<;sgb`Zvt:Vcey!s3]`pwj([jkeSNake=4=66=umhnT|~=2:pfeaYw{;Ubb~z pr0\gqtk'ZijbRM`dd]267=umhnT|~Rm{rm-PgdhXKfnnS><=;sgb`Zvt:Vcey!s3]`pwj([jkeSNake^616>tbimU{?Qfnrv,tv4Xk}xg#^mnn^Al``Y2:;1yiljPpr0\mkus'yy9Snz}l.Q`ekYDgmoT:?<4rdcg[uu5W`dxx"~|2^awvi)TkhdTObjj_60;?wcflVzx>Rgasu-sw7Yd|{f$_noa_BmgaZgil9:;Rm{rm-amqYdg|d0=0=3:pfeaYw{;Ubb~z pr0\gqtk'kcSnaznu>2:75308v`gcWyy9Sd`|t.rp6Zesze%ieyQlotlw[5453{ojhR~|2^kmwq)w{;Uhxb bhv\gjsi|V;9>6|jae]sw7Ynfz~$|~?<1<1g>tbimU{?Qfnrv,tv4Xk}xg#og{_bmvjqYj}q:;<=2?>00f?wcflVzx>Rgasu-sw7Yd|{f$ndzPcnwmpZkrp9:;<1>1_g71f>tbimU{?Qfnrv,tv4Xk}xg#og{_bmvjqYj}q:;<=2>>3a8v`gcWyy9Sd`|t.rp6Zesze%ieyQlotlw[hs89:;0<0>2c9qadbXxz8Tec}{/qq1[frud&hbxRm`uov\ip~789:7>31229qadbXxz8Tec}{/qq1[frud&igil|Prde?5;453{ojhR~|2^kmwq)w{;Uhxb cmgbvZtboV:9>6|jae]sw7Ynfz~$|~2c9qadbXxz8Tec}{/qq1[frud&igil|Prde\ip~789:7<33{ojhR~|2^kmwq)w{;Uhxb cmi\llaoWocgxh|31?0:?wcflVzx>Rgasu-sw7Yd|{f$oaePhhek[cok|lx7>3<7;sgb`Zvt:Vcey!s3]`pwj(keaTddig_gkop`tX8;20~hok_qq1[lht|&zx>Rm{rm-`hnYoanbTjdb{es]26==umhnT|~2:7>;sgb`Zvt:Vcey!s3]`pwj(n`fiQllj0:?wcflVzx>Rgasu-sw7Yd|{f$jdb{es]`hnYig}:;<<o5}e`f\tv4Xagy#}}=_bvqh*`nd}oySnbd_omw4566WN;m7knd^rp6Zoi{}%{?Qltsn,wZtbo5:5=k5}e`f\tv4Xagy#}}=_bvqh*uXzlm7=3?i;sgb`Zvt:Vcey!s3]`pwj({Vxnk1<11g9qadbXxz8Tec}{/qq1[frud&yT~hi33?3e?wcflVzx>Rgasu-sw7Yd|{f$R|jg=6=5c=umhnT|~e:pfeaYw{;Ubb~z pr0\gqtk'zUyijQ<1d9qadbXxz8Tec}{/qq1[frud&yT~hiP40g8v`gcWyy9Sd`|t.rp6Zesze%xSkh_43f?wcflVzx>Rgasu-sw7Yd|{f$R|jg^42a>tbimU{?Qfnrv,tv4Xk}xg#~Q}ef]45`=umhnT|~?0=3=6==umhnT|~Rm{rm-p[wc`Wds<=>?<3<262=umhnT|~Rm{rm-p[wc`Wds<=>?<5<1<>tbimU{?Qfnrv,tv4Xk}xg#~Q}ef]nq}67896?2<<8;sgb`Zvt:Vcey!s3]`pwj({VxnkRczx1234939:11yiljPpr0\mkus'yy9Snz}l.q\v`aXe|r;<=>35?313>tbimU{?Qfnrv,tv4Xk}xg#~Q}ef]nq}67896=2?64rdcg[uu5W`dxx"~|2^awvi)tW{olS`{w01238386:>1yiljPpr0\mkus'yy9Snz}l.q\v`aXe|r;<=>37?0;?wcflVzx>Rgasu-sw7Yd|{f$R|jg^ov|56785=5=?94rdcg[uu5W`dxx"~|2^awvi)tW{olS`{w01238=8502xnmiQs3]jjvr(xz8Toy|c/r]qabYj}q:;<=27>002?wcflVzx>Rgasu-sw7Yd|{f$noa_sgb`|4>3{ojhR~|2^kmwq)w{;Uhxb sbcm[wcflpUecy>?000b?wcflVzx>Rgasu-sw7Yd|{f$noa_sgb`|Yig}:;<i5}e`f\tv4Xagy#}}=_bvqh*udigUxxlzj_GQN[C@c9$Ce>h5}e`f\tv4Xagy#}}=_bvqh*udigUxxlzj_GQN[C@c9$Ce=?13:8v`gcWyy9Sd`|t.rp6Zesze%~dihes]nq}6789;:=?5}e`f\tv4Xagy#knd0c8v`gcWyy9Sd`|t.pfeaYj}q:;<=?m;sgb`Zvt:Vcey!}e`f\ip~789::=45}e`f\tv4Xagy#knd^mq45679h1yiljPpr0\mkus'{ojhRa}012354gRgasu-qmbnsWmcy0<0>9:pfeaYw{;Ubb~z rhekpZbnzV::56|jae]sw7Ynfz~$~digt^fjvZ75:2xnmiQs3]jjvr(z`mcxRjfr^ov|56785:5>85}e`f\tv4Xagy#ghhu]gmwYj}q:;<=2?>03266=umhnT|~tbimU{?Qfnrv,vlao|Vnb~Rczx1234969:?%D>:5}e`f\tv4Xagy#ghhu]gmwYj}q:;<=2?>3]PS5553{ojhR~|2^kmwq)uanbSig}_lw{45674949S^Y?/MWW7ZJXJ\L956|jae]sw7Ynfz~$~digt^fjvZkrp9:;<1>12^QT4*I5<2xnmiQs3]jjvr(z`mcxRjfr^ov|56785:5?4?<1<0=*JR\=UGSO[I279qadbXxz8Tec}{/skdlqYca{Ufyu>?01>3:6?(G;20~hok_qq1[lht|&xbkezPdhp\ip~789:7<3=6_RU361=umhnT|~16901?wcflVzx>Rgasu-qmbnsWmcyS`{w01238485=2xnmiQs3]jjvr(z`mcxRjfr^ov|56785;5=?0=3=547X[^:986|jae]sw7Ynfz~$~digt^fjvZkrp9:;<1?11207?wcflVzx>Rgasu-qmbnsWmcyS`{w012384848;l0~hok_qq1[lht|&xbkezPdhp\ip~789:7=3=?/MWW6ZJXJ\L9:6|jae]sw7Ynfz~$~digt^fjvZkrp9:;<1?131-L61=umhnT|~Rgasu-qmbnsWmcyS`{w012384819&F^X9QC_CWE63=umhnT|~31?:10>tbimU{?Qfnrv,vlao|Vnb~Rczx123497909;m7knd^rp6Zoi{}%yejf{_ekq[kis89::=>5}e`f\tv4Xagy#~k}vd3a?wcflVzx>Rgasu-pawpbWds<=>?1d9qadbXxz8Tec}{/rgqr`Yj}q:;<=?>6308v`gcWyy9Sd`|t.qfvscXe|r;<=>>17]PS57c3{ojhR~|2^kmwq)tm{|nS`{w01235<7c3{ojhR~|2^kmwq)tm{|nS`{w012364463{ojhR~|2^kmwq)tm{|nS`{w012364YT_9;o7knd^rp6Zoi{}%xixj_lw{4567=9837knd^rp6Zoi{}%xixj_lw{4567=9%GYY=PL^@VB4`Rgasu-pawpbWds<=>?71-L64=umhnT|~?0153[VQ79k1yiljPpr0\mkus'zoyzhQaou23447d3{ojhR~|2^kmwq)tm{|nSca{012254b?1g9qadbXxz8Tec}{/rvltnc~Wds<=>?103e?wcflVzx>Rgasu-ppjvlmpUfyu>?011;5c=umhnT|~3SJ?i;sgb`Zvt:Vcey!|tnrha|Yj}q:;<=6;1g9qadbXxz8Tec}{/rvltnc~Wds<=>?843e?wcflVzx>Rgasu-ppjvlmpUfyu>?01;55a=umhnT|~uboVkTCO[I5:qfcZdf3zolSoQ@BTD6?vc`Wjk0hiPc^MAQC3<{lmThl5|ef]g[JDRN?1xijQkpc9pabYcxVEIYK;4sde\ad=tmnUnSBLZF49pabYai2ynkRhPOCWE1>uboVmj7~kh_f]LFP@>3zzj~yoa3``8plrkmVcey!>b:vjpicXagy#@m`uov\gkrXkp6;26:Zts9k1eybj_hlpp*Kdg|dSn`{_b{?2;7b3}c`hQfnrv,Ifirf}UhbyQly=4=[wr6j2~bxakPioqw+Heh}g~ToczPcx>4:4c<|`~giRgasu-Ngjsi|ViexRmv<6<\vq7e3}c`hQfnrv,Ifirf}UhbyQly=:=5`=sa}fnSd`|t.O`kphsWjdSnw38?]qp4e<|`~giRgasu-Ngjsi|ViexRbzt=2=67=sa}fnSd`|t.O`kphsWjdSa{{<1<\MKPX88i0xdzce^kmwq)JkfexRmat^zlv9699j1eybj_hlpp*Kdg|dSn`{_ymq8486k2~bxakPioqw+Heh}g~ToczPxnp?6;7d3}c`hQfnrv,Ifirf}UhbyQwos>0:4e<|`~giRgasu-Ngjsi|ViexRv`r=6=5f=sa}fnSd`|t.O`kphsWjdSua}<4<2g>rn|eoTec}{/LalqkrXkg~Ttb|36?3`?qosdlUbb~z MbmvjqYdf}Usc28>0a8plrkmVcey!BcnwmpZei|Vrd~1611b9wmqjbW`dxx"Clotlw[fhsWqey040>f:vjpicXagy#@m`uov\gkrXpfx753Q}t0d8plrkmVcey!Brno\GJHCW:Uyc`>?01315>rn|eoTec}{/LpliZEHFMU8Sab0123557502~bxakPioqw+HtheVIDBIQ<_smn456799;:SD@Y_102?qosdlUbb~z Msmn[FIILV9T~bc?0122547a3}c`hQfnrv,IwijWJEEHR=Prno34564:91eybj_hlpp*KugdUHCCJP3^pli5678:;9<6zftmg\mkus'DxdaRM@NE]0[wij89:;9<<8;ukwh`Ynfz~$Aab_BMM@Z5Xzfg;<=>:10]JJSY79o1eybj_hlpp*KugdUHCCJP3^pli567818;7yg{ld]jjvr(E{efSNAAD^1\vjk789:3=<:4thvoaZoi{}%Yi~{ct=2=51=sa}fnSd`|t.Pfwpjs484:86zftmg\mkus'[oxyaz32?37?qosdlUbb~z Rdqvhq:468>0xdzce^kmwq)Umzgx1:1159wmqjbW`dxx"\jstnw8086<2~bxakPioqw+Wct}e~7:3?;;ukwh`Ynfz~$^h}zlu>4:42<|`~giRgasu-Qavsk|525=95{iunf[lht|&Xnxb{<8<24>rn|eoTec}{/`l?4;773}c`hQfnrv,ek:668:0xdzce^kmwq)ff585==5{iunf[lht|&ke0>0i;ukwh`Ynfz~$mcQ?f:vjpicXagy#l`P1g9wmqjbW`dxx"oa_3d8plrkmVcey!nn^125>rn|eoTec}{/blw858692~bxakPioqw+fhs484:=6zftmg\mkus'jd0?0>1:vjpicXagy#n`{<2<25>rn|eoTec}{/blw818692~bxakPioqw+fhs4<4:=6zftmg\mkus'jd0;0>1:vjpicXagy#n`{<6<25>rn|eoTec}{/blw8=8692~bxakPioqw+fhs404:<6zftmg\mkus'jdS=??;ukwh`Ynfz~$oczP1028plrkmVcey!lnu]155=sa}fnSd`|t.ampZ5682~bxakPioqw+fhsW=;;7yg{ld]jjvr(kg~T9<>4thvoaZoi{}%hbyQ9119wmqjbW`dxx"mat^524>rn|eoTec}{/blw[=773}c`hQfnrv,gkrX18=0xdzce^kmwq)tmoeS~kh<0<23>rn|eoTec}{/rguakYtmn682<94thvoaZoi{}%xi{ka_rgd8186?2~bxakPioqw+vcqmgUxij2:>048plrkmVcey!|ewgm[vc`W8;=7yg{ld]jjvr({l|nbR}jg^122>rn|eoTec}{/rguakYtmnU?=;5{iunf[lht|&ynzh`Psde\1==qohUi`xkk;web[gjrmVEIYK74vfc\cwcbfl1}klQhrdgm[JDRN?1}klQgsc9ucdYo{VEIYK74vfc\mkus'm1}klQfnrv,FISBm2|lmRgasu-DV@CI9:1}klQfnrv,LV_IKAUDYY?l;web[lht|&Gyc`QLOMMQ[wij89:;=a:tdeZoi{}%Fe|jg^TP]KEOWF__=i5yg`]jjvr(EzbyijQYSXL@LZIR\&IN><5yg`]jjvr(EzbyijQYSXL@LZIR\&YY@<8Jc:tdeZoi{}%YII?<;web[lht|&\XUCMG_NWW3>p`iVxnhn5yg`]qaaYHJ\L=7{in_wqa?safWyTCO[I8:zjhlh}g;37ubax^cvpjY7Wqni#n}{.y```xFGx:8=m6NOx7c3>C<028qX5:4=8`824d<6;:?:ho4<892ek4?03;0b?66:79'62d=:>:0q^7;:3:b>46f28989:01014be2:23=55\9585=g<628989=:1e`97=>6l2Y28786a;0956529mh1?56>d:f5e5<72809w^78:3:b>46f2898992.:089uP46e290:6<4<8`yP=2<50h0:3272`g<401:m7)<9f;dg?S4?=38py985339'e`<73-kh65>=;%cg>c2d;1b4?5??rB9:i5+27`92d6v=9:459yk4?>3:0c:kk:188m3g62900e;o<:188k2>62900c:6<:188k2`a2900c:6::188k2>02900c:6m:188k2c>2900c:6k:188k2>a2900e?78:188k2>>2900c:hk:188k2ce2900e?7::188k7?7290/>97529d8j72?2910c?6j:18'61?=:1l0b?:7:098k7>c290/>97529d8j72?2;10c?6l:18'61?=:1l0b?:7:298k3?6290/>9756828j72?2910c;6i:18'61?=>0:0b?:7:098k3>b290/>9756828j72?2;10c;6k:18'61?=>0:0b?:7:298k3>d290/>9756828j72?2=10c;6m:18'61?=>0:0b?:7:498k3>f290/>9756828j72?2?10c;66:18'61?=>0:0b?:7:698f713280:6=4?{%05f?c43A81281/>8k56`28k`6=83.9494=2798yg40=3;1=7>50z&12g<5>l1C>:=4H34g?!4?<38986*i9;:35>h50?097)<:e;4b4>i5:>0;6)<74;012>=zj>km6<4<:183!41j38<>6F=729K63b<,;2?6?9>;%d:>=663g83:7=4$37f>2?53`3;6=4+2969aa==h9>=1<7*=858163=k09;?5G2618L70c3-8387<81:&e=?>792d94;4;;%06a?1>:2c2<7>5$3:7>`b<3`l36=4+2969aa=:583>5}#:?h1=<<4H350?M41l2.9494>109'b<5855:&11`<01;1b5=4?:%0;0?ca32c2j7>5$3:7>`c<3`8>;7>5$3:7>73?32e:;:4?:%0;0?45>21vn:ok:080>5<7s-8=n7<82:J136=O:?n0(?6;:352?!`>21::7c<76;48 73b2>397d7?:18'6=2=mm10ek650;&1<1139K625<@;"a132;=6`=8784?!42m3=2>6g60;29 7>32ll07d7i:18'6=2=ml10e?;8:18'6=2=:<207b?87;29 7>32;8=76sm7`a95?5=83:p(?8m:351?M40;2B9:i5+2969627<,o314=?4n3:5>==#:!4?<3oo76a>7683>!4?<389:65rb6;f>4<3290;w)<9b;326>N5?:1C>;j4$3:7>4763-l265>>;o0;2??<,;?n6:7=;h;3>5<#:1>1ik54i8d94?"50=0ni65f24594?"50=099554o054>5<#:1>1>?84;|`4eg<62:0;6=u+27`9624<@;=87E<9d:&1<1<5?81/j447009m6=0=i2.99h48939j=5<72-8387kk;:ke1<7>t$34a>4753A812k1/>8k57808m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg1fi3;1?7>50z&12g<5?;1C>:=4H34g?!4?<38<=6*i9;:35>h50?0h7)<:e;5:6>o>83:1(?6;:df8?l`?290/>5:5ee98k410290/>5:52348?xd01h0:694?:1y'63d=9880D?9<;I05`>"50=0:=<5+f88;44=i:1<1h6*=5d84=7=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj>k26<4<:183!41j38<>6F=729K63b<,;2?6?9>;%d:>=663g83:7k4$37f>2?53`3;6=4+2969aa==h9>=1<7*=858163=k0:=?5G2618L70c3-8387?>1:&e=?>792d94;4i;%06a?1>:2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi;l651;194?6|,;N5>m1/>5:52638 c?=09;0b?69:028 73b2>397d7?:18'6=2=mm10ek650;&1<1139K625<@;"a132;=6`=87825>"5=l0<5?5f9183>!4?<3om76g6f;29 7>32lo07d<:7;29 7>32;?376a>7683>!4?<389:65rb6c4>4<4290;w)<9b;046>N5?:1C>;j4$3:7>7163-l265>>;o0;2?753-8>i7962:k:4?6=,;2?6hj4;hd;>5<#:1>1ii54o054>5<#:1>1>?84;|`4=1<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=9:1/>8k57808m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg1f>3;1?7>50z&12g<5?;1C>:=4H34g?!4?<38<=6*i9;:35>h50?0:86*=5d84=7=n190;6)<74;gg?>oa03:1(?6;:df8?j70?3:1(?6;:305?>{e?091=7:50;2x 70e28;97E<83:J12a=#:1>1=2?53`3;6=4+2969ac==n:<=1<7*=85811==:783>5}#:?h1nh5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>128<0(?;j:6;1?l>e290/>5:59398m<>=83.9494jd:9l61c=83.9494=2798m=`=83.94947f:9j61e=83.9494=5898m<5=83.9494=5798yg1cn3;1:7>50z&12g5G27f8 7>32ji0(k?53:J111=#n003<<5a294952=#:65f9983>!4?<3oo76a=4d83>!4?<389:65f8g83>!4?<32m76g=4b83>!4?<38>565f9283>!4?<38>:65rb6ff>4<1290;w)<9b;`f?M40;2B9:i5+2969gf=#n8087E<:4:&e=?>792d94;4>8:&11`<01;1b4o4?:%0;0??532c247>5$3:7>`b<3f8?i7>5$3:7>74132c3j7>5$3:7>=`<3`8?o7>5$3:7>73>32c2?7>5$3:7>73132wi;ij51;494?6|,;3;27)<:e;5:6>o?j3:1(?6;:808?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?l?4290/>5:52448?xd0lj0:6;4?:1y'63d=jl1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>4g<,;?n6:7=;h:a>5<#:1>15?54i8:94?"50=0nh65`25g94?"50=09>;54i9d94?"50=03j65f25a94?"50=099454i8194?"50=099;54}c5gf?7=>3:1N5?:1C>;j4$3:7>fe<,o;1?6F=559'b<5851c9'60c=?080e5l50;&1<1<>:21b554?:%0;0?cc32e98h4?:%0;0?45>21b4k4?:%0;0?>a32c98n4?:%0;0?42121b5>4?:%0;0?42>21vn:jn:085>5<7s-8=n7lj;I047>N5>m1/>5:5cb9'b4<43A8>86*i9;:35>h50?0:o6*=5d84=7=n0k0;6)<74;;1?>o>03:1(?6;:df8?j43m3:1(?6;:305?>o?n3:1(?6;:9d8?l43k3:1(?6;:37:?>o>;3:1(?6;:375?>{e?m31=7850;2x 70e2ko0D?9<;I05`>"50=0ho6*i1;18L7333-l265>>;o0;2?7c3-8>i7962:k;f?6=,;2?64<4;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;h;0>5<#:1>1>884;|`4`=<62?0;6=u+27`9f`=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:0g8 73b2>397d6m:18'6=2=1;10e4650;&1<19k50;&1<1<5:?10e5h50;&1<19m50;&1<1<5=010e4=50;&1<1<5=?10qo9k7;392?6=8r.9:o4me:J136=O:?n0(?6;:ba8 c7=;2B9995+f88;44=i:1<1=k5+24g93<4=n110;6)<74;gg?>i5=n0o0;6)<74;:e?>o5=n1:0;6)<74;062>=zj>o=6<49:183!41j3hn7E<83:J12a=#n8087)<74;a`?M42<2.m576?1:l1<3<582.99h48939jt$34a>gc<@;=87E<9d:&e5?5<,;2?6nm4H377?!`>21::7c<76;02?!42m3=2>6g7b;29 7>320807d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307d7<:18'6=2=:<<07pl8c882>3<729q/>;l5bd9K625<@;8:4$g;9<572?53`2i6=4+2969=7==h:=o1<7*=858163==n:=i1<7*=85811<=k0ii6F=729K63b<,;2?6nm4$g397>N5==1/j447009m6=0=::1/>8k57dd8m=d=83.949462:9j==<72-8387kk;:m10`<72-8387<=6:9j"a132;=6`=87810>"5=l0!4?<33976g68;29 7>32ln07b<;e;29 7>32;8=76g7f;29 7>321l07d<;c;29 7>32;?276g63;29 7>32;?=76sm7g295?0=83:p(?8m:cg8L7143A8=h6*=858`g>"a9390D?;;;%d:>=663g83:7<:;%06a?1bn2c3n7>5$3:7><4<3`336=4+2969aa=n6=4+2969670<3`2m6=4+2969h6=4+296960?<3`386=4+2969600<3th8no4>:783>5}#:?h1o>5G2618L70c3-8387ml;%d2>4?<,o314=?4n3:5>70<,;?n6>ln;h:a>5<#:1>15?54i8:94?"50=0nh65f25a94?"50=099454o36f>5<#:1>1>?84;h:e>5<#:1>14k5G27a8?l42>3:1(?6;:375?M41k21vn>jj:085>5<7s-8=n7m<;I047>N5>m1/>5:5cb9'b4<612.m576?1:l1<3<5?2.99h4=n:<<1<7*=858113=O:?i07pl;6b82>3<729q/>;l5c39K625<@;8:4$g;9<576df3`2i6=4+2969=7==n110;6)<74;gg?>i5=n:=i1<7*=85811<==h7?56;294~"5>k0h>6F=729K63b<,;2?6nm4$g397>N5==1/j447009m6=0=:01/>8k53cc8m=d=83.949462:9j"a132;=6`=8781e>"5=l08nl5f8c83>!4?<33976g7f;29 7>321l07d77:18'6=2=mm10c?:j:18'6=2=:;<07d<;c;29 7>32;?276g63;29 7>32;?=76sm47d95?0=83:p(?8m:b08L7143A8=h6*=858`g>"a9390D?;;;%d:>=663g83:75$3:7><4<3`2m6=4+2969=h:=o1<7*=858163=h6=4+296960?<3`386=4+2969600<3th?;=4>:783>5}#:?h1o?5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>12;i0(?;j:2`b?l>e290/>5:59398m=`=83.94947f:9j==<72-8387kk;:m10`<72-8387<=6:9j61e=83.9494=5898m<5=83.9494=5798yg2093;1:7>50z&12g5G27f8 7>32ji0(k?53:J111=#n003<<5a29496a=#:65f8g83>!4?<32m76g68;29 7>32ln07b<;e;29 7>32;8=76g=4b83>!4?<38>565f9283>!4?<38>:65rb551>4<1290;w)<9b;a1?M40;2B9:i5+2969gf=#n8087E<:4:&e=?>792d94;4=e:&11`<4jh1b4o4?:%0;0??532c3j7>5$3:7>=`<3`336=4+2969aa=n6=4+2969670<3`8?o7>5$3:7>73>32c2?7>5$3:7>73132wi8o:51;494?6|,;38m7)<:e;1ae>o?j3:1(?6;:808?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?xd3980:6;4?:1y'63d=k;1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>66<,;?n6>ln;h:a>5<#:1>15?54i9d94?"50=03j65f9983>!4?<3oo76a=4d83>!4?<389:65f25a94?"50=099454i8194?"50=099;54}c626?7=>3:1N5?:1C>;j4$3:7>fe<,o;1?6F=559'b<585309'60c=;kk0e5l50;&1<1<>:21b4k4?:%0;0?>a32c247>5$3:7>`b<3f8?i7>5$3:7>74132c98n4?:%0;0?42121b5>4?:%0;0?42>21vn9?<:085>5<7s-8=n7m=;I047>N5>m1/>5:5cb9'b4<43A8>86*i9;:35>h50?08>6*=5d80fd=n0k0;6)<74;;1?>o?n3:1(?6;:9d8?l??290/>5:5ee98k72b290/>5:52348?l43k3:1(?6;:37:?>o>;3:1(?6;:375?>{e<8>1=7850;2x 70e2j80D?9<;I05`>"50=0ho6*i1;18L7333-l265>>;o0;2?543-8>i7=ma:k;f?6=,;2?64<4;h:e>5<#:1>14k54i8:94?"50=0nh65`25g94?"50=09>;54i36`>5<#:1>1>874;h;0>5<#:1>1>884;|`750<62?0;6=u+27`9g7=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:268 73b2:hj7d6m:18'6=2=1;10e5h50;&1<121b>9m50;&1<1<5=010e4=50;&1<1<5=?10qo:>6;392?6=8r.9:o4l2:J136=O:?n0(?6;:ba8 c7=;2B9995+f88;44=i:1<1?85+24g97gg=n0o0;6)<74;:e?>o>03:1(?6;:df8?j43m3:1(?6;:305?>o5=n1:0;6)<74;062>=zj=n36<49:183!41j3i87E<83:J12a=#:1>1on5+f082=>"a132;=6`=87802>"5=l08nl5f8c83>!4?<33976g68;29 7>32ln07d<;c;29 7>32;?276a=4d83>!4?<389:65f8g83>!4?<32m7E<9c:9j600=83.9494=579K63e<3th8o44>:783>5}#:?h1o>5G2618L70c3-8387ml;%d2>4?<,o314=?4n3:5>61<,;?n6>ln;h:a>5<#:1>15?54i8:94?"50=0nh65f25a94?"50=099454o36f>5<#:1>1>?84;h:e>5<#:1>14k5G27a8?l42>3:1(?6;:375?M41k21vn9mk:085>5<7s-8=n7m<;I047>N5>m1/>5:5cb9'b4<612.m576?1:l1<3<402.99h4=n:<<1<7*=858113=O:?i07pl;ac82>3<729q/>;l5c39K625<@;8:4$g;9<5774$37f>6df3`2i6=4+2969=7==n110;6)<74;gg?>i5=n:=i1<7*=85811<=jo7?56;294~"5>k0h>6F=729K63b<,;2?6nm4$g397>N5==1/j447009m6=0=;h1/>8k53cc8m=d=83.949462:9j"a132;=6`=8780f>"5=l08nl5f8c83>!4?<33976g7f;29 7>321l07d77:18'6=2=mm10c?:j:18'6=2=:;<07d<;c;29 7>32;?276g63;29 7>32;?=76sm4`g95?0=83:p(?8m:b08L7143A8=h6*=858`g>"a9390D?;;;%d:>=663g83:7=l;%06a?5ei2c3n7>5$3:7><4<3`2m6=4+2969=h:=o1<7*=858163=h6=4+296960?<3`386=4+2969600<3th?mk4>:783>5}#:?h1o?5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>12:n0(?;j:2`b?l>e290/>5:59398m=`=83.94947f:9j==<72-8387kk;:m10`<72-8387<=6:9j61e=83.9494=5898m<5=83.9494=5798yg2e83;1:7>50z&12g5G27f8 7>32ji0(k?53:J111=#n003<<5a29497`=#:65f8g83>!4?<32m76g68;29 7>32ln07b<;e;29 7>32;8=76g=4b83>!4?<38>565f9283>!4?<38>:65rb5`2>4<1290;w)<9b;a1?M40;2B9:i5+2969gf=#n8087E<:4:&e=?>792d94;45$3:7>=`<3`336=4+2969aa=n6=4+2969670<3`8?o7>5$3:7>73>32c2?7>5$3:7>73132wi84m51;494?6|,;3>;7)<:e;1ae>o?j3:1(?6;:808?l>a290/>5:58g98m<>=83.9494jd:9l61c=83.9494=2798m72d290/>5:524;8?l?4290/>5:52448?xd31m0:6;4?:1y'63d=k;1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>17<,;?n6>ln;h:a>5<#:1>15?54i9d94?"50=03j65f9983>!4?<3oo76a=4d83>!4?<389:65f25a94?"50=099454i8194?"50=099;54}c6:a?7=>3:1N5?:1C>;j4$3:7>fe<,o;1?6F=559'b<585439'60c=;kk0e5l50;&1<1<>:21b4k4?:%0;0?>a32c247>5$3:7>`b<3f8?i7>5$3:7>74132c98n4?:%0;0?42121b5>4?:%0;0?42>21vn97i:085>5<7s-8=n7m=;I047>N5>m1/>5:5cb9'b4<43A8>86*i9;:35>h50?0??6*=5d80fd=n0k0;6)<74;;1?>o?n3:1(?6;:9d8?l??290/>5:5ee98k72b290/>5:52348?l43k3:1(?6;:37:?>o>;3:1(?6;:375?>{e"50=0ho6*i1;18L7333-l265>>;o0;2?233-8>i7=ma:k;f?6=,;2?64<4;h:e>5<#:1>14k54i8:94?"50=0nh65`25g94?"50=09>;54i36`>5<#:1>1>874;h;0>5<#:1>1>884;|`7e4<62?0;6=u+27`9g7=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:578 73b2:hj7d6m:18'6=2=1;10e5h50;&1<121b>9m50;&1<1<5=010e4=50;&1<1<5=?10qo:l3;392?6=8r.9:o4mf:J136=O:?n0(k?53:&1<1=n1:0;6)<74;062>=n110;6)<74;gg?>i5=n0o0;6)<74;:e?>o5=zj=i?6<49:183!41j3hm7E<83:J12a=#n8087)<74;a`?M42<2.m576?1:l1<3<3?2.99h4t$34a>g`<@;=87E<9d:&e5?5<,;2?6nm4H377?!`>21::7c<76;6;?!42m39im6g7b;29 7>320807d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307pl;c782>3<729q/>;l5bg9K625<@;:29'6=2=kj1C>8:4$g;9<576df3`2i6=4+2969=7==h:=o1<7*=858163==n:=i1<7*=85811<=h;7?56;294~"5>k0ij6F=729K63b<,o;1?6*=858`g>N5==1/j447009m6=0=

8k53cc8m=d=83.949462:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j"a132;=6`=8787f>"5=l08nl5f8c83>!4?<33976g63;29 7>32;?=76g68;29 7>32ln07b<;e;29 7>32;8=76g7f;29 7>321l07d<;c;29 7>32;?276sm4b;95?0=83:p(?8m:cd8L7143A8=h6*i1;18 7>32ji0D?;;;%d:>=663g83:7:l;%06a?5ei2c3n7>5$3:7><4<3`386=4+2969600<3`336=4+2969aa=n6=4+2969670<3`2m6=4+2969h6=4+296960?<3th?ol4>:783>5}#:?h1nk5G2618L70c3-l:6>5+2969gf=O:<>0(k758138j7>12=n0(?;j:2`b?l>e290/>5:59398m<5=83.9494=5798m<>=83.9494jd:9l61c=83.9494=2798m=`=83.94947f:9j61e=83.9494=5898yg2dj3;1:7>50z&12g5G27f8 c7=;2.9494lc:J111=#n003<<5a29490`=#:65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f8g83>!4?<32m76g=4b83>!4?<38>565rb5a`>4<1290;w)<9b;`e?M40;2B9:i5+f080?!4?<3ih7E<:4:&e=?>792d94;4;f:&11`<4jh1b4o4?:%0;0??532c2?7>5$3:7>73132c247>5$3:7>`b<3f8?i7>5$3:7>74132c3j7>5$3:7>=`<3`8?o7>5$3:7>73>32wi?i:51;494?6|,;fe<@;??7)h6:922?k4?>3?;7)<:e;1ae>o?j3:1(?6;:808?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?xd4l<0:6;4?:1y'63d=jo1C>:=4H34g?!`62:1/>5:5cb9K602<,o314=?4n3:5>07<,;?n6>ln;h:a>5<#:1>15?54i8194?"50=099;54i8:94?"50=0nh65`25g94?"50=09>;54i9d94?"50=03j65f25a94?"50=099454}c1g2?7=>3:1N5?:1C>;j4$g397>"50=0ho6F=559'b<585539'60c=;kk0e5l50;&1<1<>:21b5>4?:%0;0?42>21b554?:%0;0?cc32e98h4?:%0;0?45>21b4k4?:%0;0?>a32c98n4?:%0;0?42121vn>j8:085>5<7s-8=n7li;I047>N5>m1/j<4<;%0;0?ed3A8>86*i9;:35>h50?0>?6*=5d80fd=n0k0;6)<74;;1?>o>;3:1(?6;:375?>o>03:1(?6;:df8?j43m3:1(?6;:305?>o?n3:1(?6;:9d8?l43k3:1(?6;:37:?>{e;m21=7850;2x 70e2kl0D?9<;I05`>"a9390(?6;:ba8L7333-l265>>;o0;2?333-8>i7=ma:k;f?6=,;2?64<4;h;0>5<#:1>1>884;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;|`0`<<62?0;6=u+27`9fc=O:>90D?8k;%d2>6=#:1>1on5G2468 c?=09;0b?69:478 73b2:hj7d6m:18'6=2=1;10e4=50;&1<1<5=?10e4650;&1<19k50;&1<1<5:?10e5h50;&1<19m50;&1<1<5=010qo=ka;392?6=8r.9:o4mf:J136=O:?n0(k?53:&1<1=n1:0;6)<74;062>=n110;6)<74;gg?>i5=n0o0;6)<74;:e?>o5=zj:ni6<49:183!41j3hm7E<83:J12a=#n8087)<74;a`?M42<2.m576?1:l1<3<2?2.99h4t$34a>g`<@;=87E<9d:&e5?5<,;2?6nm4H377?!`>21::7c<76;7;?!42m39im6g7b;29 7>320807d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307pl3<729q/>;l5bg9K625<@;:29'6=2=kj1C>8:4$g;9<576df3`2i6=4+2969=7==h:=o1<7*=858163==n:=i1<7*=85811<=9?7?54;294~"5>k0:=?5G2618L70c3-8387?>1:&e=?>792d94;4:a:&11`<4jh1b5=4?:%0;0?ca32c2j7>5$3:7>`c<3`8>;7>5$3:7>73?32e:;:4?:%0;0?45>21vn9=9:080>5<7s-8=n7<82:J136=O:?n0(?6;:352?!`>21::7c<76;7a?!42m39im6g60;29 7>32ln07dh7:18'6=2=mm10c<98:18'6=2=:;<07pl;2582>1<729q/>;l51008L7143A8=h6*=858254=#n003<<5a29491f=#:!4?<3on76g=5683>!4?<38>465`16594?"50=09>;54}c603?7=;3:190D?8k;%0;0?4092.m576?1:l1<3<2l2.99h41<7>t$34a>4753A8125:5eg98m<`=83.9494je:9j601=83.9494=5998k410290/>5:52348?xd3;10:6>4?:1y'63d=:>80D?9<;I05`>"50=09;<5+f88;44=i:1<19k5+24g97gg=nn10;6)<74;gg?>i6?>0;6)<74;012>=zj=836<4;:183!41j3;:>6F=729K63b<,;2?6;%d:>=663g83:78?;%06a?5ei2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi8>751;194?6|,;N5>m1/>5:52638 c?=09;0b?69:738 73b2:hj7d7?:18'6=2=mm10ek650;&1<1139K625<@;"a132;=6`=87856>"5=l08nl5f9183>!4?<3om76g6f;29 7>32lo07d<:7;29 7>32;?376a>7683>!4?<389:65rb51b>4<4290;w)<9b;046>N5?:1C>;j4$3:7>7163-l265>>;o0;2?043-8>i7=ma:k:4?6=,;2?6hj4;hd;>5<#:1>1ii54o054>5<#:1>1>?84;|`76f<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=>=1/>8k53cc8m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg24j3;1?7>50z&12g<5?;1C>:=4H34g?!4?<38<=6*i9;:35>h50?0=96*=5d80fd=n190;6)<74;gg?>oa03:1(?6;:df8?j70?3:1(?6;:305?>{e<;o1=7:50;2x 70e28;97E<83:J12a=#:1>1=6df3`3;6=4+2969ac==n:<=1<7*=85811==:283>5}#:?h1>:<4H350?M41l2.9494=709'b<585669'60c=;kk0e4>50;&1<121vn9=?:087>5<7s-8=n7?>2:J136=O:?n0(?6;:032?!`>21::7c<76;4;?!42m39im6g60;29 7>32ll07d7i:18'6=2=ml10e?;8:18'6=2=:<207b?87;29 7>32;8=76sm42f95?5=83:p(?8m:351?M40;2B9:i5+2969627<,o314=?4n3:5>3?<,;?n6>ln;h;3>5<#:1>1ii54ig:94?"50=0nh65`16594?"50=09>;54}c606?7=<3:190D?8k;%0;0?7692.m576?1:l1<3<1i2.99h45G27f8 7>32;=:7)h6:922?k4?>3o>83:1(?6;:df8?l`?290/>5:5ee98k410290/>5:52348?xd3;o0:6>4?:1y'63d=:>80D?9<;I05`>"50=09;<5+f88;44=i:1<1:n5+24g97gg=nn10;6)<74;gg?>i6?>0;6)<74;012>=zj=>:6<4;:183!41j3;:>6F=729K63b<,;2?6;%d:>=663g83:78k;%06a?5ei2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi88:51;194?6|,;N5>m1/>5:52638 c?=09;0b?69:7g8 73b2:hj7d7?:18'6=2=mm10ek650;&1<1139K625<@;"a132;=6`=8785b>"5=l08nl5f9183>!4?<3om76g6f;29 7>32lo07d<:7;29 7>32;?376a>7683>!4?<389:65rb576>4<4290;w)<9b;046>N5?:1C>;j4$3:7>7163-l265>>;o0;2?173-8>i7=ma:k:4?6=,;2?6hj4;hd;>5<#:1>1ii54o054>5<#:1>1>?84;|`701<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=?81/>8k53cc8m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg22>3;1?7>50z&12g<5?;1C>:=4H34g?!4?<38<=6*i9;:35>h50?0<>6*=5d80fd=n190;6)<74;gg?>oa03:1(?6;:df8?j70?3:1(?6;:305?>{e<=<1=7:50;2x 70e28;97E<83:J12a=#:1>1=6df3`3;6=4+2969ac==n:<=1<7*=85811==:283>5}#:?h1>:<4H350?M41l2.9494=709'b<585759'60c=;kk0e4>50;&1<121vn9:7:087>5<7s-8=n7?>2:J136=O:?n0(?6;:032?!`>21::7c<76;56?!42m39im6g60;29 7>32ll07d7i:18'6=2=ml10e?;8:18'6=2=:<207b?87;29 7>32;8=76sm44:95?5=83:p(?8m:351?M40;2B9:i5+2969627<,o314=?4n3:5>20<,;?n6>ln;h;3>5<#:1>1ii54ig:94?"50=0nh65`16594?"50=09>;54}c67e?7=<3:190D?8k;%0;0?7692.m576?1:l1<3<0?2.99h428086=4?{%05f?40:2B9;>5G27f8 7>32;=:7)h6:922?k4?>3=37)<:e;1ae>o>83:1(?6;:df8?l`?290/>5:5ee98k410290/>5:52348?xd3"50=0:=<5+f88;44=i:1<1;45+24g97gg=n1o0;6)<74;gf?>o5=>0;6)<74;06<>=h9>=1<7*=858163=>m7?53;294~"5>k09;?5G2618L70c3-8387<81:&e=?>792d94;48a:&11`<4jh1b5=4?:%0;0?cc32cm47>5$3:7>`b<3f;<;7>5$3:7>74132wi89k51;694?6|,;N5>m1/>5:51038 c?=09;0b?69:6`8 73b2:hj7d7?:18'6=2=mo10e4h50;&1<18950;&1<1<5=110c<98:18'6=2=:;<07pl;5c82>6<729q/>;l52608L7143A8=h6*=858134=#n003<<5a29493f=#:!4?<3oo76a>7683>!4?<389:65rb573>4<3290;w)<9b;326>N5?:1C>;j4$3:7>4763-l265>>;o0;2?1c3-8>i7=ma:k:4?6=,;2?6hh4;h;e>5<#:1>1ih54i374>5<#:1>1>864;n343?6=,;2?6?<9;:a00e=9391<7>t$34a>7153A8;0(k758138j7>12>o0(?;j:2`b?l?7290/>5:5ee98mc>=83.9494jd:9l521=83.9494=2798yg22l3;1?7>50z&12g<5?;1C>:=4H34g?!4?<38<=6*i9;:35>h50?0oa03:1(?6;:df8?j70?3:1(?6;:305?>{e"a9390(?6;:ba8L7333-l265>>;o0;2?>73-8>i7=ma:k;f?6=,;2?64<4;h;0>5<#:1>1>884;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;|`7``<62?0;6=u+27`9fc=O:>90D?8k;%d2>6=#:1>1on5G2468 c?=09;0b?69:938 73b2:hj7d6m:18'6=2=1;10e4=50;&1<1<5=?10e4650;&1<19k50;&1<1<5:?10e5h50;&1<19m50;&1<1<5=010qo:j1;392?6=8r.9:o4mf:J136=O:?n0(k?53:&1<1=n1:0;6)<74;062>=n110;6)<74;gg?>i5=n0o0;6)<74;:e?>o5=zj=o?6<49:183!41j3hm7E<83:J12a=#n8087)<74;a`?M42<2.m576?1:l1<3t$34a>f5<@;=87E<9d:&e5?7>3-8387ml;%d:>=663g83:76;;%06a?5ei2c3n7>5$3:7><4<3`336=4+2969aa=h6=4+296960?<3f8?i7>5$3:7>74132c3j7>5$3:7>=`<@;!4?<38>:6F=6b98yg2b03;1:7>50z&12g5G27f8 c7=;2.9494lc:J111=#n003<<5a2949<0=#:65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f8g83>!4?<32m76g=4b83>!4?<38>565rb2g2>4<1290;w)<9b;`e?M40;2B9:i5+f080?!4?<3ih7E<:4:&e=?>792d94;476:&11`<4jh1b4o4?:%0;0??532c2?7>5$3:7>73132c247>5$3:7>`b<3f8?i7>5$3:7>74132c3j7>5$3:7>=`<3`8?o7>5$3:7>73>32wi?h:51;494?6|,;fe<@;??7)h6:922?k4?>32<7)<:e;1ae>o?j3:1(?6;:808?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?xd4m>0:6;4?:1y'63d=jo1C>:=4H34g?!`62:1/>5:5cb9K602<,o314=?4n3:5>=><,;?n6>ln;h:a>5<#:1>15?54i8194?"50=099;54i8:94?"50=0nh65`25g94?"50=09>;54i9d94?"50=03j65f25a94?"50=099454}c1fe?7=>3:1N5?:1C>;j4$g397>"50=0ho6F=559'b<585889'60c=;kk0e5l50;&1<1<>:21b5>4?:%0;0?42>21b554?:%0;0?cc32e98h4?:%0;0?45>21b4k4?:%0;0?>a32c98n4?:%0;0?42121vn>h::085>5<7s-8=n7m<;I047>N5>m1/j<4>9:&1<1=n:<<1<7*=858113=O:?i07pl3<729q/>;l5bg9K625<@;:29'6=2=kj1C>8:4$g;9<576df3`2i6=4+2969=7==h:=o1<7*=858163==n:=i1<7*=85811<=k0ij6F=729K63b<,o;1?6*=858`g>N5==1/j447009m6=0=0j1/>8k53cc8m=d=83.949462:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j5G27f8 7>328;:7)h6:922?k4?>32o7)<:e;0:g>o>83:1(?6;:dd8?l?a290/>5:5ed98m730290/>5:524:8?j70?3:1(?6;:305?>{e;9:1=7:50;2x 70e28;97E<83:J12a=#:1>1=7?d3`3;6=4+2969ac==n:<=1<7*=85811==:283>5}#:?h1==>4H350?M41l2.9494=549'b<;54}c0eb?7=<3:190D?8k;%0;0?7692.m576?1:l1<3<>82.99h4=9b9j=5<72-8387ki;:k:b?6=,;2?6hk4;h063?6=,;2?6?;7;:m232<72-8387<=6:9~f7`b280?6=4?{%05f?76:2B9;>5G27f8 7>328;:7)h6:922?k4?>33:7)<:e;0:g>o>83:1(?6;:dd8?l?a290/>5:5ed98m730290/>5:524:8?j70?3:1(?6;:305?>{e:on1=7:50;2x 70e28;97E<83:J12a=#:1>1=7?d3`3;6=4+2969ac==n:<=1<7*=85811==:583>5}#:?h1=<<4H350?M41l2.9494>109'b<585929'60c=:0i0e4>50;&1<1019K625<@;"a132;=6*i1;7`?k4?>33?7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:m232<72-8387<=6:9~f62e280?6=4?{%05f?76:2B9;>5G27f8 7>328;:7)h6:922?k4?>33>7)<:e;0:g>o>83:1(?6;:dd8?l?a290/>5:5ed98m730290/>5:524:8?j70?3:1(?6;:305?>{e;<;1=7=50;2x 70e28:;7E<83:J12a=#:1>1>8;4$g;9<57<,o;19n5a2949=3=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810c<98:18'6=2=:;<07pl<4`82>1<729q/>;l51008L7143A8=h6*=858254=#n003<<5a2949=2=#:4m4i8294?"50=0nj65f9g83>!4?<3on76g=5683>!4?<38>465`16594?"50=09>;54}c17=?7=<3:190D?8k;%0;0?7692.m576?1:l1<3<>02.99h4=9b9j=5<72-8387ki;:k:b?6=,;2?6hk4;h063?6=,;2?6?;7;:m232<72-8387<=6:9~f62?280?6=4?{%05f?76:2B9;>5G27f8 7>328;:7)h6:922?k4?>3327)<:e;0:g>o>83:1(?6;:dd8?l?a290/>5:5ed98m730290/>5:524:8?j70?3:1(?6;:305?>{e;==1=7:50;2x 70e28;97E<83:J12a=#:1>1=7?d3`3;6=4+2969ac==n:<=1<7*=85811==:283>5}#:?h1==>4H350?M41l2.9494=549'b<j2.99h4=9b9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65`16594?"50=09>;54}c172?7=<3:190D?8k;%0;0?7692.m576?1:l1<3<>k2.99h4=9b9j=5<72-8387ki;:k:b?6=,;2?6hk4;h063?6=,;2?6?;7;:m232<72-8387<=6:9~f62d28086=4?{%05f?7782B9;>5G27f8 7>32;?>7)h6:922?!`625<50:9ja=<72-83879i;o0;6?7<3f;<;7>5$3:7>74132wi?N5>m1/>5:51038 c?=09;0b?69:8g8 73b2;3h7d7?:18'6=2=mo10e4h50;&1<18950;&1<1<5=110c<98:18'6=2=:;<07pl<1882>1<729q/>;l51008L7143A8=h6*=858254=#n003<<5a2949=c=#:4m4i8294?"50=0nj65f9g83>!4?<3on76g=5683>!4?<38>465`16594?"50=09>;54}c1290D?8k;%0;0?7692.m576?1:l1<35G27f8 7>328;:7)h6:922?k4?>3k:7)<:e;0:g>o>83:1(?6;:dd8?l?a290/>5:5ed98m730290/>5:524:8?j70?3:1(?6;:305?>{e;8n1=7=50;2x 70e28:;7E<83:J12a=#:1>1>8;4$g;9<57<,o;19n5a2949e7=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810c<98:18'6=2=:;<07pl<1782>1<729q/>;l51008L7143A8=h6*=858254=#n003<<5a2949e6=#:4m4i8294?"50=0nj65f9g83>!4?<3on76g=5683>!4?<38>465`16594?"50=09>;54}c12g?7=;3:190D?8k;%0;0?42=2.m576?1:&e5?3d3g83:7o;;%06a?4>k2cn;7>5$3:7>2`i6?>0;6)<74;012>=zj:;>6<4;:183!41j3;:>6F=729K63b<,;2?6;%d:>=663g83:7o:;%06a?4>k2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi??;I047>N5>m1/>5:52478 c?=09;0(k?55b9m6=0=i?1/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`07c<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=i>1/>8k528a8m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg54m3;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0j46*=5d81=f=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj:9o6<4;:183!41j3;:>6F=729K63b<,;2?6;%d:>=663g83:7o6;%06a?4>k2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi?9=51;194?6|,;?;I047>N5>m1/>5:52478 c?=09;0(k?55b9m6=0=ih1/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`07f<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=ik1/>8k528a8m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg54j3;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0jo6*=5d81=f=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj:9j6<4;:183!41j3;:>6F=729K63b<,;2?6;%d:>=663g83:7ok;%06a?4>k2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi?>=51;694?6|,;N5>m1/>5:51038 c?=09;0b?69:`g8 73b2;3h7d7?:18'6=2=mo10e4h50;&1<18950;&1<1<5=110c<98:18'6=2=:;<07pl<3882>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f086g>h50?0jj6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9l521=83.9494=2798yg54:3;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0i<6*=5d81=f=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj:9:6<4;:183!41j3;:>6F=729K63b<,;2?6;%d:>=663g83:7l>;%06a?4>k2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi?>>51;694?6|,;N5>m1/>5:51038 c?=09;0b?69:c08 73b2;3h7d7?:18'6=2=mo10e4h50;&1<18950;&1<1<5=110c<98:18'6=2=:;<07pl<2g82>1<729q/>;l51008L7143A8=h6*=858254=#n003<<5a2949f6=#:4m4i8294?"50=0nj65f9g83>!4?<3on76g=5683>!4?<38>465`16594?"50=09>;54}c101?7=;3:190D?8k;%0;0?42=2.m576?1:&e5?3d3g83:7l;;%06a?4>k2cn;7>5$3:7>2`i6?>0;6)<74;012>=zj:8n6<4;:183!41j3;:>6F=729K63b<,;2?6;%d:>=663g83:7l:;%06a?4>k2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi?>:51;194?6|,;?;I047>N5>m1/>5:52478 c?=09;0(k?55b9m6=0=j?1/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`063<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=j>1/>8k528a8m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg55=3;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0i46*=5d81=f=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj:8i6<4<:183!41j3;;<6F=729K63b<,;2?6?;:;%d:>=663-l:68m4n3:5>g?<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07b?87;29 7>32;8=76sm33695?2=83:p(?8m:031?M40;2B9:i5+2969547<,o314=?4n3:5>gg<,;?n6?7l;h;3>5<#:1>1ik54i8d94?"50=0ni65f24594?"50=099554o054>5<#:1>1>?84;|`066<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=jk1/>8k528a8m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg55:3;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0io6*=5d81=f=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj:8:6<4;:183!41j3;:>6F=729K63b<,;2?6;%d:>=663g83:7lk;%06a?4>k2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi??951;194?6|,;?;I047>N5>m1/>5:52478 c?=09;0(k?55b9m6=0=jl1/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`04`<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=jo1/>8k528a8m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg57l3;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0h<6*=5d81=f=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj::h6<4;:183!41j3;:>6F=729K63b<,;2?6;%d:>=663g83:7m>;%06a?4>k2c2<7>5$3:7>``<3`3m6=4+2969a`=<3f;<;7>5$3:7>74132wi?=l51;694?6|,;N5>m1/>5:51038 c?=09;0b?69:b08 73b2;3h7d7?:18'6=2=mo10e4h50;&1<18950;&1<1<5=110c<98:18'6=2=:;<07pl<1082>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f086g>h50?0h?6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9l521=83.9494=2798yg57i3;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0h86*=5d81=f=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj:;;6<4<:183!41j3;;<6F=729K63b<,;2?6?;:;%d:>=663-l:68m4n3:5>f3<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07b?87;29 7>32;8=76sm31;95?2=83:p(?8m:031?M40;2B9:i5+2969547<,o314=?4n3:5>f0<,;?n6?7l;h;3>5<#:1>1ik54i8d94?"50=0ni65f24594?"50=099554o054>5<#:1>1>?84;|`04c<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<2k2d94;4l7:&11`<51j1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=h9>=1<7*=858163=k0:=?5G2618L70c3-8387?>1:&e=?>792d94;4l8:&11`<51j1b5=4?:%0;0?ca32c2j7>5$3:7>`c<3`8>;7>5$3:7>73?32e:;:4?:%0;0?45>21vn>9n:087>5<7s-8=n7?>2:J136=O:?n0(?6;:032?!`>21::7c<76;a:?!42m382o6g60;29 7>32ll07d7i:18'6=2=ml10e?;8:18'6=2=:<207b?87;29 7>32;8=76sm36;95?2=83:p(?8m:031?M40;2B9:i5+2969547<,o314=?4n3:5>fg<,;?n6?7l;h;3>5<#:1>1ik54i8d94?"50=0ni65f24594?"50=099554o054>5<#:1>1>?84;|`03=<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=kk1/>8k528a8m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg50m3;1?7>50z&12g<6891C>:=4H34g?!4?<38>96*i9;:35>"a93?h7c<76;a`?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632e:;:4?:%0;0?45>21vn>98:087>5<7s-8=n7?>2:J136=O:?n0(?6;:032?!`>21::7c<76;ag?!42m382o6g60;29 7>32ll07d7i:18'6=2=ml10e?;8:18'6=2=:<207b?87;29 7>32;8=76sm36f95?5=83:p(?8m:023?M40;2B9:i5+2969603<,o314=?4$g391f=i:1<1oh5+24g96h50;0;76gj8;29 7>32>l0b?6=:098k410290/>5:52348?xd4??0:694?:1y'63d=9880D?9<;I05`>"50=0:=<5+f88;44=i:1<1ok5+24g96=n1o0;6)<74;gf?>o5=>0;6)<74;06<>=h9>=1<7*=858163=k0:<=5G2618L70c3-8387<:5:&e=?>792.m=7;l;o0;2?b73-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76a>7683>!4?<389:65rb24e>4<3290;w)<9b;326>N5?:1C>;j4$3:7>4763-l265>>;o0;2?b63-8>i7<6c:k:4?6=,;2?6hh4;h;e>5<#:1>1ih54i374>5<#:1>1>864;n343?6=,;2?6?<9;:a73c=93>1<7>t$34a>4753A812m80(?;j:3;`?l?7290/>5:5eg98m<`=83.9494je:9j601=83.9494=5998k410290/>5:52348?xd4>m0:694?:1y'63d=9880D?9<;I05`>"50=0:=<5+f88;44=i:1<1h>5+24g96=n1o0;6)<74;gf?>o5=>0;6)<74;06<>=h9>=1<7*=858163=k0:=?5G2618L70c3-8387?>1:&e=?>792d94;4k4:&11`<51j1b5=4?:%0;0?ca32c2j7>5$3:7>`c<3`8>;7>5$3:7>73?32e:;:4?:%0;0?45>21vn>9=:080>5<7s-8=n7??0:J136=O:?n0(?6;:376?!`>21::7)h>:4a8j7>12m?0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;n343?6=,;2?6?<9;:a73d=93>1<7>t$34a>4753A812m<0(?;j:3;`?l?7290/>5:5eg98m<`=83.9494je:9j601=83.9494=5998k410290/>5:52348?xd4?80:6>4?:1y'63d=99:0D?9<;I05`>"50=09985+f88;44=#n80>o6`=878g3>"5=l095n5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921d=:950;&1<1<5:?10qo=9a;390?6=8r.9:o4>139K625<@;"a132;=6`=878g<>"5=l095n5f9183>!4?<3om76g6f;29 7>32lo07d<:7;29 7>32;?376a>7683>!4?<389:65rb253>4<4290;w)<9b;334>N5?:1C>;j4$3:7>7323-l265>>;%d2>0e7?d3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?j70?3:1(?6;:305?>{e;<=1=7:50;2x 70e28;97E<83:J12a=#:1>1=7?d3`3;6=4+2969ac==n:<=1<7*=85811==:283>5}#:?h1==>4H350?M41l2.9494=549'b<;54}c162?7=<3:190D?8k;%0;0?7692.m576?1:l1<35G27f8 7>32;?>7)h6:922?!`625<50:9ja=<72-83879i;o0;6?7<3f;<;7>5$3:7>74132wi?8;51;694?6|,;N5>m1/>5:51038 c?=09;0b?69:eg8 73b2;3h7d7?:18'6=2=mo10e4h50;&1<18950;&1<1<5=110c<98:18'6=2=:;<07pl<5c82>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f086g>h50?0oj6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9l521=83.9494=2798yg52<3;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0n<6*=5d81=f=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj:?j6<4<:183!41j3;;<6F=729K63b<,;2?6?;:;%d:>=663-l:68m4n3:5>`7<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07b?87;29 7>32;8=76sm34195?2=83:p(?8m:031?M40;2B9:i5+2969547<,o314=?4n3:5>`4<,;?n6?7l;h;3>5<#:1>1ik54i8d94?"50=0ni65f24594?"50=099554o054>5<#:1>1>?84;|`01<<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<2k2d94;4j3:&11`<51j1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=h9>=1<7*=858163=>7?54;294~"5>k0:=?5G2618L70c3-8387?>1:&e=?>792d94;4j4:&11`<51j1b5=4?:%0;0?ca32c2j7>5$3:7>`c<3`8>;7>5$3:7>73?32e:;:4?:%0;0?45>21vn>;7:080>5<7s-8=n7??0:J136=O:?n0(?6;:376?!`>21::7)h>:4a8j7>12l?0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;n343?6=,;2?6?<9;:a735=93>1<7>t$34a>4753A812l<0(?;j:3;`?l?7290/>5:5eg98m<`=83.9494je:9j601=83.9494=5998k410290/>5:52348?xd4>00:6>4?:1y'63d=99:0D?9<;I05`>"50=09985+f88;44=#n80>o6`=878f3>"5=l095n5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921d=:950;&1<1<5:?10qo=92;390?6=8r.9:o4>139K625<@;"a132;=6`=878f<>"5=l095n5f9183>!4?<3om76g6f;29 7>32lo07d<:7;29 7>32;?376a>7683>!4?<389:65rb24;>4<4290;w)<9b;334>N5?:1C>;j4$3:7>7323-l265>>;%d2>0e7?d3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?j70?3:1(?6;:305?>{e;?;1=7:50;2x 70e28;97E<83:J12a=#:1>1=7?d3`3;6=4+2969ac==n:<=1<7*=85811==:283>5}#:?h1==>4H350?M41l2.9494=549'b<;54}c154?7=<3:190D?8k;%0;0?7692.m576?1:l1<35G27f8 7>32;?>7)h6:922?!`625<50:9ja=<72-83879i;o0;6?7<3f;<;7>5$3:7>74132wi?8h51;694?6|,;N5>m1/>5:51038 c?=09;0b?69:dg8 73b2;3h7d7?:18'6=2=mo10e4h50;&1<18950;&1<1<5=110c<98:18'6=2=:;<07pl<6482>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f086g>h50?0nj6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9l521=83.9494=2798yg52m3;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0m<6*=5d81=f=n190;6)<74;ge?>o>n3:1(?6;:dg8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj:=663-l:68m4n3:5>c7<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07b?87;29 7>32;8=76sm2c;95?2=83:p(?8m:020?M40;2B9:i5+2969603<,o314=?4$g3965=i:1<1j?5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn?l7:087>5<7s-8=n7?>3:J136=O:?n0(?6;:032?!`>21::7c<76;d0?!42m382h6gj7;29 7>32lo07dk7:18'6=2=mo10e?;8:18'6=2=:<207b?87;29 7>32;8=76sm2c295?2=83:p(?8m:020?M40;2B9:i5+2969603<,o314=?4$g3965=i:1<1j95+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn?lm:087>5<7s-8=n7?>3:J136=O:?n0(?6;:032?!`>21::7c<76;d6?!42m382h6gj7;29 7>32lo07dk7:18'6=2=mo10e?;8:18'6=2=:<207b?87;29 7>32;8=76sm28d95?2=83:p(?8m:030?M40;2B9:i5+2969547<,o314=?4n3:5>c0<,;?n6?7k;hg4>5<#:1>1ih54id:94?"50=0nj65f24594?"50=099554o054>5<#:1>1>?84;|`1b0<62=0;6=u+27`9544<@;=87E<9d:&1<1<6981/j447009m6=0=n>1/>8k528a8m<6=83.9494jf:9j=c<72-8387kj;:k112<72-8387<:8:9l521=83.9494=2798yg4aj3;1?7>50z&12g<6891C>:=4H34g?!4?<38>96*i9;:35>"a93?h7c<76;d;?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632e:;:4?:%0;0?45>21vn?h;:087>5<7s-8=n7?>2:J136=O:?n0(?6;:032?!`>21::7c<76;d:?!42m382o6g60;29 7>32ll07d7i:18'6=2=ml10e?;8:18'6=2=:<207b?87;29 7>32;8=76sm2gc95?5=83:p(?8m:023?M40;2B9:i5+2969603<,o314=?4$g391f=i:1<1jl5+24g96h50;0;76gj8;29 7>32>l0b?6=:098k410290/>5:52348?xd5n:0:694?:1y'63d=9880D?9<;I05`>"50=0:=<5+f88;44=i:1<1jo5+24g96=n1o0;6)<74;gf?>o5=>0;6)<74;06<>=h9>=1<7*=858163=k0:<=5G2618L70c3-8387<:5:&e=?>792.m=7;l;o0;2?`d3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76a>7683>!4?<389:65rb3d1>4<3290;w)<9b;326>N5?:1C>;j4$3:7>4763-l265>>;o0;2?`c3-8>i7<6c:k:4?6=,;2?6hh4;h;e>5<#:1>1ih54i374>5<#:1>1>864;n343?6=,;2?6?<9;:a6c>=9391<7>t$34a>4673A8585fd9'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=:583>5}#:?h1=<<4H350?M41l2.9494>109'b<585fg9'60c=:0i0e4>50;&1<1019K625<@;"a132;=6*i1;7`?k4?>3;;<6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9l521=83.9494=2798yg4a83;187>50z&12g<69;1C>:=4H34g?!4?<3;:=6*i9;:35>h50?0:<<5+24g96=n1o0;6)<74;gf?>o5=>0;6)<74;06<>=h9>=1<7*=858163=k0:<=5G2618L70c3-8387<:5:&e=?>792.m=7;l;o0;2?77:2.99h4=9b9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65`16594?"50=09>;54}c72f?7=>3:1N5?:1C>;j4$g397>"50=0ho6*i9;:35>h50?0:<>5+24g96=n0o0;6)<74;:e?>o>;3:1(?6;:375?>o>03:1(?6;:df8?j43m3:1(?6;:305?>o5=00;6)<74;06=>N5>j10qo;6d;392?6=8r.9:o4mf:J136=O:?n0(?6;:ba8 c7=;2B9995+f88;44=i:1<1==:4$37f>7?d3`2i6=4+2969=7==h:=o1<7*=858163==n:=i1<7*=85811<=k0ij6F=729K63b<,;2?6nm4$g397>N5==1/j447009m6=0=99?0(?;j:3;`?l>e290/>5:59398m<5=83.9494=5798m<>=83.9494jd:9l61c=83.9494=2798m=`=83.94947f:9j61e=83.9494=5898yg3>j3;1:7>50z&12g5G27f8 7>32ji0(k?53:J111=#n003<<5a2949550<,;?n6?7l;h:a>5<#:1>15?54i8194?"50=099;54i8:94?"50=0nh65`25g94?"50=09>;54i9d94?"50=03j65f25a94?"50=099454}c72e?7=>3:1N5?:1C>;j4$g397>"50=0ho6*i9;:35>h50?0:<:5+24g96=n0o0;6)<74;:e?>o>;3:1(?6;:375?>o>03:1(?6;:df8?j43m3:1(?6;:305?>o5=00;6)<74;06=>N5>j10qo;>8;392?6=8r.9:o4l0:J136=O:?n0(k?53:&1<18k528a8m=d=83.949462:9jk0h<6F=729K63b<,o;1?6*=858`g>"a132;=6`=87824<=#:4m4i9`94?"50=02>65f8g83>!4?<32m76g63;29 7>32;?=76g68;29 7>32ln07b<;e;29 7>32;8=76g=5883>!4?<38>56F=6b98yg3613;1:7>50z&12g5G27f8 c7=;2.9494lc:&e=?>792d94;4>0`9'60c=:0i0e5l50;&1<1<>:21b4k4?:%0;0?>a32c2?7>5$3:7>73132c247>5$3:7>`b<3f8?i7>5$3:7>74132c9944?:%0;0?4212B9:n54}c722?7=>3:1N5?:1C>;j4$g397>"50=0ho6*i9;:35>h50?0:=n0o0;6)<74;:e?>o>03:1(?6;:df8?l43k3:1(?6;:37:?>i5=n:<<1<7*=858113=O:?i07pl:1482>3<729q/>;l5c19K625<@;:29'6=2=kj1/j447009m6=0=99i0(?;j:3;`?l>e290/>5:59398m=`=83.94947f:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j60?=83.9494=589K63e<3th>h94>:783>5}#:?h1o=5G2618L70c3-l:6>5+2969gf=#n003<<5a294955b<,;?n6?7l;h:a>5<#:1>15?54i9d94?"50=03j65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f24;94?"50=09945G27a8?xd2l:0:6;4?:1y'63d=k91C>:=4H34g?!`62:1/>5:5cb9'b<58511g8 73b2;3h7d6m:18'6=2=1;10e5h50;&1<14?:%0;0?42>21b554?:%0;0?cc32e98h4?:%0;0?45>21b>8750;&1<1<5=01C>;m4;|`6`7<62?0;6=u+27`9g5=O:>90D?8k;%d2>6=#:1>1on5+f88;44=i:1<1==h4$37f>7?d3`2i6=4+2969=7==n1:0;6)<74;062>=n110;6)<74;gg?>i5=n:<31<7*=85811<=O:?i07pl:d082>3<729q/>;l5c19K625<@;:29'6=2=kj1/j447009m6=0=98:0(?;j:3;`?l>e290/>5:59398m=`=83.94947f:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j60?=83.9494=589K63e<3th=4>4>:783>5}#:?h1o=5G2618L70c3-l:6>5+2969gf=#n003<<5a2949547<,;?n6?7l;h:a>5<#:1>15?54i9d94?"50=03j65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f24;94?"50=09945G27a8?xd10;0:6;4?:1y'63d=k91C>:=4H34g?!`62:1/>5:5cb9'b<5851008 73b2;3h7d6m:18'6=2=1;10e5h50;&1<14?:%0;0?42>21b554?:%0;0?cc32e98h4?:%0;0?45>21b>8750;&1<1<5=01C>;m4;|`5<4<62?0;6=u+27`9g5=O:>90D?8k;%d2>6=#:1>1on5+f88;44=i:1<1=<=4$37f>7?d3`2i6=4+2969=7==n1:0;6)<74;062>=n110;6)<74;gg?>i5=n:<31<7*=85811<=O:?i07pl98182>3<729q/>;l5c19K625<@;:29'6=2=kj1/j447009m6=0=98>0(?;j:3;`?l>e290/>5:59398m=`=83.94947f:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j60?=83.9494=589K63e<3th=:l4>:783>5}#:?h1nk5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>128;>7)<:e;0:g>o?j3:1(?6;:808?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?xd1>10:6;4?:1y'63d=k:1C>:=4H34g?!4?<3ih7)h>:0;8 c?=09;0b?69:035?!42m382o6g7b;29 7>320807d77:18'6=2=mm10e?:l:18'6=2=:<307b<;e;29 7>32;8=76g7f;29 7>321l0D?8l;:k113<72-8387<:6:J12f=k0ij6F=729K63b<,;2?6nm4$g397>N5==1/j447009m6=0=98=0(?;j:3;`?l>e290/>5:59398m<5=83.9494=5798m<>=83.9494jd:9l61c=83.9494=2798m=`=83.94947f:9j61e=83.9494=5898yg01l3;1:7>50z&12g5G27f8 7>32ji0(k?53:J111=#n003<<5a294954><,;?n6?7l;h:a>5<#:1>15?54i8194?"50=099;54i8:94?"50=0nh65`25g94?"50=09>;54i9d94?"50=03j65f25a94?"50=099454}c45f?7=>3:1N5?:1C>;j4$3:7>fe<,o;1=45+f88;44=i:1<1=<74$37f>7?d3`2i6=4+2969=7==n:=i1<7*=85811<=n6=4+2969670<3`2m6=4+296932;?=7E<9c:9~f30d280=6=4?{%05f?da3A8"a132;=6`=87825d=#:4m4i9`94?"50=02>65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f8g83>!4?<32m76g=4b83>!4?<38>565rb73f>4<1290;w)<9b;a3?M40;2B9:i5+2969gf=#n8087)h6:922?k4?>3;:n6*=5d81=f=n0k0;6)<74;;1?>o?n3:1(?6;:9d8?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l4213:1(?6;:37:?M41k21vn;?l:085>5<7s-8=n7m;;I047>N5>m1/>5:5cb9'b4<612.m576?1:l1<3<69j1/>8k528a8m=d=83.949462:9j:783>5}#:?h1o=5G2618L70c3-8387ml;%d2>6=#n003<<5a294954b<,;?n6?7l;h:a>5<#:1>15?54i9d94?"50=03j65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f24;94?"50=09945G27a8?xd1:80:6;4?:1y'63d=k91C>:=4H34g?!4?<3ih7)h>:29'b<58510g8 73b2;3h7d6m:18'6=2=1;10e5h50;&1<14?:%0;0?42>21b554?:%0;0?cc32e98h4?:%0;0?45>21b>8750;&1<1<5=01C>;m4;|`55c<62?0;6=u+27`9g1=O:>90D?8k;%0;0?ed3-l:6<74$g;9<57k2c3n7>5$3:7><4<3`2m6=4+2969=n:=i1<7*=85811<=n6=4+2969670<3`8>:7>5$3:7>7313A8=o65rb703>4<1290;w)<9b;a3?M40;2B9:i5+2969gf=#n8087)h6:922?k4?>3;9<6*=5d81=f=n0k0;6)<74;;1?>o?n3:1(?6;:9d8?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l4213:1(?6;:37:?M41k21vn898:085>5<7s-8=n7m;;I047>N5>m1/j<4<;%0;0?ed3-l265>>;o0;2?7592.99h4=9b9j5<#:1>1ii54i36`>5<#:1>1>874;n07a?6=,;2?6?<9;:k113<72-8387<:6:J12f=k0h<6F=729K63b<,o;1?6*=858`g>"a132;=6`=878267=#:4m4i9`94?"50=02>65f8g83>!4?<32m76g63;29 7>32;?=76g68;29 7>32ln07b<;e;29 7>32;8=76g=5883>!4?<38>56F=6b98yg34l3;1:7>50z&12g5G27f8 c7=901/>5:5cb9'b<5851318 73b2;3h7d6m:18'6=2=1;10e5h50;&1<14?:%0;0?42>21b554?:%0;0?cc32e98h4?:%0;0?45>21b>8750;&1<1<5=01C>;m4;|`67g<62?0;6=u+27`9g5=O:>90D?8k;%d2>6=#:1>1on5+f88;44=i:1<1=?:4$37f>7?d3`2i6=4+2969=7==n1:0;6)<74;062>=n110;6)<74;gg?>i5=n:<31<7*=85811<=O:?i07pl:3b82>3<729q/>;l5c19K625<@;:0;8 7>32ji0(k758138j7>1288>7)<:e;0:g>o?j3:1(?6;:808?l>a290/>5:58g98m<5=83.9494=5798m<>=83.9494jd:9l61c=83.9494=2798m73>290/>5:524;8L70d32wi99>51;494?6|,;fe<,o314=?4n3:5>4413-8>i7<6c:k;f?6=,;2?64<4;h:e>5<#:1>14k54i8:94?"50=0nh65f25a94?"50=099454o36f>5<#:1>1>?84;h062?6=,;2?6?;9;I05g>=zj<9n6<49:183!41j3i;7E<83:J12a=#n80:56*=858`g>"a132;=6`=878262=#:4m4i9`94?"50=02>65f8g83>!4?<32m76g63;29 7>32;?=76g68;29 7>32ln07b<;e;29 7>32;8=76g=5883>!4?<38>56F=6b98yg34n3;1:7>50z&12g5G27f8 c7=901/>5:5cb9'b<58513:8 73b2;3h7d6m:18'6=2=1;10e5h50;&1<14?:%0;0?42>21b554?:%0;0?cc32e98h4?:%0;0?45>21b>8750;&1<1<5=01C>;m4;|`6g4<62?0;6=u+27`9fc=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:00:?!42m382o6g7b;29 7>320807d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307pl:bg82>3<729q/>;l5c29K625<@;1288j7)<:e;0:g>o?j3:1(?6;:808?l??290/>5:5ee98m72d290/>5:524;8?j43m3:1(?6;:305?>o?n3:1(?6;:9d8L70d32c99;4?:%0;0?42>2B9:n54}c7`4?7=>3:1N5?:1C>;j4$3:7>fe<,o;1?6F=559'b<58513`8 73b2;3h7d6m:18'6=2=1;10e4=50;&1<1<5=?10e4650;&1<19k50;&1<1<5:?10e5h50;&1<19m50;&1<1<5=010qo;l4;392?6=8r.9:o4mf:J136=O:?n0(?6;:ba8 c7=;2B9995+f88;44=i:1<1=?m4$37f>7?d3`2i6=4+2969=7==h:=o1<7*=858163==n:=i1<7*=85811<=7?56;294~"5>k0h?6F=729K63b<,;2?6nm4$g395<=#n003<<5a294957b<,;?n6?7l;h:a>5<#:1>15?54i8:94?"50=0nh65f25a94?"50=099454o36f>5<#:1>1>?84;h:e>5<#:1>14k5G27a8?l42>3:1(?6;:375?M41k21vn8m<:085>5<7s-8=n7li;I047>N5>m1/>5:5cb9'b4<43A8>86*i9;:35>h50?0:>h5+24g96=n1:0;6)<74;062>=n110;6)<74;gg?>i5=n0o0;6)<74;:e?>o5=zj?9m6<49:183!41j3i;7E<83:J12a=#:1>1on5+f080?!`>21::7c<76;31b>"5=l095n5f8c83>!4?<33976g7f;29 7>321l07d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d<:9;29 7>32;?27E<9c:9~f35c280=6=4?{%05f?e33A89:&e=?>792d94;4>319'60c=:0i0e5l50;&1<1<>:21b4k4?:%0;0?>a32c247>5$3:7>`b<3`8?o7>5$3:7>73>32e98h4?:%0;0?45>21b>8850;&1<1<5=?1C>;m4;|`57`<62?0;6=u+27`9g5=O:>90D?8k;%0;0?ed3-l:6>5+f88;44=i:1<1=>?4$37f>7?d3`2i6=4+2969=7==n1:0;6)<74;062>=n110;6)<74;gg?>i5=n:<31<7*=85811<=O:?i07pl94382>3<729q/>;l5c19K625<@;e290/>5:59398m=`=83.94947f:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j60?=83.9494=589K63e<3th=8=4>:783>5}#:?h1o95G2618L70c3-8387ml;%d2>4?<,o314=?4n3:5>4543-8>i7<6c:k;f?6=,;2?64<4;h:e>5<#:1>14k54i8:94?"50=0nh65f25a94?"50=099454o36f>5<#:1>1>?84;h062?6=,;2?6?;9;I05g>=zj?>:6<49:183!41j3i;7E<83:J12a=#:1>1on5+f080?!`>21::7c<76;300>"5=l095n5f8c83>!4?<33976g7f;29 7>321l07d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d<:9;29 7>32;?27E<9c:9~f03>280=6=4?{%05f?e53A8"a132;=6`=878270=#:4m4i9`94?"50=02>65f8g83>!4?<32m76g68;29 7>32ln07b<;e;29 7>32;8=76g=4b83>!4?<38>565f9283>!4?<38>:65rb4;f>4<1290;w)<9b;a3?M40;2B9:i5+2969gf=#n8087)h6:922?k4?>3;8:6*=5d81=f=n0k0;6)<74;;1?>o?n3:1(?6;:9d8?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l4213:1(?6;:37:?M41k21vn8?k:085>5<7s-8=n7m=;I047>N5>m1/>5:5cb9'b4<43A8>86*i9;:35>h50?0:?:5+24g96=n0o0;6)<74;:e?>o>03:1(?6;:df8?j43m3:1(?6;:305?>o5=n1:0;6)<74;062>=zj:h=6<49:183!41j3hn7E<83:J12a=#:1>1on5+f080?M42<2.m576?1:l1<3<6;11/>8k528a8m=d=83.949462:9j==<72-8387kk;:m10`<72-8387<=6:9j5<#:1>15?54i8:94?"50=0nh65f24294?"50=09:?54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i37:>5<#:1>1>874H34`?>o5=?0;6)<74;062>N5>j10e?;8:18'6=2=:?907pl95g82>3<729q/>;l5bd9K625<@;8:4$g;9<57k2c3n7>5$3:7><4<3`336=4+2969aa=n6=4+2969670<3`2m6=4+2969h6=4+296960?<3`386=4+2969600<3th=9n4>:783>5}#:?h1nh5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>1289i7)<:e;0:g>o?j3:1(?6;:808?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?l?4290/>5:52448?xd1=00:6;4?:1y'63d=jl1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>45d3-8>i7<6c:k;f?6=,;2?64<4;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;h;0>5<#:1>1>884;|`513<62?0;6=u+27`9f`=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:01g?!42m382o6g7b;29 7>320807d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307d7<:18'6=2=:<<07pl80682>3<729q/>;l5c19K625<@;e290/>5:59398m=`=83.94947f:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j60?=83.9494=589K63e<3th<>k4>:783>5}#:?h1o=5G2618L70c3-8387ml;%d2>6=#n003<<5a294956`<,;?n6;m>;h:a>5<#:1>15?54i9d94?"50=03j65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f24;94?"50=09945G27a8?xd1n<0:6;4?:1y'63d=jo1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>4273-8>i78l1:k;f?6=,;2?64<4;h;0>5<#:1>1>884;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;|`5b3<62?0;6=u+27`9fc=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:062?!42m3320807d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307pl81582>3<729q/>;l5bg9K625<@;8:4$g;9<575$3:7><4<3`386=4+2969600<3`336=4+2969aa=n6=4+2969670<3`2m6=4+2969h6=4+296960?<3th<=84>:783>5}#:?h1nk5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>128>87)<:e;4`5>o?j3:1(?6;:808?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?xd09?0:6;4?:1y'63d=jo1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>4233-8>i78l1:k;f?6=,;2?64<4;h;0>5<#:1>1>884;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;|`452<62?0;6=u+27`9fc=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:066?!42m3320807d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307pl81982>3<729q/>;l5bg9K625<@;8:4$g;9<575$3:7><4<3`386=4+2969600<3`336=4+2969aa=n6=4+2969670<3`2m6=4+2969h6=4+296960?<3th<=44>:783>5}#:?h1nk5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>128><7)<:e;4`5>o?j3:1(?6;:808?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?xd09h0:6;4?:1y'63d=jo1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>42?3-8>i78l1:k;f?6=,;2?64<4;h;0>5<#:1>1>884;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;|`45g<62?0;6=u+27`9fc=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:06:?!42m3320807d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307pl81b82>3<729q/>;l5bg9K625<@;8:4$g;9<575$3:7><4<3`386=4+2969600<3`336=4+2969aa=n6=4+2969670<3`2m6=4+2969h6=4+296960?<3th=i;4>:783>5}#:?h1nk5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>128>i7)<:e;4`5>o?j3:1(?6;:808?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?xd1m>0:6;4?:1y'63d=jo1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>42d3-8>i78l1:k;f?6=,;2?64<4;h;0>5<#:1>1>884;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;|`5a=<62?0;6=u+27`9fc=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:06g?!42m3320807d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307pl9e882>3<729q/>;l5bg9K625<@;8:4$g;9<575$3:7><4<3`386=4+2969600<3`336=4+2969aa=n6=4+2969670<3`2m6=4+2969h6=4+296960?<3th:783>5}#:?h1o?5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>128>m7)<:e;4`5>o?j3:1(?6;:808?l>a290/>5:58g98m<>=83.9494jd:9l61c=83.9494=2798m72d290/>5:524;8?l?4290/>5:52448?xd1l10:6;4?:1y'63d=k91C>:=4H34g?!4?<3ih7)h>:29'b<5851428 73b2?i:7d6m:18'6=2=1;10e5h50;&1<14?:%0;0?42>21b554?:%0;0?cc32e98h4?:%0;0?45>21b>8750;&1<1<5=01C>;m4;|`5`<<62?0;6=u+27`9g5=O:>90D?8k;%0;0?ed3-l:6>5+f88;44=i:1<1=8?4$37f>3e63`2i6=4+2969=7==n1:0;6)<74;062>=n110;6)<74;gg?>i5=n:<31<7*=85811<=O:?i07pl9d`82>3<729q/>;l5c19K625<@;e290/>5:59398m=`=83.94947f:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j60?=83.9494=589K63e<3th=ho4>:783>5}#:?h1o=5G2618L70c3-8387ml;%d2>6=#n003<<5a2949505<,;?n6;m>;h:a>5<#:1>15?54i9d94?"50=03j65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f24;94?"50=09945G27a8?xd1lj0:6;4?:1y'63d=k91C>:=4H34g?!4?<3ih7)h>:29'b<5851468 73b2?i:7d6m:18'6=2=1;10e5h50;&1<14?:%0;0?42>21b554?:%0;0?cc32e98h4?:%0;0?45>21b>8750;&1<1<5=01C>;m4;|`5`a<62?0;6=u+27`9g5=O:>90D?8k;%0;0?ed3-l:6>5+f88;44=i:1<1=8;4$37f>3e63`2i6=4+2969=7==n1:0;6)<74;062>=n110;6)<74;gg?>i5=n:<31<7*=85811<=O:?i07pl9dd82>3<729q/>;l5c19K625<@;e290/>5:59398m=`=83.94947f:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j60?=83.9494=589K63e<3th=hk4>:783>5}#:?h1o=5G2618L70c3-8387ml;%d2>6=#n003<<5a2949501<,;?n6;m>;h:a>5<#:1>15?54i9d94?"50=03j65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f24;94?"50=09945G27a8?xd0;;0:6;4?:1y'63d=jo1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>43?3-8>i78l1:k;f?6=,;2?64<4;h;0>5<#:1>1>884;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;|`5b`<62?0;6=u+27`9fc=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:07:?!42m3320807d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307pl9fg82>3<729q/>;l5bg9K625<@;8:4$g;9<575$3:7><4<3`386=4+2969600<3`336=4+2969aa=n6=4+2969670<3`2m6=4+2969h6=4+296960?<3th<<=4>:783>5}#:?h1nk5G2618L70c3-8387ml;%d2>6=O:<>0(k758138j7>128?i7)<:e;4`5>o?j3:1(?6;:808?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l>a290/>5:58g98m72d290/>5:524;8?xd08=0:6;4?:1y'63d=jo1C>:=4H34g?!4?<3ih7)h>:29K602<,o314=?4n3:5>43d3-8>i78l1:k;f?6=,;2?64<4;h;0>5<#:1>1>884;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h:e>5<#:1>14k54i36`>5<#:1>1>874;|`440<62?0;6=u+27`9fc=O:>90D?8k;%0;0?ed3-l:6>5G2468 c?=09;0b?69:07g?!42m3320807d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d6i:18'6=2=0o10e?:l:18'6=2=:<307pl80782>3<729q/>;l5bg9K625<@;8:4$g;9<575$3:7><4<3`386=4+2969600<3`336=4+2969aa=n6=4+2969670<3`2m6=4+2969h6=4+296960?<3th<;>4>:783>5}#:?h1o=5G2618L70c3-8387ml;%d2>6=#n003<<5a294950`<,;?n6;o:;h:a>5<#:1>15?54i9d94?"50=03j65f9283>!4?<38>:65f9983>!4?<3oo76a=4d83>!4?<389:65f24;94?"50=09945G27a8?xd0=o0:6;4?:1y'63d=k=1C>:=4H34g?!4?<3ih7)h>:0;8 c?=09;0b?69:043?!42m3320807d6i:18'6=2=0o10e4650;&1<19m50;&1<1<5=010c?:j:18'6=2=:;<07d<:6;29 7>32;?=7E<9c:9~f217280=6=4?{%05f?e73A8=663g83:7?91:&11`<1i<1b4o4?:%0;0??532c3j7>5$3:7>=`<3`386=4+2969600<3`336=4+2969aa=n6=4+2969670<3`8>57>5$3:7>73>3A8=o65rb7ce>4<1290;w)<9b;a3?M40;2B9:i5+f080?!4?<3ih7)h6:922?k4?>3;=>6*=5d85e0=n0k0;6)<74;;1?>o?n3:1(?6;:9d8?l?4290/>5:52448?l??290/>5:5ee98k72b290/>5:52348?l4213:1(?6;:37:?M41k21vn;oj:085>5<7s-8=n7m?;I047>N5>m1/j<4<;%0;0?ed3-l265>>;o0;2?71;2.99h49a49j5<#:1>1>884;h;;>5<#:1>1ii54o36f>5<#:1>1>?84;h06=?6=,;2?6?;6;I05g>=zj>>:6<49:183!41j3i;7E<83:J12a=#n8087)<74;a`?!`>21::7c<76;350>"5=l0=m85f8c83>!4?<33976g7f;29 7>321l07d7<:18'6=2=:<<07d77:18'6=2=mm10c?:j:18'6=2=:;<07d<:9;29 7>32;?27E<9c:9~f227280=6=4?{%05f?e73A8=663g83:7?95:&11`<1i<1b4o4?:%0;0??532c3j7>5$3:7>=`<3`386=4+2969600<3`336=4+2969aa=n6=4+2969670<3`8>57>5$3:7>73>3A8=o65rb61:>4<1290;w)<9b;a7?M40;2B9:i5+2969gf=#n80:56*i9;:35>h50?0::;5+24g92d3=n0o0;6)<74;:e?>o>03:1(?6;:df8?l43k3:1(?6;:37:?>i5=n:<<1<7*=858113=O:?i07pl94g82>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f08;6>h50?0:::5+24g96h50;0;76gj8;29 7>32>l0b?6=:098k410290/>5:52348?xd1;=0:6>4?:1y'63d=99:0D?9<;I05`>"50=09985+f88;44=#n803>6`=87822==#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810c<98:18'6=2=:;<07pl=ac82>1<729q/>;l51118L7143A8=h6*=858110=#n003<<5+f08`=>h50?0::45+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn?o;:087>5<7s-8=n7??3:J136=O:?n0(?6;:376?!`>21::7)h>:738j7>128ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=:283>5}#:?h1==>4H350?M41l2.9494=549'b<k1/>8k57dd8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`4fd<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<3k2d94;4>6b9'60c=?080eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<m1/>8k57808m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`437<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b46d9'60c=>h?0eh950;&1<1<0n2d94?4?;:kf4=h4>:283>5}#:?h1==>4H350?M41l2.9494=549'b<o1/>8k56b38m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`46f<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>719'60c=>j;0eh950;&1<1<0n2d94?4?;:kf4=l4>:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k56b38m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`46=<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>739'60c=>j;0eh950;&1<1<0n2d94?4?;:kf4=;4>:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k56b38m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`461<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>759'60c=>j;0eh950;&1<1<0n2d94?4?;:kf4=?4>:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k56b38m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`465<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>779'60c=>j;0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<1/>8k56b38m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`5b7<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<4;2d94;4>799'60c=>j;0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<9:l1<3<6?01/>8k56b38m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`5g0<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<4;2d94;4>7`9'60c=>j;0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k53cc8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`73`<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>7b9'60c=;kk0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k53cc8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`73d<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>7d9'60c=;kk0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k53cc8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`733<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>819'60c=;kk0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k53cc8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`767<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>839'60c=;kk0eh950;&1<1<0n2d94?4?;:kf4==4>:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k53cc8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`75`<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>859'60c=;kk0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k53cc8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`75d<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<282d94;4>879'60c=;kk0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<1/>8k53cc8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`0=0<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<612d94;4>899'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k57808m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`47g<62=0;6=u+27`9555<@;=87E<9d:&1<1<5=<1/j447009'b4<082d94;4>8`9'60c=>h?0eh950;&1<1<0n2d94?4?;:kf4=h50;0976a>7683>!4?<389:65rb2;7>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>62k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl9f182>1<729q/>;l51118L7143A8=h6*=858110=#n003<<5+f081<>h50?0:4n5+24g92f7h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn;ml:087>5<7s-8=n7??3:J136=O:?n0(?6;:376?!`>21::7)h>:0f8j7>1282o7)<:e;4`5>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=:583>5}#:?h1===4H350?M41l2.9494=549'b<8k56b38m`1=83.94948f:l1<7<732cn47>5$3:7>2`i6?>0;6)<74;012>=zj?=>6<4;:183!41j3;;?6F=729K63b<,;2?6?;:;%d:>=663-l:6>64n3:5>4>a3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398k410290/>5:52348?xd2k?0:694?:1y'63d=9990D?9<;I05`>"50=09985+f88;44=#n80846`=8782=5=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:m232<72-8387<=6:9~f6?1280?6=4?{%05f?77;2B9;>5G27f8 7>32;?>7)h6:922?!`628n0b?69:0;2?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`5<#:1>1>?84;|`0e0<62=0;6=u+27`9555<@;=87E<9d:&1<1<5=<1/j447009'b4<3?2d94;4>939'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976a>7683>!4?<389:65rb2;g>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>11k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl97682>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f081e>h50?0:595+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`6g=<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<5i2d94;4>949'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd4i=0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80?<6`=8782=3=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:483>5}#:?h1==84H350?M41l2.9494=549'b<1/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl9ed82>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f081=>h50?0:555+24g92f7h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`5ga<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<6m2d94;4>989'60c=>j;0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd1k>0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80956`=8782=d=#:ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:483>5}#:?h1==84H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl<9d82>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f0876>h50?0:5n5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`7f6<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<4;2d94;4>9e9'60c=;kk0eh950;&1<1<0n2d94?4?;:kf4=4>:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k53cc8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`5a4<62=0;6=u+27`9555<@;=87E<9d:&1<1<5=<1/j447009'b4<292d94;4>9g9'60c=>j;0eh950;&1<1<0n2d94?4?;:kf4=h50;0976a>7683>!4?<389:65rb7db>4<4290;w)<9b;334>N5?:1C>;j4$3:7>7323-l265>>;%d2>4?5$3:7>2`i6?>0;6)<74;012>=zj?l36<4<:183!41j3;;<6F=729K63b<,;2?6?;:;%d:>=663-l:6>=4n3:5>4g63-8>i78l1:kf3?6=,;2?6:h4n3:1>5=h50;0:76a>7683>!4?<389:65rb61;>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>`=i:1<1=l<4$37f>3e63`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21d=:950;&1<1<5:?10qo9<7;390?6=8r.9:o4>029K625<@;"a132;=6*i1;72?k4?>3;j?6*=5d85g4=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3f;<;7>5$3:7>74132wi;>?51;794?6|,;9;I047>N5>m1/>5:52478 c?=09;0(k?5a79m6=0=9h>0(?;j:7a2?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=nmh0;6)<74;5e?k4?:3907b?87;29 7>32;8=76sm72695?3=83:p(?8m:025?M40;2B9:i5+2969603<,o314=?4$g3937=i:1<1=l;4$37f>3e63`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a2=1=9391<7>t$34a>4673A85851`48 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3f;<;7>5$3:7>74132wi:5:51;794?6|,;9;I047>N5>m1/>5:52478 c?=09;0(k?59:l1<3<6i>1/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl96082>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f08:g>h50?0:m55+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`51`<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<>k2d94;4>a89'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd1=k0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n802o6`=8782ed=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:483>5}#:?h1==84H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl87482>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f084a>h50?0:mn5+24g92d3h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`433<62=0;6=u+27`9555<@;=87E<9d:&1<1<5=<1/j447009'b4<5k2d94;4>ae9'60c=>h?0eh950;&1<1<0n2d94?4?;:kf4=h50;0976a>7683>!4?<389:65rb65b>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>2g5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f21d28086=4?{%05f?7782B9;>5G27f8 7>32;?>7)h6:922?!`62h;0b?69:0ce?!42m332>l0b?6=:198m`>=83.94948f:l1<7<632e:;:4?:%0;0?45>21vn;l;:087>5<7s-8=n7??3:J136=O:?n0(?6;:376?!`>21::7)h>:268j7>128h;7)<:e;4b1>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=:483>5}#:?h1==84H350?M41l2.9494=549'b<!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo88b;390?6=8r.9:o4>029K625<@;"a132;=6*i1;`2?k4?>3;i>6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3f;<;7>5$3:7>74132wi::751;694?6|,;<;I047>N5>m1/>5:52478 c?=09;0(k?52d9m6=0=9k90(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=h9>=1<7*=858163=k0:<>5G2618L70c3-8387<:5:&e=?>792.m=7l>;o0;2?7e<2.99h4=9b9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?j70?3:1(?6;:305?>{e=jk1=7:50;2x 70e28:87E<83:J12a=#:1>1>8;4$g;9<57<,o;1>h5a29495g3<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9l521=83.9494=2798yg13;3;197>50z&12g<68?1C>:=4H34g?!4?<38>96*i9;:35>"a93n97c<76;3a2>"5=l0=m85fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=k0:<;5G2618L70c3-8387<:5:&e=?>792.m=7=n;o0;2?7e?2.99h4=9b9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo8<1;391?6=8r.9:o4>079K625<@;"a132;=6*i1;1b?k4?>3;i46*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c444?7=<3:190D?8k;%0;0?42=2.m576?1:&e5?d33g83:7?m9:&11`<51j1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807b?87;29 7>32;8=76sm67d95?3=83:p(?8m:025?M40;2B9:i5+2969603<,o314=?4$g3910=i:1<1=oo4$37f>7?d3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a272=93>1<7>t$34a>4643A85851c`8 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65`16594?"50=09>;54}c417?7==3:190D?8k;%0;0?42=2.m576?1:&e5?323g83:7?mc:&11`<51j1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg0ek3;197>50z&12g<68?1C>:=4H34g?!4?<38>96*i9;:35>"a93h0b?69:0`g?!42m332>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj?ho6<4;:183!41j3;;?6F=729K63b<,;2?6?;:;%d:>=663-l:6o:4n3:5>4db3-8>i78n5:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398k410290/>5:52348?xd1j<0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80i7c<76;3ab>"5=l0=m85fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=k0:<>5G2618L70c3-8387<:5:&e=?>792.m=7l;;o0;2?7d82.99h49a49ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?j70?3:1(?6;:305?>{e?1>8;4$g;9<57<,o;1h95a29495f7<,;?n6;o:;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9jad<72-83879i;o0;6?5<3f;<;7>5$3:7>74132wi;8:51;694?6|,;<;I047>N5>m1/>5:52478 c?=09;0(k?5989m6=0=9j80(?;j:7c6?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=h9>=1<7*=858163=47?55;294~"5>k0:<;5G2618L70c3-8387<:5:&e=?>792.m=7l8;o0;2?7d;2.99h49a49ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo9:d;391?6=8r.9:o4>079K625<@;"a132;=6*i1;`8j7>128i?7)<:e;4b1>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb673>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>g25$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl83e82>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f08;6>h50?0:o;5+24g92d3h50;0;76gj8;29 7>32>l0b?6=:098k410290/>5:52348?xd0>l0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80896`=8782g2=#:ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:483>5}#:?h1==84H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl93782>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f08g3>h50?0:o45+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`401<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<>3g83:7?la:&11`<1i<1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg00;3;187>50z&12g<68:1C>:=4H34g?!4?<38>96*i9;:35>"a93i27c<76;3`f>"5=l095n5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;n343?6=,;2?6?<9;:a224=93?1<7>t$34a>4613A85851ba8 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e>;=1=7:50;2x 70e28:87E<83:J12a=#:1>1>8;4$g;9<57<,o;1o45a29495fb<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9l521=83.9494=2798yg05>3;197>50z&12g<68?1C>:=4H34g?!4?<38>96*i9;:35>"a93;;7c<76;3`a>"5=l095n5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=k0:<=5G2618L70c3-8387<:5:&e=?>792.m=7?6;o0;2?7dn2.99h4=9b9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65`16594?"50=09>;54}c1f7?7==3:190D?8k;%0;0?42=2.m576?1:&e5?3e3g83:7?k0:&11`<4jh1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg2b83;187>50z&12g<68:1C>:=4H34g?!4?<38>96*i9;:35>"a939?7c<76;3g5>"5=l08nl5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;n343?6=,;2?6?<9;:a0a`=93?1<7>t$34a>4613A85851e08 73b2:hj7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e1>8;4$g;9<57<,o;1h95a29495a5<,;?n6>ln;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9jad<72-83879i;o0;6?5<3f;<;7>5$3:7>74132wi?hh51;794?6|,;9;I047>N5>m1/>5:52478 c?=09;0(k?59c9m6=0=9m>0(?;j:2`b?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=nmh0;6)<74;5e?k4?:3907b?87;29 7>32;8=76sm3dg95?3=83:p(?8m:025?M40;2B9:i5+2969603<,o314=?4$g3963=i:1<1=i;4$37f>6df3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a1c5=93>1<7>t$34a>4643A8d79'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976a>7683>!4?<389:65rb2d`>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2><=i:1<1=i94$37f>6df3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a10>=93>1<7>t$34a>4643A85851e:8 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65`16594?"50=09>;54}c75b?7==3:190D?8k;%0;0?42=2.m576?1:&e5?5c3g83:7?k9:&11`<51j1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg31i3;197>50z&12g<68?1C>:=4H34g?!4?<38>96*i9;:35>"a93n>7c<76;3ge>"5=l095n5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=k0:<;5G2618L70c3-8387<:5:&e=?>792.m=784n3:5>4be3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn9h::080>5<7s-8=n7??0:J136=O:?n0(?6;:376?!`>21::7)h>:428j7>128nh7)<:e;1ae>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:m232<72-8387<=6:9~f16f280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62k1e>5851ef8 73b2:hj7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e>=k1=7;50;2x 70e28:=7E<83:J12a=#:1>1>8;4$g;9<57<,o;1;6`=8782``=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=k4>:483>5}#:?h1==84H350?M41l2.9494=549'b<!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo=i1;391?6=8r.9:o4>079K625<@;"a132;=6*i1;`;?k4?>3;n<6*=5d80fd=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c6f=?7==3:190D?8k;%0;0?42=2.m576?1:&e5?b13g83:7?j1:&11`<4jh1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg11;3;197>50z&12g<68?1C>:=4H34g?!4?<38>96*i9;:35>"a9330b?69:0g1?!42m332>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj:hn6<4<:183!41j3;;<6F=729K63b<,;2?6?;:;%d:>=663-l:68>4n3:5>4c43-8>i7=ma:kf3?6=,;2?6:h4n3:1>5=h50;0:76a>7683>!4?<389:65rb5d0>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>445$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl;f382>1<729q/>;l51118L7143A8=h6*=858110=#n003<<5+f0800>h50?0:i85+24g97ggh50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn9k9:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:4`8j7>128o=7)<:e;1ae>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb5g0>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>0d5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f1bc280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`6232>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj:o26<4::183!41j3;;:6F=729K63b<,;2?6?;:;%d:>=663-l:68l4n3:5>4c>3-8>i7=ma:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn86=:080>5<7s-8=n7??0:J136=O:?n0(?6;:376?!`>21::7)h>:908j7>128oj7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:m232<72-8387<=6:9~f01e280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`6211e>5851d`8 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e1>8;4$g;9<57<,o;1o45a29495`e<,;?n6>ln;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9l521=83.9494=2798yg5a13;197>50z&12g<68?1C>:=4H34g?!4?<38>96*i9;:35>"a93n37c<76;3f`>"5=l08nl5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=k0:<;5G2618L70c3-8387<:5:&e=?>792.m=789;o0;2?7bm2.99h4!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo=l5;390?6=8r.9:o4>029K625<@;"a132;=6*i1;c1?k4?>3;nj6*=5d80fd=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3f;<;7>5$3:7>74132wi:9;I047>N5>m1/>5:52478 c?=09;0(k?5159m6=0=9o:0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=nmh0;6)<74;5e?k4?:3907b?87;29 7>32;8=76sm61295?3=83:p(?8m:025?M40;2B9:i5+2969603<,o314=?4$g391c=i:1<1=k?4$37f>7?d3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a257=93?1<7>t$34a>4613A85851g08 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e>981=7;50;2x 70e28:=7E<83:J12a=#:1>1>8;4$g;9<57<,o;19k5a29495c5<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9jad<72-83879i;o0;6?5<3f;<;7>5$3:7>74132wi:==51;794?6|,;9;I047>N5>m1/>5:52478 c?=09;0(k?55g9m6=0=9o>0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=nmh0;6)<74;5e?k4?:3907b?87;29 7>32;8=76sm61495?3=83:p(?8m:025?M40;2B9:i5+2969603<,o314=?4$g391c=i:1<1=k;4$37f>7?d3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a251=9391<7>t$34a>4673A85851g48 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3f;<;7>5$3:7>74132wi:=651;794?6|,;9;I047>N5>m1/>5:52478 c?=09;0(k?55d9m6=0=9o=0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=nmh0;6)<74;5e?k4?:3907b?87;29 7>32;8=76sm61;95?3=83:p(?8m:025?M40;2B9:i5+2969603<,o314=?4$g3917=i:1<1=k64$37f>7?d3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a25g=93>1<7>t$34a>4643A85851g;8 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65`16594?"50=09>;54}c4790D?8k;%0;0?42=2.m576?1:&e5?eb3g83:7?ia:&11`<51j1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg05l3;197>50z&12g<68?1C>:=4H34g?!4?<38>96*i9;:35>"a93in7c<76;3ef>"5=l095n5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=k0:<;5G2618L70c3-8387<:5:&e=?>792.m=7=n;o0;2?7ak2.99h4=9b9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo8=b;391?6=8r.9:o4>079K625<@;"a132;=6*i1;1b?k4?>3;mh6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c0a3?7==3:190D?8k;%0;0?42=2.m576?1:&e5?4a3g83:7?ie:&11`<51m1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg4fi3;197>50z&12g<68?1C>:=4H34g?!4?<38>96*i9;:35>"a93;i7c<76;3eb>"5=l095i5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=k0:<;5G2618L70c3-8387<:5:&e=?>792.m=78?;o0;2?4782.99h4=9e9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo;k7;391?6=8r.9:o4>079K625<@;"a132;=6*i1;7;?k4?>38;=6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c7g90D?8k;%0;0?42=2.m576?1:&e5?`=;%06a?4>k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f0c0280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62m90b?69:320?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj=663-l:6o84n3:5>7633-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn8>8:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:338j7>12;:>7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb3aa>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>119;%06a?4>k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl=c`82>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f080a>h50?09<:5+24g96h50;0;76gj8;29 7>32>l0b?6=:098k410290/>5:52348?xd1<:0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n808m6`=87814==#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=54>:483>5}#:?h1==84H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl:1g82>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f0807>h50?09h50;0;76gj8;29 7>32>l0b?6=:098k410290/>5:52348?xd2io0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80o86`=87814g=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=n=4>:583>5}#:?h1===4H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`i6?>0;6)<74;012>=zj;i36<4::183!41j3;;:6F=729K63b<,;2?6?;:;%d:>=663-l:6974n3:5>76c3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn?m;:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:5;8j7>12;:n7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb422>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>6ei;%06a?4>k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f7e0280?6=4?{%05f?77;2B9;>5G27f8 7>32;?>7)h6:922?!`62==0b?69:333?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`5<#:1>1>?84;|`0e2<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b44=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd5k?0:6>4?:1y'63d=99:0D?9<;I05`>"50=09985+f88;44=#n808i6`=878157=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810c<98:18'6=2=:;<07pl:8c82>1<729q/>;l51118L7143A8=h6*=858110=#n003<<5+f08;7>h50?09=>5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn86l:087>5<7s-8=n7??3:J136=O:?n0(?6;:376?!`>21::7)h>:`68j7>12;;?7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=4i4>:483>5}#:?h1==84H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl:8d82>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f08b=>h50?09=;5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`6<1<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b44=4>4>:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`6<=<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<412d94;4=189'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd28j0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80>7c<76;02e>"5=l095n5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=n7?54;294~"5>k0:<>5G2618L70c3-8387<:5:&e=?>792.m=7!4?<3=m7c<72;08?j70?3:1(?6;:305?>{e=?>1=7=50;2x 70e28:;7E<83:J12a=#:1>1>8;4$g;9<57<,o;1=45a294964e<,;?n6?7l;hg4>4<#:1>1;k5a29094>=nm10:6)<74;5e?k4?:3;07b?87;39 7>32;8=76sm6`095?4=83:p(?8m:d`8L7143A8=h6*=858;2>Na=2.m:7kk;%d:>=663g83:7<>d:&11`<1i91bi;4?:%0;0?cc32e:;:4?:%0;0?45>21vn;o;:081>5<7s-8=n7km;I047>N5>m1/>5:5879Kb0=#n?0nh6*i9;:35>h50?09=h5+24g92d6=h9>=1<7*=858163=k0nn6F=729K63b<,;2?6584Hg78 c0=mm1/j447009m6=0=:8l0(?;j:7c3?lc1290/>5:5ee98k410290/>5:52348?xd0ml0:6?4?:1y'63d=:;90D?9<;I05`>"50=03:6Fi5:&e2?45>2.m576?1:l1<3<5:91/>8k56`28m`0=83.9494jd:9l521=83.9494=2798yg1?:3;1>7>50z&12g<5::1C>:=4H34g?!4?<32=7Eh:;%d5>7413-l265>>;o0;2?4592.99h49a19ja3<72-8387kk;:m232<72-8387<=6:9~f2>328096=4?{%05f?45;2B9;>5G27f8 7>321<0Dk;4$g49670<,o314=?4n3:5>7453-8>i78n0:kf2?6=,;2?6hj4;n343?6=,;2?6?<9;:a<56=9381<7>t$34a>7443A85<#:1>1ii54o054>5<#:1>1>?84;|`4<3<62;0;6=u+27`9675<@;=87E<9d:&1<12Bm96*i6;012>"a132;=6`=878161=#:4id494?"50=0nh65`16594?"50=09>;54}c5;90D?8k;%0;0?>13Al>7)h9:305?!`>21::7c<76;011>"5=l0=m=5fe783>!4?<3oo76a>7683>!4?<389:65rb6:`>4<5290;w)<9b;017>N5?:1C>;j4$3:7>=0<@o?0(k852348 c?=09;0b?69:305?!42m332ln07b?87;29 7>32;8=76sm7dc95?4=83:p(?8m:300?M40;2B9:i5+2969<3=On<1/j;4=279'b<5852358 73b2?k;7dk9:18'6=2=mm10c<98:18'6=2=:;<07pl88d82>7<729q/>;l52318L7143A8=h6*=858;2>Na=2.m:7<=6:&e=?>792d94;4=299'60c=>h:0eh850;&1<1=663g83:7<=9:&11`<1i91bi;4?:%0;0?cc32e:;:4?:%0;0?45>21vn:6n:081>5<7s-8=n7<=3:J136=O:?n0(?6;:948Lc3<,o<1>?84$g;9<575$3:7>`b<3f;<;7>5$3:7>74132wi;kk51;094?6|,;N5>m1/>5:5879Kb0=#n?09>;5+f88;44=i:1<1>?l4$37f>3g73`o=6=4+2969aa=:383>5}#:?h1>?=4H350?M41l2.949476:Je1>"a>389:6*i9;:35>h50?09>n5+24g92d6=h9>=1<7*=858163=k09>>5G2618L70c3-838769;Id6?!`12;8=7)h6:922?k4?>389h6*=5d85e5=nm?0;6)<74;gg?>i6?>0;6)<74;012>=zj;386<4=:183!41j389?6F=729K63b<,;2?6584Hg78 c0=:;<0(k758138j7>12;8n7)<:e;4b4>ob>3:1(?6;:df8?j70?3:1(?6;:305?>{e:081=7<50;2x 70e2;887E<83:J12a=#:1>14;5Gf49'b3<5:?1/j447009m6=0=:;l0(?;j:7c3?lc1290/>5:5ee98k410290/>5:52348?xd5180:6?4?:1y'63d=:;90D?9<;I05`>"50=03:6Fi5:&e2?45>2.m576?1:l1<3<5;91/>8k56`28m`0=83.9494jd:9l521=83.9494=2798yg0>13;1>7>50z&12g<5::1C>:=4H34g?!4?<32=7Eh:;%d5>7413-l265>>;o0;2?4492.99h49a19ja3<72-8387kk;:m232<72-8387<=6:9~f3??28096=4?{%05f?45;2B9;>5G27f8 7>321<0Dk;4$g49670<,o314=?4n3:5>7553-8>i78n0:kf2?6=,;2?6hj4;n343?6=,;2?6?<9;:a2<1=9381<7>t$34a>7443A85<#:1>1ii54o054>5<#:1>1>?84;|`5=3<62;0;6=u+27`9675<@;=87E<9d:&1<12Bm96*i6;012>"a132;=6`=878171=#:4id494?"50=0nh65`16594?"50=09>;54}c4:1?7=:3:190D?8k;%0;0?>13Al>7)h9:305?!`>21::7c<76;001>"5=l0=m=5fe783>!4?<3oo76a>7683>!4?<389:65rb7;7>4<5290;w)<9b;017>N5?:1C>;j4$3:7>=0<@o?0(k852348 c?=09;0b?69:315?!42m332ln07b?87;29 7>32;8=76sm68195?4=83:p(?8m:300?M40;2B9:i5+2969<3=On<1/j;4=279'b<5852258 73b2?k;7dk9:18'6=2=mm10c<98:18'6=2=:;<07pl99382>7<729q/>;l52318L7143A8=h6*=858;2>Na=2.m:7<=6:&e=?>792d94;4=399'60c=>h:0eh850;&1<1=663g83:7<<9:&11`<01;1b4o4?:%0;0??532c247>5$3:7>`b<3`8><7>5$3:7>70532e98h4?:%0;0?45>21b4k4?:%0;0?>a32c9944?:%0;0?4212B9:n54i375>5<#:1>1>884H34`?>o5=>0;6)<74;057>=zj>o<6<47:183!41j3i>7E<83:J12a=#n80:56*=85811d=O:<>0(k758138j7>12;9j7)<:e;5:6>o?j3:1(?6;:808?l??290/>5:5ee98m737290/>5:52708?j43m3:1(?6;:305?>o?n3:1(?6;:9d8?l4213:1(?6;:37:?M41k21b>8850;&1<1<5=?1C>;m4;h063?6=,;2?6?8<;:a3fe=9321<7>t$34a>f><@;=87E<9d:&1<1<5=h1/j<4>9:&e=?>792d94;4=3c9'60c=?080e5l50;&1<1<>:21b554?:%0;0?cc32c99:4?:%0;0?41;21d>9k50;&1<1<5:?10e5h50;&1<18750;&1<1<5=01C>;m4;h062?6=,;2?6?;9;I05g>=n:?81<7*=858127=O:?i07pl8cc82>=<729q/>;l5c99K625<@;"a93;27)h6:922?k4?>388o6*=5d84=7=n0k0;6)<74;;1?>o>03:1(?6;:df8?l42?3:1(?6;:340?>i5=n0o0;6)<74;:e?>o5=00;6)<74;06=>N5>j10e?;9:18'6=2=:<<0D?8l;:k127<72-8387<92:J12f=k0h46F=729K63b<,;2?6?;n;%d2>4?<,o314=?4n3:5>75c3-8>i7962:k;f?6=,;2?64<4;h;;>5<#:1>1ii54i374>5<#:1>1>;=4;n07a?6=,;2?6?<9;:k;b?6=,;2?65h4;h06=?6=,;2?6?;6;I05g>=n:<<1<7*=858113=O:?i07d<92;29 7>32;<97E<9c:9~f7e428036=4?{%05f?e13A85<#:1>15?54i8:94?"50=0nh65f24294?"50=09:?54i374>5<#:1>1>;=4;n07a?6=,;2?6?<9;:k;b?6=,;2?65h4;h06=?6=,;2?6?;6;I05g>=n:<<1<7*=858113=O:?i07pl;e682>3<729q/>;l5c19K625<@;:29'6=2=kj1/j447009m6=0=::l0(?;j:2`b?l>e290/>5:59398m=`=83.94947f:9j=6<72-8387<:6:9j==<72-8387kk;:m10`<72-8387<=6:9j60?=83.9494=589K63e<3th4>:283>5}#:?h1jk5G2618L70c3-8387<:5:&e=?>792.m=78n;o0;2?4382.99h48939ja2<72-83879i;o0;6?6<3f;<;7>5$3:7>74132cn47>5$3:7>2`=zj>k:6<4<:183!41j3lm7E<83:J12a=#:1>1>8;4$g;9<57<,o;1:l5a2949617<,;?n6:7=;hg4>5<#:1>1;k5a29094>=h9>=1<7*=858163=h50;0:7c<99;28?xd01o0:6>4?:1y'63d=no1C>:=4H34g?!4?<38>96*i9;:35>"a93"5=l0<5?5fe683>!4?<3=m7c<72;28?j70?3:1(?6;:305?>ob03:1(?6;:6d8j7>5281e>;750:9~f2?c28086=4?{%05f?`a3A8h1e>5852518 73b2>397dk8:18'6=2=?o1e>5<50:9l521=83.9494=2798m`>=83.94948f:l1<7<63g8=57>4;|`4=g<62:0;6=u+27`9bc=O:>90D?8k;%0;0?42=2.m576?1:&e5?0f3g83:7<;4:&11`<01;1bi:4?:%0;0?1a3g83>7>4;n343?6=,;2?6?<9;:kf4=i:?31<65rb6;:>4<4290;w)<9b;de?M40;2B9:i5+2969603<,o314=?4$g392d=i:1<1>9;4$37f>2?53`o<6=4+29693c=i:181<65`16594?"50=09>;54id:94?"50=06<729q/>;l5fg9K625<@;"a132;=6*i1;4b?k4?>38?:6*=5d84=7=nm>0;6)<74;5e?k4?:3:07b?87;29 7>32;8=76gj8;29 7>32>l0b?6=:09m63?=821vn:7::080>5<7s-8=n7hi;I047>N5>m1/>5:52478 c?=09;0(k?56`9m6=0=:==0(?;j:6;1?lc0290/>5:57g9m6=4=821d=:950;&1<1<5:?10eh650;&1<1<0n2d94?4>;o05=?6<3th?>84>:283>5}#:?h1jk5G2618L70c3-8387<:5:&e=?>792.m=78n;o0;2?4302.99h45$3:7>74132cn47>5$3:7>2`=zj=8<6<4<:183!41j3lm7E<83:J12a=#:1>1>8;4$g;9<57<,o;1:l5a294961?<,;?n6>ln;hg4>5<#:1>1;k5a29094>=h9>=1<7*=858163=h50;0:7c<99;28?xd3:00:6>4?:1y'63d=no1C>:=4H34g?!4?<38>96*i9;:35>"a93"5=l08nl5fe683>!4?<3=m7c<72;28?j70?3:1(?6;:305?>ob03:1(?6;:6d8j7>5281e>;750:9~f14e28086=4?{%05f?`a3A8h1e>58525`8 73b2:hj7dk8:18'6=2=?o1e>5<50:9l521=83.9494=2798m`>=83.94948f:l1<7<63g8=57>4;|`76a<62:0;6=u+27`9bc=O:>90D?8k;%0;0?42=2.m576?1:&e5?0f3g83:7<;c:&11`<4jh1bi:4?:%0;0?1a3g83>7>4;n343?6=,;2?6?<9;:kf4=i:?31<65rb50e>4<4290;w)<9b;de?M40;2B9:i5+2969603<,o314=?4$g392d=i:1<1>9j4$37f>6df3`o<6=4+29693c=i:181<65`16594?"50=09>;54id:94?"50=06<729q/>;l5fg9K625<@;"a132;=6*i1;4b?k4?>38?i6*=5d80fd=nm>0;6)<74;5e?k4?:3:07b?87;29 7>32;8=76gj8;29 7>32>l0b?6=:09m63?=821vn9=<:080>5<7s-8=n7hi;I047>N5>m1/>5:52478 c?=09;0(k?56`9m6=0=:=l0(?;j:2`b?lc0290/>5:57g9m6=4=821d=:950;&1<1<5:?10eh650;&1<1<0n2d94?4>;o05=?6<3th?8>4>:283>5}#:?h1jk5G2618L70c3-8387<:5:&e=?>792.m=78n;o0;2?4282.99h45$3:7>74132cn47>5$3:7>2`=zj=>>6<4<:183!41j3lm7E<83:J12a=#:1>1>8;4$g;9<57<,o;1:l5a2949607<,;?n6>ln;hg4>5<#:1>1;k5a29094>=h9>=1<7*=858163=h50;0:7c<99;28?xd3<>0:6>4?:1y'63d=no1C>:=4H34g?!4?<38>96*i9;:35>"a93"5=l08nl5fe683>!4?<3=m7c<72;28?j70?3:1(?6;:305?>ob03:1(?6;:6d8j7>5281e>;750:9~f12>28086=4?{%05f?`a3A8h1e>5852418 73b2:hj7dk8:18'6=2=?o1e>5<50:9l521=83.9494=2798m`>=83.94948f:l1<7<63g8=57>4;|`70g<62:0;6=u+27`9bc=O:>90D?8k;%0;0?42=2.m576?1:&e5?0f3g83:7<:4:&11`<4jh1bi:4?:%0;0?1a3g83>7>4;n343?6=,;2?6?<9;:kf4=i:?31<65rb56g>4<4290;w)<9b;de?M40;2B9:i5+2969603<,o314=?4$g392d=i:1<1>8;4$37f>6df3`o<6=4+29693c=i:181<65`16594?"50=09>;54id:94?"50=06<729q/>;l5fg9K625<@;"a132;=6*i1;4b?k4?>38>:6*=5d80fd=nm>0;6)<74;5e?k4?:3:07b?87;29 7>32;8=76gj8;29 7>32>l0b?6=:09m63?=821vn9;>:080>5<7s-8=n7hi;I047>N5>m1/>5:52478 c?=09;0(k?56`9m6=0=:<=0(?;j:2`b?lc0290/>5:57g9m6=4=821d=:950;&1<1<5:?10eh650;&1<1<0n2d94?4>;o05=?6<3th:283>5}#:?h1jk5G2618L70c3-8387<:5:&e=?>792.m=78n;o0;2?4202.99h48939ja2<72-83879i;o0;6?6<3f;<;7>5$3:7>74132cn47>5$3:7>2`=zj=>;6<4<:183!41j3lm7E<83:J12a=#:1>1>8;4$g;9<57<,o;1:l5a294960?<,;?n6>ln;hg4>5<#:1>1;k5a29094>=h9>=1<7*=858163=h50;0:7c<99;28?xd3=l0:6>4?:1y'63d=no1C>:=4H34g?!4?<38>96*i9;:35>"a93"5=l08nl5fe683>!4?<3=m7c<72;28?j70?3:1(?6;:305?>ob03:1(?6;:6d8j7>5281e>;750:9~f622280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62??0b?69:37a?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj:>?6<4;:183!41j3;;?6F=729K63b<,;2?6?;:;%d:>=663-l:69=4n3:5>73d3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398k410290/>5:52348?xd4<;0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80=46`=87811a=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=l4>:483>5}#:?h1==84H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl<5182>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f0872>h50?099k5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`07=<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<3<2d94;4=619'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd4<80:694?:1y'63d=9990D?9<;I05`>"50=09985+f88;44=#n80=86`=878124=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:m232<72-8387<=6:9~f64>280?6=4?{%05f?77;2B9;>5G27f8 7>32;?>7)h6:922?!`62?>0b?69:341?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`5<#:1>1>?84;|`00c<62=0;6=u+27`9555<@;=87E<9d:&1<1<5=<1/j447009'b4<3;2d94;4=629'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976a>7683>!4?<389:65rb214>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>15k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl<4182>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f080a>h50?09:85+24g96h50;0;76gj8;29 7>32>l0b?6=:098k410290/>5:52348?xd4:10:6>4?:1y'63d=99:0D?9<;I05`>"50=09985+f88;44=#n808i6`=878123=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810c<98:18'6=2=:;<07pl<4d82>6<729q/>;l51128L7143A8=h6*=858110=#n003<<5+f080a>h50?09::5+24g96h50;0;76gj8;29 7>32>l0b?6=:098k410290/>5:52348?xd4;?0:6>4?:1y'63d=99:0D?9<;I05`>"50=09985+f88;44=#n808i6`=87812==#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810c<98:18'6=2=:;<07pl:b982>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f084f>h50?09:45+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`056<62=0;6=u+27`9555<@;=87E<9d:&1<1<5=<1/j447009'b4<3;2d94;4=6`9'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976a>7683>!4?<389:65rb231>4<4290;w)<9b;334>N5?:1C>;j4$3:7>7323-l265>>;%d2>6ck2cn;7>5$3:7>2`i6?>0;6)<74;012>=zj<<>6<4;:183!41j3;;?6F=729K63b<,;2?6?;:;%d:>=663-l:6l94n3:5>70d3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;39 7>32>l0b?6=:398k410280/>5:52348?xd2=j0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80<=6`=87812a=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=>=4>:483>5}#:?h1==84H350?M41l2.9494=549'b<l1/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl:9282>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f085`>h50?09:k5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`040<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<392d94;4=719'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd48=0:694?:1y'63d=9990D?9<;I05`>"50=09985+f88;44=#n80=86`=878134=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:m232<72-8387<=6:9~f66428086=4?{%05f?7782B9;>5G27f8 7>32;?>7)h6:922?!`62:o0b?69:351?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632e:;:4?:%0;0?45>21vn>?i:087>5<7s-8=n7??3:J136=O:?n0(?6;:376?!`>21::7)h>:518j7>12;=87)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=9i4>:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`05`<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<4m2d94;4=749'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=:483>5}#:?h1==84H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl<2e82>1<729q/>;l51118L7143A8=h6*=858110=#n003<<5+f0816>h50?09;:5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:758j7>12;=37)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb22;>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>74k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl<0682>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f0853>h50?09;l5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`065<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<3=2d94;4=7c9'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd2i90:694?:1y'63d=9990D?9<;I05`>"50=09985+f88;44=#n80986`=87813f=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:m232<72-8387<=6:9~f0cf28086=4?{%05f?7782B9;>5G27f8 7>32;?>7)h6:922?!`62h;0b?69:35g?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632e:;:4?:%0;0?45>21vn8k6:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:258j7>12;=n7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb4::>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>41k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl=a382>1<729q/>;l51118L7143A8=h6*=858110=#n003<<5+f086`>h50?094=5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn?l>:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:5f8j7>12;2:7)<:e;0:`>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb45b>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>2?k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f0d6280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62h20b?69:3:0?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj<<;6<4::183!41j3;;:6F=729K63b<,;2?6?;:;%d:>=663-l:6l5a29496=2<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9jad<72-83879i;o0;6?5<3f;<;7>5$3:7>74132wi9;?51;794?6|,;9;I047>N5>m1/>5:52478 c?=09;0(k?56b9m6=0=:1?0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=nmh0;6)<74;5e?k4?:3907b?87;29 7>32;8=76sm5ea95?2=83:p(?8m:020?M40;2B9:i5+2969603<,o314=?4$g39f1=i:1<1>584$37f>7?d3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21d=:950;&1<1<5:?10qo;kd;390?6=8r.9:o4>029K625<@;"a132;=6*i1;`3?k4?>383;6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3f;<;7>5$3:7>74132wi9h651;794?6|,;9;I047>N5>m1/>5:52478 c?=09;0(k?5ag9m6=0=:120(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=nmh0;6)<74;5e?k4?:3907b?87;29 7>32;8=76sm56a95?3=83:p(?8m:025?M40;2B9:i5+2969603<,o314=?4$g39g>h50?09445+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`6f3<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<212d94;4=8`9'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd2j>0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80>m6`=87814m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:>4>:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`627<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<6=2d94;4=8e9'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd3l>0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80o:6`=8781<`=#:ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:483>5}#:?h1==84H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl:f782>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f08g=>h50?095=5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`6ef<62=0;6=u+27`9545<@;=87E<9d:&1<1<6981/j447009m6=0=:0;0(?;j:3;`?lc0290/>5:5ed98m`>=83.9494jf:9j601=83.9494=5998k410290/>5:52348?xd2im0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80>n6`=8781=7=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=>h4>:583>5}#:?h1===4H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`i6?>0;6)<74;012>=zj<8o6<4::183!41j3;;:6F=729K63b<,;2?6?;:;%d:>=663-l:6;5a29496<2<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9jad<72-83879i;o0;6?5<3f;<;7>5$3:7>74132wi?5:51;694?6|,;<;I047>N5>m1/>5:52478 c?=09;0(k?5429m6=0=:0?0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=h9>=1<7*=858163=k0:<;5G2618L70c3-8387<:5:&e=?>792.m=7mi;o0;2?4>>2.99h49a49ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo079K625<@;"a132;=6*i1;6g?k4?>382;6*=5d81=a=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c7fb?7==3:190D?8k;%0;0?42=2.m576?1:&e5?0k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f6e7280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62<1e>58528;8 73b2:hj7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e=0>1=7;50;2x 70e28:=7E<83:J12a=#:1>1>8;4$g;9<57<,o;1n?5a294965<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9jad<72-83879i;o0;6?5<3f;<;7>5$3:7>74132wi:9k51;694?6|,;<;I047>N5>m1/>5:52478 c?=09;0(k?5b19m6=0=:0h0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=h9>=1<7*=858163=k0:<>5G2618L70c3-8387<:5:&e=?>792.m=7l?;o0;2?4>k2.99h4=9b9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?j70?3:1(?6;:305?>{e:kn1=7=50;2x 70e28:;7E<83:J12a=#:1>1>8;4$g;9<57<,o;19n5a294965<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07b?87;29 7>32;8=76sm75g95?3=83:p(?8m:025?M40;2B9:i5+2969603<,o314=?4$g39`1=i:1<1>4k4$37f>3g23`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a33e=93?1<7>t$34a>4613A8h?0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd3lh0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n809:6`=8781e5=#:ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:483>5}#:?h1==84H350?M41l2.9494=549'b<8k53cc8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl=e482>0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f08b1>h50?09m?5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`1`2<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b44=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd3l:0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80j?6`=8781e1=#:ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=9<4>:583>5}#:?h1===4H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`i6?>0;6)<74;012>=zj=663-l:6>h4n3:5>7g13-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn>k9:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:4`8j7>12;k<7)<:e;1ae>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb646>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>a25$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f3d0280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62?1e>5852`;8 73b2?k>7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e>121=7;50;2x 70e28:=7E<83:J12a=#:1>1>8;4$g;9<57<,o;1h95a29496dg<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9jad<72-83879i;o0;6?5<3f;<;7>5$3:7>74132wi;;h51;694?6|,;<;I047>N5>m1/>5:52478 c?=09;0(k?52b9m6=0=:hh0(?;j:7c6?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=h9>=1<7*=858163=k0:<;5G2618L70c3-8387<:5:&e=?>792.m=78<;o0;2?4fk2.99h4=9e9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo=md;391?6=8r.9:o4>079K625<@;"a132;=6*i1;f5?k4?>38jh6*=5d80fd=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c57b?7==3:190D?8k;%0;0?42=2.m576?1:&e5??5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f0b1280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62<80b?69:3ce?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj;oo6<4;:183!41j3;;?6F=729K63b<,;2?6?;:;%d:>=663-l:6>>4n3:5>7d73-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398k410290/>5:52348?xd5lo0:694?:1y'63d=9990D?9<;I05`>"50=09985+f88;44=#n808<6`=8781f4=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:m232<72-8387<=6:9~f7ca280?6=4?{%05f?77;2B9;>5G27f8 7>32;?>7)h6:922?!`62::0b?69:3`1?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`5<#:1>1>?84;|`1a4<62=0;6=u+27`9555<@;=87E<9d:&1<1<5=<1/j447009'b4<482d94;4=b29'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976a>7683>!4?<389:65rb3g:>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>66k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl=dc82>1<729q/>;l51118L7143A8=h6*=858110=#n003<<5+f0804>h50?09n85+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn>kl:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:408j7>12;h=7)<:e;1ae>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb2ga>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>0d5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f205280?6=4?{%05f?77;2B9;>5G27f8 7>32;?>7)h6:922?!`62<;0b?69:3`;?!42m332>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`5<#:1>1>?84;|`424<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<6k2d94;4=b89'60c=>h?0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd2il0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80i56`=8781fd=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=8h4>:483>5}#:?h1==84H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl0<729q/>;l51148L7143A8=h6*=858110=#n003<<5+f08b2>h50?09nn5+24g97ggh50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`603<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<2<2d94;4=be9'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd4080:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n80?86`=8781f`=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:583>5}#:?h1===4H350?M41l2.9494=549'b<8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`i6?>0;6)<74;012>=zj:=m6<4<:183!41j3;;<6F=729K63b<,;2?6?;:;%d:>=663-l:6>k4n3:5>7e73-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76a>7683>!4?<389:65rb256>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>12;%06a?4>k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f613280?6=4?{%05f?77;2B9;>5G27f8 7>32;?>7)h6:922?!`62=90b?69:3a1?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`5<#:1>1>?84;|`036<62:0;6=u+27`9556<@;=87E<9d:&1<1<5=<1/j447009'b4<4m2d94;4=c29'60c=:0i0eh950;&1<1<0n2d94?4?;:kf4=:283>5}#:?h1==>4H350?M41l2.9494=549'b<8k528f8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`1f`<62<0;6=u+27`9550<@;=87E<9d:&1<1<5=<1/j447009'b4<692d94;4=c49'60c=:0n0eh950;&1<1<0n2d94?4?;:kf4=h50;0976gja;29 7>32>l0b?6=:298k410290/>5:52348?xd40;0:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n802m6`=8781g3=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:483>5}#:?h1==84H350?M41l2.9494=549'b<!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo9:3;391?6=8r.9:o4>079K625<@;"a132;=6*i1;;8j7>12;i37)<:e;4b1>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb671>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>2b5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f362280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`621?0b?69:3ab?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj?:?6<4;:183!41j3;;?6F=729K63b<,;2?6?;:;%d:>=663-l:6<<4n3:5>7ee3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398k410290/>5:52348?xd3810:684?:1y'63d=99<0D?9<;I05`>"50=09985+f88;44=#n8027c<76;0`g>"5=l08nl5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=k0:<;5G2618L70c3-8387<:5:&e=?>792.m=7:7;o0;2?4dl2.99h4=9b9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo079K625<@;"a132;=6*i1;``?k4?>38hi6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c0g=?7==3:190D?8k;%0;0?42=2.m576?1:&e5?dd3g83:77>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg03<3;197>50z&12g<68?1C>:=4H34g?!4?<38>96*i9;:35>"a938<7c<76;0g4>"5=l095n5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=k0:<;5G2618L70c3-8387<:5:&e=?>792.m=7<8;o0;2?4c92.99h4=9b9ja2<72-83879i;o0;6?6<3`o36=4+29693c=i:181=65fe883>!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo8>5;391?6=8r.9:o4>079K625<@;"a132;=6*i1;f8j7>12;n97)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb65g>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>4g5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f6>a280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62>?0b?69:3f7?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj:3;6<4::183!41j3;;:6F=729K63b<,;2?6?;:;%d:>=663-l:6?l4n3:5>7b23-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn>6n:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:5:8j7>12;n=7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb3ga>4<3290;w)<9b;337>N5?:1C>;j4$3:7>7323-l265>>;%d2>66k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl=de82>1<729q/>;l51118L7143A8=h6*=858110=#n003<<5+f0804>h50?09h55+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn?k<:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:ca8j7>12;n27)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb3f6>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>gek2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f7??29096?4<{%05f?>?3A85852e`8 73b2?k;7dk9:188k4102900nhm51;094?6|,;<7<,o<1ii5Gf49'b<5852ea8 73b2?k;7dk9:18'6=2=mm10c<98:18'6=2=:;<07pl77;396?6=8r.9:o477:&1<1<>92.m576?1:l1<3<5lm1/>8k56`28m`0=93.9494jd:9l521=93.9494=2798yvc12909wSk9;`052z\232=:0>0:;:5rsda94?4|5li1=:94=959a3=zuk9i47?52;294~"5>k03;6F=729K63b<,;2?64?4$g;9<57k2cn:7?5$3:7>`b<3f;<;7?5$3:7>74132wi8o851;094?6|,;792d94;4=dg9'60c=;kk0eh851;&1<16gj6;29 7>32ln07b?87;29 7>32;8=7E<73:9~f15228096=4?{%05f?`53A85:5ee98k410290/>5:52348L7>432wi88=51;094?6|,;792d94;4=e39'60c=;kk0eh850;&1<15=4;|`5gc<62;0;6=u+27`9b7=O:>90D?8k;%0;0?>13-l265>>;o0;2?4b;2.99h49c09ja3<72-8387kk;:m232<72-8387<=6:J1<6=k0m>6F=729K63b<,;2?6584$g;9<57k2cn:7>5$3:7>`b<3f;<;7>5$3:7>7413A83?65rb2d5>4<5290;w)<9b;d1?M40;2B9:i5+2969<3=#n003<<5a29496`3<,;?n6>ln;hg5>5<#:1>1ii54o054>5<#:1>1>?84H3:0?>{e;h91=7<50;2x 70e2o80D?9<;I05`>"50=03:6*i9;:35>h50?09i;5+24g96=h9>=1<7*=858163=O:1907pl<9c82>7<729q/>;l5f39K625<@;21::7c<76;0f3>"5=l095n5fe783>!4?<3oo76a>7683>!4?<389:6F=8298yg4d13;1>7>50z&12g5G27f8 7>321<0(k758138j7>12;o37)<:e;0:g>ob>3:1(?6;:df8?j70?3:1(?6;:305?M4?;21vn?m::081>5<7s-8=n7h=;I047>N5>m1/>5:5879'b<5852d;8 73b2;3h7dk9:18'6=2=mm10c<98:18'6=2=:;<0D?6<;:a3g>=9381<7>t$34a>c4<@;=87E<9d:&1<12.m576?1:l1<3<5mh1/>8k57808m`0=83.9494jd:9l521=83.9494=279K6=5<3th4>:383>5}#:?h1j?5G2618L70c3-838769;%d:>=663g83:72B94>54}c1;7?7=:3:1N5?:1C>;j4$3:7>=0<,o314=?4n3:5>7cd3-8>i7<6c:kf2?6=,;2?6hj4;n343?6=,;2?6?<9;I0;7>=zj;%d:>=663g83:75$3:7>``<3`8>;7>5$3:7>73?32e:;:4?:%0;0?45>21vn8ji:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:238j7>12;on7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb4g3>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>40k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f0c4280?6=4?{%05f?76;2B9;>5G27f8 7>328;:7)h6:922?k4?>38m<6*=5d81=f=nm>0;6)<74;gf?>ob03:1(?6;:dd8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj=663-l:6l<4n3:5>7`63-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398k410290/>5:52348?xd2m<0:694?:1y'63d=9990D?9<;I05`>"50=09985+f88;44=#n80i=6`=8781b7=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:m232<72-8387<=6:9~f26?280?6=4?{%05f?76;2B9;>5G27f8 7>328;:7)h6:922?k4?>38m?6*=5d85g4=nm>0;6)<74;gf?>ob03:1(?6;:dd8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj>:26<4;:183!41j3;;?6F=729K63b<,;2?6?;:;%d:>=663-l:6>:4n3:5>7`33-8>i78l1:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398k410290/>5:52348?xd08h0:694?:1y'63d=9990D?9<;I05`>"50=09985+f88;44=#n80886`=8781b0=#:ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:m232<72-8387<=6:9~f210280?6=4?{%05f?76;2B9;>5G27f8 7>328;:7)h6:922?k4?>38m:6*=5d85e0=nm>0;6)<74;gf?>ob03:1(?6;:dd8?l42?3:1(?6;:37;?>i6?>0;6)<74;012>=zj>=36<4::183!41j3;;:6F=729K63b<,;2?6?;:;%d:>=663-l:6i=4n3:5>7`03-8>i78n5:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn:96:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:e48j7>12;l37)<:e;4b1>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb5f3>4<3290;w)<9b;327>N5?:1C>;j4$3:7>4763-l265>>;o0;2?4a12.99h46=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`6232>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj=n96<4::183!41j3;;:6F=729K63b<,;2?6?;:;%d:>=663-l:6:94n3:5>7`e3-8>i7=ma:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn8:n:087>5<7s-8=n7?>3:J136=O:?n0(?6;:032?!`>21::7c<76;0eg>"5=l095n5fe683>!4?<3on76gj8;29 7>32ll07d<:7;29 7>32;?376a>7683>!4?<389:65rb46a>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>7?k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f02d280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62<<0b?69:3df?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj=663-l:6<64n3:5>7`a3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn8;::086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:658j7>12::;7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb475>4<3290;w)<9b;327>N5?:1C>;j4$3:7>4763-l265>>;o0;2?5792.99h4=9b9ja2<72-8387kj;:kf6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62=h0b?69:221?!42m332>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj?oh6<4;:183!41j3;:?6F=729K63b<,;2?6;%d:>=663g83:7=?3:&11`<1k81bi:4?:%0;0?cb32cn47>5$3:7>``<3`8>;7>5$3:7>73?32e:;:4?:%0;0?45>21vn;l=:086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:e68j7>12::?7)<:e;4b1>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb7`0>4<3290;w)<9b;327>N5?:1C>;j4$3:7>4763-l265>>;o0;2?57=2.99h49a49ja2<72-8387kj;:kf6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`62>20b?69:225?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj<>86<4::183!41j3;;:6F=729K63b<,;2?6?;:;%d:>=663-l:69o4n3:5>6603-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn8:;:087>5<7s-8=n7?>3:J136=O:?n0(?6;:032?!`>21::7c<76;13<>"5=l095n5fe683>!4?<3on76gj8;29 7>32ll07d<:7;29 7>32;?376a>7683>!4?<389:65rb401>4<2290;w)<9b;332>N5?:1C>;j4$3:7>7323-l265>>;%d2>25>6;%06a?4>k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10eho50;&1<1<0n2d94?4<;:m232<72-8387<=6:9~f044280>6=4?{%05f?77>2B9;>5G27f8 7>32;?>7)h6:922?!`620h0b?69:22b?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj<8?6<4;:183!41j3;:?6F=729K63b<,;2?6;%d:>=663g83:7=?b:&11`<51j1bi:4?:%0;0?cb32cn47>5$3:7>``<3`8>;7>5$3:7>73?32e:;:4?:%0;0?45>21vn9j::086>5<7s-8=n7??6:J136=O:?n0(?6;:376?!`>21::7)h>:49m6=0=;9i0(?;j:2`b?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=nmh0;6)<74;5e?k4?:3907b?87;29 7>32;8=76sm4e495?2=83:p(?8m:030?M40;2B9:i5+2969547<,o314=?4n3:5>66c3-8>i7=ma:kf3?6=,;2?6hk4;hg;>5<#:1>1ik54i374>5<#:1>1>864;n343?6=,;2?6?<9;:a7=e=93?1<7>t$34a>4613A858531g8 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e;1n1=7;50;2x 70e28:=7E<83:J12a=#:1>1>8;4$g;9<57<,o;14h5a294975`<,;?n6?7l;hg4>5<#:1>1;k5a29094>=nm10;6)<74;5e?k4?:3;07dk6:18'6=2=?o1e>5<52:9jad<72-83879i;o0;6?5<3f;<;7>5$3:7>74132wi?5k51;694?6|,;N5>m1/>5:51038 c?=09;0b?69:233?!42m382o6gj7;29 7>32lo07dk7:18'6=2=mo10e?;8:18'6=2=:<207b?87;29 7>32;8=76sm39595?3=83:p(?8m:025?M40;2B9:i5+2969603<,o314=?4$g390c=i:1<1?7?d3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a7=>=93?1<7>t$34a>4613A85853008 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e;131=7:50;2x 70e28;87E<83:J12a=#:1>1=?<;%06a?4>k2cn;7>5$3:7>`c<3`o36=4+2969ac=<3f;<;7>5$3:7>74132wi;k;50;596?>|,;N5>m1/j<4;;I060>"a132;=6`=878051=#:o0;66g80;29?l132900e4<50;9j==<722e98h4?::`125<6200;6=u+27`9637<,;2?6?8>;%07`?bf3A8=46F=559'b<5n34:>4=5<m6=44}c05a?7=93:12ca3f89;7>5$3:7>74132wx554?:3y]===::?:1555rs8094?4|V0801?8?:808yv132909wS9;;<054?133ty<<7>52z\44>;5>90<<6s|6g83>7}Y>o16>;>56g9~w3d=838pR;l4=343>3d52z\10`=::?:1>9k4}r:e>5<5s48=<76i;<05a?45?2wvn:h=:085>5<7s-8=n7lj;I047>N5>m1/>5:5cb9'b4<43A8>86*i9;:35>h50?08=:5+24g93``=n110;6)<74;gg?>i5=n0o0;6)<74;:e?>o5=n1:0;6)<74;062>=zj>l36=48:38;!41j38>j6F=729K63b<,o;186F=559'b<58530:8 73b2>om7d8m:188m3`=831b;=4?::k40?6=3`396=44i8:94?=h:=o1<75m27295??=83:p(?8m:342?!4?<38==6*=4e8ge>N5>11C>8:4$g;9<57<,o;186`=87805<=#:9o4;h57>5<:1<75f6g83>>o1j3:17b<;e;29?j43n3:17pl=6d82>4<729q/>5:52368j7>12:;j7)<:e;5fb>i5:>0;6)<74;012>=z{021<7{t?90;6?uQ719>636=?91v;h50;0xZ3`<5;<;6;h4}r4a>5<5sWn7p}7f;296~;5>903j63=6d8162=zuk=mo7?56;294~"5>k0ii6F=729K63b<,;2?6nm4$g397>N5==1/j447009m6=0=;8h0(?;j:6ge?l>e290/>5:59398m<>=83.9494jd:9l61c=83.9494=2798m=`=83.94947f:9j61e=83.9494=5898m<5=83.9494=5798yg0en3;1>7>50z&1<12.9:o4ic:J12a=i:1<1?;54}c4aa?7==3:1=663-8=n7??8:&e5?d?3g83:7=>d:&11`<1i<1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg0e13;1>7>50z&1<12.9:o4ic:J12a=i:1<1?;54}c4a=663-8=n7??8:&e5?d?3g83:7=>f:&11`<1i<1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=nm00;6)<74;5e?k4?:3807dkn:18'6=2=?o1e>5<53:9l521=83.9494=2798yg12>3;1>7>50z&1<12.9:o4ic:J12a=i:1<1??>4id494?"50=0nh65`16594?"50=09>;54}c561?7=;3:1=663-8=n7??2:&e5?>53g83:7==1:&11`<1i<1bi:4?:%0;0?1a3g83>7>4;hg;>5<#:1>1;k5a29095>=h9>=1<7*=858163=m7?52;294~"50=03:6*=6c8eg>N5>m1e>5853308m`0=83.9494jd:9l521=83.9494=2798yg1213;197>50z&1<1<5=<1C>;j4H350?!`>21::7)<9b;33<>"a93=h7c<76;117>"5=l0=m85fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=N5>m1e>5853368m`0=83.9494jd:9l521=83.9494=2798yg13=3;187>50z&1<1<5=<1C>;j4H350?!`>21::7)<9b;331>"a93k97c<76;111>"5=l0=m85fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;n343?6=,;2?6?<9;:a31?=9381<7>t$3:7>=0<,;399:6gj6;29 7>32ln07b?87;29 7>32;8=76sm75:95?2=83:p(?6;:376?M41l2B9;>5+f88;44=#:?h1==;4$g39fg=i:1<1??94$37f>3g23`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21d=:950;&1<1<5:?10qo:i9;396?6=8r.949476:&12g=h9>=1<7*=858163=m47?55;294~"50=09985G27f8L7143-l265>>;%05f?77?2.m=7;=;o0;2?5512.99h4!4?<3=m7c<72;08?lcf290/>5:57g9m6=4=;21d=:950;&1<1<5:?10qo:i7;396?6=8r.949476:&12g=h9>=1<7*=858163=m:7?55;294~"50=09985G27f8L7143-l265>>;%05f?77?2.m=7l4n3:5>64e3-8>i7=ma:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn9>?:081>5<7s-838769;%05f?`d3A8=h6`=87806f=nm?0;6)<74;gg?>i6?>0;6)<74;012>=zj:lm6<4::183!4?<38>96F=6e9K625<,o314=?4$34a>4603-l:68<4n3:5>64c3-8>i7=ma:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn>hj:081>5<7s-838769;%05f?`d3A8=h6`=87806`=nm?0;6)<74;gg?>i6?>0;6)<74;012>=zj:lo6<4<:183!4?<38>96F=6e9K625<,o314=?4$34a>4663-l:68>4n3:5>64a3-8>i7=ma:kf3?6=,;2?6:h4n3:1>5=h50;0:76a>7683>!4?<389:65rb46;>4<5290;w)<74;:5?!41j3lh7E<9d:l1<3<4;91bi;4?:%0;0?cc32e:;:4?:%0;0?45>21vn8:8:087>5<7s-8387<:5:J12a=O:>90(k758138 70e28:?7)h>:`08j7>12:9:7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=;<4>:383>5}#:1>14;5+27`9bf=O:?n0b?69:211?lc1290/>5:5ee98k410290/>5:52348?xd2?90:6>4?:1y'6=2=:"a132;=6*=6c8247=#n80><6`=878076=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810c<98:18'6=2=:;<07pl:6b82>7<729q/>5:5879'63d=nj1C>;j4n3:5>6533`o=6=4+2969aa=:o4>:283>5}#:1>1>8;4H34g?M40;2.m576?1:&12g<68;1/j<4n1:l1<3<4;<1/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`5<#:1>1>?84;|`6e3<62;0;6=u+2969<3=#:?h1jn5G27f8j7>12:9=7dk9:18'6=2=mm10c<98:18'6=2=:;<07pl:a482>0<729q/>5:52478L70c3A8"5>k0:<55+f08g5>h50?08?:5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`0b6<62;0;6=u+2969<3=#:?h1jn5G27f8j7>12:937dk9:18'6=2=mm10c<98:18'6=2=:;<07pl1<729q/>5:52478L70c3A8"5>k0:<85+f08a0>h50?08?45+24g97ggh50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn9km:081>5<7s-838769;%05f?`d3A8=h6`=87807d=nm?0;6)<74;gg?>i6?>0;6)<74;012>=zj=oj6<4::183!4?<38>96F=6e9K625<,o314=?4$34a>46?3-l:6:74n3:5>65e3-8>i7=ma:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn:88:081>5<7s-838769;%05f?`d3A8=h6`=87807f=nm?0;6)<74;gg?>i6?>0;6)<74;012>=zj><=6<4;:183!4?<38>96F=6e9K625<,o314=?4$34a>4623-l:665c3-8>i78n5:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398k410290/>5:52348?xd3nm0:6?4?:1y'6=2=0?1/>;l5fb9K63b=j;hg5>5<#:1>1ii54o054>5<#:1>1>?84;|`7bf<62=0;6=u+2969603<@;792.9:o4>059'b4<292d94;4<3g9'60c=;kk0eh950;&1<1<0n2d94?4?;:kf4=h50;0976a>7683>!4?<389:65rb2da>4<5290;w)<74;:5?!41j3lh7E<9d:l1<3<4<91bi;4?:%0;0?cc32e:;:4?:%0;0?45>21vn>hn:087>5<7s-8387<:5:J12a=O:>90(k758138 70e28:?7)h>:008j7>12:>:7)<:e;1ae>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=:383>5}#:1>14;5+27`9bf=O:?n0b?69:261?lc1290/>5:5ee98k410290/>5:52348?xd38<0:684?:1y'6=2=:"a132;=6*=6c8242=#n80i7c<76;177>"5=l08nl5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;hgb>5<#:1>1;k5a29097>=h9>=1<7*=858163=m<7?52;294~"50=03:6*=6c8eg>N5>m1e>5853568m`0=83.9494jd:9l521=83.9494=2798yg2bn3;197>50z&1<1<5=<1C>;j4H350?!`>21::7)<9b;333>"a93?0b?69:266?!42m39im6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`i6?>0;6)<74;012>=zj:l36<4=:183!4?<32=7)<9b;d`?M41l2d94;4<479ja3<72-8387kk;:m232<72-8387<=6:9~f6`0280>6=4?{%0;0?42=2B9:i5G2618 c?=09;0(?8m:024?!`62<1e>5853558 73b2:hj7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e;j=1=7<50;2x 7>321<0(?8m:ga8L70c3g83:7=;8:kf2?6=,;2?6hj4;n343?6=,;2?6?<9;:a7f0=93?1<7>t$3:7>7323A8=h6F=729'b<;l511:8 c7=j<1e>58535;8 73b2:hj7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e>9i1=7<50;2x 7>321<0(?8m:ga8L70c3g83:7=;a:kf2?6=,;2?6hj4;n343?6=,;2?6?<9;:a25d=93?1<7>t$3:7>7323A8=h6F=729'b<;l511:8 c7==;1e>58535`8 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65fe`83>!4?<3=m7c<72;18?j70?3:1(?6;:305?>{e>9l1=7<50;2x 7>321<0(?8m:ga8L70c3g83:7=;c:kf2?6=,;2?6hj4;n343?6=,;2?6?<9;:a25c=93>1<7>t$3:7>7323A8=h6F=729'b<;l51178 c7==81e>58535f8 73b2;3h7dk8:18'6=2=?o1e>5<50:9ja=<72-83879i;o0;6?7<3`o26=4+29693c=i:181>65`16594?"50=09>;54}c7ea?7=:3:1"5>k0mo6F=6e9m6=0=;=o0eh850;&1<139?j6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c0b3?7=:3:1"5>k0mo6F=6e9m6=0=;<:0eh850;&1<139>=6*=5d81=a=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c7f6?7=:3:1"5>k0mo6F=6e9m6=0=;<80eh850;&1<139>?6*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3f;<;7>5$3:7>74132wi:5851;094?6|,;2?6584$34a>ce<@;ob>3:1(?6;:df8?j70?3:1(?6;:305?>{e>1?1=7;50;2x 7>32;?>7E<9d:J136=#n003<<5+27`9551<,o;196`=878010=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=m>4>:383>5}#:1>14;5+27`9bf=O:?n0b?69:275?lc1290/>5:5ee98k410290/>5:52348?xd2i;0:684?:1y'6=2=:"a132;=6*=6c8242=#n80996`=878012=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=4<4>:383>5}#:1>14;5+27`9bf=O:?n0b?69:27;?lc1290/>5:5ee98k410290/>5:52348?xd2090:684?:1y'6=2=:"a132;=6*=6c8242=#n80hh6`=87801<=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=4;4>:383>5}#:1>14;5+27`9bf=O:?n0b?69:27b?lc1290/>5:5ee98k410290/>5:52348?xd20<0:684?:1y'6=2=:"a132;=6*=6c824==#n803o6`=87801g=#:4m4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:kfe?6=,;2?6:h4n3:1>6=:383>5}#:1>14;5+27`9bf=O:?n0b?69:27`?lc1290/>5:5ee98k410290/>5:52348?xd5im0:694?:1y'6=2=:"a132;=6*=6c8240=#n80j>6`=87801a=#:4j4id594?"50=0ob03:1(?6;:6d8j7>52810eh750;&1<1<0n2d94?4=;:m232<72-8387<=6:9~f05628096=4?{%0;0?>13-8=n7hl;I05`>h50?089h5fe783>!4?<3oo76a>7683>!4?<389:65rb413>4<3290;w)<74;061>N5>m1C>:=4$g;9<57<,;;;%d2>1c;i;%06a?4>k2cn;7>5$3:7>2`ob13:1(?6;:6d8j7>52;10c<98:18'6=2=:;<07pl:6682>7<729q/>5:5879'63d=nj1C>;j4n3:5>6073`o=6=4+2969aa=:;4>:483>5}#:1>1>8;4H34g?M40;2.m576?1:&12g<6811/j<4md:l1<3<4>81/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`obi3:1(?6;:6d8j7>52:10c<98:18'6=2=:;<07pl=cd82>7<729q/>5:5879'63d=nj1C>;j4n3:5>6053`o=6=4+2969aa=:583>5}#:1>1>8;4H34g?M40;2.m576?1:&12g<68=1/j<4n2:l1<3<4>:1/>8k528a8m`1=83.94948f:l1<7<732cn47>5$3:7>2`i6?>0;6)<74;012>=zj280?6=4?{%0;0?42=2B9:i5G2618 c?=09;0(?8m:026?!`62k>0b?69:246?!42m382o6gj7;29 7>32>l0b?6=:198m`>=83.94948f:l1<7<632cn57>5$3:7>2`5<#:1>1>?84;|`671<62;0;6=u+2969<3=#:?h1jn5G27f8j7>12:<=7dk9:18'6=2=mm10c<98:18'6=2=:;<07pl:3282>1<729q/>5:52478L70c3A8"5>k0:<95+f08:`>h50?08::5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532e:;:4?:%0;0?45>21vn8;i:081>5<7s-838769;%05f?`d3A8=h6`=87802==nm?0;6)<74;gg?>i6?>0;6)<74;012>=zj96F=6e9K625<,o314=?4$34a>4603-l:6o=4n3:5>60>3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn8kl:081>5<7s-838769;%05f?`d3A8=h6`=87802d=nm?0;6)<74;gg?>i6?>0;6)<74;012>=zj96F=6e9K625<,o314=?4$34a>46?3-l:6lo4n3:5>60e3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76gj9;29 7>32>l0b?6=:398m`g=83.94948f:l1<7<432e:;:4?:%0;0?45>21vn89j:081>5<7s-838769;%05f?`d3A8=h6`=87802f=nm?0;6)<74;gg?>i6?>0;6)<74;012>=zj<=o6<4<:183!4?<38>96F=6e9K625<,o314=?4$34a>4653-l:69m4n3:5>60c3-8>i7<6c:kf3?6=,;2?6:h4n3:1>5=h50;0:76a>7683>!4?<389:65rb4`7>4<5290;w)<74;:5?!41j3lh7E<9d:l1<3<4>l1bi;4?:%0;0?cc32e:;:4?:%0;0?45>21vn8l<:086>5<7s-8387<:5:J12a=O:>90(k758138 70e28:37)h>:018j7>12:ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb40a>4<5290;w)<74;:5?!41j3lh7E<9d:l1<3<4?91bi;4?:%0;0?cc32e:;:4?:%0;0?45>21vn85<7s-8387<:5:J12a=O:>90(k758138 70e28:37)h>:928j7>12:=:7)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb4;2>4<5290;w)<74;:5?!41j3lh7E<9d:l1<3<4?;1bi;4?:%0;0?cc32e:;:4?:%0;0?45>21vn87?:086>5<7s-8387<:5:J12a=O:>90(k758138 70e28:37)h>:8g8j7>12:=87)<:e;0:g>ob?3:1(?6;:6d8j7>52910eh650;&1<1<0n2d94?4>;:kf=?6=,;2?6:h4n3:1>7=h50;0876a>7683>!4?<389:65rb4d6>4<5290;w)<74;:5?!41j3lh7E<9d:l1<3<4?=1bi;4?:%0;0?cc32e:;:4?:%0;0?45>21vn8h;:086>5<7s-8387<:5:J12a=O:>90(k758138 70e28:<7)h>:89m6=0=;>?0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=nmh0;6)<74;5e?k4?:3907b?87;29 7>32;8=76sm5g395?4=83:p(?6;:948 70e2oi0D?8k;o0;2?50>2cn:7>5$3:7>`b<3f;<;7>5$3:7>74132wi9k>51;694?6|,;2?6?;:;I05`>N5?:1/j447009'63d=99?0(k?5bc9m6=0=;>=0(?;j:3;`?lc0290/>5:57g9m6=4=821bi54?:%0;0?1a3g83>7?4;hg:>5<#:1>1;k5a29096>=h9>=1<7*=858163=7?52;294~"50=03:6*=6c8eg>N5>m1e>58536:8m`0=83.9494jd:9l521=83.9494=2798yg5d93;187>50z&1<1<5=<1C>;j4H350?!`>21::7)<9b;331>"a93i27c<76;14=>"5=l08nl5fe683>!4?<3=m7c<72;28?lc?290/>5:57g9m6=4=921bi44?:%0;0?1a3g83>7<4;n343?6=,;2?6?<9;:a1<0=9381<7>t$3:7>=0<,;3932ln07b?87;29 7>32;8=76sm58795?3=83:p(?6;:376?M41l2B9;>5+f88;44=#:?h1==64$g392`=i:1<1?:l4$37f>7?d3`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a31e=9381<7>t$3:7>=0<,;3932ln07b?87;29 7>32;8=76sm75`95?3=83:p(?6;:376?M41l2B9;>5+f88;44=#:?h1==64$g39gg=i:1<1?:j4$37f>3g23`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a33g=9381<7>t$3:7>=0<,;3932ln07b?87;29 7>32;8=76sm77;95?3=83:p(?6;:376?M41l2B9;>5+f88;44=#:?h1==64$g39`5=i:1<1?:h4$37f>3g23`o<6=4+29693c=i:181<65fe983>!4?<3=m7c<72;38?lc>290/>5:57g9m6=4=:21bil4?:%0;0?1a3g83>7=4;n343?6=,;2?6?<9;:a055=9381<7>t$3:7>=0<,;393<6gj6;29 7>32ln07b?87;29 7>32;8=76sm41095?3=83:p(?6;:376?M41l2B9;>5+f88;44=#:?h1==94$g39=>h50?084<5+24g97ggh50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`6ed<62;0;6=u+2969<3=#:?h1jn5G27f8j7>12:297dk9:18'6=2=mm10c<98:18'6=2=:;<07pl:a882>0<729q/>5:52478L70c3A8"5>k0:<55+f08a=>h50?084>5+24g96h50;0;76gj8;29 7>32>l0b?6=:098m`?=83.94948f:l1<7<532cnm7>5$3:7>2`54o054>5<#:1>1>?84;|`556<62;0;6=u+2969<3=#:?h1jn5G27f8j7>12:2?7dk9:18'6=2=mm10c<98:18'6=2=:;<07pl91382>0<729q/>5:52478L70c3A8"5>k0:<55+f085?k4?>39396*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c54b?7=:3:1"5>k0mo6F=6e9m6=0=;1<0eh850;&1<1393;6*=5d85e0=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c0g7?7=:3:1"5>k0mo6F=6e9m6=0=;120eh850;&1<139356*=5d81=f=nm>0;6)<74;5e?k4?:3:07dk7:18'6=2=?o1e>5<51:9ja<<72-83879i;o0;6?4<3`oj6=4+29693c=i:181?65`16594?"50=09>;54}c0;g?7=93:14$g49670<,oh1>>;4n3:5>6>f3f8?97>5$3:7>72232wi>5j51;394?6|,;2?6?::;I05`>Na82.m:7<=6:&ef?44<2d94;4<8c9l613=83.9494=4498yg4?m3;1=7>50z&1<1<5<<1C>;j4Hg28 c0=:;<0(kl52258j7>12:2h7b<;5;29 7>32;>>76sm28295?7=83:p(?6;:366?M41l2Bm<6*i6;012>"aj388:6`=87805<#:1>1>9;4;|`1=2<6280;6=u+2969613<@;`b<,oh1>><4n3:5>6>a3`8?97>5$3:7>72232wi:5751;394?6|,;2?6?::;I05`>Na82.m:7<=6:&ef?4382d94;4<919l613=83.9494=4498yg0?i3;1=7>50z&1<1<5<<1C>;j4Hg28 c0=:;<0(kl52538j7>12:3:7b<;5;29 7>32;>>76sm69`95?7=83:p(?6;:366?M41l2Bm<6*i6;012>"aj38?>6`=8780=7=h:=?1<7*=858100=?84$g`96157<;n071?6=,;2?6?::;:a2=b=93;1<7>t$3:7>7223A8=h6Fi0:&e2?45>2.mn7<;4:l1<3<41=1d>9;50;&1<1<5<<10qo87e;395?6=8r.9494=449K63b<@o:0(k852348 cd=::;0b?69:2;6?j43=3:1(?6;:366?>{e>1l1=7?50;2x 7>32;>>7E<9d:Je4>"a>389:6*ib;007>h50?085;5`25794?"50=098854}c4:5?7=93:14$g49670<,oh1>?h4n3:5>6?03f8?97>5$3:7>72232wi:l?51;394?6|,;2?6?::;I05`>Na82.m:7kk;%da>74d3g83:7=68:k100<72-8387<;5:9~f3g4280:6=4?{%0;0?43=2B9:i5Gf19'b39;50;&1<1<5<<10qo971;395?6=8r.9494=449K63b<@o:0(k852348 cd=::k0b?69:2;b?j43=3:1(?6;:366?>{e?191=7?50;2x 7>32;>>7E<9d:Je4>"a>389:6*ib;00=>h50?085o5`25794?"50=098854}c5;1?7=93:14$g49670<,oh1>>j4n3:5>6?d3f8?97>5$3:7>72232wi;5951;394?6|,;2?6?::;I05`>Na82.m:7<=6:&ef?44j2d94;4<9e9l613=83.9494=4498yg1?13;1=7>50z&1<1<5<<1C>;j4Hg28 c0=:;<0(kl522a8j7>12:3n7b<;5;29 7>32;>>76sm79`95?7=83:p(?6;:366?M41l2Bm<6*i6;012>"aj388<6`=8780=c=h:=?1<7*=858100=?84$g`966>o?;n071?6=,;2?6?::;:a3=`=93;1<7>t$3:7>7223A8=h6Fi0:&e2?45>2.mn7<9;50;&1<1<5<<10qo9j9;395?6=8r.9494=449K63b<@o:0(k852348 cd=:;n0b?69:2c1?j43=3:1(?6;:366?>{e?lh1=7?50;2x 7>32;>>7E<9d:Je4>"a>389:6*ib;01f>h50?08m>5`25794?"50=098854}c5f`?7=93:14$g49670<,oh1>?o4n3:5>6g33f8?97>5$3:7>72232wi;kj51;394?6|,;2?6?::;I05`>Na82.m:7<=6:&ef?4512d94;450z&1<1<5<<1C>;j4Hg28 c0=:;<0(kl523:8j7>12:k=7b<;5;29 7>32;>>76s|10494?4cs48<87k?;<5:7??734=j:77?;<5:0??a34=2:77i;<5:77i;<617??734>8:77?;<610??a34>9:77i;<619m77i;<61g??a34>9i77i;<604??a34>8>77i;<675??734>>877?;<676??a34>?877i;<672??a34>?477i;<67e??a34>?o77i;<67a??a34>><77i;<6f`???349m9777;<5;6?c134=387k9;<5;a?c134p1?9::304?81>;33m701983>7}:=lk1=:94=4g:>`>52z?1e7<6?>16>o?5e`9~w47f2909w0;m1;g;?83fi3;<;6s|10`94?4|54103ty:=n4?:3y>136=9>=018;j:dc8yv76l3:1>v3:608232=:=5<5s4?oo7?87:?6ago1=:94}r315?6=:r7>n;4>769>1g5=m01v<<=:18183e?3;<;63:b28fe>{t9;91<741034?=>7k6;|q261<72;q69k85165890`32lk0q~?=5;296~;2im0:;:525`a9a2=z{88=6=4={<7bg?c?34?ji7?87:p571=838p182983>7}:>>:1=:94=74e>`g52z?6acj<4>769~w44f2909w0=l0;gb?85d:3;<;6s|13`94?4|5<3?6ho4=4;5>4103ty:>n4?:3y>6gb=9>=01?ll:dc8yv75l3:18v3<838232=:;0:1il5239c9ad=:;1o1>894}r31a?6=:r7=>94>769>275=mh1v<{t9::1<741034==57kn;|q274<72;q6?5h5e89>7<6=9>=0q~?<2;296~;3l:0n463;d78232=z{8986=4={<765?70?27>9=4j7:p562=838p1>kl:054?85bj3o37p}>3483>7}:??81=:94=642>`g52z?1fc<6?>16>ok5e`9~w4502909w09:3;343>;0=;0n46s|12:94?4|5?:>6<98;<430?c>3ty:?44?:3y>243=9>=01;?=:dc8yv74i3:1>v387e8f<>;0?o0:;:5rs01a>5<5s4?oj7?87:?6``m50;0x90c728=<70;ke;g;?xu6;m0;6?u25d69521<5i84>769>1`5=m11v<=i:18181713;<;638098f3>{t9=:1<741034=;47k7;|q204<72;q6;:65165892102l=0q~?;2;296~;0?00:;:527659a==z{8>86=4={<6g5?70?27?h=4j7:p512=838p19j=:054?82c83o37p}>4483>7}:==h1=:94=46b>`152z?60f<6?>1699o5e99~w4202909w0:ia;g:?82a13;<;6s|15:94?4|5=no6h94=5d4>4103ty:844?:3y>7`e=mh168=>51658yv73i3:1>v3;4nl0:;:5rs06a>5<5s4=?87kn;<57=?70?2wx=9m50;0x903?2l2018:7:054?xu61v<:i:18185em3o<70:?6;343>{t9<:1<7`1<5=l;6<98;|q214<72;q68=o5e69>7c>=9>=0q~?:2;296~;2lj0n463:e38232=z{8?86=4={<74f?c>34<3:7?87:p502=838p18l9:d:890g428=<7p}>5483>7}:=1o1il525939521:7>52z?627?<4>769~w4302909w04103ty:944?:3y>133=m01698h51658yv72i3:1>v3:de8f3>;2n<0:;:5rs07a>5<5s49n57k8;<637?70?2wx=8m50;0x90212lk01?j<:054?xu6=m0;6?u26619521<5?=96ho4}r36a?6=:r7=>:4>769>270=mh1v<;i:18182ai3;<;63;f78fe>{t9?:1<7410349ni7kn;|q224<72;q69;h5e`9>127=9>=0q~?92;296~;2>h0nm63:6b8232=z{8<86=4={<7b0?cf34?j:7?87:p532=838p1>h>:d5896`428=<7p}>6483>7}:52z?6<7<6?>169:l5e`9~w4002909w0:i1;343>;3mo0nm6s|17:94?4|5:l26<98;<1e3?cf3ty::44?:3y>2=2=mh16:5651658yv71i3:1>v3:d68f=>;2mj0:;:5rs04a>5<5s4?o;7kn;<7fm0;6?u263:9a<=:>;31=:94}r35a?6=:r7>:84>769>10e=mh1v<8i:181832k3o270;97;343>{t9>:1<7`g<5<8?6<98;|q234<72;q694=5e`9>1<7=9>=0q~?82;296~;0>=0nm638648232=z{8=86=4={<11`?70?278>n4ja:p522=838p1>>7:054?857?3oj7p}=8b83>6}Y:1i01?7>:054?84?k38?96s|29f94?5|V;2o70<62;343>;50m09885rs3:f>5<4sW83i63=928232=::1o1>9;4}r0:4?6=;rT95=522869521<5;3;6?::;|q1=0<72:qU>4;4=3;5>`0<5;3>6?::;|q1=3<72>>p187j:818935a20901;=k:36`?804m338708;2;;0?803838?o639408:7>;2k802?63:bg810f=:=j:15>525b69=6=:=j81>9m4=4a0><5<5<9o64=4=41a><5<5<9h64=4=463>72d34?8i77<;<70b??434?<;7<;c:?63=<>;27==h463:?55f<5277=1:16:h70899;;0?801l3387089b;07g>;1>j02?639818:7>;10802?639838:7>;10:02?63:d08:7>;2l;02?63:d28:7>;2l=02?63:148:7>;29?098n5250;9=6=:=8=15>5250:9=6=:=8k15>5258`9=6=:=0i15>5258f9=6=:=8h15>523c`961e<5:nn6?:l;<6a0??434>o47<;c:?0g<<5h70:j8;;0?85b933870=j4;;0?85b?33870=ja;;0?85a=38?o63;4n902?63838810f=:?=:15>527539=6=:>ho15>526`d9=6=:?>:15>5274d961e<5>=864=4=624><5<5>8m64=4=7d6><5<5?l=64=4=637><5<5>;>64=4=635><5<5>;<64=4=63;><5<5>;264=4=63b><5<5>;i64=4=63`><5<5?o=64=4=7g4><5<5?o364=4=7g:><5<5?n364=4=7f:><5<5?nj64=4=7fa><5<5?nh64=4=7fg><5<5?nn64=4=7fe><5<5>9964=4=7df><5<5?lm64=4=623><5<5>:?64=4=626><5<5>:=64=4=5`0>`1<5=k86h94=43e>`1<5;3=6<98;<6f3??434494=3;;>`0<5;3<6?::;|q1==<7221h01:jn:9`892be21h01:jl:9`892bc21h01:jj:9`892ba21h01:k?:9`892`721h01:h>:9`892`e21h01;;9:9`8933>21h01;;l:9`8933a21h01?m=:9`896d121h01>lm:9`896bb21h0198l:9`8910c21h0198j:9`8910a21h0199?:9`8911621h0199=:9`891d321h01>m6:9`891ge21h019ol:9`891gc21h019oj:9`891ga21h019l?:9`891d621h01>j;:9`896b221h01>j9:9`896b021h01>j7:9`896b>21h01>jn:9`896be21h01>jl:9`896bc21h01>k>:9`896c321h01>k8:9`896cf21h01>h::9`896cc21h01>h?:9`8925>21h01::?:9`8922621h01;oj:9`893ga21h01:9?:9`8923a21h01:9<:9`8926021h01:;:9`8926221h01:>9:9`892c?21h01:k8:9`892ed21h01:mm:9`892ef21h01?m<:9`897??28=<709i5;;1?81a:32i709i8;;1?81ak32i7p}=9d83>6}::hh1=:94=4g4>`?<5;hj6ho4}r0:b?6=:r795k4>769>1`2=m11v?o?:18084>n3o370;5im0n56s|2`394?5|5;3m6h94=3`2>410348jh7k7;|q1e6<72;q6>l:5165897d62l20q~348jm7?87:p6de=838p18j7:dc897gb28=<7p}=b383>7}::kk1i5522c1952152z?1f<769~w7d12909w06g?=9>=01?l7:d:8yv4ei3:1>v3=b98f3>;5jh0:;:5rs3`a>5<5s48in7?87:?6a1om50;0x97de2l201?ll:054?xu5jl0;6?u22c`9a2=::ko1=:94}r0`4?6=;r7{t:ji1<7=t=472>`?<5;io6<98;<0`a?c13ty9ok4?:4y>6a6=9>=018:j:dc8902e2lk018:l:dc897b52lk0q~;5l;0:;:522e19a3=z{;n?6=4={<41b?c?348o97?87:p6a0=839p1;u263`9a<=::m31=:94=70:>`?52z?574769~w7bd2909w08=f;g4?84cl3;<;6s|2eg94?4|5?8o6h64=3fe>4103ty9i=4?:3y>27d=m116>h?51658yv4b:3:1>v394`8f<>;5m:0:;:5rs3g7>5<4s41v?kl:18180303o370{t:lo1<7`><5;om6<98;|q1b5<72;q6>k>5165897`620:0q~460:p6c5=838p1?h<:054?84a<33;7p}=f583>7}::o>1=:94=3d6><652z?1b3<6?>16>k>52458yv4a?3:1>v3=f68232=::o;1>894}r0e769>6c4=:<=0q~52z?1bd<6?>16>k:52458yv4aj3:1>v3=fc8232=::o?1>894}r0eg?6=:r79jn4>769>6cb=191v?hk:18184al3;<;63=fd8:4>{t:oo1<7410348mj77?;|q1bc<72;q6>kh51658966720:0q~=?0;296~;4890:;:523139=5=z{::96=4={<136?70?279jn4=569~w6642909w0;48:0:;:5rs227>5<5s48mi7<:7:?041<6?>1v>>::18184an38>;63<048232=z{::=6=4={<132?70?278<=4=569~w6602909w0=?1;063>;48>0:;:5rs22:>5<5s49;57?87:?04d<>82wx?=o50;0x966f28=<70=?b;;3?xu48k0;6?u231`9521<5::h64>4}r13g?6=:r78769>75b=191v>>k:181857l3;<;63<0d8:4>{t;9o1<78t=22f>41034?9>7k6;<717?cf34?i:7k8;<7a3?c034?8<7k8;|q04c<72;q6?=h51658966>2;?<7p}<1183>7}:;8:1=:94=22b>7303ty8=<4?:3y>747=9>=01>>m:374?xu49;0;6?u231a9601<5:;96<98;|q056<72;q6?=j52458967428=<7p}<1583>7}:;9o1>894=237>4103ty8=84?:3y>743=9>=01>?9:828yv56>3:1>v3<178232=:;8=15=5rs234>5<5s49:;7?87:?05=<>82wx?<650;0x967?28=<70=>9;;3?xu4900;6?u230;9521<5:;j64>4}r12f?6=:r78=o4>769>743=:<=0q~=>c;296~;49j0:;:52304960152z?05a<6?>16?<952458yv56m3:1>v3<198112=:;8o1=:94}r12b?6=:r78=44=569>74`=9>=0q~==0;296~;49h099:52332952152z?064<6?>16??<5919~w6452909w0==2;343>;4::02<6s|33194?4|5:886<98;<110??73ty8>94?:3y>772=9>=01><::828yv55=3:1>v3<248232=:;;<15=5rs205>5<0s499:7?87:?667>>4j9:?6e5n;4j9:?6f2?=4j9:p771=838p1><8:054?855938>;6s|33:94?4|5:896?;8;<11{t;;k1<77303499m7?87:p77d=838p1>;6s|33a94?4|5:8=6?;8;<11g?70?2wx??k50;0x964b28=<70==f;;3?xu4:o0;6?u233d9521<5:9;64>4}r104?6=:r78?=4>769>767=191v>=>:18185493;<;63<338:4>{t;:81<74103498?77?;|q076<720q6?>=51658901f2lk0188<:d5890432;?<70;n0;g:?83e>3oj70;m7;gb?834;3o370;60;g;?xu4;=0;6?u23269521<5:8n6?;8;|q070<72;q6?>;51658964a2;?<7p}<3783>7}:;::1>894=215>4103ty8?:4?:3y>767=:<=01>=8:054?xu4;10;6?u23209601<5:936<98;|q07<<72;q6?>75165896542;?<7p}<3`83>7}:;:k1=:94=21a><652z?07g<6?>16?>m5919~w65d2909w0=;4;m02<6s|32f94?4|5:9o6<98;<10a??73ty8?h4?:3y>76c=9>=01>=i:828yv54n3:1:v3<3g8232=:=>k1i4525719a==:=:91i4525c19a==:=0:1i45rs263>5<5s498m7<:7:?005<6?>1v>:>:181854j38>;63<408232=z{:>96=4={<10g?42?2788?4>769~w6242909w0=;3;343>;4;m099:5rs267>5<5s498i7<:7:?001<6?>1v>:::181854n38>;63<448232=z{:>=6=4={<172?70?2788:460:p711=838p1>:8:054?853033;7p}<4983>7}:;=21=:94=26:><652z?00<<6?>16?9o5919~w62f2909w0=;a;343>;4|5:>i6<98;<76`?c?34?357k6;<754?cf34?==7kn;<74g?c>34?9m7kn;<7:1?cf3ty88n4?:3y>71e=9>=01>:9:374?xu4<6?;8;|q00`<72;q6?9652458962b28=<7p}<4g83>7}:;=31>894=26e>4103ty89=4?:3y>71g=:<=01>;?:054?xu4=80;6?u23439521<5:>i6?;8;|q017<72;q6?8<51658963420:0q~=:3;296~;4=:0:;:523469=5=z{:??6=4={<160?70?27898460:p703=838p1>;::054?852>33;7p}<5783>7}:;<<1=:94=274><647>52z?01=<6?>16?8<52458yv5213:1>v3<588232=:;<91>894}r16e?6=:r789l4>769>702=:<=0q~=:b;296~;4=k0:;:523479601o7>52z?01f<6?>16?8852458yv52l3:1>v3<5e8232=:;<=1>894}r16a?6=:r789h4>769>70`=191v>;i:181852n3;<;63<618:4>{t;?:1<7410349==77?;|q024<72;q6?;?51658960520:0q~=92;296~;4>;0:;:523719=5=z{:;4=o099:5rs245>5<5s49=:7?87:?025<5=>1v>88:181851?3;<;63<608112=z{:<36=4={<152909w0=99;343>;4>:099:5rs24b>5<5s49=m7?87:?02g<>82wx?;l50;0x960e28=<70=9c;;3?xu4>j0;6?u237a9521<5:4}r15`?6=:r78:i4>769>73c=191v>8j:181851m3;<;63<6g8:4>{t;>:1<7410349=m7<:7:p727=838p1>9>:054?851j38>;6s|36094?4|5:=96<98;<15g?42?2wx?:=50;0x960c2;?<70=83;343>{t;>>1<7730349<87?87:p723=838p1>8i:374?850=3;<;6s|36494?4|5:==6<98;<143??73ty8;:4?:3y>721=9>=01>97:828yv5003:1>v3<798232=:;>315=5rs25:>5<5s49<57?87:?03d<>82wx?:o50;0x961f28=<70=8b;;3?xu4?j0;6?u236a9521<5:==6?;8;|q03a<72;q6?:j5165896102;?<7p}<7d83>7}:;>o1=:94=25;>7303ty8;k4?:3y>72?=:<=01>9i:054?xu4090;6?u236c9601<5:2;6<98;|q0<4<72;q6?:l5245896>628=<7p}<8783>7}:;1=1=:94=2::>`>52z?0<=<6?>16?575e69~w6>e2909w0=7c;343>;40l0n46s|39a94?4|5:2o6<98;<1;a?c03ty85<4?:3y>1:3:1>v3:9b8:<>;41?0:;:5rs2;0>5<5s4?2h777;<1:0?70?2wx?4950;0x90b620201>7m:054?xu4110;6?u25e09===:;0i1=:94}r1:=?6=:r7>h>468:?0=a<6?>1v>7n:18183c<33370=6e;343>{t;0l1<7<><5:k86<98;|q0e5<72;q6:5?5999>7d2=9>=0q~=n1;296~;10;02463=838p18k6:dc890bf28=<7p}6}:=m=1i:525d19521<5r7=494j7:?6ec>i4j7:?5<0<6?>16:585e79>1d4=mh1v>om:187833m3o<70;;6;g4?833?3;<;63:498f2>{t;hi1<79t=45a>41034?=<7k6;<7;`?c?34?357k7;<755?c>34?287k6;<74`?c?3ty8mi4?:3y>1cd=9>=018h;:d;8yv5fm3:1?v3:898fe>;2090:;:525939a3=z{:km6=4={<721???3493?7?87:p7g6=838p18?9:8:896>328=<7p}7}:=8=1555239795217>52z?65=<>0278444>769~w6d42909w0;>9;;;?85?i3;<;6s|3c694?4|5<;j6464=2:f>4103ty8n84?:3y>14d=1116?5h51658yv5e>3:1?;u250f9:l14o5262f9:o14o526509=:14o526539=14o5256:98o14o5260a98n14o5263398l14o526329?k14o5267:9?314o5267f9?h14o5267a91:14o5269391814o5269197g>=m?16;k65999~w6de290iw0=mb;07a>;4l=02?63;4l?02?63;4l102?63;4lh02?63;4lj02?63{t;ki1<7<><5:ho6<98;|q0fc<72;q6?oh5165896e32lk0q~=l0;296~;4k90:;:523b69a2=z{:i?6=4={<1a`?c0349h87?87:p7f3=838p1>m::054?85d>3oj7p}7}:;kn1il523b5952153z?1g7<5=916?n7525g897e42;?;7p}<}:;m>1>9k4=2gf>`><5=:j6ho4=2d2>`g<5:i>6h94=516>`0<5:lm6ho4=526>`?<5=:96h74}r1`f?6=?r78h84=4d9>05g=m1168?;5e69>7c`=m016?ko5e89>053=m1168=<5e`9~w6ed290?w0=k6;07a>;4nj0n;63;3:>0n;6s|3bf94?3|5=ki6464=2f4>72b349m57k8;<61=?c0349mj7k7;|q0g`<727a>=:=o01>h6:d;8914e2l=01>hi:d58yv5dn3:1ov3;ae8:<>;4l0098h523dd9a<=:<9k1i4523cd9a2=:<;n1i:523d29a<=:;lh1i:5241:9ad=:;ok1i5524179ad=:<981i55rs2f3>5<2s4>ji777;<1ge?43m278jn4j9:?0b<k4j7:p7a7=83?p19oi:8:896be2;>n70=ic;g;?82493o<70=i7;g:?xu4l;0;68u24c29===:;mi1>9k4=2d`>`g<5=986h94=2d4>`>59z?7f4<>0278hi4=4d9>7``=m116?oh5e99>016=m>16?h>5e`9>7`e=m>168=65e99>7c1=m>1v>jj:18185cm38?i638f48:<>{t;ml1<7<><5:o;6<98;|q0a4<72m?:d5896`52l=01>m9:d:8yv5b:3:1>v3;4m:0:;:5rs2g7>5<1s49n87<;e:?0a6k9:054?xu4m>0;6;u23d5961c<5:hn6h64=2g:>`g<5:i;6h74=2g5>`><5:ho6h74}r1f1v>kn:18685bi38?i63;4k<0n563;4k80n;6s|3d`94?4|5:oj6464=2ga>4103ty8ii4?:dy>7`b=:=o0196?:d58911b2l=0199l:d58911f2l=01997:d5891112l=0199;:d5891d42l201>ki:d5896da2lk01>kl:d:896`12l<01>m>:d:8yv5bm3:1>v3;4ml0:;:5rs2d3>5<2s49m<7<;e:?0a`h?:8:896`628=<7p}7}:;o?1>9k4=2d1>`?55z?0bf<6?>16?hm5e89>7f2=m0168=65e69>7cb=m11v>hi:18685d<3o370:?8;g:?85an3;<;63;018f2>;4nm0n;6s|41394?5|5:oi6h74=521>41034>;?7k9;|q741<727`0=m>16?oj5e99>053=9>=019>9:d48yv27?3:1?v3;4m?0n563;098232=z{=:26=4;{<1f7?c>34>;m7?87:?0b71;07a>;5ik0n;63=a58f=>;18;0n;63=b68fe>;5ih0n563=b48f3>;2m?0n;63=a38f=>;5j:0n563=bd8f<>;5jj0n4639048f3>;2lo0nm63:e18f3>;5i?0n56s|41a94?71s49=97k8;<16b??a349>57k8;<167??a349<=7k7;<14`?c?34>:>7<;e:?1egi=4j9:?1e33o<70=90;;e?852i3o<70=:4;;e?850:3o370=8e;g;?826;38?i63=ac8f<>;5i=0n;639028f3>;5j>0n;63=a`8f3>;5j<0nm63:e78f<>;5i;0n;63=b28f3>;5jl0n;63=bb8f=>;18=0n463:dg8f<>;2m90n463=a78f3>{t<9o1<7lt=244>`1<5:<:64h4=27a>`1<5:?>64h4=537>72b34?m?7k6;<437?c>34?m:7kn;<14b?c?34973>=m>16?;<59g9>70e=m>16?8859g9>043=:=o018h<:d5893612l=018h9:d;896>72l301>9;:d;893622l30q~:>0;29f~;4>00n;63<628:b>;4=m0n;63<568:b>;39?098h525g19a==:>9<1i4525g49a==:;1;1i:523679a2=:>9?1il5rs534>5<5s4>:=777;<621v9?i:181826=33370:=0;343>{t<;;1<7<><5=896<98;|q766<72:q68?=51658914320:019=8:828yv25<3:1?v3;258232=:<;<15=5242:9=5=z{=8>6=4<{<611?70?27?>94=569>061=n11v9<9:180825>3;<;63;298:4>;3;002<6s|43594?5|5=8<6<98;<612?42?27??54i8:p07>=839p19<7:054?825i33;70:u243;9521<5=836?;8;<60=?`?3ty?>l4?:2y>07g=9>=019n4>769>07c=19168>m5919~w14c2908w0:=d;343>;3:j099:5242`9b==z{=8n6=4<{<61a?70?27??=460:?77a<>82wx8?h50;1x914a28=<70:=e;063>;3;j0m46s|42294?5|5=9;6<98;<606??734>8i77?;|q774<72:q68>?5165891572;?<70:4}r607?6=;r7??>4>769>064=:<=019=j:g:8yv24<3:1?v3;228112=:<:<1j5524279521?<7>52z?705<6?>168>h5f99~w1262908w0:;1;343>;3<;02<63;548:4>{t<=81<7=t=561>41034>?877?;<662??73ty?8>4?:2y>015=9>=019:=:374?822=3l37p};4583>6}:<=>1=:94=565><6<5=?<64>4}r671?6=;r7?884>769>012=:<=019;9:g:8yv23>3:1?v3;478232=:<=215=5244:9=5=z{=><6=4<{<673?70?27?8;4=569>001=n11v9:7:18082303;<;63;4`8:4>;3=002<6s|45;94?5|5=>26<98;<67u245`9521<5=>j6?;8;<66=?`?3ty?8n4?:2y>01e=9>=019:j:828913e20:0q~:;d;297~;3769>006=191688m5919~w12a2908w0:;f;343>;3:054?822838>;63;5b8e<>{t<<81<7=t=562>73034>>87h7;<667?70?2wx88k50;0x913b28=<70::d;d;?xu3=o0;68u23gc9a2=:<9?1i:523g59521<5:l36h84=521>`1=<7>54z?7b04j9:?7ac<6?>168k>5e79~w1062908w0=j0;g;?85al3;<;63{t`><5=l86<98;<6e6?c>34>om7k6;<6g5?c034>o>7k8;<6fe?c?34>mo7k6;|q726<72=q68k;5e99>0a5=mh168k65165891`>2l<0q~:94;290~;4n80n4636=47{<65g?43m27>h54j7:?6a2hh4=569>1`2=m>169h;5e99>1cb=m>16:<<5e69~w101290;2lj0n563:de8f=>;1980n563:e88f3>;18k0n;63:fe8f=>{t72b34<;<7k8;<7e2?c034?nj7k7;<425?c034<;n7k7;|q72=<72?q68;h525g893672l3018ki:d5893762l201;?::d:890`32l20q~:99;291~;3?9098h525g`9a2=:>9;1i:525dd9a<=:>881i55rs54b>5<2s4><=7<;e:?6bg5;g4?807m3o270;i4;g4?83a83o<7p};7283>7}:<97>52z?72a<>027?;;4>769~w1102909w0:9e;;;?82003;<;6s|46;94?4|5=4103ty?;o4?:3y>026=11168:m51658yv20l3:1>v3;708:<>;3?l0:;:5rs55e>5<5s4><>777;<6;4?70?2wx85?50;dx910d21l0198k:9d8910b21l0198i:9d8911721l0199>:9d8911521l019?>:9d8917521l019?<:9d8917321l019?::9d8917121l01:=::9d893ea28=<7p};8383>7}:;m>1555242495213?7>52z?7g6<>027?994>769~w1>32909w0=k5;;;?824?3;<;6s|49794?4|5=i?6464=576>4103ty?4;4?:3y>7a0=11168>651658yv2??3:1>v3;c48:<>;3=?0:;:5rs5:;>5<5s49o;777;<60=?70?2wx85750;0x91e1202019;8:054?xu30h0;6?u23e:9===:<:k1=:94}r6;f?6=:r7?o:468:?71=<6?>1v96l:18185c133370:{t<1n1<7<><5=?26<98;|q7<`<72;q6?io5999>06e=9>=0q~:7f;296~;3k002463;5`8232=z{=3;6=4={<1gf???34>8h7?87:p0<7=838p19mn:8:8913e28=<7p};9383>7}:;mi1555242g95212?7>52z?7gg<>027?9n4>769~w1?32909w0=kd;;;?824n3;<;6s|48794?4|5=ih6464=57g>4103ty?5;4?:3y>0?3:1>v3;9e810`=:<8k1i55rs5;;>5<5s4>2i7<;e:?75fn70:>e;g;?xu31h0;6?u24`2961c<5=8;6h64}r6:f?6=:r7?m<4=4d9>074=m11v9o=:18482>k32m70:6d;:e?82>m32m70:6f;:e?82f832m70:n1;:e?82f;3;<;6s|4`694?4|5=ki6?:j;<640?c?3ty?m84?:3y>0de=:=o01999:d:8yv2f>3:1>v3;ae810`=:<>21i55rs5c4>5<5s4>ji7<;e:?73dn70:8c;g;?xu3i00;6?u24c2961c<5==n6h64}r6be?6=:r7?n<4=4d9>0=6=m11v9l=:18;82fj32m70:nc;:e?82fl32m70:ne;:e?82fn32m70:m0;:e?82e932m70:m3;343>{t1<7e34>:>76m;<627?>e34>:876m;<621?>e34>::76m;<6ge34>hh76m;<6:g?>e34>2h76m;<6:a?>e34>2j76m;<6b4?>e34>j=76m;<6`7?>e34>h876m;<6`1?>e34>h:76m;<6`3?>e34>h476m;<6`=?>e34>hm76m;<6`f?>e34>ho76m;<6gf?>e34>oi76m;<6f5?>e34>n876m;<6f`?>e34>n476m;<6f3?>e34>i:7?87:p0g3=838p19l;:36f?82e>3o=7p};b983>7}:155523cg9521i57>5az?7g6<55e69>0c4=m1168io5e69>005=m?168i?5e99>0a4=m1168k65e89>0`g=mh168km5e69~w1df290?w0:l4;07a>;3n:0n563;428f3>;3n10nm6s|4c`94??|5=i>6?:j;<6f4?c?34>m>7k8;<671?c034>om7k7;<6g4?42?27?j54j7:?7adn70:ia;g;?82a93o270:;7;g4?xu3jm0;68u248f9===:9k4=5d0>`><5=>26h94=5d;>`>ii7>55z?7=`<>027?o54=4d9>0cg=m>168k?5e69>01d=m>1v9li:18682>n33370:l9;07a>;3;3mo0n56s|4b294?3|5=k;6464=5ab>72b34>?j7k8;<6e2?c?34>nj7k7;|q7g4<720fd=:=o019;>:d5891`12l=019ki:d58yv2d:3:18v3;cb810`=:5hh7<;e:?7g6<>;27?o9463:?7g0<>;27?o;463:?7g2<>;27?o5463:?7g<<>;27?ol463:?7gg<>;27?on463:p0fc=838p19mk:8:891b028=<7p};cg83>7}:`1o<7>52z?7`2769~w1b42909w0:k7;gb?82c;3;<;6s|4e694?4|5=n>6<98;<6g2?c03ty?h54?:3y>7g0=11168i6525g8yv2c13:1>v3;d98:<>;3lh0:;:5rs5fa>5<2s4>on7<;e:?7a3f}:9k4=501>`1<5=8;6h94=53f>`1<5=;h6h94=53b>`1<5=;36h94=5c0>`><5=nm6ho4=5fg>`><5=n<6h74=5f6>`>oj7>52z?7``<>027?hk4>769~w1c6290?w0:j1;07a>;3m00n563;e28fe>;3l:0n;6s|4d094?4|5=o:6464=5g0>4103ty?i94?:4y>0`2=:=o019k9:dc891c0202019j>:dc891b22l30q~:j5;296~;3m=02463;e78232=z{=o<6=4:{<6f7?c?34>n;7<;e:?7`dn70:j0;g:?82c:3o270:k6;063>;3mh0n;6s|4d;94?4|5=o36464=5g:>4103ty?ii4?:3y>0`b=:=o019k6:d58yv2bm3:18v3;f38232=:5<4s4>m97?87:?7a<4j9:p0c0=83>p19ji:d;891b02l2019h9:054?82a?3o=7p};fc83>6}:1v9hi:18185413o370{t=9:1<7`><5<::6<98;|q647<72:q6?;j59g9>75e=1o16>n;51658yv37;3:1?v3<6d8:b>;48m02j63=c78232=z{<:?6=4<{<15b??a349;i77i;<0`3?70?2wx9=;50;0x96362l201?m7:054?xu28?0;6?u23149a==:=9=1=:94}r73n279o44>769~w06>2908w0=8a;;e?856133m70{t=9k1<7=t=25a><`<5:;j64h4=3aa>4103ty>715=m116?l951658yv37l3:1?v3:0b8232=:;=>1i4523579ad=z{<:n6=4n{<721?43m27>m94j7:?0<14j6:?0<2`><5:2>6h94=2:4>`><5:236ho4=4c6>`1<5?2>6h74}r725?6=lr7>=54=4d9>1=4=m116:=>5e`9>1d`=m>169?k5e99>2=>=m>16?4>5e89>7=g=m016?5m5e`9>7=b=mh16?575245890g22l3018o=:d;8yv36:3:14v3:18810`=:>9;1i5523829a==:;1k1i:5239a9a<=:;1n1i4525`79ad=:>1?1i55rs430>5<0s4?:m7<;e:?6e11=1=:94}r72`?6=9kq6932l20189m:d:890d72l2018l7:d;890?42l20189n:d5890gc2lk01832l=018j9:dc890gb2l301>6=:d5896>22l201>6i:d:896?72l=01>6n:d:890452lk018<<:d5896>d2l=01>6k:d5896>02l=01>67:d5890>c2l=0186::d5890012l=018o6:d;8yv36m3:1>v3:1e8;b>;2:90:;:5rs43e>5<4s4?:j7?87:?61f>=4j7:p177=838p18<=:054?835<3o37p}:2383>7}:=;91=:94=407>`152z?61a<6?>1695j5e89~w0412903w0;2?j0n;63:5e8f3>;2000n;63:618f<>;2>80n463:2`8f<>;21<0n46s|53594?3|5:::6<98;<74g?c?34?>i7k7;<71e?c>34?297k6;|q66=<72v3:1e8:<>;2:m0:;:5rs40b>5<5s4?9i7k6;<71f?70?2wx9?h50;7x90d62l30186?:d;8905728=<70;<1;g5?83>83oj7p}:3383>0}:=;:1i4525c39a2=:=1?1il525219521<5<9?6h84}r701?6=9;q69>l525g897`720l01>>i:d:8964620l01>?m:d:8962120l01>>=:d5897`d20l01;>=:d:890312;?<70=;c;g;?854<3o370==7;g;?84a>3o370=;0;g;?84dl3o27p}:3783>42|5<9h6?:j;<0e5??a349:<7k7;<116??a349897k8;<11b??a3498n77i;<12g?c?349?;77i;<0e`??a34?=j7k6;<436?cf349?=7k8;<11=7k8;<17`?c?348m;7k7;<75e?c03ty>?:4?:04x905c2;>n703o<70=?4;g4?833m3o370;;b;g:?833k3o27072b348m57k8;<0e7??a3499877i;<105??a349??7k8;<10`??a349?577i;<0eb??a34?=j7k8;<437?cf3499m7k8;<103?c0349;97k8;<77f?c?34??o7k7;<75e?c?349?j7k7;<126?c0349:i7k8;<0g6?c?3ty>?44?:07x905a2;>n7042|5<>;6?:j;<0e1??a3499:77i;<10=?c03498?77i;<10b??a349>=7k8;<17f??a349;=77i;<432?cf3499o7k7;<133?c?349:87k8;<776?c034???7k8;<0ef?c?349?97k7;<114?c>34?<<7k8;<75f?c03ty>8<4?:3y>116=111699:51658yv33:3:1>v3:438232=:==>1i55rs460>5<5s4???7?87:?601?i468:?60`<6?>1v8:i:181834k33370;:0;343>{t=<81<7<><5a34?8n76i;<70g?>a34??<76i;<70a?>a34?8j76i;<76;20j0n563:858f3>;20:0n;63:5c8f3>;5l90n563:518f<>;2;2?=0n:63:4`8112=:=<>1i:525479a==:==>1>894=443>`1<5<<:6h94=441>`1<5<><6h94=3ag>`><5;n96h94}r76e?6=:r7>9447f:?61f<6?>1v8;m:180832j3;<;63:648f<>;2>?0n56s|54g94?5|5<<=6ho4=47f>41034?>j7k9;|q627<72;q698m5e99>134=9>=0q~;94;297~;2>=0:;:525779a2=:=?<1i55rs44:>59=4j9:?60f994ja:?6108?4ja:?602>1=:94}r741?6=0r7>;:4=4d9>1=d=m01695m5e69>132=m>1698:5e89>103=m>169565e69>6fb=m>1v899:18;830038?i63:8c8f3>;20j0n463:858f<>;20:0n463:658f<>;5l90n463:508f<>{t=>31<7<><5<236<98;|q63d<72;q69:o5165890>72l=0q~;8c;296~;2?j0:;:525929ad=z{<286=4={<7;7?70?27>484j8:p1=2=838p186;:054?83?03o37p}:8483>7}:=121i452594952152z?6<<<6?>1695;5e89~w0>f2909w0;88;;;?83?m3;<;6s|59`94?4|5<2i6<98;<7;a?c?3ty>4n4?:3y>1=e=9>=0186j:d58yv3?l3:1>v3:8e8232=:=1o1i45rs4:e>5<4s4?<;76i;<74a34?2?7?87:p1<2=838p187;:054?83>;3o27p}:9983>1}:=0h1>9k4=2;6>`><5:3?6h64=2;5>`>53z?6=f<57<0=m01v87n:18a83>l38?i63<948f3>;41=0n;63<978f3>;10>0n;63:598f3>;29o0n463:b98f3>;21:0n;639898f<>;2l?0n;6s|58g94?>|5<3n6?:j;<4;3?c?34?i<7k6;<4;34?o:7k7;<7ba?cf34?287k7;<7b=?cf3ty>5k4?:2y>1d6=9>=018ol:374?83?83o37p}:a083>g}:=>k1i5525309a2=:=;91i5525`29a2=:=k=1i5525709a==:=h81=:94=4c0>`0<5<9;6h64=410>`1<5<3;6h94}r7b0?6==r7>m94>769>176=m1169lj5e89>1a0=m0169o=5e69~w0g?2909w0;6e;;;?83e93;<;6s|5`d94?2|5n=4?:3y>1g6=9>=018ok:d58yv3e:3:1>v3:9d8;b>;2j10:;:5rs4`0>5<5s4?i47kn;<7a0?70?2wx9o750;;x90da2;>n70=94;g;?850k3o<70=86;;e?856j3o<70=>5;;e?857:3o370=;c;g4?84a>3o<7p}:b`83>a}:=j:1>9k4=246>`><5:=o6h94=254><`<5:;h6h94=235><`<5::>6ho4=227>`?<5::86h64=22;>`1<5:>o6h94=3d4>`1<5<:<6h94}r7af?6=nr7>o<4=4d9>730=m116?:k5e69>72>=1o16?741=1o169=95e99>753=m016?=:5e99>75>=m116>k65e69>6f>=m016?8>5e69>71`=m>16?9k5e69~w0dd290:>v3:c3810`=::o31i5523759a==:=9=1il522b`9a<=::jk1i5523429ad=:;9?1i55231:9a<=:;1;1i4523929a==:;>l1i:522b;9a3=::j21il5235d9a<=:;8l1i45230g9a==:;;:1il5rs4`g>5<:4j9:?1g==4j8:p1gc=832p18m;:36f?85113o3707}:=j8155525b4952152z?6g4<>027>o54>769~w0e>2909w0;l0;;;?83di3;<;6s|5b`94?4|54103ty>oi4?:7y>1a7=:=o01>7k:d;896?d2l201>7j:dc8933?2lk01>7m:d48yv3dm3:19v3:d3810`=:;0n1i55238a9a2=:;0o1i:5264`9a==z{50;6x90b32;>n70=6c;gb?85>m3o270891;g;?xu2l<0;68u25e3914k525e4952152z?1g7<>027>h:4>769~w0b?290?w0;k8;343>;2m10nm63:d88f=>;2mk0nm6s|5eg94?2|5`><5i<4?:5y>1`>=m>169h?5165890c52l<018j6:d:8yv3b>3:1>v3:e78232=:=l?1i:5rs4g4>5<5s4?n;7?87:?6a0<21i:525dc9a==:=mn1i5525dd9521<5j>4>769>1c6=m11v8h;:18;80193oj708:e;gb?802j3oj708:8;g:?83bi3o<70;jb;g;?83a<3;<;63:f48f2>{t=o=1<741034<;i7k7;|q6b=<72;q6?;=51658936e2l30q~;i9;296~;4>o0:;:5261g9a2=z{n:054?83c?3o370{t=on1<7`1<551658936>2l20q~8?1;296~;1880:;:5261;9a<=z{?:96=4={<436?70?27=<54j9:p255=838p1;><:054?807?3o<7p}90583>7}:>9k1i:52616952152z?543<6?>16:=95e99~w3602909w08?7;343>;1810nm6s|61:94?4|5?:36<98;<43=?cf3ty=<44?:3y>25?=9>=01;>n:d:8yv07j3:1>v39148f=>;18j0:;:5rs72f>5<5s4<:=7kn;<43b?70?2wx:5;gb?xu19;0;6?u261c9a<=:>891=:94}r422?6=0r7==n4=4d9>1fe=m>16:?:5e69>271=m>16:>?5e89>265=m116>i95e89>6a3=mh1v;?8:184806l38?i63:c`8f3>;1:=0n4639268f<>;5l>0nm63=dc8f<>;5l<0n;6s|60:94?0|5?;n6?:j;<7`348o;7k7;<0g`?c?3ty==44?:6y>24`=:=o018m9:d:890e?2lk01;<;:d;893412l301?ji:d:897b>2l=0q~8>a;29<~;1:9098h525b19===:=j<1i:525b:9a<=:>;91i5526349a2=::l;1i55263;9a2=z{?;i6=48{<415?43m27>o9468:?6g3o54j8:?566;4j8:?56=3oj708=f;gb?805l3o<708=b;gb?80503oj708<3;g4?xu1:<0;6lu25ba9a<=:=jk1i5526239a2=:>:<1i4526349521<5?8m6h74=70g>`g<5?8i6h94=70;>`1<5?986h74}r41<468:?56=<6?>1v;{t>;i1<7<><5?8o6<98;|q56`<72;q6:27`=9>=0q~8<0;296~;19m024639308232=z{?996=4={<42g???34<8?7?87:p262=838p1;=;:054?84c?3o<7p}93483>2}:>8o14k5260a98n14k5263398l14k526329:<1=:94}r403?6=0r7=?i4=4d9>22d=m>16::>5e69>225=m>16:9m5e89>21c=m116>h;5e89>6`5=mh1v;=7:184804m38?i639788f3>;1?90n4639728f<>;5m<0nm63=e88f<>;5m:0n;6s|62;94?0|5?9m6?:j;<443?c034<=j7k6;<447?c>348n97k7;<0ff?c?3ty=?l4?:6y>216=:=o01;9::d:893102lk01;9?:d;893152l301?kk:d:897c02l=0q~8>?1i:526659a<=:>?l1i5526609a2=::ll1i5526569a2=z{?9h6=48{<476?43m27=:i468:?530=<1=:94}r473?6=:r7=8=468:?50=<6?>1v;:6:181804n333708;a;343>{t>=h1<7<><5?>h6<98;|q50a<72;q6:>j5999>21c=9>=0q~8;f;296~;1a34<8h76i;<40a?>a3476i;<474?>a34n703oj703oj7p}95683>7}:><<1555264:9521?7>59z?51<<5o>5e69>6g?=m>169h95e69>6g7=m>16>oo5e69>6gb=m116>oh5e99>1`7=m01v;;n:1818021333708:b;343>{t><>1<79t=77`>72b3482j7<:7:?1fg<5=>16>o65245890c02lk018k>:d:897gc2l=0q~8:d;296~;1=j0246395d8232=z{??>6=4;{<46b?43m27>h54j9:?6a6<5=>169h?5e69~w3072909w08:f;;;?80193;<;6s|67094?b|5?<36?:j;<160;g4?857i33m70=<5;g;?83793o270;?c;g;?853:3o270==a;gb?85393o370==8;g;?855l3o<70=n7;g;?85513o<7p}96583>47|5?7k8;<15g??a349:=7k8;<13f??a34?;=7k7;<73g?c>349?>7k7;<11e?c>349847k6;<11=?c?3498;7k6;<102?c?3499h7k7;<0`0?c>349j;7k6;|q520<7289p1;8m:36f?852j3o370;?1;gb?84d?3o2702;g;?xu1>?0;6hu267a961c<5:?h6h64=422>`1<5;i<6h64=3a5>`1<5:>?6h64=21;>`><5:;86h94=20g>`?<5:;?6ho4=256>`g<5:=?6h94=3a7>`><5:>>6h74}r453?6=0r7=:i4=4d9>70b=m116>n95e69>77e=m>16?<:5e99>723=m116>n:5e69>713=m>1v;8j:18b800j3o370889;g:?803k3oj7089f;343>;1=80nm6394`8fe>;1<10n;639478fe>;1<:0nm6394d8f3>{t>>;1<7ot=75a>`?<5?=26h64=76`>`1<5??:6h74=751>41034223=9>=0q~886;296~;1>h024639768232=z{?=36=4={<45=???34<<57?87:p22g=838p1;87:8:8931e28=<7p}97b83>3}:>1:1>9k4=2c6>`><5:k?6h64=2c5>`g<5??36h64=2c0>`055z?5<4<57d2=m>16?l85e99>20d=m01v;9j:18680?:38?i63;4i=0n563;1=l0n56s|66d94?2|5?286?:j;<1b0?cf349j:7k6;<455?c>3ty=494?:4y>2=6=0o16:5?58g9>2=4=0o16:5=58g9>2=2=9>=0q~879;297~X10016:4<5165893>>2;>>7p}98`83>6}Y>1k01;7<:054?80?i38?96s|69`94?5|V?2i70864;343>;10k09885rs7:`>5<4sW<3o639948232=:>1i1>9;4}r4;`?6=;rT=4i526849521<5?2o6?::;|q5<`<72:qU:5k4=7;4>41034<3i7<;5:p2=`=839pR;6i;<4:16:4?52578yv0f93:1?vP9a09>2d4=m?16:l?52578yv0f:3:15v38068:<>;1kk0n;639c48f<>;1kj0n;639c78f3>;1km0n;639c68f3>;1i;0:;:5271:960153z\5e6=:>h>1i;526`1961353z?45`1v;o9:18080e<3;<;639bb8f<>;1j<0n46s|6`594?5|5>;1j<0n;639b68232=z{?kj6=4<{<577?70?27=n?4j7:?40gf}:>ho1>9k4=717>`1<5?ho6h94=715>`1<5;nm6h74=3g2>`?<5;ni6h74=3f:>`?<5?826h64=3fg>`?<5;n>6h74=7`;>`g5cz?5ec<5:5e99>2g0=m>16:>85e99>6a`=m>16>h?5e69>6ad=m>16>i75e99>27?=mh16>ij5e69>6a3=m116:ok5e`9~w3d72909w08nf;;;?80e>3;<;6s|6c394?4|5?h96<98;<4a7?c03ty=n84?:3y>2g3=9>=01;l9:d;8yv0e03:1>v39b78f<>;1j00:;:5rs7`a>5<5s4kl1=:94}r4`6?6=:r7=jh468:?5g0<6?>1v;m<:18180an333708l6;343>{t>j>1<7<><5?i<6<98;|q5g=<72;q6;=:5999>2fd=9>=0q~8l9;296~;08<024639cb8232=z{?ij6=4={<532???34==6h64=65b>`><5?h?6h74=677>`?<5>?36h64=667>`><5><86h74=7;1>`0<5><>6h74=671>`?<5?h96h64=645>`1<5>>i6h74}r4g5?6=9;q6:i7525g892112l=01:9n:d5892032l201::<:d5893dd2lk01;l::d;892332l201;7<:d48922a2l=01:;=:dc8921?2l301;l7:d:892322l201:89:d;8922e2lk01:86:d58921b2l30q~8k2;29b~;1lh098h5276c9ad=:??>1i4527519a==:>ki1i4526c79ad=:?<21i:5274f9a<=:>0>1i;527659601<5?hn6h64=666>`1<5>>36h94=645>`><5><26h64}r4g7?6=mr7=ho4=4d9>323=m016;:85e89>2g2=m116;8m5e`9>30b=mh16;;=5e69>2<3=m?16;9k5e69>33e=mh16;;;5e99>32?=m016:o<5e89>31>=m01v;j;:18a80ck38?i638428f=>;0=j0n56385e8f<>;11?0n:6387c8f=>;0><0n;639b68f3>;0>;0n;638798f<>;0<10n46s|6e794?c|5?no6?:j;<54e?c>34==87k8;<56g?c?34=>h7k8;<570?c>34==?7k7;<4:3?c134=2ac=:=o01:9::d:893d32l=01:;;:d5892232l=01:8<:dc893??2l<01:9m:d58922b2l301:8l:d;892022lk01:;<:d5893d42;?<7p}9d683>g}:>ml1>9k4=656>`1<5>>86ho4=67`>`1<5>`0<5?h<6ho4=66e>`g<5><:6h94=670>`><5>=n6ho4}r4f4?6=ir7<>k468:?5`=1v;k=:18580b>38?i639f38f3>;1n90n4639ed8f=>;0;10n5639ec8f=>{t>l91<7;t=7g4>72b342`>=:=o01;kj:d:8925?2l=01;km:dc8yv0b=3:18v39e8810`=:?:=1i4527269a==:>lh1i55rs7gb>5<5s4lo1=:94}r4fb?6=:r7=i:468:?5b5<6?>1v;h>:18180b>333708i2;343>{t>o91<7=t=7d6>72b342c0=:=o01:=>:d58yv0a?3:1>v39f78:<>;1n10:;:5rs7d:>5<5s4n708l5;g4?80d>3o3708l7;g:?817i3o37p}9fb83>1}:>ol1>9k4=7a5>`?<5?i<6ho4=62b>`?53z?445<535g=m>1v:>>:186817<38?i639cc8f<>;1kj0n4639ce8f=>;0800n56s|71094?2|5>:>6?:j;<4`g?c>344?:2y>350=:=o01;mk:d:8926>2l=0q~9?7;2957}:?9=1>9k4=637>=d<5>;>65l4=635>=d<5>;<65l4=63;>=d<5>;265l4=63b>=d<5>;i65l4=63`>=d<5?o=65l4=7g4>=d<5?o365l4=7g:>=d<5>9>65l4=611>=d<5?o:6h64=612>`?52z?442769~w26e2909w09>4;07a>;1l10246s|71a94?5|5>;>6?:j;<4g=???34=9i7k7;|q44a<72:q6;<8525g893bf20201:mh1555273c9a==z{>:m6=4<{<5250;1x927>2;>n708kd;;;?815>3o37p}81083>6}:?8k1>9k4=7ff><><5>8?6h64}r526?6=;r7<=o4=4d9>2a`=1116;?<5e99~w2742909w09>c;07a>;0:90n46s|70f94?4|5>;h6464=63f>4103ty<=k4?:3y>34d=1116;?>51658yv1593:1>v381`8:<>;0:;0:;:5rs600>5<5s4=:5777;<510?70?2wx;?;50;0x927?20201:<9:054?xu0:>0;6?u27059===:?;21=:94}r51=?6=:r7<=;468:?46d<6?>1v:{t?;n1<7<><5>8n6<98;|q46c<72=q6;?h525g8925c2l=01:8i:d;8921c2l30q~9<0;290~;0:o03j639f`8f<>;1n10n;638308232=z{>996=4>0z?477<537e=m>16;?o5e69>37>=m>16;?85e69>372=m>16;?<5e69>376=m>16;2c4=m116:k>5e69>2`c=m>16;>95e99>362=m>16:hm52458yv14;3:1>v38338:<>;0;=0:;:5rs616>5<2s4=897<;e:?4372}:?:31>9k4=61a>`?<5?o:6h74=612>`g<5>9o6h64=64e>`1<5>=o6ho4}r50e?6=:r71v:=l:180814132m70980;:e?814l3;<;6s|72g94?e|5>>;6?:j;<47b?c034<>=7k8;<0f`?c>348nj7k6;<0f=?c>348n;7k6;<470?c?348nn7k6;<0f7?c>34=>57k8;<571?c?3ty317=:=o01;:i:d:8923?2lk01:;?:d5893362l201?kk:d5897ca2l=01?k6:d5897c02l201;:;:dc897ce2l=01?k<:d:8yv13:3:1>v38408:<>;0=90:;:5rs666>5<5s4=?i7kn;<572?70?2wx;9l50;0x92372l201::l:054?xu01v:;;:181812<3;<;638588f=>{t?`?<5>?=6<98;|q41=<72;q6;8651658923>2lk0q~9:9;296~;0=;0n;6385`8232=z{>?h6=4={<56g?70?27<944j8:p30b=838p1:;k:054?812;3o27p}85d83>3}:?=:14k527539ho14k526`d9j7>58z?41c<51g6=m>169o65e99>334=m1169lk5e99>1<2=m>169l75e99~w2072909w09:f;;;?81193;<;6s|77194?4|5><86<98;<55=?c>3ty<:;4?:3y>33e=m>16;;951658yv1113:1>v38638f=>;0>h0:;:5rs64g>5<5s4=>j76i;<55a?70?2wx;:>50;7x92172;>n70982;g;?814j3o<7099f;g;?810l3o<7p}87083>7}:?>:1555276095215cz?436<533c=mh16:o95e89>31`=m116;;?5e99>305=mh16:ok5e89>2g>=m016;8;5e69>313=m016;:k5e99~w2132909w0983;;;?810k3;<;6s|76794?5|5>=>6<98;<54v387b8f3>;0?>0:;:5rs65b>5<5s4=n1=:94}r5;5?6=;rT<4<527909521<5>2:6?::;|q4<6<72:qU;5=4=6:7>41034=3?7<;5:p3=3=839pR:6:;<5;2?70?27<484=449~w2>02908wS977:?4<=<6?>16;5952578yv1?13:1?vP8889>3=g=9>=01:66:366?xu00k0;6>uQ79`892>d28=<7097b;071>{t?1n1<7=t^6:g?81?m3;<;6388e8100=z{>2m6=4<{_5;b>;0190:;:5279d961353z?4=6<6?>16;4:5919>3d1=191v:7;:18081><3;<;638978:4>;0i102<6s|78794?5|5>3>6<98;<5:0?42?27033;709n9;;3?xu01>0;6>u27859521<5>3=6?;8;<5b3<>=9>=01:7n:82892gf20:0q~969;297~;0100:;:5278:9601<5>k26k64}r5:e?6=;r7<5l4>769>3;01h099:527`c9b==z{>3h6=4<{<5:g?70?27<5h460:?4ef<>82wx;4j50;1x92?c28=<7096c;063>;0ik0m46s|78g94?5|5>3n6<98;<5b4??734=jh77?;|q4=c<72:q6;4h5165892?b2;?<709nc;d;?xu0i90;6>u27`29521<5>k964>4=6cf><653z?4e4<6?>16;l>5245892gc2o20q~9n2;296~;0i;0:;:527`d9=5=z{>k86=4<{<5b7?70?273dc=n11v:o;:18081>;38>;638a78e<>;0i<0:;:5rs6`3>5<5s4=i<7?87:?4ec1v:l8:18081b0333709la;;;?81e03;<;6s|7c;94?4|5>i26464=6`b>4103ty3a1=1116;l851658yv1ek3:1>v38d98:<>;0i>0:;:5rs6`g>5<5s4=o5777;<5b1v:m>:18181cl333709nc;343>{t?j81<7<><5>ko6<98;|q4g6<72;q6;ih5999>3dc=9>=0q~9l4;296~;0m9024638ag8232=z{>i>6=4={<5`=?43m2795<4j6:p3f0=838p1?7=:d4892ef2;>n7p}8c683>7}::091i;527b`961c52z?1=127;0i<0n:6s|7bg94?4|5>n36?:j;<5:1?c03ty3a?=:=o01:78:d58yv1c83:1>v38d`810`=:?031i:5rs6f2>5<5s4=on7<;e:?4=gn7096d;g4?xu0l:0;6?u27ef961c<5>3m6h94}r5g0?6=:r73d7=m>1v:j::18b81cn38?i638b`8f3>;0j?0n;638b58f3>;0m1099=527d59606<5>ih6464=6ab>73034=j?7k8;<5a7?c13ty3`6=:=o01:ln:d:892d12l201:l;:d:892ed2;?<709lb;063>;0j90n;638b98f2>{t?l;1<772b34=2<7k9;|q4a7<72;q6;h8525g892>d2l<0q~9j3;296~;0010n:638e6810`=z{>o?6=4={<5;2?c134=n47<;e:p3`?=839pR:k6;<5fe?70?2716;hl52578yv1bl3:1?vP8ee9>3`c=9>=01:kk:366?xu0n90;6?u27g2961c<5>oj6h84}r5e5?6=:r73`e=m?1v:h=:18181bm3o=709i2;07a>{t?o91<7<><5>l?6<98;|q4b0<72;q6;k;525g892`52020q~9i8;296~;0n1098h527ga9===z{>li6=4={<5ef?43m27?:d4892`d2;>n7p}8fe83>6}Y?on01:hj:054?81al38?96s|7gd94?5|V>lm706?0;343>;0no09885rs7a3>5<5s42wx:oo50;0x93d?28=<708m9;g5?xu0=>0;6?u27479521<5>?=6h84}r56f?6=:r7<944>769>30g=m?1v::8:181813=3;<;638478f2>{t?=k1<741034=?57k9;|q637<72;q69:>5165890162l<0q~;9d;296~;2>k0:;:5257a9a3=z{m;4j6:p7c2=838p1>h=:054?85a;3o=7p};eb83>7}:`052z?423<6?>16;;95e79~w6e?2909w0=l6;343>;4k>0n:6s|61f94?4|5?:i6<98;<43g?c13ty===4?:3y>25c=9>=01;>i:d48yv3an3:1>v3:fe8232=:=oo1i;5rs3c;>5<5s48j:7?87:?1e22wx95950;0x90>228=<70;76;g5?xu5io0;6?u22`f9521<5;kn6h84}r75:;4>769>131=m?1v8jm:18183c13;<;63:d`8f2>{t=ln1<741034?no7k9;|q63c<72;q69:j51658901b2l<0q~;m5;296~;2j:0:;:525c69a3=z{<8h6=4={<71e?70?27>>o4j6:p1<4=838p187?:054?83>93o=7p}:f383>7}:=o:1=:94=4d2>`052z?0g4<6?>16?n<5e79~w0?02909w0;65;343>;21?0n:6s|75f94?4|5>>i6<98;<57g?c13ty<:o4?:3y>33?=9>=01:8n:d48yv3fj3:1>v3:a88232=:=hk1i;5rs737>5<5s4<:>7?87:?5562wx;5>50;0x921b28=<7098f;g5?x{i9:;m6=47{I05`>h50?0;7c<94;28yk74:90;6?uG27f8j7>1281e>;:51:m5646290:wE<9d:l1<3<53td:??<50;3xL70c3g83:7=4}o3066<628qC>;j4n3:5>1=#:1:19ll4}o3061<728qC>;j4n3:5>0=zf89997>51zJ12a=i:1<1:6sa1205>5<6sA8=h6`=8784?xh6;;=1<7?tH34g?k4?>320qc?<2983>4}O:?n0b?69:89~j45513;1=vF=6e9m6=0=i2.94=4:7g9~j455i3:1=vF=6e9m6=0=j2we=>i4?:0yK63b{i9:8m6=4>{I05`>h50?0:<6sa1213>5<6sA8=h6`=87825>{i9:9:6=4>{I05`>h50?0:>6sa1211>4<6sA8=h6`=87827>"5090>j?5rn0107?7=9rB9:i5a294951=#:1:1?n=4}o3071<628qC>;j4n3:5>43<,;2;6878;|l2763=83;pD?8k;o0;2?713td:?>850;3xL70c3g83:7?8;|l2761=83;pD?8k;o0;2?7?3td:?>650;3xL70c3g83:7?6;|l276?=83;pD?8k;o0;2?7f3td:?>o50;3xL70c3g83:7?m;|l276d=83;pD?8k;o0;2?7d3td:?>m50;3xL70c3g83:7?k;|l276b=83;pD?8k;o0;2?7b3td:?>k50;3xL70c3g83:7?i;|l276`=83;pD?8k;o0;2?473td:?9>50;3xL70c3g83:7<>;|l2717=83;pD?8k;o0;2?453td:?9<50;3xL70c3g83:7<<;|l2715=93;pD?8k;o0;2?433-83<7970:m5623290:wE<9d:l1<3<5=2we=>:::182M41l2d94;4=6:m5621290:wE<9d:l1<3<5?2we=>:8:182M41l2d94;4=8:m562?290:wE<9d:l1<3<512we=>:6:182M41l2d94;4=a:m562f290:wE<9d:l1<3<5j2we=>:m:182M41l2d94;4=c:m562d290:wE<9d:l1<3<5l2we=>:k:182M41l2d94;4=e:m562b290:wE<9d:l1<3<5n2we=>:i:182M41l2d94;4<0:m5637280:wE<9d:l1<3<492.94=4;629~j45293;1=vF=6e9m6=0=;;1/>5>54g48yk74=;0:612:90(?6?:2de?xh6;<91=7?tH34g?k4?>39?7)<70;655>{i9:??6<4>{I05`>h50?0896*=81840d=zf89>97?51zJ12a=i:1<1?;5+29297dd:0yK63b94$3:3>1`e3td:?8951;3xL70c3g83:7=7;%0;4?21<2we=>;7:082M41l2d94;4<9:&1<5<38=1vb<=:9;395~N5>m1e>5853`9'6=6=4}O:?n0b?69:2`8 7>72=?m7p`>34`95?7|@;4<6sA8=h6`=8780`>"50908ml5rn016`?7=9rB9:i5a29497`=#:1:19l?4}o301`<628qC>;j4n3:5>6`<,;2;6>oj;|l270`=93;pD?8k;o0;2?273-83<7;=f:m5607280:wE<9d:l1<3<392.94=4=cb9~j45193;1=vF=6e9m6=0=<;1/>5>55208yk74>;0:612=90(?6?:47f?xh6;?91=7?tH34g?k4?>3>?7)<70;7e0>{i9:{I05`>h50?0?96*=818744=zf89=97?51zJ12a=i:1<18;5+29296a7;j4n3:5>1>;j4n3:5>1g:0yK63b0153td:?;l51;3xL70c3g83:7:l;%0;4?31l2we=>8l:082M41l2d94;4;d:&1<5<2i>1vb<=9d;395~N5>m1e>5854d9'6=6=;o>0qc?<6d82>4}O:?n0b?69:5d8 7>72=oh7p`>37d94?7|@;:1<7?tH34g?k4?>3?:7p`>36394?7|@;81<7?tH34g?k4?>3?87p`>36195?7|@;5<6sA8=h6`=87861>{i9:=>6=4>{I05`>h50?0>:6sa1255>5<6sA8=h6`=87863>{i9:=<6=4>{I05`>h50?0>46sa125;>4<6sA8=h6`=8786=>"5090>:55rn014=?6=9rB9:i5a29491d=zf89;j4n3:5>0b;j4I366>4}52td94;4:f:m561a290:wE<9d:K100<6s;0vb?69:728yk74090;6{i9:2:6=4>{I05`>O5<<0:w?4rn3:5>34>6:|l1<3<1;2we=>6<:082M41l2d94;494:m56>32909wE<9d:K100<6s80vb?69:778yk740<0;69uG27f8j7>12?<0qc?<8783>4}O:?n0b?69:758yk740>0;612?20qc?<8983>4}O:?n0b?69:7;8yk74000;612?k0qc?<8`83>4}O:?n0b?69:7`8yk740k0:612?i0(?6?:3c;?xh6;1i1<7?tH34g?k4?>339f95?7|@;5<6sA8=h6`=8785b>{i9:2m6=4>{I05`>h50?0<<6sa12;3>5<6sA8=h6`=87845>{i9:3:6=4>{I05`>h50?0<>6sa12;1>5<6sA8=h6`=87847>{i9:386=4>{I05`>h50?0<86sa12;7>5<6sA8=h6`=87841>{i9:3>6=4>{I05`>h50?0<:6sa12;5>5<6sA8=h6`=87843>{i9:3<6=4>{I05`>h50?0<46sa12;;>5<6sA8=h6`=8784=>{i9:326<4>{I05`>h50?05<6sA8=h6`=8784f>{i9:3i6<4>{I05`>h50?05<6sA8=h6`=8784`>{i9:3o6=4>{I05`>h50?05<6sA8=h6`=8784b>{i9:3m6=4>{I05`>h50?03<6sa12c3>5<6sA8=h6`=878;5>{i9:k:6=4>{I05`>h50?03>6sa12c1>5<6sA8=h6`=878;7>{i9:k86=4>{I05`>h50?0386sa12c7>5<6sA8=h6`=878;1>{i9:k>6=4>{I05`>h50?03:6sa12c5>5<6sA8=h6`=878;3>{i9:k<6=4>{I05`>h50?0346sa12c;>5<6sA8=h6`=878;=>{i9:k26=4>{I05`>h50?03m6sa12cb>5<6sA8=h6`=878;f>{i9:ki6=4>{I05`>h50?03o6sa12c`>5<6sA8=h6`=878;`>{i9:ko6=4>{I05`>h50?03i6sa12cf>5<6sA8=h6`=878;b>{i9:km6=4>{I05`>h50?02<6sa12`3>5<6sA8=h6`=878:5>{i9:h:6=4>{I05`>h50?02>6sa12`1>5<6sA8=h6`=878:7>{i9:h86=4>{I05`>h50?0286sa12`7>5<6sA8=h6`=878:1>{i9:h>6=4>{I05`>h50?02:6sa12`5>5<6sA8=h6`=878:3>{i9:h<6=4>{I05`>h50?0246sa12`;>5<6sA8=h6`=878:=>{i9:h26=4>{I05`>h50?02m6sa12`b>5<6sA8=h6`=878:f>{i9:hi6=4>{I05`>h50?02o6sa12``>5<6sA8=h6`=878:`>{i9:ho6=4>{I05`>h50?02i6sa12`f>5<6sA8=h6`=878:b>{i9:hm6=4>{I05`>h50?0j<6sa12a3>5<6sA8=h6`=878b5>{i9:i:6=4>{I05`>h50?0j>6sa12a1>5<6sA8=h6`=878b7>{i9:i86=4>{I05`>h50?0j86sa12a7>5<6sA8=h6`=878b1>{i9:i>6=4>{I05`>h50?0j:6sa12a5>5<6sA8=h6`=878b3>{i9:i<6=4>{I05`>h50?0j46sa12a;>5<6sA8=h6`=878b=>{i9:i26=4>{I05`>h50?0jm6sa12ab>5<6sA8=h6`=878bf>{i9:ii6=4>{I05`>h50?0jo6sa12a`>5<6sA8=h6`=878b`>{i9:io6=4>{I05`>h50?0ji6sa12af>5<6sA8=h6`=878bb>{i9:im6=4>{I05`>h50?0i<6sa12f3>5<6sA8=h6`=878a5>{i9:n:6=4>{I05`>h50?0i>6sa12f1>5<6sA8=h6`=878a7>{i9:n86=4>{I05`>h50?0i86sa12f7>5<6sA8=h6`=878a1>{i9:n>6=4>{I05`>h50?0i:6sa12f5>5<6sA8=h6`=878a3>{i9:n<6=4>{I05`>h50?0i46sa12f;>5<6sA8=h6`=878a=>{i9:n26=4>{I05`>h50?0im6sa12fb>5<6sA8=h6`=878af>{i9:ni6=4>{I05`>h50?0io6sa12f`>5<6sA8=h6`=878a`>{i9:no6=4>{I05`>h50?0ii6sa12ff>5<6sA8=h6`=878ab>{i9:nm6=4>{I05`>h50?0h<6sa12g3>5<6sA8=h6`=878`5>{i9:o:6=4>{I05`>h50?0h>6sa12g1>5<6sA8=h6`=878`7>{i9:o86=4>{I05`>h50?0h86sa12g7>5<6sA8=h6`=878`1>{i9:o>6=4>{I05`>h50?0h:6sa12g5>5<6sA8=h6`=878`3>{i9:o<6=4>{I05`>h50?0h46sa12g;>5<6sA8=h6`=878`=>{i9:o26=4>{I05`>h50?0hm6sa12gb>5<6sA8=h6`=878`f>{i9:oi6=4>{I05`>h50?0ho6sa12g`>5<6sA8=h6`=878``>{i9:oo6=4>{I05`>h50?0hi6sa12gf>5<6sA8=h6`=878`b>{i9:om6=4>{I05`>h50?0o<6sa12d3>5<6sA8=h6`=878g5>{i9:l:6=4>{I05`>h50?0o>6sa12d1>5<6sA8=h6`=878g7>{i9:l86=4>{I05`>h50?0o86sa12d7>5<6sA8=h6`=878g1>{i9:l>6=4>{I05`>h50?0o:6sa12d5>5<6sA8=h6`=878g3>{i9:l<6=4>{I05`>h50?0o46sa12d;>5<6sA8=h6`=878g=>{i9:l26=4>{I05`>h50?0om6sa12db>5<6sA8=h6`=878gf>{i9:li6=4>{I05`>h50?0oo6sa12d`>5<6sA8=h6`=878g`>{i9:lo6=4>{I05`>h50?0oi6sa12df>5<6sA8=h6`=878gb>{i9:lm6=4>{I05`>h50?0n<6sa1523>5<6sA8=h6`=878f5>{i9=::6=4>{I05`>h50?0n>6sa1521>5<6sA8=h6`=878f7>{i9=:86=4>{I05`>h50?0n86sa1527>5<6sA8=h6`=878f1>{i9=:>6=4>{I05`>h50?0n:6sa1525>5<6sA8=h6`=878f3>{i9=:<6=4>{I05`>h50?0n46sa152;>5<6sA8=h6`=878f=>{i9=:26=4>{I05`>h50?0nm6sa152b>5<6sA8=h6`=878ff>{i9=:i6=4>{I05`>h50?0no6sa152`>5<6sA8=h6`=878f`>{i9=:o6=4>{I05`>h50?0ni6sa152f>5<6sA8=h6`=878fb>{i9=:m6=4>{I05`>h50?0m<6sa1533>5<6sA8=h6`=878e5>{i9=;:6=4>{I05`>h50?0m>6sa1531>5<6sA8=h6`=878e7>{i9=;86=4>{I05`>h50?0m86sa1537>5<6sA8=h6`=878e1>{i9=;>6=4>{I05`>h50?0m:6sa1535>5<6sA8=h6`=878e3>{i9=;<6=4>{I05`>h50?0m46sa153;>5<6sA8=h6`=878e=>{i9=;26=4>{I05`>h50?0mm6sa153b>5<6sA8=h6`=878ef>{i9=;i6=4>{I05`>h50?0mo6sa153`>5<6sA8=h6`=878e`>{i9=;o6=4>{I05`>h50?0mi6sa153f>5<6sA8=h6`=878eb>{i9=;m6=4>{I05`>h50?0:<=5rn0614?6=9rB9:i5a2949557<4?:0yK63b=;|l2074=83;pD?8k;o0;2?77;2we=9<<:182M41l2d94;4>059~j425<3:1=vF=6e9m6=0=99?0qc?;2483>4}O:?n0b?69:025?xh6<;<1<7?tH34g?k4?>3;;;6sa1504>5<6sA8=h6`=87824==zf8>947>51zJ12a=i:1<1==74}o376<<728qC>;j4n3:5>46f3td:8?o50;3xL70c3g83:7??b:m514e290:wE<9d:l1<3<68j1vb<:=c;295~N5>m1e>58511f8yk73:m0;6128:n7p`>43g94?7|@;{i9=8m6=4>{I05`>h50?0:==5rn0604?6=9rB9:i5a2949547159~j424<3:1=vF=6e9m6=0=98?0qc?;3483>4}O:?n0b?69:035?xh6<:<1<7?tH34g?k4?>3;:;6sa1514>5<6sA8=h6`=87825==zf8>847>51zJ12a=i:1<1=<74}o377<<728qC>;j4n3:5>47f3td:8>o51;3xL70c3g83:7?>b:&1<5<2km1vb<:m1e>58510a8yk73;j0;6128;o7p`>42f94?7|@;{i9=9n6<4>{I05`>h50?0:=k5+292922e:182M41l2d94;4>239~j423:3;1=vF=6e9m6=0=9;90(?6?:4fa?xh6<=91<7?tH34g?k4?>3;986sa1567>4<6sA8=h6`=878260=zf8>?97?51zJ12a=i:1<1=?84}o3703<728qC>;j4n3:5>4403td:89950;3xL70c3g83:7?=8:m512?280:wE<9d:l1<3<6:01vb<:;9;395~N5>m1e>58513c8 7>72<:n7p`>45c94?7|@;{i9=>i6=4>{I05`>h50?0:>n5rn067g?6=9rB9:i5a294957b319~j42283:1=vF=6e9m6=0=9:;0qc?;5083>7}O:?n0b?69:011?xh6<<81<7?tH34g?k4?>3;8?6sa1570>5<6sA8=h6`=878271=zf8>>87>51zJ12a=i:1<1=>;4}o3710<728qC>;j4n3:5>4513td:88850;3xL70c3g83:7?<7:m5130290:wE<9d:l1<3<6;11vb<::8;395~N5>m1e>58512;8 7>72:i37p`>44;94?7|@;{i9=?j6=4>{I05`>h50?0:?o5rn066f?7=9rB9:i5a294956e:0yK63b3g9~j422n3;1=vF=6e9m6=0=9=:0qc?;6182>4}O:?n0b?69:062?xh63;?>6sa1541>4<6sA8=h6`=878206=zf8>=?7?51zJ12a=i:1<1=9:4}o3721<72;qC>;j4n3:5>4223td:8;;50;3xL70c3g83:7?;6:m5101290:wE<9d:l1<3<6<>1vb<:97;295~N5>m1e>58515:8yk73>10;6128>27p`>47;94?7|@;{i9={I05`>h50?0:8o5rn065f?6=9rB9:i5a294951e4g9~j421n3:1=vF=6e9m6=0=9<:0qc?;7183>4}O:?n0b?69:072?xh6<>;1<7?tH34g?k4?>3;>>6sa1551>5<6sA8=h6`=878216=zf8>51zJ12a=i:1<1=8:4}o3731<628qC>;j4n3:5>4323td:8:;51;3xL70c3g83:7?:6:m5111280:wE<9d:l1<3<6=>1vb<:87;295~N5>m1e>58514:8yk73?10;6128?27p`>46;94?7|@;{i9==j6=4>{I05`>h50?0:9o5rn064f?6=9rB9:i5a294950e5g9~j420n3:1=vF=6e9m6=0=9?:0qc?;8183>4}O:?n0b?69:042?xh6<1;1<7?tH34g?k4?>3;=>6sa15:1>5<6sA8=h6`=878226=zf8>3?7>51zJ12a=i:1<1=;:4}o37<1<728qC>;j4n3:5>4023td:85;50;3xL70c3g83:7?96:m51>1290:wE<9d:l1<3<6>>1vb<:77;395~N5>m1e>58517:8 7>72:ii7p`>49:94?7|@;{i9=226<4>{I05`>h50?0::l5+29297fe4}O:?n0b?69:04f?!4?839hi6sa15:f>5<6sA8=h6`=87822c=zf8>3j7?51zJ12a=i:1<1=:>4$3:3>6ea3td:84>50;3xL70c3g83:7?81:m51?6280:wE<9d:l1<3<6?;1/>5>53e28yk731;0;6128=87p`>48195?7|@;"50908h<5rn06:0?6=9rB9:i5a2949523:0yK63b769~j42>?3;1=vF=6e9m6=0=9>20(?6?:2f0?xh6<021<7?tH34g?k4?>3;<56sa15;:>5<6sA8=h6`=87823d=zf8>2m7?51zJ12a=i:1<1=:l4$3:3>1df3td:84l50;3xL70c3g83:7?8c:m51?d280:wE<9d:l1<3<6?m1/>5>54c`8yk731m0;6128=n7p`>48g95?7|@;"5090?nn5rn06:b?6=9rB9:i5a29495=6:0yK63b;%0;4?2el2we=9o>:182M41l2d94;4>839~j42f:3;1=vF=6e9m6=0=9190(?6?:5`f?xh63;386sa15c7>4<6sA8=h6`=8782<0=#:1:18oh4}o37e0<728qC>;j4n3:5>4>13td:8l851;3xL70c3g83:7?77:&1<5<3k91vb<:n7;295~N5>m1e>58519:8yk73i10:6128227)<70;6`5>{i9=k26=4>{I05`>h50?0:4l5rn06be?7=9rB9:i5a29495=d<,;2;69m=;|l20dd=93;pD?8k;o0;2?7?k2we=9ol:082M41l2d94;4>8e9~j42fl3;1=vF=6e9m6=0=91o0qc?;ad83>4}O:?n0b?69:0:e?xh63;2<6sa15`3>4<6sA8=h6`=8782=4=zf8>i=7>51zJ12a=i:1<1=4<4}o37f7<728qC>;j4n3:5>4?43td:8o=50;3xL70c3g83:7?64:m51d3290:wE<9d:l1<3<61<1vb<:m5;295~N5>m1e>5851848yk73j?0;61283<7p`>4c594?7|@;{i9=h36=4>{I05`>h50?0:545rn06a=?6=9rB9:i5a29495k2we=9ll:182M41l2d94;4>9e9~j42el3:1=vF=6e9m6=0=90o0qc?;bd83>4}O:?n0b?69:0;e?xh63;j<6*=8181=3=zf8>h<7>51zJ12a=i:1<1=l?4}o37g4<728qC>;j4n3:5>4g53td:8n<50;3xL70c3g83:7?n3:m51e4290:wE<9d:l1<3<6i=1vb<:l4;295~N5>m1e>5851`78yk73k<0;6128k=7p`>4b494?7|@;{i9=i<6=4>{I05`>h50?0:m55rn06`ab9~j42dk3:1=vF=6e9m6=0=9hn0qc?;ce83>4}O:?n0b?69:0cf?xh63;jj6sa15ae>5<6sA8=h6`=8782f5=zf8>o<7>51zJ12a=i:1<1=o?4}o37`4<728qC>;j4n3:5>4d53td:8i<50;3xL70c3g83:7?m3:m51b4290:wE<9d:l1<3<6j=1vb<:k4;295~N5>m1e>5851c78yk73l<0;6128h=7p`>4e494?7|@;{i9=n<6=4>{I05`>h50?0:n55rn06gbb9~j42ck3:1=vF=6e9m6=0=9kn0qc?;de83>4}O:?n0b?69:0`f?xh63;ij6sa15fe>5<6sA8=h6`=8782g5=zf8>n<7>51zJ12a=i:1<1=n?4}o37a4<728qC>;j4n3:5>4e53td:8h<50;3xL70c3g83:7?l3:m51c4290:wE<9d:l1<3<6k=1vb<:j4;295~N5>m1e>5851b78yk73m<0;6128i=7p`>4d494?7|@;{i9=o<6<4>{I05`>h50?0:o55rn06f:0yK63bcb9~j42bk3;1=vF=6e9m6=0=9jn0qc?;ee82>4}O:?n0b?69:0af?xh63;hj6sa15ge>4<6sA8=h6`=8782`5=zf8>m<7>51zJ12a=i:1<1=i?4}o37b4<728qC>;j4n3:5>4b53td:8k<50;3xL70c3g83:7?k3:m51`4290:wE<9d:l1<3<6l=1vb<:i4;295~N5>m1e>5851e78yk73n<0;6128n=7p`>4g494?7|@;{i9=l<6=4>{I05`>h50?0:h55rn06edb9~j42ak3:1=vF=6e9m6=0=9mn0qc?;fe83>4}O:?n0b?69:0ff?xh63;oj6sa15de>5<6sA8=h6`=8782a5=zf8?;<7>51zJ12a=i:1<1=h?4}o3644<728qC>;j4n3:5>4c53td:9=<50;3xL70c3g83:7?j3:m5064290:wE<9d:l1<3<6m=1vb<;?4;295~N5>m1e>5851d78yk728<0;6128o=7p`>51495?7|@;{i9<:<6<4>{I05`>h50?0:i55rn073kk;|l215?=83;pD?8k;o0;2?7bi2we=8>n:182M41l2d94;4>ec9~j437j3:1=vF=6e9m6=0=9li0qc?:0b83>4}O:?n0b?69:0gg?xh6=9n1<7?tH34g?k4?>3;ni6sa142f>5<6sA8=h6`=8782ac=zf8?;j7>51zJ12a=i:1<1=k>4}o3655<728qC>;j4n3:5>4`63td:93;295~N5>m1e>5851g68yk729=0;6128l>7p`>50795?7|@;{i9<;=6=4>{I05`>h50?0:j:5rn0723?6=9rB9:i5a29495c>=54?:0yK63bfc9~j436j3:1=vF=6e9m6=0=9oi0qc?:1b83>4}O:?n0b?69:0dg?xh6=8n1<7?tH34g?k4?>3;mi6sa143f>5<6sA8=h6`=8782bc=zf8?:j7>51zJ12a=i:1<1>=>4}o3665<728qC>;j4n3:5>7663td:9??50;3xL70c3g83:7m1e>5852168yk72:=0;612;:>7p`>53794?7|@;{i9<8=6=4>{I05`>h50?09<:5rn0713?7=9rB9:i5a294965><,;2;68=93;pD?8k;o0;2?4712we=8<6:082M41l2d94;4=0`9~j435i3:1=vF=6e9m6=0=:9h0qc?:2c83>4}O:?n0b?69:32`?xh6=;i1<7?tH34g?k4?>38;h6sa140g>5<6sA8=h6`=87814`=zf8?9i7>51zJ12a=i:1<1>=h4}o366c<728qC>;j4n3:5>7773td:9>>50;3xL70c3g83:7<>1:m5056290:wE<9d:l1<3<59;1vb<;<2;295~N5>m1e>5852018yk72;:0;612;;?7p`>52694?7|@;{i9<9>6=4>{I05`>h50?09=;5rn0702?6=9rB9:i5a2949641?:4?:0yK63b=83;pD?8k;o0;2?4612we=8=6:182M41l2d94;4=1`9~j434i3:1=vF=6e9m6=0=:8h0qc?:3c82>4}O:?n0b?69:33`?xh6=:i1<7?tH34g?k4?>38:h6sa141g>5<6sA8=h6`=87815`=zf8?8i7?51zJ12a=i:1<1>;j4n3:5>7473td:99>50;3xL70c3g83:7<=1:m5026290:wE<9d:l1<3<5:;1vb<;;2;295~N5>m1e>5852318yk72<:0:612;8?7)<70;76=>{i9<>?6=4>{I05`>h50?09>85rn0771?6=9rB9:i5a29496708;4?:0yK63b4}O:?n0b?69:30a?xh6==h1=7?tH34g?k4?>389o6*=8186<2=zf8??o7>51zJ12a=i:1<1>?j4}o360a<728qC>;j4n3:5>74b3td:99k50;3xL70c3g83:7<=f:m502a290:wE<9d:l1<3<5;91vb<;:0;295~N5>m1e>5852238yk72=80;612;997p`>54094?7|@;{i9{I05`>h50?09?95rn0760?6=9rB9:i5a2949663984?:0yK63b4}O:?n0b?69:31b?xh6=388n6sa147a>5<6sA8=h6`=87817f=zf8?>o7>51zJ12a=i:1<1>>j4}o361a<728qC>;j4n3:5>75b3td:98k51;3xL70c3g83:7<m1e>5852528yk72>90;612;>:7p`>57394?7|@;{i9<<96=4>{I05`>h50?098>5rn0757?6=9rB9:i5a2949612:94?:0yK63b2we=889:182M41l2d94;4=469~j431?3:1=vF=6e9m6=0=:=20qc?:6983>4}O:?n0b?69:36:?xh6=?31=7?tH34g?k4?>38?m6sa144b>5<6sA8=h6`=87810g=zf8?=n7>51zJ12a=i:1<1>9m4}o362f<728qC>;j4n3:5>72c3td:9;j50;3xL70c3g83:7<;e:m500b290:wE<9d:l1<3<5m1e>5852428yk72?90;612;?:7p`>56395?7|@;{i9<=96=4>{I05`>h50?099>5rn0747?6=9rB9:i5a2949602;94?:0yK63b2we=899:182M41l2d94;4=569~j430?3;1=vF=6e9m6=0=:<20qc?:7983>4}O:?n0b?69:37:?xh6=>31<7?tH34g?k4?>38>m6sa145b>5<6sA8=h6`=87811g=zf8?51zJ12a=i:1<1>8m4}o363f<728qC>;j4n3:5>73c3td:9:j51;3xL70c3g83:7<:e:&1<5<2no1vb<;8e;295~N5>m1e>58524d8yk72?o0;612;<;7p`>59294?7|@;{i9<2:6=4>{I05`>h50?09:?5rn07;6?6=9rB9:i5a29496354>4?:0yK63b3:1=vF=6e9m6=0=:?=0qc?:8682>4}O:?n0b?69:34;?!4?83<;h6sa14:;>4<6sA8=h6`=87812<=#:1:1:<>4}o36<<<728qC>;j4n3:5>70f3td:95o51;3xL70c3g83:7<9b:&1<5<19=1vb<;7b;295~N5>m1e>58527a8yk720j0;612;59f94?7|@;{i9<2n6=4>{I05`>h50?09:k5rn07;b?6=9rB9:i5a29496265=4?:0yK63b;|l21<7=83;pD?8k;o0;2?40:2we=87=:182M41l2d94;4=729~j43>;3:1=vF=6e9m6=0=:>>0qc?:9583>4}O:?n0b?69:356?xh6=0?1<7?tH34g?k4?>38<:6sa14;5>5<6sA8=h6`=878132=zf8?2;7>51zJ12a=i:1<1>:64}o36==<728qC>;j4n3:5>71>3td:94750;3xL70c3g83:7<8a:m50?f290:wE<9d:l1<3<5?k1vb<;6b;295~N5>m1e>58526a8yk721j0;612;=o7p`>58f94?7|@;{i9<3n6=4>{I05`>h50?09;k5rn07:b?6=9rB9:i5a29496=6m=4?:0yK63b;|l21d7=83;pD?8k;o0;2?4?:2we=8o=:182M41l2d94;4=829~j43f;3:1=vF=6e9m6=0=:1>0qc?:a583>4}O:?n0b?69:3:6?xh6=h?1<7?tH34g?k4?>383:6sa14c5>5<6sA8=h6`=8781<2=zf8?j;7>51zJ12a=i:1<1>564}o36e=<728qC>;j4n3:5>7>>3td:9l750;3xL70c3g83:7<7a:m50gf290:wE<9d:l1<3<50k1vb<;nb;295~N5>m1e>58529a8yk72ij0;612;2o7p`>5`f94?7|@;{i9{I05`>h50?094k5rn07bb?6=9rB9:i5a29496<6n=4?:0yK63b;|l21g7=83;pD?8k;o0;2?4>:2we=8l=:182M41l2d94;4=929~j43e;3:1=vF=6e9m6=0=:0>0qc?:b583>4}O:?n0b?69:3;6?xh6=k?1<7?tH34g?k4?>382:6sa14`5>5<6sA8=h6`=8781=2=zf8?i;7>51zJ12a=i:1<1>464}o36f=<728qC>;j4n3:5>7?>3td:9o750;3xL70c3g83:7<6a:m50df290:wE<9d:l1<3<51k1vb<;mb;295~N5>m1e>58528a8yk72jj0;612;3o7p`>5cf95?7|@;{i9{I05`>h50?095k5rn07ab?6=9rB9:i5a29496d6o=4?:0yK63b;|l21f7=83;pD?8k;o0;2?4f:2we=8m=:182M41l2C9884>{38~j7>12;k87p`>5b194?7|@;l:4}o36g1<728qC>;j4I366>4}52td94;4=a49~j43d=3:1=vF=6e9J613=9r81qc<76;0b2>{i9{I05`>O5<<0:w?4rn3:5>7g03td:9n950;3xL70c3@8?97?t2;m6=0=:h20qc?:c983>4}O:?n0E?:::0y1>xh50?09m45rn07`=?6=9rB9:i5F25795~4=ug83:738jo6sa14a`>5<6sA8=h6G=448244}O:?n0b?69:3`2?xh6=m;1<7?tH34g?k4?>38i>6sa14f1>5<6sA8=h6`=8781f6=zf8?o?7>51zJ12a=i:1<1>o:4}o36`1<728qC>;j4n3:5>7d23td:9i;50;3xL70c3g83:71vb<;k7;295~N5>m1e>5852c:8yk72l10;612;h27p`>5e;95?7|@;"5090=nl5rn07ge?6=9rB9:i5a29496gdho4?:0yK63b4}O:?n0b?69:3`e?xh6=ml1<7?tH34g?k4?>38h<6sa14g3>5<6sA8=h6`=8781g4=zf8?n=7>51zJ12a=i:1<1>n<4}o36a7<728qC>;j4n3:5>7e43td:9h=50;3xL70c3g83:7m1e>5852b48yk72m?0;612;i<7p`>5d594?7|@;{i9{I05`>h50?09o45rn07f=?6=9rB9:i5a29496fgil4?:0yK63b4}O:?n0b?69:3ae?xh6=ll1<7?tH34g?k4?>38o<6sa14d3>5<6sA8=h6`=8781`4=zf8?m=7>51zJ12a=i:1<1>i<4}o36b7<728qC>;j4n3:5>7b43td:9k=50;3xL70c3g83:7m1e>5852e48yk72n?0;612;n<7p`>5g594?7|@;{i9{I05`>h50?09h45rn07e=?6=9rB9:i5a29496agjl4?:0yK63b4}O:?n0b?69:3fe?xh6=ol1<7?tH34g?k4?>38n<6sa1723>5<6sA8=h6`=8781a4=zf8<;=7>51zJ12a=i:1<1>h<4}o3547<728qC>;j4n3:5>7c43td::==50;3xL70c3g83:7m1e>5852d48yk718?0;612;o<7p`>61594?7|@;{i9?:36=4>{I05`>h50?09i45rn043=?6=9rB9:i5a29496`gl:182M41l2d94;4=ee9~j407l3:1=vF=6e9m6=0=:lo0qc?90d83>4}O:?n0b?69:3ge?xh6>9l1<7?tH34g?k4?>38m<6sa1733>5<6sA8=h6`=8781b4=zf8<:=7>51zJ12a=i:1<1>k<4}o3557<728qC>;j4n3:5>7`43td::<=50;3xL70c3g83:75;295~N5>m1e>5852g48yk719?0;612;l<7p`>60594?7|@;{i9?;36=4>{I05`>h50?09j45rn042=?6=9rB9:i5a29496cg4}O:?n0b?69:3de?xh6>8l1<7?tH34g?k4?>39;<6sa1703>4<6sA8=h6`=878044=#:1:1;994}o3564<628qC>;j4n3:5>6653-83<79;d:m5345290:wE<9d:l1<3<48:1vb<8=3;295~N5>m1e>5853168yk71:=0;612::>7p`>63795?7|@;"5090<9:5rn0412?6=9rB9:i5a2949751:4>:0yK63b>7;%0;4?12j2we=;<7:182M41l2d94;4<089~j40513:1=vF=6e9m6=0=;9k0qc?92`83>4}O:?n0b?69:22a?xh6>;h1<7?tH34g?k4?>39;o6sa170`>5<6sA8=h6`=87804a=zf8<9h7>51zJ12a=i:1<1?=k4}o356`<628qC>;j4n3:5>66a3-83<7998:m534a280:wE<9d:l1<3<4991/>5>577`8yk71;90;612:;:7p`>62394?7|@;{i9?996=4>{I05`>h50?08=>5rn0407?6=9rB9:i5a2949742?:;|l2263=83;pD?8k;o0;2?56>2we=;=9:182M41l2d94;4<169~j404?3:1=vF=6e9m6=0=;820qc?93983>4}O:?n0b?69:23:?xh6>:31<7?tH34g?k4?>39:m6sa171b>5<6sA8=h6`=87805g=zf8<8n7>51zJ12a=N:=?1=v<5}o0;2?56k2d9:94?;|l226e=83;pD?8k;H071?7|:3we>58530f8j703291vb<8m1B>9;51z09yk4?>39:i6sa171f>5<6sA8=h6G=44827?i;|l226`=83;pD?8k;H071?7|:3we>5853328j703281vb<8;0;295~N5>m1B>9;51z09yk4?>399=6sa1762>5<6sA8=h6G=44827<=;o050?6>6m1e>5853368yk71<=0;612:8>7p`>65795?7|@;"5090:0yK63b<7;%0;4?1dn2we=;:7:182M41l2d94;4<289~j40313;1=vF=6e9m6=0=;;k0(?6?:6f3?xh6>=k1<7?tH34g?k4?>399n6sa176a>4<6sA8=h6`=87806f=#:1:1;i?4}o350f<728qC>;j4n3:5>64c3td::9j51;3xL70c3g83:7==e:&1<5<0l;1vb<8;e;295~N5>m1e>58533d8yk7112:9;7)<70;5g7>{i9??;6=4>{I05`>h50?08?<5rn0465?7=9rB9:i5a2949764<,;2;6:j;;|l2204=83;pD?8k;o0;2?54;2we=;;<:082M41l2d94;4<359'6=6=?m?0qc?95583>4}O:?n0b?69:216?xh6>398:6*=8184`3=zf8<>:7>51zJ12a=i:1<1?>94}o3512<628qC>;j4n3:5>65?3-83<79k5:m533?290:wE<9d:l1<3<4;01vb<8:9;395~N5>m1e>58532c8 7>72>n=7p`>64c94?7|@;{i9??i6=4>{I05`>h50?08?n5rn046g?6=9rB9:i5a294976b=j;|l220c=83;pD?8k;o0;2?54n2we=;;i:182M41l2d94;4<419~j40183:1=vF=6e9m6=0=;=;0qc?96083>4}O:?n0b?69:261?xh6>?81<7?tH34g?k4?>39??6sa1740>5<6sA8=h6`=878001=zf8<=87>51zJ12a=i:1<1?9;4}o3520<728qC>;j4n3:5>6213td::;850;3xL70c3g83:7=;7:m5300290:wE<9d:l1<3<4<11vb<898;295~N5>m1e>58535;8yk71>00;612:>j7p`>67c95?7|@;{i9?{I05`>h50?088n5rn045g?7=9rB9:i5a294971b:0yK63b:j;|l223c=93;pD?8k;o0;2?53n2we=;8i:082M41l2d94;4<519~j40083;1=vF=6e9m6=0=;<;0qc?97082>4}O:?n0b?69:271?xh6>>81=7?tH34g?k4?>39>?6sa1750>5<6sA8=h6`=878011=zf8<<87>51zJ12a=i:1<1?8;4}o3530<728qC>;j4n3:5>6313td:::850;3xL70c3g83:7=:7:m5310290:wE<9d:K100<6s;0vb?69:27;?xh6>>21<7?tH34g?L43=3;p>7sa294970?>6m1e>58534`8yk71?k0;612:?h7p`>66a94?7|@;{i9?=o6=4>{I05`>h50?089h5rn044a?6=:rB9:i5a294970`8?;|l22=6=83;pD?8k;o0;2?5192we=;6>:182M41l2d94;4<639~j40?:3:1=vF=6e9J613=9r81qc<76;157>{i9?286=4>{I05`>O5<<0:w?4rn3:5>6033td::5:51;3xj7>12:<>7p`>69795?7|f;2=6>89;|l22=0=93;pb?69:244?xh6>1=1=7?tn3:5>60?3td::5651;3xj7>12:<27p`>69;95?7|f;2=6>8n;|l22=g=93;pb?69:24a?xh6>1h1=7?tn3:5>60d3td::5m51;3xj7>12:69f95?7|f;2=6>8j;|l22=c=93;pb?69:24e?xh6>1l1=7?tn3:5>6173td::4>51;3xj7>12:=:7p`>68395?7|f;2=6>9=;|l22<4=93;pb?69:250?xh6>091=7?tn3:5>6133td::4:51;3xj7>12:=>7p`>68795?7|f;2=6>99;|l22<0=93;pb?69:254?xh6>0=1=7?tn3:5>61?3td::4651;3xj7>12:=27p`>68;95?7|f;2=6>9n;|l220h1=7?tn3:5>61d3td::4m51;3xj7>12:=o7p`>68f95?7|f;2=6>9j;|l220l1=7?tn3:5>6>73td::l>51;3xj7>12:2:7p`>6`395?7|f;2=6>6=;|l22d4=93;pb?69:2:0?xh6>h91=7?tn3:5>6>33td::l:51;3xj7>12:2>7p`>6`795?7|f;2=6>69;|leg1<728qe>5853958yk77m<0;64<4;:qX5:499`81>454=8ni6>671e9'63`=:==0(?:9:208L`7<@8;;7Ek=;c4b4?6=9m088;4<31yY:188m3g42900c:6>:188k2>42900c:hi:188k2>22900c:68:188k2>e2900c:k6:188k2>c2900c:6i:188m7?02900c:66:188k2`c2900c:km:188m7?22900c?7?:18'61?=:1l0b?:7:198k7>b290/>97529d8j72?2810c?6k:18'61?=:1l0b?:7:398k7>d290/>97529d8j72?2:10c;7>:18'61?=>0:0b?:7:198k3>a290/>9756828j72?2810c;6j:18'61?=>0:0b?:7:398k3>c290/>9756828j72?2:10c;6l:18'61?=>0:0b?:7:598k3>e290/>9756828j72?2<10c;6n:18'61?=>0:0b?:7:798k3>>290/>9756828j72?2>10n:oi:080>5<7s-8=n7<8a:&11`<01;1Cho5a29495>oa03:17d7?:188k4102900qo9ne;397?6=8r.9:o4=7`9'60c=?080Dil4n3:5>7=nn10;66g60;29?j70?3:17pl8a382>1<729q/>;l526:8 73b2>397Ejm;o0;2?5>o5=>0;66a>7683>>{e?hn1=7=50;2x 70e2;=j7)<:e;5:6>Ncj2d94;4;;hd;>5<k;6<4;:183!41j38<46*=5d84=7=Olk1e>5855:k:b?6=3`3;6=44i374>5<12?1bj54?::k:4?6=3f;<;7>5;|`4=`<62=0;6=u+27`962><,;?n6:7=;Ifa?k4?>3=0e4h50;9j=5<722c99:4?::m232<722wi;ll51;194?6|,;:2Bon6`=878;?l`?2900e4>50;9l521=831vn:7l:087>5<7s-8=n7<88:&11`<01;1Cho5a2949=>o>n3:17d7?:188m7302900c<98:188yg1fi3;1?7>50z&12g<5?h1/>8k57808Lad>o>83:17b?87;29?xd01h0:694?:1y'63d=:>20(?;j:6;1?Mbe3g83:7l4i8d94?=n190;66g=5683>>i6?>0;66sm7`;95?5=83:p(?8m:35b?!42m3=2>6Fkb:l1<3=1<75rb6;;>4<3290;w)<9b;04<>"5=l0<5?5Gdc9m6=0=l2c2j7>5;h;3>5<5<k09;l5+24g93<4<@mh0b?69:d9jb=<722c2<7>5;n343?6=3th<5;4>:583>5}#:?h1>:64$37f>2?53Ani7c<76;d8m<`=831b5=4?::k112<722e:;:4?::a3d1=9391<7>t$34a>71f3-8>i7962:Jgf>h50?0:<6gi8;29?l?72900c<98:188yg1><3;187>50z&12g<5?11/>8k57808Lad>i6?>0;66sm7`495?5=83:p(?8m:35b?!42m3=2>6Fkb:l1<3<6:2cm47>5;h;3>5<12890e4h50;9j=5<722c99:4?::m232<722wi;h>51;494?6|,mo1o<5+24g93<4<,;63-l:6>5Gdc9m6=0=9=1b554?::k:6?6=3`386=44i36`>5<nm6<49:183!bb2j;0(?;j:6;1?!41j38<;6*=678;5>"a9390Dil4n3:5>43>o>;3:17d<;c;29?l>a2900c?:j:188yg1cm3;1:7>50z&ga?e63-8>i7962:&12g<5?>1/>;85809'b4<43Ani7c<76;35?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`4`a<62?0;6=u+dd8`5>"5=l0<5?5+27`9621<,;<=65?4$g397>Ncj2d94;4>7:k:>i532:7)h>:29K`g=i:1<1=55f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn:jm:085>5<7s-nn6n?4$37f>2?53-8=n7<87:&12312830e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<"5>k09;:5+2749<4=#n8087Ejm;o0;2?7f3`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd0l00:6;4?:1y'``=0(?89:938 c7=;2Bon6`=8782f>o>03:17d7=:188m<5=831b>9m50;9j=93<1<7>t$eg9g4=#:7103-8=:76>;%d2>6=Olk1e>5851b9j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb6f4>4<1290;w)jj:b38 73b2>397)<9b;043>"5>?03=6*i1;18Lad;%06a?1>:2.9:o4=769'630=081/j<4<;Ifa?k4?>3;n7d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th:783>5}#ll0h=6*=5d84=7=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<6n2c247>5;h;1>5<>{e?j31=7850;2x ac=k81/>8k57808 70e2;=<7)<96;:2?!`62:1Cho5a294965=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f2`e280=6=4?{%ff>f7<,;?n6:ki;%05f?40?2.9:;471:&e5?5<@mh0b?69:338m<>=831b5?4?::k:7?6=3`8?o7>5;h:e>5<n6=44}c5e5?7=>3:15<>o53<729q/hh4l1:&11`<0mo1/>;l52658 70121;0(k?53:Jgf>h50?09?6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi?ol51;494?6|,mo1o<5+24g97gg<,;63-l:6<74He`8j7>12;>0e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<"5>k09;:5+2749<4=#n80:56Fkb:l1<3<5=2c247>5;h;1>5<>{e8k53cc8 70e2;=<7)<96;:2?!`62:1Cho5a294963=n110;66g62;29?l?42900e?:l:188m=`=83Bm?65`25g94?=zj="a9390Dil4n3:5>71>o>;3:17d<;c;29?l>a290Cj>54o36f>5<=i7?56;294~"cm3i:7)<:e;1ae>"5>k09;:5+2749<4=#n8087Ejm;o0;2?4?3`336=44i8094?=n1:0;66g=4b83>>o?n3:1Dk=4;n07a?6=3th?:k4>:783>5}#ll0h=6*=5d80fd=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<512c247>5;h;1>5<51;494?6|,mo1o<5+24g97gg<,;63-l:6>5Gdc9m6=0=:h1b554?::k:6?6=3`386=44i36`>5<:085>5<7s-nn6n?4$37f>6df3-8=n7<87:&12312;h0e4650;9j=7<722c2?7>5;h07g?6=3`2m6=4Gf298k72b2900qo:82;392?6=8r.oi7m>;%06a?5ei2.9:o4=769'630=081/j<4<;Ifa?k4?>38h7d77:188m<4=831b5>4?::k10f<722c3j7>5Hg18?j43m3:17pl;b582>3<729q/hh4l1:&11`<4jh1/>;l52658 70121;0(k?53:Jgf>h50?09h6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi863-l:6>5Gdc9m6=0=:l1b554?::k:6?6=3`386=44i36`>5<5<7s-nn6n?4$37f>6df3-8=n7<87:&12312;l0e4650;9j=7<722c2?7>5;h07g?6=3`2m6=4Gf298k72b2900qo:>3;392?6=8r.oi7m>;%06a?5ei2.9:o4=769'630=081/j<4<;Ifa?k4?>39;7d77:188m<4=831b5>4?::k10f<722c3j7>5Hg18?j43m3:17pl;1582>3<729q/hh4l1:&11`<4jh1/>;l52658 70121;0(k?53:Jgf>h50?08=6g68;29?l?52900e4=50;9j61e=831b4k4?:Id0?>i532:7)h>:29K`g=i:1<1??5f9983>>o>:3:17d7<:188m72d2900e5h50;Je7>=h:=o1<75rb535>4<1290;w)jj:b38 73b2:hj7)<9b;043>"5>?03=6*i1;18Lad=4i8:94?=n1;0;66g63;29?l43k3:17d6i:18Kb6=n6=44}c6g3:1Ncj2d94;4<4:k:>i532:7)h>:0;8Lad;4i8:94?Na;21b5?4?::k:7?6=3`8?o7>5;h:e>5<n6=44}c6``?7=>3:1Ncj2d94;4<6:k:>i532:7)h>:29K`g=i:1<1?:5f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn9ol:085>5<7s-nn6n?4$37f>6df3-8=n7<87:&12312:20e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<jh7?56;294~"cm3i:7)<:e;1ae>"5>k09;:5+2749<4=#n8087Ejm;o0;2?5>3`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd3il0:6;4?:1y'``=0(?89:938 c7=;2Bon6`=8780e>o>03:17d7=:188m<5=831b>9m50;9jt$eg9g4=#:7103-8=:76>;%d2>6=Olk1e>5853c9j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb5`3>4<1290;w)jj:b38 73b2:hj7)<9b;043>"5>?03=6*i1;18Ladm4i8:94?=n1;0;66g63;29?l43k3:17d6i:188k72b2900qo:m1;392?6=8r.oi7m>;%06a?5ei2.9:o4=769'630=081/j<4<;Ifa?k4?>39o7d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th?5n4>:783>5}#ll0h=6*=5d80fd=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<4m2c247>5;h;1>5<>{e<0n1=7850;2x ac=k81/>8k53cc8 70e2;=<7)<96;:2?!`62:1Cho5a29497c=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f1?b280=6=4?{%ff>f7<,;?n6>ln;%05f?40?2.9:;471:&e5?5<@mh0b?69:528m<>=831b5?4?::k:7?6=3`8?o7>5;h:e>5<n6=44}c6:b?7=>3:1;h;;>5<>o53<729q/hh4l1:&11`<4jh1/>;l52658 70121;0(k?53:Jgf>h50?0?>6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi8l?51;494?6|,mo1o<5+24g97gg<,;63-l:6>5Gdc9m6=0=<:1b554?::k:6?6=3`386=44i36`>5<"a9390Dil4n3:5>12>o>;3:17d<;c;29?l>a2900c?:j:188yg2d<3;1:7>50z&ga?e63-8>i7=ma:&12g<5?>1/>;85809'b4<43Ani7c<76;66?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`7g0<62?0;6=u+dd8`5>"5=l08nl5+27`9621<,;<=65?4$g397>Ncj2d94;4;6:k:>i532:7)h>:29K`g=i:1<18:5f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn9m8:085>5<7s-nn6n?4$37f>6df3-8=n7<87:&12312=20e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<h47?56;294~"cm3i:7)<:e;1ae>"5>k09;:5+2749<4=#n8087Ejm;o0;2?2>3`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd3k00:6;4?:1y'``=0(?89:938 c7=;2Bon6`=8787e>o>03:17d7=:188m<5=831b>9m50;9jt$eg9g4=#:7103-8=:76>;%d2>6=Olk1e>5854c9j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb5aa>4<1290;w)jj:b38 73b2:hj7)<9b;043>"5>?03=6*i1;18Lad;%06a?5ei2.9:o4=769'630=081/j<4<;Ifa?k4?>3>o7d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th8h94>:783>5}#ll0h=6*=5d80fd=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<3m2c247>5;h;1>5<>{e;m?1=7850;2x ac=k81/>8k53cc8 70e2;=<7)<96;:2?!`62:1Cho5a29490c=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f6b1280=6=4?{%ff>f7<,;?n6>ln;%05f?40?2.9:;471:&e5?5<@mh0b?69:428m<>=831b5?4?::k:7?6=3`8?o7>5;h:e>5<n6=44}c1g3?7=>3:1;h;;>5<>o53<729q/hh4l1:&11`<4jh1/>;l52658 70121;0(k?53:Jgf>h50?0>>6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi?i751;494?6|,mo1o<5+24g97gg<,;63-l:6>5Gdc9m6=0==:1b554?::k:6?6=3`386=44i36`>5<"a9390Dil4n3:5>02>o>;3:17d<;c;29?l>a2900c?:j:188yg5cj3;1:7>50z&ga?e63-8>i7=ma:&12g<5?>1/>;85809'b4<43Ani7c<76;76?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`0`f<62?0;6=u+dd8`5>"5=l08nl5+27`9621<,;<=65?4$g397>Ncj2d94;4:6:k:>i532:7)h>:29K`g=i:1<19:5f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn9<<:087>5<7s-8=n7<88:&11`<4jh1Cho5a29491==n1o0;66g60;29?l42?3:17b?87;29?xd3;?0:6>4?:1y'63d=:>k0(?;j:2`b?Mbe3g83:7;6;hd;>5<5855`9j=c<722c2<7>5;h063?6=3f;<;7>5;|`772<62:0;6=u+27`962g<,;?n6>ln;Ifa?k4?>3?i7dh7:188m<6=831d=:950;9~f141280?6=4?{%05f?4002.99h4>o>83:17d<:7;29?j70?3:17pl;3982>6<729q/>;l526c8 73b2:hj7Ejm;o0;2?3c3`l36=44i8294?=h9>=1<75rb50;>4<3290;w)<9b;04<>"5=l08nl5Gdc9m6=0==l1b5k4?::k:4?6=3`8>;7>5;n343?6=3th??44>:283>5}#:?h1>:o4$37f>6df3Ani7c<76;7e?l`?2900e4>50;9l521=831vn95<7s-8=n7<88:&11`<4jh1Cho5a294925=n1o0;66g60;29?l42?3:17b?87;29?xd3;h0:6>4?:1y'63d=:>k0(?;j:2`b?Mbe3g83:78>;hd;>5<585639j=c<722c2<7>5;h063?6=3f;<;7>5;|`77g<62:0;6=u+27`962g<,;?n6>ln;Ifa?k4?>3<87dh7:188m<6=831d=:950;9~f14b280?6=4?{%05f?4002.99h4>o>83:17d<:7;29?j70?3:17pl;3b82>6<729q/>;l526c8 73b2:hj7Ejm;o0;2?023`l36=44i8294?=h9>=1<75rb513>4<3290;w)<9b;04<>"5=l08nl5Gdc9m6=0=>?1b5k4?::k:4?6=3`8>;7>5;n343?6=3th??i4>:283>5}#:?h1>:o4$37f>6df3Ani7c<76;44?l`?2900e4>50;9l521=831vn9==:087>5<7s-8=n7<88:&11`<4jh1Cho5a29492==n1o0;66g60;29?l42?3:17b?87;29?xd3;l0:6>4?:1y'63d=:>k0(?;j:2`b?Mbe3g83:786;hd;>5<5856`9jb=<722c2<7>5;n343?6=3th?8<4>:583>5}#:?h1>:64$37f>6df3Ani7c<76;4a?l?a2900e4>50;9j601=831d=:950;9~f13328086=4?{%05f?40i2.99h4>o>83:17b?87;29?xd3<;0:694?:1y'63d=:>20(?;j:2`b?Mbe3g83:78k;h;e>5<=1<75rb576>4<4290;w)<9b;04e>"5=l08nl5Gdc9m6=0=>l1bj54?::k:4?6=3f;<;7>5;|`701<62=0;6=u+27`962><,;?n6>ln;Ifa?k4?>38950;9l521=831vn9;9:080>5<7s-8=n7<8a:&11`<4jh1Cho5a294935=nn10;66g60;29?j70?3:17pl;4782>1<729q/>;l526:8 73b2:hj7Ejm;o0;2?163`3m6=44i8294?=n:<=1<75`16594?=zj=?<6<4<:183!41j38585739jb=<722c2<7>5;n343?6=3th?854>:583>5}#:?h1>:64$37f>6df3Ani7c<76;50?l?a2900e4>50;9j601=831d=:950;9~f13?28086=4?{%05f?40i2.99h4>o>83:17b?87;29?xd320(?;j:2`b?Mbe3g83:79:;h;e>5<=1<75rb57:>4<4290;w)<9b;04e>"5=l08nl5Gdc9m6=0=??1bj54?::k:4?6=3f;<;7>5;|`70f<62=0;6=u+27`962><,;?n6>ln;Ifa?k4?>3=<7d7i:188m<6=831b>8950;9l521=831vn9;n:080>5<7s-8=n7<8a:&11`<4jh1Cho5a29493==nn10;66g60;29?j70?3:17pl;4d82>1<729q/>;l526:8 73b2:hj7Ejm;o0;2?1>3`3m6=44i8294?=n:<=1<75`16594?=zj=?i6<4<:183!41j385857`9jb=<722c2<7>5;n343?6=3th?9=4>:583>5}#:?h1>:64$37f>6df3Ani7c<76;5a?l?a2900e4>50;9j601=831d=:950;9~f13d28086=4?{%05f?40i2.99h4>o>83:17b?87;29?xd3=m0:6>4?:1y'63d=:>k0(?;j:2`b?Mbe3g83:79k;hd;>5<"a9390Dil4n3:5>2c>o>;3:17d<;c;29?l>a2900c?:j:188yg2cm3;1:7>50z&ga?e63-8>i7=ma:&12g<5?>1/>;85809'b4<43Ani7c<76;5e?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`7a4<62?0;6=u+dd8`5>"5=l08nl5+27`9621<,;<=65?4$g397>Ncj2d94;470:k:>i532:7)h>:29K`g=i:1<14<5f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn9kk:085>5<7s-nn6n?4$37f>6df3-8=n7<87:&1233297d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th?i54>:783>5}#ll0h=6*=5d80fd=#:?h1>:94$345>=7<,o;1?6Fkb:l1<35;h;1>5<>{e;l;1=7850;2x ac=k81/>8k53cc8 70e2;=<7)<96;:2?!`62:1Cho5a2949<1=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f6c3280=6=4?{%ff>f7<,;?n6>ln;%05f?40?2.9:;471:&e5?5<@mh0b?69:978m<>=831b5?4?::k:7?6=3`8?o7>5;h:e>5<n6=44}c1f3?7=>3:15<>o53<729q/hh4l1:&11`<4jh1/>;l52658 70121;0(k?53:Jgf>h50?03;6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi?k;51;494?6|,mo1o<5+24g97gg<,;63-l:6<74He`8j7>12120e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<"5>k09;:5+2749<4=#n8087Ejm;o0;2?>>3`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd4n90:6;4?:1y'``=0(?89:938 c7=;2Bon6`=878;e>o>03:17d7=:188m<5=831b>9m50;9j1<7>t$34a>71?3-8>i7<6c:Jgf>h50?03n6g6f;29?l?72900e?;8:188k4102900qo=?0;390?6=8r.9:o4=799'60c=:0i0Dil4n3:5>=e>o5=>0;66a>7683>>{e;9<1=7=50;2x 70e2oo0(ik521c8 73b2;3h7Ejm;o0;2?>c3`o<6=44id:94?=h:;<1<75rb3de>4<3290;w)<9b;04<>"5=l095n5Gdc9m6=0=0l1b5k4?::k:4?6=3`8>;7>5;n343?6=3th9jh4>:583>5}#:?h1>:64$37f>7?d3Ani7c<76;:e?l?a2900e4>50;9j601=831d=:950;9~f7`c280?6=4?{%05f?4002.99h4=9b9K`g=i:1<15=5f9g83>>o>83:17d<:7;29?j70?3:17pl=fb82>1<729q/>;l526:8 73b2;3h7Ejm;o0;2??63`3m6=44i8294?=n:<=1<75`16594?=zj::96<4<:183!41j3ln7)jj:32b?!42m382o6Fkb:l1<3<>:2cn;7>5;hg;>5<4m4He`8j7>12090e4h50;9j=5<722c99:4?::m232<722wi?8?51;194?6|,;33?7dk8:188m`>=831d>?850;9~f62f280?6=4?{%05f?4002.99h4=9b9K`g=i:1<1585f9g83>>o>83:17d<:7;29?j70?3:17pl<4882>1<729q/>;l526:8 73b2;3h7Ejm;o0;2??13`3m6=44i8294?=n:<=1<75`16594?=zj:>36<4;:183!41j38<46*=5d81=f=Olk1e>585969j=c<722c2<7>5;h063?6=3f;<;7>5;|`002<62=0;6=u+27`962><,;?n6?7l;Ifa?k4?>3337d7i:188m<6=831b>8950;9l521=831vn>:k:080>5<7s-8=n7hj;%ff>76f3-8>i7<6c:Jgf>h50?0256gj7;29?lc?2900c?<9:188yg53>3;187>50z&12g<5?11/>8k528a8Lad>i6?>0;66sm35a95?5=83:p(?8m:gg8 ac=:9k0(?;j:3;`?Mbe3g83:77m;hg4>5<5859b9j=c<722c2<7>5;h063?6=3f;<;7>5;|`05<<62=0;6=u+27`962><,;?n6?7l;Ifa?k4?>33o7d7i:188m<6=831b>8950;9l521=831vn>?7:087>5<7s-8=n7<88:&11`<51j1Cho5a2949=`=n1o0;66g60;29?l42?3:17b?87;29?xd49>0:694?:1y'63d=:>20(?;j:3;`?Mbe3g83:77i;h;e>5<=1<75rb23g>4<4290;w)<9b;df?!bb2;:j7)<:e;0:g>Ncj2d94;4n0:kf3?6=3`o36=44o305>5<k09;55+24g96t$34a>cc<,mo1>=o4$37f>7?d3Ani7c<76;c1?lc02900eh650;9l670=831vn>?::087>5<7s-8=n7<88:&11`<51j1Cho5a2949e6=n1o0;66g60;29?l42?3:17b?87;29?xd49k0:6>4?:1y'63d=nl1/hh4=0`9'60c=:0i0Dil4n3:5>d2>i5:?0;66sm32d95?2=83:p(?8m:35;?!42m382o6Fkb:l1<35;h;3>5<5<k09;55+24g961<7>t$34a>71?3-8>i7<6c:Jgf>h50?0j;6g6f;29?l?72900e?;8:188k4102900qo=;3;397?6=8r.9:o4ie:&ga?47i2.99h4=9b9K`g=i:1<1m55fe683>>ob03:17b<=6;29?xd4;j0:694?:1y'63d=:>20(?;j:3;`?Mbe3g83:7o6;h;e>5<=1<75rb21a>4<3290;w)<9b;04<>"5=l095n5Gdc9m6=0=ih1b5k4?::k:4?6=3`8>;7>5;n343?6=3th8?l4>:583>5}#:?h1>:64$37f>7?d3Ani7c<76;ca?l?a2900e4>50;9j601=831d=:950;9~f654280?6=4?{%05f?4002.99h4=9b9K`g=i:1<1mn5f9g83>>o>83:17d<:7;29?j70?3:17pl<3882>6<729q/>;l5fd9'``<58h1/>8k528a8Lad>{e;:81=7:50;2x 70e2;=37)<:e;0:g>Ncj2d94;4ne:k:b?6=3`3;6=44i374>5<4m4He`8j7>12hl0e4h50;9j=5<722c99:4?::m232<722wi?>>51;694?6|,;k2Bon6`=878a4>o>n3:17d7?:188m7302900c<98:188yg55n3;187>50z&12g<5?11/>8k528a8Lad>i6?>0;66sm32795?5=83:p(?8m:gg8 ac=:9k0(?;j:3;`?Mbe3g83:7l=;hg4>5<585b29j=c<722c2<7>5;h063?6=3f;<;7>5;|`071<62:0;6=u+27`9b`=#ll09k2Bon6`=878a1>o>n3:17d7?:188m7302900c<98:188yg55=3;187>50z&12g<5?11/>8k528a8Lad>i6?>0;66sm33`95?5=83:p(?8m:gg8 ac=:9k0(?;j:3;`?Mbe3g83:7l8;hg4>5<585b99j=c<722c2<7>5;h063?6=3f;<;7>5;|`066<62=0;6=u+27`962><,;?n6?7l;Ifa?k4?>3h27d7i:188m<6=831b>8950;9l521=831vn><=:087>5<7s-8=n7<88:&11`<51j1Cho5a2949fd=n1o0;66g60;29?l42?3:17b?87;29?xd4:80:694?:1y'63d=:>20(?;j:3;`?Mbe3g83:7lm;h;e>5<=1<75rb204>4<4290;w)<9b;df?!bb2;:j7)<:e;0:g>Ncj2d94;4mc:kf3?6=3`o36=44o305>5<k09;55+24g961<7>t$34a>71?3-8>i7<6c:Jgf>h50?0ii6g6f;29?l?72900e?;8:188k4102900qo=?c;390?6=8r.9:o4=799'60c=:0i0Dil4n3:5>g`>o5=>0;66a>7683>>{e;9h1=7:50;2x 70e2;=37)<:e;0:g>Ncj2d94;4l0:k:b?6=3`3;6=44i374>5<"cm38;m6*=5d81=f=Olk1e>585c09ja2<722cn47>5;n012?6=3th8:583>5}#:?h1>:64$37f>7?d3Ani7c<76;a1?l?a2900e4>50;9j601=831d=:950;9~f67728086=4?{%05f?`b3-nn6?>n;%06a?4>k2Bon6`=878`7>ob?3:17dk7:188k7412900qo=?9;390?6=8r.9:o4=799'60c=:0i0Dil4n3:5>f2>o5=>0;66a>7683>>{e;9l1=7=50;2x 70e2oo0(ik521c8 73b2;3h7Ejm;o0;2?e23`o<6=44id:94?=h:;<1<75rb25a>4<3290;w)<9b;04<>"5=l095n5Gdc9m6=0=k?1b5k4?::k:4?6=3`8>;7>5;n343?6=3th8;l4>:583>5}#:?h1>:64$37f>7?d3Ani7c<76;a4?l?a2900e4>50;9j601=831d=:950;9~f61>280?6=4?{%05f?4002.99h4=9b9K`g=i:1<1o55f9g83>>o>83:17d<:7;29?j70?3:17pl<7982>1<729q/>;l526:8 73b2;3h7Ejm;o0;2?e>3`3m6=44i8294?=n:<=1<75`16594?=zj:=n6<4<:183!41j3ln7)jj:32b?!42m382o6Fkb:l1<35;hg;>5<4m4He`8j7>12jh0e4h50;9j=5<722c99:4?::m232<722wi?:j51;194?6|,;3ih7dk8:188m`>=831d>?850;9~f611280?6=4?{%05f?4002.99h4=9b9K`g=i:1<1oi5f9g83>>o>83:17d<:7;29?j70?3:17pl<7b82>6<729q/>;l5fd9'``<58h1/>8k528a8Lad>{e;?l1=7:50;2x 70e2;=37)<:e;0:g>Ncj2d94;4lf:k:b?6=3`3;6=44i374>5<4m4He`8j7>12m:0e4h50;9j=5<722c99:4?::m232<722wi?;j51;694?6|,;k2Bon6`=878g5>o>n3:17d7?:188m7302900c<98:188yg51k3;187>50z&12g<5?11/>8k528a8Lad>i6?>0;66sm36095?5=83:p(?8m:gg8 ac=:9k0(?;j:3;`?Mbe3g83:7j<;hg4>5<585d59j=c<722c2<7>5;h063?6=3f;<;7>5;|`034<62:0;6=u+27`9b`=#ll09k2Bon6`=878g2>o>n3:17d7?:188m7302900c<98:188yg5083;1?7>50z&12g0;66gj8;29?j45>3:17pl<5682>1<729q/>;l526:8 73b2;3h7Ejm;o0;2?b?3`3m6=44i8294?=n:<=1<75`16594?=zj:?o6<4<:183!41j3ln7)jj:32b?!42m382o6Fkb:l1<35;hg;>5<4m4He`8j7>12mk0e4h50;9j=5<722c99:4?::m232<722wi?8m51;194?6|,;3ni7dk8:188m`>=831d>?850;9~f632280?6=4?{%05f?4002.99h4=9b9K`g=i:1<1hn5f9g83>>o>83:17d<:7;29?j70?3:17pl<5c82>6<729q/>;l5fd9'``<58h1/>8k528a8Lad>{e;<>1=7:50;2x 70e2;=37)<:e;0:g>Ncj2d94;4ke:k:b?6=3`3;6=44i374>5<"cm38;m6*=5d81=f=Olk1e>585dg9ja2<722cn47>5;n012?6=3th89>4>:583>5}#:?h1>:64$37f>7?d3Ani7c<76;g3?l?a2900e4>50;9j601=831d=:950;9~f63>28086=4?{%05f?`b3-nn6?>n;%06a?4>k2Bon6`=878f5>ob?3:17dk7:188k7412900qo=:2;390?6=8r.9:o4=799'60c=:0i0Dil4n3:5>`4>o5=>0;66a>7683>>{e;<21=7=50;2x 70e2oo0(ik521c8 73b2;3h7Ejm;o0;2?c43`o<6=44id:94?=h:;<1<75rb240>4<3290;w)<9b;04<>"5=l095n5Gdc9m6=0=m=1b5k4?::k:4?6=3`8>;7>5;n343?6=3th8:44>:283>5}#:?h1jh5+dd814d=#:4m4He`8j7>12l?0eh950;9ja=<722e9>;4?::a734=93>1<7>t$34a>71?3-8>i7<6c:Jgf>h50?0n:6g6f;29?l?72900e?;8:188k4102900qo=98;397?6=8r.9:o4ie:&ga?47i2.99h4=9b9K`g=i:1<1i:5fe683>>ob03:17b<=6;29?xd4>80:694?:1y'63d=:>20(?;j:3;`?Mbe3g83:7k7;h;e>5<=1<75rb244>4<4290;w)<9b;df?!bb2;:j7)<:e;0:g>Ncj2d94;4j9:kf3?6=3`o36=44o305>5<k09;55+24g96t$34a>cc<,mo1>=o4$37f>7?d3Ani7c<76;ga?lc02900eh650;9l670=831vn>;i:087>5<7s-8=n7<88:&11`<51j1Cho5a2949af=n1o0;66g60;29?l42?3:17b?87;29?xd4><0:6>4?:1y'63d=nl1/hh4=0`9'60c=:0i0Dil4n3:5>`b>i5:?0;66sm34g95?2=83:p(?8m:35;?!42m382o6Fkb:l1<35;h;3>5<5<k0mi6*ke;03e>"5=l095n5Gdc9m6=0=mo1bi:4?::kf5;|`1f<<62=0;6=u+27`9b`=#ll0:h>5+24g965;|`1f=<62=0;6=u+27`962?<,;?n6?7k;Ifa?k4?>3l:7dk8:188m`>=831b>8950;9l521=831vn?l?:087>5<7s-8=n7hj;%ff>4b43-8>i7<6d:Jgf>h50?0m>6gj7;29?lc?290Cnl54id;94?=h:;<1<75rb3`a>4<3290;w)<9b;04=>"5=l095i5Gdc9m6=0=n:1bi:4?::kf;7>5;n343?6=3th95k4>:583>5}#:?h1>:74$37f>7?c3Ani7c<76;d7?lc02900eh650;9j601=831d=:950;9~f7`2280?6=4?{%05f?4002.99h4=9b9K`g=i:1<1j85f9g83>>o>83:17d<:7;29?j70?3:17pl=fc82>6<729q/>;l5fd9'``<58h1/>8k528a8Lad>{e:o>1=7:50;2x 70e2;=37)<:e;0:g>Ncj2d94;4i7:k:b?6=3`3;6=44i374>5<"cm38;m6*=5d81=f=Olk1e>585f99ja2<722cn47>5;n012?6=3th9j>4>:583>5}#:?h1>:64$37f>7?d3Ani7c<76;d:?l?a2900e4>50;9j601=831d=:950;9~f7`>28086=4?{%05f?`b3-nn6?>n;%06a?4>k2Bon6`=878ee>ob?3:17dk7:188k7412900qocd>o5=>0;66a>7683>>{e:o21=7=50;2x 70e2oo0(ik521c8 73b2;3h7Ejm;o0;2?`d3`o<6=44id:94?=h:;<1<75rb3d2>4<3290;w)<9b;04<>"5=l095n5Gdc9m6=0=nm1b5k4?::k:4?6=3`8>;7>5;n343?6=3th9j:4>:283>5}#:?h1jh5+dd814d=#:4m4He`8j7>12oo0eh950;9ja=<722e9>;4?::a6c6=93>1<7>t$34a>71?3-8>i7<6c:Jgf>h50?0mj6g6f;29?l?72900e?;8:188k4102900qo4id594?=nm10;66a=2783>>{e=8h1=7850;2x ac=k81/>8k528a8 70e2;=<7)<96;:2?!`62:1Cho5a2949557>o>;3:17d<;c;29?l>a2900c?:j:188yg3>l3;1:7>50z&ga?e63-8>i7<6c:&12g<5?>1/>;85809'b4<43Ani7c<76;336>o>03:17d7=:188m<5=831b>9m50;9jt$eg9g4=#:4m4$34a>7103-8=:76>;%d2>6=Olk1e>5851118m<>=831b5?4?::k:7?6=3`8?o7>5;h:e>5<n6=44}c7:f?7=>3:1>i532:7)h>:29K`g=i:1<1==;4i8:94?=n1;0;66g63;29?l43k3:17d6i:188k72b2900qo;>8;392?6=8r.oi7m>;%06a?4>k2.9:o4=769'630=081/j<4<;Ifa?k4?>3;;:6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi9<951;494?6|,mo1o<5+24g9663-l:6>5Gdc9m6=0=99=0e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<"5>k09;:5+2749<4=#n8087Ejm;o0;2?7702c247>5;h;1>5<>{e=8<1=7850;2x ac=k81/>8k528a8 70e2;=<7)<96;:2?!`62:1Cho5a294955?>o>;3:17d<;c;29?l>a2900c?:j:188yg36=3;1:7>50z&ga?e63-8>i7<6c:&12g<5?>1/>;85809'b4<43Ani7c<76;33e>o>03:1Dk=4;h;1>5<>{e=m>1=7850;2x ac=k81/>8k528a8 70e2;=<7)<96;:2?!`62:1Cho5a294955d>o>;3:17d<;c;29?l>a2900c?:j:188yg3c;3;1:7>50z&ga?e63-8>i7<6c:&12g<5?>1/>;85809'b4<43Ani7c<76;33g>o>03:17d7=:188m<5=831b>9m50;9jt$eg9g4=#:4m4$34a>7103-8=:76>;%d2>6=Olk1e>58511f8m<>=831b5?4?::k:7?6=3`8?o7>5;h:e>5<n6=44}c7g5?7=>3:19m50;9jt$eg9g4=#:4m4$34a>7103-8=:76>;%d2>6=Olk1e>58511d8m<>=831b5?4?::k:7?6=3`8?o7>5;h:e>5<n6=44}c4;6?7=>3:10:k:>i532:7)h>:29K`g=i:1<1=;%06a?4>k2.9:o4=769'630=081/j<4<;Ifa?k4?>3;:>6g68;29Lc5<3`396=44i8194?=n:=i1<75f8g83>>i532:7)h>:29K`g=i:1<1=<=4i8:94?=n1;0;66g63;29?l43k3:17d6i:188k72b2900qo898;392?6=8r.oi7m>;%06a?4>k2.9:o4=769'630=081/j<4>9:Jgf>h50?0:=95f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn;86:085>5<7s-nn6n?4$37f>7?d3-8=n7<87:&123128;>7d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th=:i4>:783>5}#ll0h=6*=5d81=f=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<69?1b554?::k:6?6=3`386=44i36`>5<"a93;27Ejm;o0;2?76?2c247>5;h;1>5<>{e>?i1=7850;2x ac=k81/>8k528a8 70e2;=<7)<96;:2?!`62:1Cho5a294954>>o>;3:17d<;c;29?l>a2900c?:j:188yg06m3;1:7>50z&ga?e63-8>i7<6c:&12g<5?>1/>;85809'b4<43Ani7c<76;32=>o>03:17d7=:188m<5=831b>9m50;9jt$eg9g4=#:4m4$34a>7103-8=:76>;%d2>4?<@mh0b?69:03b?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`55a<62?0;6=u+dd8`5>"5=l095n5+27`9621<,;<=65?4$g397>Ncj2d94;4>1c9j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb702>4<1290;w)jj:b38 73b2;3h7)<9b;043>"5>?03=6*i1;18Lad5<>o53<729q/hh4l1:&11`<51j1/>;l52658 70121;0(k?5189K`g=i:1<1=;%06a?4>k2.9:o4=769'630=081/j<4<;Ifa?k4?>3;:i6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi9:951;494?6|,mo1o<5+24g9663-l:6>5Gdc9m6=0=98l0e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<"5>k09;:5+2749<4=#n8087Ejm;o0;2?7582c247>5;h;1>5<>{e=:n1=7850;2x ac=k81/>8k528a8 70e2;=<7)<96;:2?!`62830Dil4n3:5>4463`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd2;k0:6;4?:1y'``=0(?89:938 c7=;2Bon6`=878267=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f05d280=6=4?{%ff>f7<,;?n6?7l;%05f?40?2.9:;471:&e5?7>3Ani7c<76;317>o>03:17d7=:188m<5=831b>9m50;9jt$eg9g4=#:4m4$34a>7103-8=:76>;%d2>6=Olk1e>5851368m<>=831b5?4?::k:7?6=3`8?o7>5;h:e>5<n6=44}c70a?7=>3:1Ncj2d94;4>249j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb41e>4<1290;w)jj:b38 73b2;3h7)<9b;043>"5>?03=6*i1;3:?Mbe3g83:7?=6:k:>i532:7)h>:29K`g=i:1<1=?94i8:94?=n1;0;66g63;29?l43k3:17d6i:188k72b2900qo;mf;392?6=8r.oi7m>;%06a?4>k2.9:o4=769'630=081/j<4>9:Jgf>h50?0:>55f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn8m?:085>5<7s-nn6n?4$37f>7?d3-8=n7<87:&123128827d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th>o94>:783>5}#ll0h=6*=5d81=f=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<6:h1b554?::k:6?6=3`386=44i36`>5<"a93;27Ejm;o0;2?75j2c247>5;h;1>5<>{e=j91=7850;2x ac=k81/>8k528a8 70e2;=<7)<96;:2?!`62:1Cho5a294957e>o>;3:17d<;c;29?l>a2900c?:j:188yg04n3;1:7>50z&ga?e63-8>i7<6c:&12g<5?>1/>;85809'b4<43Ani7c<76;31`>o>03:17d7=:188m<5=831b>9m50;9jt$eg9g4=#:4m4$34a>7103-8=:76>;%d2>4?<@mh0b?69:00f?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`57`<62?0;6=u+dd8`5>"5=l095n5+27`9621<,;<=65?4$g397>Ncj2d94;4>2g9j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb761>4<1290;w)jj:b38 73b2;3h7)<9b;043>"5>?03=6*i1;18Lad5<>o53<729q/hh4l1:&11`<51j1/>;l52658 70121;0(k?5189K`g=i:1<1=>?4i8:94?=n1;0;66g63;29?l43k3:17d6i:188k72b2900qo8;1;392?6=8r.oi7m>;%06a?4>k2.9:o4=769'630=081/j<4<;Ifa?k4?>3;8>6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi98751;494?6|,mo1o<5+24g9663-l:6>5Gdc9m6=0=9:90e4650;Je7>=n1;0;66g63;29?l43k3:17d6i:188k72b2900qo;6e;392?6=8r.oi7m>;%06a?4>k2.9:o4=769'630=081/j<4<;Ifa?k4?>3;886g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi963-l:6>5Gdc9m6=0=9:?0e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<"5>k09;:5+2749<4=#n8087Ejm;o0;2?74>2c247>5;h;1>5<>{e:j81=7850;2x ac=k>1/>8k528a8 70e2;=<7)<96;051>"a9390Dil4n3:5>4503`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd1=o0:6;4?:1y'``=0(?89:938 c7=;2Bon6`=87827==n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f33d280=6=4?{%ff>f7<,;?n6?7l;%05f?40?2.9:;471:&e5?5<@mh0b?69:01:?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`51<<62?0;6=u+dd8`5>"5=l095n5+27`9621<,;<=65?4$g397>Ncj2d94;4>3`9j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb775>4<1290;w)jj:b38 73b2;3h7)<9b;043>"5>?03=6*i1;18Lad5<>o53<729q/hh4l1:&11`<1k81/>;l52658 70121;0(k?53:Jgf>h50?0:?n5f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn:5<7s-nn6n?4$37f>3e63-8=n7<87:&1231289o7d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th=j84>:783>5}#ll0h=6*=5d85g4=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<6;l1b554?::k:6?6=3`386=44i36`>5<"a9390Dil4n3:5>45a3`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd09=0:6;4?:1y'``=0(?89:938 c7=;2Bon6`=878205=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f272280=6=4?{%ff>f7<,;?n6;m>;%05f?40?2.9:;471:&e5?5<@mh0b?69:062?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`453<62?0;6=u+dd8`5>"5=l0=o<5+27`9621<,;<=65?4$g397>Ncj2d94;4>439j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb634>4<1290;w)jj:b38 73b2?i:7)<9b;043>"5>?03=6*i1;18Lad5<>o53<729q/hh4l1:&11`<1k81/>;l52658 70121;0(k?53:Jgf>h50?0:895f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn:?6:085>5<7s-nn6n?4$37f>3e63-8=n7<87:&123128>>7d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th<=l4>:783>5}#ll0h=6*=5d85g4=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<65<;i6<49:183!bb2j;0(?;j:7a2?!41j38<;6*=678;5>"a9390Dil4n3:5>4203`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd09j0:6;4?:1y'``=0(?89:938 c7=;2Bon6`=87820==n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f3c1280=6=4?{%ff>f7<,;?n6;m>;%05f?40?2.9:;471:&e5?5<@mh0b?69:06:?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`5a2<62?0;6=u+dd8`5>"5=l0=o<5+27`9621<,;<=65?4$g397>Ncj2d94;4>4`9j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb7g;>4<1290;w)jj:b38 73b2?i:7)<9b;043>"5>?03=6*i1;18Lad5<>o53<729q/hh4l1:&11`<1k81/>;l52658 70121;0(k?53:Jgf>h50?0:8n5f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn:=::085>5<7s-nn6n?4$37f>3e63-8=n7<87:&123128>o7d77:188m<4=831b5>4?::k10f<722c3j7>5Hg18?j43m3:17pl9d982>3<729q/hh4l1:&11`<1k81/>;l52658 70121;0(k?53:Jgf>h50?0:8h5f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn;j6:085>5<7s-nn6n?4$37f>3e63-8=n7<87:&123128>m7d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th=hl4>:783>5}#ll0h=6*=5d85g4=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<6=91b554?::k:6?6=3`386=44i36`>5<"a9390Dil4n3:5>4363`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd1lj0:6;4?:1y'``=0(?89:938 c7=;2Bon6`=878217=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f3bc280=6=4?{%ff>f7<,;?n6;m>;%05f?40?2.9:;471:&e5?5<@mh0b?69:070?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`5``<62?0;6=u+dd8`5>"5=l0=o<5+27`9621<,;<=65?4$g397>Ncj2d94;4>559j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb7fe>4<1290;w)jj:b38 73b2?i:7)<9b;043>"5>?03=6*i1;18Lad5<>o53<729q/hh4l1:&11`<1k81/>;l52658 70121;0(k?53:Jgf>h50?0:9;5f9983>>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn;hj:085>5<7s-nn6n?4$37f>3e63-8=n7<87:&123128?<7d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th=jk4>:783>5}#ll0h=6*=5d85g4=#:?h1>:94$345>=7<,o;1?6Fkb:l1<3<6=11b554?::k:6?6=3`386=44i36`>5<:;6<49:183!bb2j;0(?;j:7a2?!41j38<;6*=678;5>"a9390Dil4n3:5>43>3`336=44i8094?=n1:0;66g=4b83>>o?n3:17b<;e;29?xd08=0:6;4?:1y'``=0(?89:938 c7=;2Bon6`=87821d=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f262280=6=4?{%ff>f7<,;?n6;m>;%05f?40?2.9:;471:&e5?5<@mh0b?69:07a?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`443<62?0;6=u+dd8`5>"5=l0=o<5+27`9621<,;<=65?4$g397>Ncj2d94;4>5b9j==<722c2>7>5;h;0>5<h6=44i9d94?=h:=o1<75rb650>4<1290;w)jj:b38 73b2?k>7)<9b;043>"5>?03=6*i1;18Lad5<>o53<729q/hh4l1:&11`<1i<1/>;l52658 70121;0(k?5189K`g=i:1<1=8k4i8:94?=n1;0;66g63;29?l43k3:17d6i:188k72b2900qo980;392?6=8r.oi7m>;%06a?0f=2.9:o4=769'630=081/j<4<;Ifa?k4?>3;>j6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi:lh51;494?6|,mo1o<5+24g92d3<,;63-l:6>5Gdc9m6=0=9?:0e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<"5>k09;:5+2749<4=#n8087Ejm;o0;2?7192c247>5;h;1>5<>{e?=;1=7850;2x ac=k81/>8k56`78 70e2;=<7)<96;:2?!`62:1Cho5a2949534>o>;3:17d<;c;29?l>a2900c?:j:188yg1383;1:7>50z&ga?e63-8>i78n5:&12g<5?>1/>;85809'b4<43Ani7c<76;357>o>03:17d7=:188m<5=831b>9m50;9jt$eg9g4=#:7103-8=:76>;%d2>4?<@mh0b?69:047?l??2900e4<50;9j=6<722c98n4?::k;b?6=3f8?i7>5;|`50c<62:0;6=u+27`9b`=#ll09<85+24g965<7s-8=n7hj;%ff>7623-8>i7<6c:Jgf>h50?0::;5fe683>>ob03:17b<=6;29?xd5ik0:694?:1y'63d=nl1/hh4=019'60c=:0n0Dil4n3:5>4003`o<6=44id:94?=nm00;66a=2783>>{e:h>1=7:50;2x 70e2oo0(ik51b48 73b2;3o7Ejm;o0;2?7102cn;7>5;hg;>5<l?6<4<:183!41j3ln7)jj:0d6?!42m3=nj6Fkb:l1<3<6>01bi:4?::kf5;|`4fd<62:0;6=u+27`9b`=#ll09><5+24g93<4<@mh0b?69:04b?lc02900eh650;9l670=831vn:l9:080>5<7s-8=n7hj;%ff>4`23-8>i7962:Jgf>h50?0::o5fe683>>ob03:17b<=6;29?xd0?;0:6>4?:1y'63d=nl1/hh4=049'60c=>h?0Dil4n3:5>40d3`o<6=44id:94?=h:;<1<75rb60f>4<4290;w)<9b;df?!bb28l>7)<:e;4`5>Ncj2d94;4>6e9ja2<722cn47>5;n012?6=3th<>n4>:283>5}#:?h1jh5+dd82b0=#:128=831d>?850;9~f24f28086=4?{%05f?`b3-nn60;66gj8;29?j45>3:17pl82982>6<729q/>;l5fd9'``<6n<1/>8k56b38Lad5<8=6<4<:183!41j3ln7)jj:0d6?!42m35;|`461<62:0;6=u+27`9b`=#ll0:j85+24g92f7<@mh0b?69:051?lc02900eh650;9l670=831vn:<=:080>5<7s-8=n7hj;%ff>4`23-8>i78l1:Jgf>h50?0:;>5fe683>>ob03:17b<=6;29?xd0:90:6>4?:1y'63d=nl1/hh4>f49'60c=>j;0Dil4n3:5>4133`o<6=44id:94?=h:;<1<75rb63f>4<4290;w)<9b;df?!bb28l>7)<:e;4`5>Ncj2d94;4>749ja2<722cn47>5;n012?6=3th=j?4>:283>5}#:?h1jh5+dd815==#:128==7dk8:188m`>=831d>?850;9~f3ee28086=4?{%05f?`b3-nn6??6;%06a?0d92Bon6`=878232=nm>0;66gj8;29?j45>3:17pl9c482>6<729q/>;l5fd9'``<5911/>8k56b38Lad5<5;|`73`<62:0;6=u+27`9b`=#ll0:j85+24g97gg<@mh0b?69:05b?lc02900eh650;9l670=831vn99l:080>5<7s-8=n7hj;%ff>4`23-8>i7=ma:Jgf>h50?0:;o5fe683>>ob03:17b<=6;29?xd3?h0:6>4?:1y'63d=nl1/hh4>f49'60c=;kk0Dil4n3:5>41d3`o<6=44id:94?=h:;<1<75rb55;>4<4290;w)<9b;df?!bb28l>7)<:e;1ae>Ncj2d94;4>7e9ja2<722cn47>5;n012?6=3th?;;4>:283>5}#:?h1jh5+dd82b0=#:128=n7dk8:188m`>=831d>?850;9~f11328086=4?{%05f?`b3-nn60;66gj8;29?j45>3:17pl;2382>6<729q/>;l5fd9'``<6n<1/>8k53cc8Lad5<5;|`75`<62:0;6=u+27`9b`=#ll0:j85+24g97gg<@mh0b?69:0:1?lc02900eh650;9l670=831vn9?l:080>5<7s-8=n7hj;%ff>4`23-8>i7=ma:Jgf>h50?0:4>5fe683>>ob03:17b<=6;29?xd39h0:6>4?:1y'63d=nl1/hh4>f49'60c=;kk0Dil4n3:5>4>33`o<6=44id:94?=h:;<1<75rb53;>4<4290;w)<9b;df?!bb28l>7)<:e;1ae>Ncj2d94;4>849ja2<722cn47>5;n012?6=3th8584>:283>5}#:?h1jh5+dd815<=#:4m4He`8j7>1282=7dk8:188m`>=831d>?850;9~f2d328086=4?{%05f?`b3-nn6?>6;%06a?1>:2Bon6`=8782<2=nm>0;66gj8;29?j45>3:17pl83c82>1<729q/>;l5fd9'``<6n=1/>8k56`78Lad5<>i5:?0;66sm38695?2=83:p(?8m:gg8 ac=:8:0(?;j:3;`?Mbe3g83:7?79:kf3?6=3`o36=44id;94?=h:;<1<75rb7d3>4<3290;w)<9b;df?!bb28oo7)<:e;4`5>Ncj2d94;4>8`9ja2<722cn47>5;hg:>5<"cm38:=6*=5d85g4=Olk1e>58519`8m`1=831bi54?::kf=?6=3f89:7>5;|`5g3<62=0;6=u+27`9b`=#ll0:ii5+24g92f7<@mh0b?69:0:`?lc02900eh650;9ja<<722e9>;4?::a223=93>1<7>t$34a>cc<,mo1=o64$37f>7?d3Ani7c<76;3;`>ob?3:17dk7:188m`?=831d>?850;9~f0e1280?6=4?{%05f?`b3-nn6k2Bon6`=8782<`=nm>0;66gj8;29?lc>2900c?<9:188yg5>>3;187>50z&12g1:&11`<51j1Cho5a29495=`>ob13:17b<=6;29?xd4i<0:694?:1y'63d=nl1/hh4>b19'60c=:0i0Dil4n3:5>4?73`o<6=44id:94?=nm00;66a=2783>>{e;0n1=7:50;2x 70e2oo0(ik51c28 73b2;3h7Ejm;o0;2?7>92cn;7>5;hg;>5<4<2290;w)<9b;df?!bb28nm7)<:e;0:g>Ncj2d94;4>929ja2<722cn47>5;hg:>5<4<2290;w)<9b;df?!bb28ki7)<:e;0:g>Ncj2d94;4>949ja2<722cn47>5;hg:>5<4<2290;w)<9b;df?!bb2;:o7)<:e;4`5>Ncj2d94;4>969ja2<722cn47>5;hg:>5<4<2290;w)<9b;df?!bb283=7)<:e;0:g>Ncj2d94;4>989ja2<722cn47>5;hg:>5<4<4290;w)<9b;df?!bb2;;37)<:e;1ae>Ncj2d94;4>9c9ja2<722cn47>5;n012?6=3th?m>4>:283>5}#:?h1jh5+dd815==#:1283h7dk8:188m`>=831d>?850;9~f3c6280?6=4?{%05f?`b3-nn60;66gj8;29?lc>2900c?<9:188yg0ai3;1?7>50z&12g9:&11`<1k81Cho5a29495>i5:?0;66sm6g:95?5=83:p(?8m:gg8 ac=:820(?;j:7a2?Mbe3g83:7?6f:kf3?6=3`o36=44o305>5<k0mi6*ke;023>"5=l0=o<5Gdc9m6=0=9h:0eh950;9ja=<722cn57>5;n012?6=3th:583>5}#:?h1jh5+dd82a2=#:128k:7dk8:188m`>=831bi44?::m163<722wi;>?51;794?6|,;;Ifa?k4?>3;j>6gj7;29?lc?2900eh750;9jad<722e9>;4?::a362=93?1<7>t$34a>cc<,mo1=i94$37f>3e63Ani7c<76;3b7>ob?3:17dk7:188m`?=831bil4?::m163<722wi:5951;194?6|,;3;j86gj7;29?lc?2900c?<9:188yg0?<3;197>50z&12g>ob13:17dkn:188k7412900qo891;391?6=8r.9:o4ie:&ga?7el2.99h4=9b9K`g=i:1<1=l84id594?=nm10;66gj9;29?lcf2900c?<9:188yg02m3;197>50z&12g>ob13:17dkn:188k7412900qo8:b;391?6=8r.9:o4ie:&ga?7el2.99h4=9b9K`g=i:1<1=l64id594?=nm10;66gj9;29?lcf2900c?<9:188yg0203;197>50z&12g>ob13:17dkn:188k7412900qo985;391?6=8r.9:o4ie:&ga?7a12.99h49a49K`g=i:1<1=lo4id594?=nm10;66gj9;29?lcf2900c?<9:188yg10>3;187>50z&12g>ob13:17b<=6;29?xd0?h0:684?:1y'63d=nl1/hh4>db9'60c=>h?0Dil4n3:5>4gd3`o<6=44id:94?=nm00;66gja;29?j45>3:17pl87b82>6<729q/>;l5fd9'``<58=1/>8k56`78Lad5<5<k0mi6*ke;3e4>"5=l0=m85Gdc9m6=0=9hl0eh950;9ja=<722cn57>5;hgb>5<"cm3;3i6*=5d81=f=Olk1e>5851c28m`1=831bi54?::kf=?6=3f89:7>5;|`53<<62=0;6=u+27`9b`=#ll0:4>5+24g96;4?::a1fe=93>1<7>t$34a>cc<,mo1=5k4$37f>7?d3Ani7c<76;3a6>ob?3:17dk7:188m`?=831d>?850;9~f0ef280?6=4?{%05f?`b3-nn6<6<;%06a?4>k2Bon6`=8782f6=nm>0;66gj8;29?lc>2900c?<9:188yg13;3;197>50z&12g>ob13:17dkn:188k7412900qo8;c;391?6=8r.9:o4ie:&ga?47k2.99h4=9b9K`g=i:1<1=o;4id594?=nm10;66gj9;29?lcf2900c?<9:188yg0493;197>50z&12g>ob13:17dkn:188k7412900qo880;390?6=8r.9:o4ie:&ga?7?k2.99h4=9b9K`g=i:1<1=o94id594?=nm10;66gj9;29?j45>3:17pl96g82>0<729q/>;l5fd9'``<6m?1/>8k528a8Lad5<>obi3:17b<=6;29?xd1:=0:694?:1y'63d=nl1/hh4>8b9'60c=:0i0Dil4n3:5>4d>3`o<6=44id:94?=nm00;66a=2783>>{e>;91=7;50;2x 70e2oo0(ik51d48 73b2;3h7Ejm;o0;2?7ei2cn;7>5;hg;>5<>i5:?0;66sm6ca95?3=83:p(?8m:gg8 ac=9l?0(?;j:7c6?Mbe3g83:7?mb:kf3?6=3`o36=44id;94?=nmh0;66a=2783>>{e>kn1=7:50;2x 70e2oo0(ik519a8 73b2?k>7Ejm;o0;2?7ek2cn;7>5;hg;>55<7s-8=n7hj;%ff>4c23-8>i78n5:Jgf>h50?0:ni5fe683>>ob03:17dk6:188m`g=831d>?850;9~f3d1280?6=4?{%05f?`b3-nn6<6l;%06a?0f=2Bon6`=8782f`=nm>0;66gj8;29Lgg<3`o26=44o305>5<o7?55;294~"5>k0mi6*ke;036>"5=l0=m85Gdc9m6=0=9kl0eh950;9ja=<722cn57>5;hgb>5<"cm38:h6*=5d85e0=Olk1e>5851b28m`1=831bi54?::kf=?6=3f89:7>5;|`41=<62<0;6=u+27`9b`=#ll0:h<5+24g92d3<@mh0b?69:0a2?lc02900eh650;9ja<<72Ahj76gja;29?j45>3:17pl85e82>0<729q/>;l5fd9'``<6m<1/>8k56`78Lad5<>obi3:17b<=6;29?xd0=90:694?:1y'63d=nl1/hh4>8b9'60c=>h?0Dil4n3:5>4e43`o<6=44id:94?Nei21bi44?::m163<722wi;>j51;194?6|,;3;h86gj7;29?lc?2900c?<9:188yg11m3;197>50z&12g>ob13:17dkn:188k7412900qo8:1;391?6=8r.9:o4ie:&ga?7>82.99h4=9b9K`g=i:1<1=n84id594?=nm10;66gj9;29?lcf2900c?<9:188yg04>3;197>50z&12g>ob13:17dkn:188k7412900qo9;4;391?6=8r.9:o4ie:&ga?7bn2.99h49a49K`g=i:1<1=n64id594?=nm10;66gj9;29?lcf290Cnl54o305>5<k0mi6*ke;034>"5=l095n5Gdc9m6=0=9j30eh950;9ja=<722cn57>5;n012?6=3th=;?4>:483>5}#:?h1jh5+dd8153=#:4m4He`8j7>128ij7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`562<62=0;6=u+27`9b`=#ll09<=5+24g96;4?::a270=93?1<7>t$34a>cc<,mo1><84$37f>7?d3Ani7c<76;3`g>ob?3:17dk7:188m`?=831bil4?::m163<722wi9kl51;194?6|,;3;hh6gj7;29?lc?2900c?<9:188yg5b;3;197>50z&12g>ob13:17dkn:188k7412900qo:j0;390?6=8r.9:o4ie:&ga?4682.99h43:17pl;dg82>0<729q/>;l5fd9'``<60k1/>8k53cc8Lad5<>obi3:17b<=6;29?xd3nh0:684?:1y'63d=nl1/hh4=039'60c=;kk0Dil4n3:5>4b63`o<6=44id:94?=nm00;6Eln;:kfe?6=3f89:7>5;|`0ac<62<0;6=u+27`9b`=#ll09=o5+24g97gg<@mh0b?69:0f1?lc02900eh650;9ja<<722cnm7>5Hcc8?j45>3:17pl0<729q/>;l5fd9'``<6011/>8k53cc8Lad5<Mdf32cnm7>5;n012?6=3th>j>4>:583>5}#:?h1jh5+dd8152=#:4m4He`8j7>128n?7dk8:188m`>=831bi44?::m163<722wi?km51;794?6|,;ln;Ifa?k4?>3;o96gj7;29?lc?2900eh750;9jad<722e9>;4?::a10>=93>1<7>t$34a>cc<,mo1=hl4$37f>7?d3Ani7c<76;3g2>ob?3:17dk7:18Kfd=1bi:4?::kf?850;9~f00f280>6=4?{%05f?`b3-nn6<9l;%06a?4>k2Bon6`=8782`==nm>0;66gj8;29?lc>2900eho50;Jae>=h:;<1<75rb4c7>4<2290;w)<9b;df?!bb28l;7)<:e;0:g>Ncj2d94;4>d89ja2<722cn47>5;hg:>5<5<7s-8=n7hj;%ff>4`23-8>i7=ma:Jgf>h50?0:hl5fe683>>ob03:17b<=6;29?xd38h0:684?:1y'63d=nl1/hh4>e49'60c=;kk0Dil4n3:5>4be3`o<6=4Gb`98m`>=831bi44?::kfe?6=3f89:7>5;|`50d<62<0;6=u+27`9b`=#ll0:4k5+24g965;n012?6=3th=>k4>:483>5}#:?h1jh5+dd824m4He`8j7>128no7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`0b4<62<0;6=u+27`9b`=#ll0:jl5+24g97gg<@mh0b?69:0ff?lc0290Cnl54id:94?=nm00;66gja;29?j45>3:17pl;e882>0<729q/>;l5fd9'``<6n>1/>8k53cc8Lad5<>obi3:1Doo4;n012?6=3th<:>4>:483>5}#:?h1jh5+dd82ac=#:128o;7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`0f`<62:0;6=u+27`9b`=#ll0:j85+24g97gg<@mh0b?69:0g2?lc0290Cnl54id:94?=h:;<1<75rb5d0>4<3290;w)<9b;df?!bb28l97)<:e;1ae>Ncj2d94;4>e39ja2<72Ahj76gj8;29?lc>2900c?<9:188yg2a:3;187>50z&12g0:&11`<4jh1Cho5a29495`5>ob13:17b<=6;29?xd3m?0:684?:1y'63d=nl1/hh4>8c9'60c=;kk0Dil4n3:5>4c33`o<6=4Gb`98m`>=831bi44?::kfe?6=3f89:7>5;|`7a6<62<0;6=u+27`9b`=#ll0:4o5+24g97gg<@mh0b?69:0g6?lc02900eh650;9ja<<722cnm7>5;n012?6=3th?hi4>:483>5}#:?h1jh5+dd82128o=7dk8:18Kfd=>obi3:17b<=6;29?xd4m00:684?:1y'63d=nl1/hh4>8c9'60c=;kk0Dil4n3:5>4c03`o<6=4Gb`98m`>=831bi44?::kfe?6=3f89:7>5;|`6<7<62:0;6=u+27`9b`=#ll09<85+24g965<7s-8=n7hj;%ff>7753-8>i7<6c:Jgf>h50?0:i45fe683>>ob03:17dk6:18Kfd=5<k0mi6*ke;035>"5=l08nl5Gdc9m6=0=9lh0eh950;9ja=<722cn57>5;hgb>5<"cm3;h86*=5d80fd=Olk1e>5851da8m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3`b>"5=l08nl5Gdc9m6=0=9ln0eh950;9ja=<722cn57>5;n012?6=3th==<4>:483>5}#:?h1jh5+dd814c=#:4m4He`8j7>128on7dk8:188m`>=831bi44?::kfe?6=@kk07b<=6;29?xd1890:684?:1y'63d=nl1/hh4>939'60c=:0i0Dil4n3:5>4ca3`o<6=44id:94?=nm00;66gja;29?j45>3:17pl90082>0<729q/>;l5fd9'``<61;1/>8k528a8Lad5<>obi3:17b<=6;29?xd18;0:684?:1y'63d=nl1/hh4>939'60c=:0i0Dil4n3:5>4`63`o<6=44id:94?=nm00;66gja;29?j45>3:17pl90282>0<729q/>;l5fd9'``<61;1/>8k528a8Lad5<>obi3:17b<=6;29?xd18?0:684?:1y'63d=nl1/hh4>939'60c=:0i0Dil4n3:5>4`43`o<6=44id:94?=nm00;66gja;29?j45>3:17pl90682>6<729q/>;l5fd9'``<6n<1/>8k528a8Lad5<4<2290;w)<9b;df?!bb28o?7)<:e;0:g>Ncj2d94;4>f79ja2<72Ahj76gj8;29?lc>2900eho50;9l670=831vn;>n:087>5<7s-8=n7hj;%ff>7673-8>i7<6c:Jgf>h50?0:j:5fe683>>ob03:17dk6:18Kfd="cm3;im6*=5d81=f=Olk1e>5851g:8m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3ae>"5=l095n5Gdc9m6=0=9o30eh950;9ja=<722cn57>5;hgb>5<"cm38;o6*=5d81=f=Olk1e>5851gc8m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;03g>"5=l095n5Gdc9m6=0=9oh0eh950;9ja=<722cn57>5;hgb>5<"cm3;2n6*=5d81=a=Olk1e>5851ga8m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3`6>"5=l095i5Gdc9m6=0=9on0eh950;9ja=<722cn57>5;hgb>5<"cm3;in6*=5d81=a=Olk1e>5851gg8m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3;2>"5=l095n5Gdc9m6=0=9ol0eh950;9ja=<722cn57>5Hcc8?lcf2900c?<9:188yg3c03;197>50z&12g>ob13:17dkn:18Kfd="cm3;m46*=5d81=f=Olk1e>5852138m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3`e>"5=l095n5Gdc9m6=0=:980eh950;9ja=<722cn57>5;hgb>5<"cm3;5852118m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3a4>"5=l095n5Gdc9m6=0=:9>0eh950;9ja=<722cn57>5;n012?6=3th9ol4>:283>5}#:?h1jh5+dd814<=#:4m4He`8j7>12;:>7dk8:188m`>=831d>?850;9~f324280>6=4?{%05f?`b3-nn6?>l;%06a?4>k2Bon6`=878143=nm>0;66gj8;29?lc>2900eho50;9l670=831vn;<7:086>5<7s-8=n7hj;%ff>76d3-8>i7<6c:Jgf>h50?09<:5fe683>>ob03:17dk6:188m`g=831d>?850;9~f07a28086=4?{%05f?`b3-nn6??7;%06a?4>k2Bon6`=87814==nm>0;66gj8;29?j45>3:17pl:ag82>0<729q/>;l5fd9'``<58;1/>8k528a8Lad6;hg4>5<>obi3:17b<=6;29?xd2j90:694?:1y'63d=nl1/hh4>829'60c=:0i0Dil4n3:5>76f3`o<6=44id:94?=nm00;66a=2783>>{e:j21=7;50;2x 70e2oo0(ik51`;8 73b2;3h7Ejm;o0;2?47j2cn;7>5;hg;>5<>i5:?0;66sm2b695?3=83:p(?8m:gg8 ac=9h30(?;j:3;`?Mbe3g83:7>{e=9;1=7;50;2x 70e2oo0(ik51878 73b2;3h7Ejm;o0;2?47l2cn;7>5;hg;>5<>i5:?0;66sm2b595?2=83:p(?8m:gg8 ac=9k:0(?;j:3;`?Mbe3g83:74<2290;w)<9b;df?!bb28=37)<:e;0:g>Ncj2d94;4=0g9ja2<722cn47>5;hg:>5<5;|`6;4?::a1=e=93>1<7>t$34a>cc<,mo1=lk4$37f>7?d3Ani7c<76;026>ob?3:17dk7:188m`?=831d>?850;9~f0>c280>6=4?{%05f?`b3-nn6k2Bon6`=878156=nm>0;66gj8;29?lc>2900eho50;Jae>=h:;<1<75rb4:f>4<2290;w)<9b;df?!bb28h:7)<:e;0:g>Ncj2d94;4=159ja2<722cn47>5;hg:>5<5<7s-8=n7hj;%ff>7623-8>i7<6c:Jgf>h50?09=85fe683>>ob03:17b<=6;29?xd20:0:6>4?:1y'63d=nl1/hh4=209'60c=:0i0Dil4n3:5>7713`o<6=44id:94?=h:;<1<75rb4:;>4<2290;w)<9b;df?!bb2;:i7)<:e;0:g>Ncj2d94;4=169ja2<722cn47>5;hg:>5l:086>5<7s-8=n7hj;%ff>7723-8>i7<6c:Jgf>h50?09=55fe683>>ob03:17dk6:188m`g=831d>?850;9~f03e280?6=4?{%05f?`b3-nn6k2Bon6`=87815<=nm>0;66gj8;29?lc>2900c?<9:188yg0>n3;1:7<56z&12gt$34a>cc<,mo1><74$37f>7?d3Ani7c<76;02f>ob?3:17dk7:188k7412900qo;95;291?6=8r.9:o4ie:&ga?7al2.99h4=9b9K`g=i:1<1>5<53z\630=:=?>1i:525779a<=z{<==6=4<{_742>;2>=0n463:648fe>{t=7}Y=vP:659>132=:;<0q~?9e;296~X6>l169;;52348yxd1i;0:6?4?:1y'63d=mk1/>8k56`28 c0=mm1Cho5a294964b"a>3oo7Ejm;o0;2?46m2cn:7>5;n343?6=3th95;4>:383>5}#:?h1io5+24g92d6<,o<1ii5Gdc9m6=0=:8l0eh850;9l521=831vn:kj:081>5<7s-8=n7<=3:&11`<1i91/j;4=279K`g=i:1<1>?>4id494?=h9>=1<75rb6:1>4<5290;w)<9b;017>"5=l0=m=5+f78163=Olk1e>5852338m`0=831d=:950;9~f2>328096=4?{%05f?45;2.99h49a19'b3<5:?1Cho5a2949674;5Gdc9m6=0=:;90eh850;9l521=831vn:69:081>5<7s-8=n7<=3:&11`<1i91/j;4=279K`g=i:1<1>?:4id494?=h9>=1<75rb6:;>4<5290;w)<9b;017>"5=l0=m=5+f78163=Olk1e>5852378m`0=831d=:950;9~f2>d28096=4?{%05f?45;2.99h49a19'b3<5:?1Cho5a2949670oj6<4=:183!41j389?6*=5d85e5=#n?09>;5Gdc9m6=0=:;=0eh850;9l521=831vn:6j:081>5<7s-8=n7<=3:&11`<1i91/j;4=279K`g=i:1<1>?64id494?=h9>=1<75rb6;3>4<5290;w)<9b;017>"5=l0=m=5+f78163=Olk1e>58523;8m`0=831d=:950;9~f2>f28096=4?{%05f?45;2.99h49a19'b3<5:?1Cho5a294967gln6<4=:183!41j389?6*=5d85e5=#n?09>;5Gdc9m6=0=:;h0eh850;9l521=831vn:kl:081>5<7s-8=n7<=3:&11`<1i91/j;4=279K`g=i:1<1>?m4id494?=h9>=1<75rb3;7>4<5290;w)<9b;017>"5=l0=m=5+f78163=Olk1e>58523f8m`0=831d=:950;9~f7?428096=4?{%05f?45;2.99h49a19'b3<5:?1Cho5a294967c;5Gdc9m6=0=:;l0eh850;9l521=831vn?7>:081>5<7s-8=n7<=3:&11`<1i91/j;4=279K`g=i:1<1>>>4id494?=h9>=1<75rb7;:>4<5290;w)<9b;017>"5=l0=m=5+f78163=Olk1e>5852238m`0=831d=:950;9~f3??28096=4?{%05f?45;2.99h49a19'b3<5:?1Cho5a2949664;5Gdc9m6=0=::90eh850;9l521=831vn;79:081>5<7s-8=n7<=3:&11`<1i91/j;4=279K`g=i:1<1>>:4id494?=h9>=1<75rb7;6>4<5290;w)<9b;017>"5=l0=m=5+f78163=Olk1e>5852278m`0=831d=:950;9~f3?328096=4?{%05f?45;2.99h49a19'b3<5:?1Cho5a2949660;5Gdc9m6=0=::=0eh850;9l521=831vn;7=:081>5<7s-8=n7<=3:&11`<1i91/j;4=279K`g=i:1<1>>64id494?=h9>=1<75rb6g;>4<1290;w)jj:b58 73b2>397)<9b;043>"5>?09:85+f082=>Ncj2d94;4=389j==<72Al876g62;29?l?42900e?:l:188m=`=831d>9k50;9~f2c0280=6=4?{%ff>f1<,;?n6:7=;%05f?40?2.9:;4=649'b4<612Bon6`=87817d=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f2ed280=6=4?{%ff>f1<,;?n6:7=;%05f?40?2.9:;4=649'b4<612Bon6`=87817g=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f2ee280=6=4?{%ff>f1<,;?n6:7=;%05f?40?2.9:;4=649'b4<612Bon6`=87817f=n110;6Eh<;:k:6?6=3`386=44i36`>5<ij6<49:183!bb2j=0(?;j:6;1?!41j38<;6*=678120=#n80:56Fkb:l1<3<5;m1b554?:Id0?>o>:3:17d7<:188m72d2900e5h50;9l61c=831vn?m<:085>5<7s-nn6n94$37f>7?d3-8=n7<87:&123<5><1/j<4<;Ifa?k4?>388i6g68;29?l?52900e4=50;9j61e=831b4k4?::m10`<722wi8h951;494?6|,mo1o<5+24g97gg<,;63-l:6>5Gdc9m6=0=::l0e4650;9j=7<722c2?7>5;h07g?6=3`2m6=44o36f>5<k0mi6*ke;3:`>"5=l095n5Gdc9m6=0=:=:0eh950;9ja=<722cn57>5;hgb>5<"cm38;46*=5d81=f=Olk1e>5852538m`1=831bi54?::kf=?6=3f89:7>5;|`007<62<0;6=u+27`9b`=#ll0:5n5+24g965;n012?6=3th8>l4>:483>5}#:?h1jh5+dd82e5=#:4m4He`8j7>12;>87dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`015<62<0;6=u+27`9b`=#ll0:nn5+24g965;n012?6=3th8?54>:483>5}#:?h1jh5+dd82e6=#:4m4He`8j7>12;>>7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`004<62=0;6=u+27`9b`=#ll09<:5+24g96;4?::a77?=93>1<7>t$34a>cc<,mo1>=94$37f>7?d3Ani7c<76;073>ob?3:17dk7:188m`?=831d>?850;9~f62a280?6=4?{%05f?`b3-nn6?>7;%06a?4>k2Bon6`=87810==nm>0;66gj8;29?lc>2900c?<9:188yg54?3;187>50z&12g>ob13:17b<=6;29?xd4<90:6>4?:1y'63d=nl1/hh4=089'60c=:0i0Dil4n3:5>72f3`o<6=44id:94?=h:;<1<75rb20;>4<4290;w)<9b;df?!bb2;:27)<:e;0:g>Ncj2d94;4=4c9ja2<722cn47>5;n012?6=3th88h4>:283>5}#:?h1jh5+dd814<=#:4m4He`8j7>12;>h7dk8:188m`>=831d>?850;9~f65128086=4?{%05f?`b3-nn6?>6;%06a?4>k2Bon6`=87810a=nm>0;66gj8;29?j45>3:17pl:b982>0<729q/>;l5fd9'``<6mh1/>8k528a8Lad5<>obi3:1Doo4;n012?6=3th8=>4>:583>5}#:?h1jh5+dd814==#:4m4He`8j7>12;>m7dk8:188m`>=831bi44?::m163<722wi?<<51;194?6|,;38><6gj7;29?lc?2900c?<9:188yg32k3;197>50z&12g>ob13:1Doo4;hgb>5<"cm3;nm6*=5d81=f=Olk1e>5852408m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3g`>"5=l095n5Gdc9m6=0=:<90eh950;9ja=<722cn57>5;hgb>550z&12g>ob13:17dkn:188k7412900qo=?4;390?6=8r.9:o4ie:&ga?47?2.99h4=9b9K`g=i:1<1>8;4id594?=nm10;66gj9;29?j45>3:17pl<0282>6<729q/>;l5fd9'``<5801/>8k528a8Lad5<1bi:4?::kf5<h7?53;294~"5>k0mi6*ke;3e2>"5=l095n5Gdc9m6=0=:<20eh950;9ja=<722e9>;4?::a74c=9391<7>t$34a>cc<,mo1>=74$37f>7?d3Ani7c<76;06=>ob?3:17dk7:188k7412900qo12.99h4=9b9K`g=i:1<1>8o4id594?=nm10;66gj9;29?lcf290Cnl54o305>5<k0mi6*ke;3g1>"5=l095n5Gdc9m6=0=:5;n012?6=3th8>n4>:483>5}#:?h1jh5+dd82e7=#:4m4He`8j7>12;?h7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`04=<62=0;6=u+27`9b`=#ll0:h85+24g96;4?::a751=93?1<7>t$34a>cc<,mo1=l<4$37f>7?d3Ani7c<76;06a>ob?3:17dk7:188m`?=831bil4?::m163<722wi??>51;794?6|,;38>j6gj7;29?lc?2900eh750;9jad<722e9>;4?::a1d6=93>1<7>t$34a>cc<,mo1=k?4$37f>7?d3Ani7c<76;054>ob?3:17dk7:188m`?=831d>?850;9~f0cf28086=4?{%05f?`b3-nn6?>;;%06a?4>k2Bon6`=878124=nm>0;66gj8;29?j45>3:17pl:e882>0<729q/>;l5fd9'``<60=1/>8k528a8Lad5<>obi3:1Doo4;n012?6=3th>444>:583>5}#:?h1jh5+dd82a==#:4m4He`8j7>12;<87dk8:188m`>=831bi44?::m163<722wi>l<51;694?6|,;38=86gj7;29?lc?2900eh750;9l670=831vn?l>:086>5<7s-8=n7hj;%ff>4db3-8>i7<6d:Jgf>h50?09:85fe683>>ob03:17dk6:188m`g=831d>?850;9~f01f280>6=4?{%05f?`b3-nn6k2Bon6`=878123=nm>0;66gj8;29?lc>2900eho50;9l670=831vn8l>:086>5<7s-8=n7hj;%ff>4ed3-8>i7<6c:Jgf>h50?09::5fe683>>ob03:1Doo4;hg:>5<11bi:4?::kf4<2290;w)<9b;df?!bb28kj7)<:e;0:g>Ncj2d94;4=689ja2<722cn47>5;hg:>5<h1bi:4?::kf;l4id594?Nei21bi54?::kf=?6=3f89:7>5;|`6a=<62<0;6=u+27`9b`=#ll0:4:5+24g965;n012?6=3th>;n4>:483>5}#:?h1jh5+dd82f0=#:4m4He`8j7>12;=831bi44?::kfe?6=@kk07b<=6;29?xd2j?0:684?:1y'63d=nl1/hh4>ab9'60c=:0i0Dil4n3:5>70b3`o<6=44id:94?Nei21bi44?::kfe?6=3f89:7>5;|`6f2<62<0;6=u+27`9b`=#ll0:;k5+24g965;n012?6=3th>:>4>:283>5}#:?h1jh5+dd8140=#:4m4He`8j7>12;=;7dk8:188m`>=831d>?850;9~f005280>6=4?{%05f?`b3-nn6k2Bon6`=878134=nm>0;66gj8;29?lc>2900eho50;Jae>=h:;<1<75rb5f4>4<2290;w)<9b;df?!bb28l<7)<:e;1ae>Ncj2d94;4=739ja2<722cn47>5;hg:>5<4<2290;w)<9b;df?!bb28ln7)<:e;0:g>Ncj2d94;4=759ja2<722cn47>5;hg:>5<5852678m`1=831bi54?::k112<722e:;:4?::a1db=93?1<7>t$34a>cc<,mo1=5l4$37f>7?d3Ani7c<76;042>ob?3:17dk7:188m`?=831bil4?::m163<722wi9?k51;694?6|,;38<;6gj7;29?lc?2900eh750;Jae>=h:;<1<75rb40g>4<2290;w)<9b;df?!bb28l;7)<:e;0:g>Ncj2d94;4=799ja2<722cn47>5;hg:>5<5<k0mi6*ke;3b1>"5=l0=m85Gdc9m6=0=:>k0eh950;9ja=<722cn57>5;hgb>5<"cm3;ii6*=5d81=a=Olk1e>58526`8m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3e4>"5=l095n5Gdc9m6=0=:>i0eh950;9ja=<722cn57>5;hgb>550z&12g5:&11`<4jh1Cho5a294962b>ob13:17dkn:18Kfd="cm3;3h6*=5d81=f=Olk1e>58526g8m`1=831bi54?::kf=?6=3`oj6=4Gb`98k7412900qo8;e;390?6=8r.9:o4ie:&ga?7c<2.99h4=9b9K`g=i:1<1>:h4id594?=nm10;66gj9;29?j45>3:17pl93282>1<729q/>;l5fd9'``<6l=1/>8k528a8Lad5<>i5:?0;66sm2cf95?5=83:p(?8m:gg8 ac=:9k0(?;j:3;g?Mbe3g83:7<71:kf3?6=3`o36=44o305>5<k0mi6*ke;036>"5=l0=m85Gdc9m6=0=:180eh950;9ja=<722cn57>5;hgb>550z&12g5;hgb>5<"cm3;346*=5d80fd=Olk1e>5852968m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;02g>"5=l08nl5Gdc9m6=0=:1?0eh950;9ja=<722cn57>5;hgb>5<"cm3;j46*=5d81=f=Olk1e>5852948m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3b<>"5=l095n5Gdc9m6=0=:1=0eh950;9ja=<722cn57>5;hgb>5<"cm3;hi6*=5d80fd=Olk1e>58529:8m`1=831bi54?::kf=?6=3`oj6=44o305>5<=7?54;294~"5>k0mi6*ke;033>"5=l095n5Gdc9m6=0=:130eh950;9ja=<722cn57>5;n012?6=3th>9=4>:483>5}#:?h1jh5+dd82ec=#:4m4He`8j7>12;2j7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`0a3<62<0;6=u+27`9b`=#ll0:4o5+24g97gg<@mh0b?69:3:a?lc02900eh650;9ja<<722cnm7>5;n012?6=3th<:84>:483>5}#:?h1jh5+dd8147=#:12;2h7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`5f2<62<0;6=u+27`9b`=#ll0:j=5+24g92d3<@mh0b?69:3:g?lc02900eh650;9ja<<722cnm7>5;n012?6=3th=454>:483>5}#:?h1jh5+dd8147=#:4m4He`8j7>12;2n7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`42c<62=0;6=u+27`9b`=#ll0:hl5+24g92d3<@mh0b?69:3:e?lc02900eh650;9ja<<722e9>;4?::a6g5=93?1<7>t$34a>cc<,mo1=n=4$37f>7?c3Ani7c<76;0:4>ob?3:17dk7:188m`?=831bil4?::m163<722wi?oj51;794?6|,;ln;Ifa?k4?>382=6gj7;29?lc?2900eh750;9jad<72Ahj76a=2783>>{e?=l1=7;50;2x 70e2oo0(ik51dd8 73b2?k>7Ejm;o0;2?4>:2cn;7>5;hg;>5<>i5:?0;66sm5e495?3=83:p(?8m:gg8 ac=9l>0(?;j:3;`?Mbe3g83:7<63:kf3?6=3`o36=44id;94?=nmh0;66a=2783>>{e:ln1=7:50;2x 70e2oo0(ik51e`8 73b2;3h7Ejm;o0;2?4><2cn;7>5;hg;>5<5<k0mi6*ke;3gf>"5=l095n5Gdc9m6=0=:0<0eh950;9ja=<722cn57>5;n012?6=3th9i<4>:583>5}#:?h1jh5+dd82`g=#:4m4He`8j7>12;3<7dk8:188m`>=831bi44?::m163<722wi>h751;694?6|,;38246gj7;29?lc?2900eh750;9l670=831vn?jm:087>5<7s-8=n7hj;%ff>4be3-8>i7<6c:Jgf>h50?09545fe683>>ob03:17dk6:188k7412900qo=jc;391?6=8r.9:o4ie:&ga?7b<2.99h44o4id594?=nm10;66gj9;29?lcf290Cnl54o305>5<k0mi6*ke;3;f>"5=l08nl5Gdc9m6=0=:0h0eh950;9ja=<722cn57>5;hgb>5<"cm3;n;6*=5d85e0=Olk1e>58528a8m`1=831bi54?::kf=?6=@kk07b<=6;29?xd0>80:684?:1y'63d=nl1/hh4=129'60c=>h?0Dil4n3:5>7?c3`o<6=44id:94?=nm00;66gja;29?j45>3:17pl:ad82>0<729q/>;l5fd9'``<6l91/>8k528a8Lad5<>obi3:17b<=6;29?xd2eb9'60c=:0i0Dil4n3:5>7?a3`o<6=44id:94?=nm00;66gja;29?j45>3:17pl0<729q/>;l5fd9'``<6nk1/>8k53cc8Lad5<>obi3:17b<=6;29?xd2eb9'60c=:0i0Dil4n3:5>7g63`o<6=44id:94?=nm00;66gja;29Lgg<3f89:7>5;|`0<4<62<0;6=u+27`9b`=#ll0:m>5+24g965;n012?6=3th84=4>:583>5}#:?h1jh5+dd814==#:4m4He`8j7>12;k87dk8:188m`>=831bi44?::m163<722wi?:h51;194?6|,;38j86gj7;29?lc?2900c?<9:188yg50=3;197>50z&12g>ob13:17dkn:188k7412900qo=84;390?6=8r.9:o4ie:&ga?4702.99h4=9b9K`g=i:1<1>l84id594?=nm10;66gj9;29?j45>3:17pl<7282>6<729q/>;l5fd9'``<5801/>8k528a8Lad5<5;|`1f`<62<0;6=u+27`9b`=#ll0:o55+24g965;n012?6=3th84?4>:483>5}#:?h1jh5+dd82f3=#:4m4He`8j7>12;kj7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`1ff<62<0;6=u+27`9b`=#ll0:485+24g965;n012?6=3th<9>4>:483>5}#:?h1jh5+dd82ac=#:12;kh7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`417<62<0;6=u+27`9b`=#ll0:jn5+24g92d3<@mh0b?69:3cg?lc0290Cnl54id:94?=nm00;66gja;29?j45>3:17pl90482>0<729q/>;l5fd9'``<6k01/>8k528a8Lad5<>obi3:17b<=6;29?xd18=0:694?:1y'63d=nl1/hh4>f39'60c=:0i0Dil4n3:5>7ga3`o<6=44id:94?=nm00;66a=2783>>{e<921=7;50;2x 70e2oo0(ik51dd8 73b2:hj7Ejm;o0;2?4e82cn;7>5;hg;>5<>i5:?0;66sm39795?3=83:p(?8m:gg8 ac=90l0(?;j:3;`?Mbe3g83:7>{e:l=1=7;50;2x 70e2oo0(ik51`58 73b2;3h7Ejm;o0;2?4e:2cn;7>5;hg;>5<>i5:?0;66sm2e;95?3=83:p(?8m:gg8 ac=9h=0(?;j:3;`?Mbe3g83:7>{e>=>1=7;50;2x 70e2oo0(ik51858 73b2;3h7Ejm;o0;2?4e<2cn;7>5;hg;>5<>i5:?0;66sm63;95?3=83:p(?8m:gg8 ac=90=0(?;j:3;`?Mbe3g83:7>{e>8?1=7;50;2x 70e2oo0(ik516;8 73b2;3h7Ejm;o0;2?4e>2cn;7>5;hg;>5<;4?::a32b=93?1<7>t$34a>cc<,mo1><:4$37f>3g23Ani7c<76;0a3>ob?3:17dk7:18Kfd=>i5:?0;66sm39d95?3=83:p(?8m:gg8 ac=90k0(?;j:3;`?Mbe3g83:7>{e;0:1=7;50;2x 70e2oo0(ik51b38 73b2;3h7Ejm;o0;2?4e12cn;7>5;hg;>5<>i5:?0;66sm39c95?3=83:p(?8m:gg8 ac=90l0(?;j:3;`?Mbe3g83:7>{e:lh1=7:50;2x 70e2oo0(ik51e`8 73b2;3h7Ejm;o0;2?4ej2cn;7>5;hg;>5<5<k0mi6*ke;3b3>"5=l095n5Gdc9m6=0=:kn0eh950;9ja=<722cn57>5;hgb>5<"cm3;j;6*=5d81=f=Olk1e>5852cg8m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0nn6*=5d85e5=#n?0nh6Fkb:l1<3<5jo1bi;4?::m232<722wi;l;51;094?6|,;38h<6gj7;29?j45>3:17pl;3482>7<729q/>;l5fd9'``<5:;1/>8k53cc8Lad;hg4>5<"cm389>6*=5d80fd=Olk1e>5852b08m`1=831d>?850;9~f7e>28096=4?{%05f?`b3-nn6?<=;%06a?4>k2Bon6`=8781g6=nm>0;66a=2783>>{e:j?1=7<50;2x 70e2oo0(ik52308 73b2;3h7Ejm;o0;2?4d<2cn;7>5;n012?6=3th>hh4>:583>5}#:?h1>:74$37f>7?d3Ani7c<76;0`1>ob?3:17dk7:188m7302900c<98:188yg3cn3;197>50z&12g>ob13:17dkn:188k7412900qo;j0;391?6=8r.9:o4ie:&ga?7e:2.99h4=9b9K`g=i:1<1>n94id594?=nm10;66gj9;29?lcf2900c?<9:188yg3b;3;187>50z&12g<5?01/>8k528a8Lad5<=1<75rb4g7>4<3290;w)<9b;df?!bb28im7)<:e;0:g>Ncj2d94;4=c89ja2<722cn47>5;hg:>5<"cm3;3i6*=5d81=f=Olk1e>5852bc8m`1=831bi54?::kf=?6=3f89:7>5;|`44=<62=0;6=u+27`962?<,;?n6;m>;Ifa?k4?>38hn6gj7;29?lc?2900e?;8:188k4102900qo9?9;390?6=8r.9:o4ie:&ga?4682.99h49c09K`g=i:1<1>nm4id594?=nm10;66gj9;29?j45>3:17pl80`82>1<729q/>;l5fd9'``<5991/>8k56b38Lad5<>i5:?0;66sm76595?2=83:p(?8m:35:?!42m3;7>5;n343?6=3th<;54>:483>5}#:?h1jh5+dd82b==#:12;im7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`43<<62<0;6=u+27`9b`=#ll0:j:5+24g92d3<@mh0b?69:3f3?lc02900eh650;9ja<<722cnm7>5;n012?6=3th?h=4>:583>5}#:?h1>:74$37f>6df3Ani7c<76;0g5>ob?3:17dk7:188m7302900c<98:188yg2c93;197>50z&12g>ob13:17dkn:188k7412900qo:k2;391?6=8r.9:o4ie:&ga?7b92.99h4i=4id594?=nm10;66gj9;29?lcf2900c?<9:188yg33i3;187>50z&12g<5?01/>8k528a8Lad5<=1<75rb46a>4<2290;w)<9b;df?!bb28nn7)<:e;0:g>Ncj2d94;4=d49ja2<722cn47>5;hg:>5<h6<4::183!41j3ln7)jj:0g3?!42m382o6Fkb:l1<3<5l?1bi:4?::kf4<2290;w)<9b;df?!bb282;7)<:e;0:g>Ncj2d94;4=d69ja2<722cn47>5;hg:>5<6<4::183!41j3ln7)jj:0g2?!42m382o6Fkb:l1<3<5l11bi:4?::kf4<3290;w)<9b;04=>"5=l095n5Gdc9m6=0=:m30eh950;9ja=<722c99:4?::m232<722wi:hl51;794?6|,;;Ifa?k4?>38om6gj7;29?lc?2900eh750;9jad<722e9>;4?::a2`e=93>1<7>t$34a>71>3-8>i78l1:Jgf>h50?09ho5fe683>>ob03:17d<:7;29?j70?3:17pl9b382>0<729q/>;l5fd9'``<58;1/>8k56`78Lad5<>obi3:17b<=6;29?xd1j:0:694?:1y'63d=:>30(?;j:7c6?Mbe3g83:75<"cm3;j:6*=5d81=f=Olk1e>5852eg8m`1=831bi54?::kf=?6=3`oj6=44o305>5<k0mi6*ke;3:<>"5=l095n5Gdc9m6=0=:ml0eh950;9ja=<722cn57>5;hgb>5<4m4He`8j7>12;o;7dk8:188m`>=831b>8950;9l521=831vn8<=:086>5<7s-8=n7hj;%ff>4b13-8>i7<6c:Jgf>h50?09i<5fe683>>ob03:17dk6:188m`g=831d>?850;9~f044280>6=4?{%05f?`b3-nn6??m;%06a?4>k2Bon6`=8781a7=nm>0;66gj8;29?lc>2900eho50;9l670=831vn8<;:087>5<7s-8=n7<89:&11`<51j1Cho5a29496`5>o5=>0;66a>7683>>{e5;hg;>5<>i5:?0;66sm4e495?2=83:p(?8m:35:?!42m39im6Fkb:l1<3<5m<1bi:4?::kf;7>5;n343?6=3th84n4>:483>5}#:?h1jh5+dd82ga=#:4m4He`8j7>12;o=7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`05;n012?6=3th84h4>:583>5}#:?h1>:74$37f>7?d3Ani7c<76;0f<>ob?3:17dk7:188m7302900c<98:188yg5??3;197>50z&12g>ob13:17dkn:188k7412900qo=78;391?6=8r.9:o4ie:&ga?7d82.99h4=9b9K`g=i:1<1>ho4id594?=nm10;66gj9;29?lcf2900c?<9:188yg5?13;187>50z&12g<5?01/>8k528a8Lad5<=1<75rb6d4>4<>290;w)<9b;055>"cm38==6*=5d84ac=#n80?7Ejm;o0;2?4bk2c247>5;h;1>5<>o1n3:17d9?:188m22=831d>9k50;9l61`=831vn:h=:085>5<7s-nn6n?4$37f>2ca3-8=n7<87:&12312;oo7d77:188m<4=831b5>4?::k10f<722c3j7>5;n07a?6=3th:883>5}#:?h1>;?4$eg9637<,;?n6:ki;%d2>1=Olk1e>5852dg8m<>=831b5?4?::k;b?6=3`=0(?89:938 c7=;2Bon6`=8781ac=n110;66g62;29?l?42900e?:l:188m=`=831d>9k50;9~f3db280>6=4?{%05f?`b3-nn60;66gj8;29?lc>2900eho50;9l670=831vn;l7:086>5<7s-8=n7hj;%ff>4`f3-8>i78n5:Jgf>h50?09j<5fe683>>ob03:17dk6:188m`g=831d>?850;9~f23228086=4?{%05f?`b3-nn6?>:;%06a?0f=2Bon6`=8781b7=nm>0;66gj8;29?j45>3:17pl85882>0<729q/>;l5fd9'``<6m01/>8k56`78Lad5<>obi3:17b<=6;29?xd0<<0:694?:1y'63d=nl1/hh4>cg9'60c=>h?0Dil4n3:5>7`33`o<6=44id:94?=nm00;66a=2783>>{e?=21=7:50;2x 70e2oo0(ik52118 73b2?k>7Ejm;o0;2?4a=2cn;7>5;hg;>5<4<2290;w)<9b;df?!bb28o>7)<:e;1ae>Ncj2d94;4=f69ja2<722cn47>5;hg:>5<4<4290;w)<9b;df?!bb28l>7)<:e;1ae>Ncj2d94;4=f89ja2<722cn47>5;n012?6=3th>8:4>:583>5}#:?h1jh5+dd82gc=#:4m4He`8j7>12;lj7dk8:188m`>=831bi44?::m163<722wi9:>51;194?6|,;38mn6gj7;29?lc?2900c?<9:188yg31j3;1?7>50z&12g>i5:?0;66sm5`795?3=83:p(?8m:gg8 ac=:8l0(?;j:3;`?Mbe3g83:7>{e;o81=7:50;2x 70e2oo0(ik519a8 73b2:hj7Ejm;o0;2?4am2cn;7>5;hg;>5<4<3290;w)<9b;df?!bb28i>7)<:e;4b1>Ncj2d94;4<019ja2<722cn47>5;hg:>5<"cm3;n;6*=5d80fd=Olk1e>5853138m`1=831bi54?::kf=?6=3f89:7>5;|`0bd<62=0;6=u+27`9b`=#ll0:j?5+24g97gg<@mh0b?69:221?lc02900eh650;9ja<<722e9>;4?::a053=93?1<7>t$34a>cc<,mo1=h;4$37f>6df3Ani7c<76;137>ob?3:17dk7:188m`?=831bil4?::m163<722wi8hh51;794?6|,;ln;Ifa?k4?>39;86gj7;29?lc?2900eh750;9jad<722e9>;4?::a7c1=93?1<7>t$34a>cc<,mo1><;4$37f>6df3Ani7c<76;131>ob?3:17dk7:188m`?=831bil4?::m163<722wi?n851;794?6|,;ln;Ifa?k4?>39;:6gj7;29?lc?2900eh750;9jad<722e9>;4?::a25d=93?1<7>t$34a>cc<,mo1=h:4$37f>7?d3Ani7c<76;133>ob?3:17dk7:188m`?=831bil4?::m163<722wi:=k51;694?6|,;39;46gj7;29?lc?2900eh750;9l670=831vn8hk:086>5<7s-8=n7hj;%ff>4?53-8>i7<6c:Jgf>h50?08<45fe683>>ob03:17dk6:188m`g=831d>?850;9~f7g1280>6=4?{%05f?`b3-nn6l2Bon6`=87804d=nm>0;66gj8;29?lc>2900eho50;9l670=831vn8k>:087>5<7s-8=n7hj;%ff>4`53-8>i7<6c:Jgf>h50?08>ob03:17dk6:188k7412900qo875;391?6=8r.9:o4ie:&ga?46=2.99h4=9b9K`g=i:1<1?=m4id594?=nm10;66gj9;29?lcf2900c?<9:188yg3f:3;197>50z&12g>ob13:17dkn:188k7412900qo;70;391?6=8r.9:o4ie:&ga?7?12.99h4=9b9K`g=i:1<1?=k4id594?=nm10;66gj9;29?lcf2900c?<9:188yg3?=3;197>50z&12g>ob13:17dkn:188k7412900qo4id594?=nm10;66gj9;29?j45>3:17pl:3182>1<729q/>;l5fd9'``<5:91/>8k528a8Lad?>;hg4>5<>i5:?0;66sm57495?3=83:p(?8m:gg8 ac=9>n0(?;j:3;`?Mbe3g83:7=>2:kf3?6=3`o36=44id;94?=nmh0;66a=2783>>{e:jn1=7:50;2x 70e2oo0(ik51bd8 73b2;3h7Ejm;o0;2?56;2cn;7>5;hg;>5<5<k0mi6*ke;02a>"5=l095n5Gdc9m6=0=;8?0eh950;9ja=<722cn57>5;n012?6=3th>9h4>:483>5}#:?h1jh5+dd82`7=#:4m4He`8j7>12:;=7dk8:188m`>=831bi44?::kfe?6=3f89:7>5;|`6ag<62<0;6=u+27`9b`=#ll0:4<5+24g965;n012?6=3th>;i4>:283>5}#:?h1jh5+dd8164=#:4m4He`8j7>12:;37dk8:188m`>=831d>?850;9~f0d4280>6=4?{%05f?`b3-nn6k2Bon6`=87805<=nm>0;66gj8;29?lc>2900eho50;9l670=831vn85<7s-8=n7hj;%ff>4?b3-8>i7<6c:Jgf>h50?08=l5fe683>>ob03:17dk6:188m`g=831d>?850;9~f0?7280>6=4?{%05f?`b3-nn6k2Bon6`=87805g=nm>0;66gj8;29?lc>2900eho50;9l670=831vn8h;:086>5<7s-8=n7hj;%ff>4ca3-8>i7<6c:Jgf>h50?08=n5fe683>>ob03:17dk6:188m`g=831d>?850;9~f0`7280?6=4?{%05f?`b3-nn6?><;%06a?4>k2Bon6`=87805a=nm>0;66gj8;29?lc>2900c?<9:188yg5d93;187>50z&12g>ob13:17b<=6;29?xd21<0:684?:1y'63d=nl1/hh4>b59'60c=:0i0Dil4n3:5>67a3`o<6=44id:94?=nm00;66gja;29?j45>3:17pl84c82>0<729q/>;l5fd9'``<6no1/>8k56`78Lad5<>obi3:17b<=6;29?xd0>00:684?:1y'63d=nl1/hh4>7c9'60c=>h?0Dil4n3:5>6463`o<6=44id:94?=nm00;66gja;29?j45>3:17pl;0382>0<729q/>;l5fd9'``<6mo1/>8k53cc8Lad<=;hg4>5<>obi3:17b<=6;29?xd2i00:684?:1y'63d=nl1/hh4>d19'60c=:0i0Dil4n3:5>6443`o<6=44id:94?=nm00;66gja;29?j45>3:17pl91382>0<729q/>;l5fd9'``<6n91/>8k528a8Lad<;;hg4>5<>obi3:17b<=6;29?xd0?l0:684?:1y'63d=nl1/hh4>d89'60c=>h?0Dil4n3:5>6423`o<6=44id:94?=nm00;66gja;29?j45>3:17pl=d382>0<729q/>;l5fd9'``<6i11/>8k528a8Lad<9;hg4>5<>obi3:17b<=6;29?xd50j0:6<4?:1y'63d=:;?0(k852348 cd=::?0Dil4n3:5>6403`8>o7>5;|`1?84$g`9662<@mh0b?69:20;?l42k3:17pl=8d82>4<729q/>;l52378 c0=:;<0(kl52258Lad<6;h06g?6=3th95=4>:083>5}#:?h1>?;4$g49670<,oh1>>84He`8j7>12:8j7d<:c;29?xd51<0:6<4?:1y'63d=n>1/j;4jd:&ef?44n2Bon6`=87806g=h:4<6290;w)<9b;d4?!`12ln0(kl52208Lad:083>5}#:?h1>?;4$g49670<,oh1>9>4He`8j7>12:8o7d<:c;29?xd10h0:6<4?:1y'63d=:;?0(k852348 cd=:=;0Dil4n3:5>64b3`8>o7>5;|`5?84$g`9614<@mh0b?69:20e?l42k3:17pl98b82>4<729q/>;l52378 c0=:;<0(kl52518Lad=?;h06g?6=3th=4i4>:083>5}#:?h1>?;4$g49670<,oh1>9:4He`8j7>12:9:7d<:c;29?xd10l0:6<4?:1y'63d=:;?0(k852348 cd=::;0Dil4n3:5>6553`8>o7>5;|`5?84$g`9665<@mh0b?69:210?l42k3:17pl99082>4<729q/>;l52378 c0=:;<0(kl523d8Lad=;;h06g?6=3th=m<4>:083>5}#:?h1j:5+f78f`>"aj389o6Fkb:l1<3<4;<1d>8j50;9~f3g4280:6=4?{%05f?`03-l=6hj4$g`967c<@mh0b?69:215?j42l3:17pl88082>4<729q/>;l52378 c0=:;<0(kl522c8Lad=8;h06g?6=3th<4>4>:083>5}#:?h1>?;4$g49670<,oh1>>74He`8j7>12:937d<:c;29?xd00<0:6<4?:1y'63d=:;?0(k852348 cd=::n0Dil4n3:5>65>3`8>o7>5;|`4<2<6280;6=u+27`9673<,o<1>?84$g`966d<@mh0b?69:21b?l42k3:17pl88882>4<729q/>;l52378 c0=:;<0(kl522a8Lad=m;h06g?6=3th<4o4>:083>5}#:?h1>?;4$g49670<,oh1>>>4He`8j7>12:9h7d<:c;29?xd00m0:6<4?:1y'63d=:;?0(k852348 cd=::20Dil4n3:5>65c3`8>o7>5;|`4?84$g`966c<@mh0b?69:21f?l42k3:17pl8e882>4<729q/>;l52378 c0=:;<0(kl523f8Lad=i;h06g?6=3th:083>5}#:?h1>?;4$g49670<,oh1>?l4He`8j7>12:>;7d<:c;29?xd0mm0:6<4?:1y'63d=:;?0(k852348 cd=:;k0Dil4n3:5>6263`8>o7>5;|`4ba<6280;6=u+27`9673<,o<1>?84$g`967?<@mh0b?69:261?l42k3:17pl8fg82>4<729q/>;l52378 c0=:;<0(kl523:8Lad:<;h06g?6=3th=5n4>:382>6}#:?h1i95G27c8j7>12:>?7d<6b;39?j4>03;17o<69;290?6=8r.9:o4=779'60c=>h:0Dil4n3:5>6223`o<6=4Gb`98m`>=831b>8950;Je7>=h9>=1<75rs3;a>5<5sW82n63=988f3>{t:021<713;<;6s|28c94?7|5;326?;8;|a2`2<@;o4j>0:66a>d4j10;694?:1y'63d=:><0(?;j:3;`?Mbe3g83:7=;7:kf3?6=3`o36=44i374>5vP7g>=m>1v>l9:181[5e>278n54>769~w6d>290:w0=m8;063>{zj?3n6<4=:080!41j3o?7E<9a:l1<3<4<11b8o;51;9l0g2=931i8o850;694?6|,;0;66gj8;29?l42?3:1Dk=4;n343?6=3ty?n84?:3y]0g3<5=h=6h94}r6a0?6=:rT?n9524c49521i;7>51z?7f3<5=>1vqo79:083>5<7sA8N5>11C=:84n3:5>62f3th99?4>:183>5}O:1;0(?8m:372?M4102B:;;5a294971d59z?4ec3gg=m116;o85e99>3g2=m116;h65999>3fe=:=i01:mm:36`?81di3337p}8a383>7}:?hl15=527`0952152z?4ec<6?>16;h>5999~w2b2290iw09ne;d;?81f:38>;638dg810`=:?kk1i:527c49a2=:?k>1i:527d:9=6=:?l=15>527ba9===:?jh155527bc961e53z?4e`<>8271v:m<:18181fm3;<;638dg8:<>{t98<1<78:77?;<610??a34>9:77i;<619m77i;<61g??a34>9i77i;<604??a34>8>77i;<675??734>>877?;<676??a34>?877i;<672??a34>?477i;<67e??a34>?o77i;<67a??a34>><77i;<6f`???349m9777;<5;6?c134=387k9;<5;a?c1343d6=:<=01:jj:36f?xu01l0;6>u27`f9=5=:?h:15=5278g95217>52z?4ea<6?>16;ik5999~w2b42908w09nc;d;?81>m38>;638de810`=z{>3h6=4<{<5bg??734=2i77?;<5:g?70?2wx;n?50;0x92gd28=<709kd;;;?xu0l;0;6>u27``9b==:?0i1>894=6f`>72b3ty<5l4?:2y>3dd=1916;4m5919>3=0q~9l0;296~;0ik0:;:527ea9===z{>n:6=4<{<5be?`?34=2m7<:7:?4`g<503;<;6s|7cd94?4|5>kj6<98;<5gf???3ty3d?=n116;465245892bf2;>n7p}89783>6}:?h315=5278:9=5=:?0<1=:94}r5aa?6=:r7769>3ag=111v:mi:18081f03l370966;063>;0l0098h5rs6;7>5<4s4=j477?;<5:2??734=287?87:p3gb=838p1:o7:054?81c13337p}8cd83>6}:?h=1j5527869601<5>n36?:j;|q4=6<72:q6;l95919>3<2=1916;4=51658yv1ek3:1>v38a68232=:?m21555rs6c7>5<4s4=j:7h7;<5:7?42?27;0l>0246s|10594?74s4=2?77i;<617??a34>?=77i;<0eg??7349?:77?;<121??73498m77?;<11a??73499=77?;<13=??7349<:77?;<15e??7349>>77?;<16a??7348m<77?;<5;e?c134=n;777;<4a7?c?34=m;78m;|q1==<7220801:j7:80892b020801:k9:80892c220801:m6:80892`e20801:h>:80892`720801>lm:80896bb2080198l:808910c2080198j:808910a2080199?:80891162080199=:80891d320801>m6:80891ge208019ol:80891gc208019oj:80891ga208019l?:80891d620801>j;:80896b220801>j9:80896b020801>j7:80896b>20801>jn:80896be20801>jl:80896bc20801>k>:80896c320801>k8:80896cf20801>h::80896cc20801>h?:80896d120801?m=:808933a20801;;l:808933>20801;;9:808926020801:;:808926220801:>9:808921420801:;i:808921720801;oi:80893gb20801::>:808922720801:=6:80892c?20801:k8:80892ed20801:mm:80892ef20801?m<:80892`020801:h=:80892`f20801:hl:80893?d2;337p}8ce83>7}:?m=1>9k4=6c6>`152z?4a3<>027;00j0n:6s|7c394?4|5>o>6464=6`7>7413ty3`3=:=o01:7?:d48yv1e13:1>v38c88:<>;0jh09>;5rs6a6>5<5s4=h57<;e:?1=42wx>n>50;1x92`e20201?m=:36f?81a<3o<7p}8fc83>7}:?oh1>9k4=6df>`052z?4b4<>027;0mj0n:6s|2b394?5|5>l;6464=6d7>`><5;i86?:j;|q4b5<72;q6;k>525g892cf2l<0q~=mc;296~;4jk02463:9d8911521l019l;:818917621l019?=:9d8917421l019?;:9d8917221l019?9:9d891b?2;>h70=l9;07g>;3km098n524e`9=6=:524d39=6=:15>524df961e<5=o364=4=2g2><5<5:o?64=4=2g4><5<5:oj64=4=2d6>72d349nh77<;<1e4??434?:n77<;<7:`??434?2o77<;<7:f??434?:m77<;<72;27>h9463:?6`6<>;27>h?463:?6`4<>;27=4>463:?5<7<>;27=4<463:?5<5<>;27=:l463:?52=<523b=1:16:;l525a8930d20901;?j:818937d2;>h708>d;;0?8059338708>f;07g>;1:902?63:76810f=:=>215>5252f9=6=:=:h15>5252a9=6=:==:1>9m4=41f><5<5<9m64=4=4a2><5<5o>463:?57c<>;27=?i4=4b9>26c=1:16:9<5929>216=:=i01;:>:81890?b20901:>8:818924a20901;h::81893`120901:?;:818927220901:?9:818927020901:?7:818927>20901:?n:818927e20901:?l:81893c120901;k8:81893c?20901;k6:818925221l01;j7:81893b>20901;jn:81893be20901;jl:81893bc20901;jj:81893ba20901:==:81893`b20901;hi:818926720901:>;:818926220901:>9:818921420901:;i:36`?8108338708nf;;0?80fm338709;1;;0?8138338709<9;07g>;3j:0n;63;a28f3>;29o0n;63=978232=:5rs2`a>5;278h8463:?0`3<>;278h:463:?0`=<>;278h4463:?0`d<>;278ho463:?0`f<>;278hi463:p7a`=838p1>jj:8:896c72;8=7p}7}:;mo1>9k4=6d4><>52z?72f<>027?;94=279~w1022903w0:9c;07a>;2l10n;63:e68f<>;2ll099:525d69a2=:=l?1i5525gf9a2=:>881i:5rs556>5<5s4>=h777;<642?45>2wx8;850;5x910c2;>n708>1;g:?83b13o<70;kc;g:?83cl3o2708?b;g4?83al3o27p};7683>7}:=;7>56z?72`<5256=m>169k85e69>1``=m116:=l5e99~w11>2909w0:9f;;;?820i389:6s|47:94?0|5=026=11168:m52348yv2113:19v3;71810`=:=oh1i:526139a2=:=ll1i4526009a==z{==o6=4={<645???34>:36f?83aj3o3708?1;g:?83a83o2708>2;g:?xu3?o0;6?u24609===:<1:1>?84}r65f?6=>r7?;?4=4d9>25>=m>16:<;5e69>25c=m0169k:5e69>1c6=m>1v9l7:18182e<33370=me;012>{t72b34<2i7:m5:p041=838p19?>:8:8917?2;8=7p};b583>76|5=;:64<4=531><4<5=;864<4=537><4<5=;>64<4=535><4<5=n364<4=5ag><4<5=3h64<4=5;g><4<5=3n64<4=5;e><4<5=k;64<4=5c2><4<5=i864<4=5a7><4<5=i>64<4=5a5><4<5=i<64<4=5a;><4<5=i264<4=5ab><4<5=ii64<4=5a`><4<5=ni64<4=5ff><4<5=o:64<4=5g7><4<5=oo64<4=5g;><4<5=o<64<4=7;f>1d33ty?n70=8c;g;?85083o370=:2;;e?85203o<70=:e;;e?851<3o<70?84}r63g?6=9?q68<<525g8961c2l201>9>:d:8963420l01>;6:d58963a20l01>8::d5897ge2l301?o;:d:893652l301?l8:d;897gf2l201?l::d:890c12l301?o=:d:897d42l201?lj:d;897dd2l=01;>::d:890ba2l=018k?:d;897g12l20q~:>b;296~;39:02463;1b8163=z{=:o6=4>6z?756<5724=m116?8:59g9>70g=m>16?;>59g9>730=m>16>ll5e99>6d2=m>16:==5e69>6g1=m>16>lo5e69>6g3=mh169h85e99>6d4=m>16>o=5e69>6gc=m>16>om5e89>252=m1169ih5e99>1`6=m116>l85e69~w17c2909w0:>4;;;?826m389:6s|41g94?d|5=;?6?:j;<161??a349>n7k8;<155??a349=;7k8;<7e7?c>34<;?7k6;<7e2?cf349076=:;<0q~:?f;29f~;39<098h523449=c=:;9<1i:525g49a<=:;1:1i4523669a<=:>9?1i45rs502>5<5s4>::777;<616?45>2wx8<>50;`x91712;>n70=:7;;e?852l3o<70=93;;e?85113o<70;i3;g;?807>3o270;i6;g;?85?93o<70=85;g4?807=3oj7p};d883>7}:o47>52z?7`=<5;3?l0n;63;7b8f3>;3?h0n;63;798f3>;3??0n;63;758f3>;3j:0n463;4jo0nm63;4k80n46s|3b;94?5|5:i26?:j;<0`6??4348h?77<;|q7g`<72;q68nj5999>0a1=:;<0q~:ld;29f~;3km098h524b19=6=:15>524b79=6=:524b59=6=:524b;9=6=:524b`9=6=:5rs2ag>5<1s4>jn777;<1g3?43m27?>54=569>06?=n116?k75e69>7c`=m11v9l=:18;82fj32m70:nc;:e?82fl32m70:ne;:e?82fn32m70:m0;:e?82e932m70:m3;012>{t1<772b34><87k7;|q0g`<72?q68lm5999>7a>=:=o0197}:9k4=555>`>5dz?7ea<>0278h44=4d9>07e=:<=019=m:g:896ca2l3019>n:d;896da2l=01>k?:d;896ce2l=019>7:dc896`f2l2019>::dc891652l20q~:n6;296~;3im098h5246:9a==z{:n;6=49{<6ba???349om7<;e:?76`<5=>168>m5f99>7ce=m016?k75e`9~w1g02909w0:ne;07a>;3?h0n46s|3e394?0|5=km6464=2fa>72b34>8<7<:7:?77an70:8c;g;?xu4l;0;6;u24c29===:;mi1>9k4=511>73034>8i7h7;<1eg?cf349m;7k7;|q7e<<72;q68o>525g8911b2l20q~=k3;29=~;3j8024635<5s4>i=7<;e:?7<538>;63;568e<>;3nh0n463;f08f=>{t=`<5=3o65h4=5;f>=`<5=3m65h4=5c3>=`<5=k:65h4=5c0>7413ty?5;4?:3y>0;3k>098h5245:9601<5=?36k64=5d0>`><5=l36h64}r6:3?6=:r7?5i4=4d9>04g=m11v9lj:18582>m33370:l8;07a>;32;>n70:;c;063>;3=h0m463;f78f=>;3mo0n56s|48;94?4|5=3m6?:j;<62a?c?3ty?o=4?:7y>0d6=11168no525g8912b2;?<70::b;d;?82a>3o370:jf;g;?xu31h0;6?u24`2961c<5=8;6h64}r6`5?6=>r7?m<468:?7gg<552458913d2o2019h9:d5891ca2l=0q~:6b;296~;3i8098h524309a==z{=286=4={<6`7???34>>87?87:p0g?=83kp19m<:36f?82b83o<70:i2;g;?82ci3o<70::3;g4?82c93o370:k2;g;?82a03o270:ja;gb?82ak3o<7p};8483>7}:155524479521im7>55z?7g1<5000=n1168h>5e99>0c4=m>168io5e99>0a6=:<=019h7:d5891cf2l3019hl:d:8yv2?13:1>v3;c78:<>;3=>0:;:5rs5:a>5<5s4>h;777;<661v97<:18182dj33370::c;343>{t<0?1<7<><5=?o6<98;|q7g7<72=q68nm525g8913c2o2019hn:dc891`62l20q~:72;296~;4l=02463;378232=z{:ij6=46{<1g0?43m278ih4j8:?74d;m7k7;<1eb?c>349mm7k6;<631?c?34>;>7kn;|q7<3<72;q6?i85999>06>=9>=0q~=lc;291~;4l?098h524349601<5=936k64=2d`>`1<5:l26h64}r6;1v96n:18185c033370:{t<1i1<7<><5=9i6<98;|q7<`<72;q6?io5999>06e=9>=0q~:60;296~;4lk02463;3e8232=z{=396=4={<1gg???34>8i7?87:p0<2=838p1>jk:8:8915a28=<7p};3583>6}:<;91>894=515>c><5=9>6?<9;|q766<72:q68?=51658914320:019=8:828yv25<3:1?v3;258232=:<;<15=5242:9=5=z{=8=6=4<{<612?70?27?>5460:?77<<>82wx8?650;1x914?28=<70:=a;;3?824i33;7p};2`83>6}:<;k1=:94=50`><6<5=9i64>4}r61g?6=;r7?>n4>769>07c=19168>m5919~w14b2908w0:=e;343>;3;902<63;3e8:4>{t<::1<7=t=513>41034>8>77?;<60a??73ty???4?:3y>064=9>=019=i:828yv22:3:1?v3;408112=:<<>1j5524419670?=7>53z?704<6?>1689<5919>003=191v9:=:180823:3;<;63;458:4>;3=?02<6s|45694?5|5=>?6<98;<672??734>>;77?;|q703<72:q689851658912?20:019;7:828yv2303:1?v3;498232=:<=k15=5244;9=5=z{=>j6=4<{<67e?70?27?8n460:?71d<>82wx89m50;1x912d28=<70:;e;;3?822j33;7p};4d83>6}:<=o1=:94=573><6<5=?h64>4}r664?6=:r7?9=4>769>00b=191v9jl:18182cj33370:kd;012>{t72b34>n:7k7;<6g`?cf34>o>7kn;<6g1?c03ty?hk4?:3y>0ac=11168ih52348yv2cm3:1ov3;dd810`=:<;81i:524329a2=:<8o1i:5240a9a2=:<8k1i:5240:9a2=:5<5s4>n=777;<6f7?45>2wx8h?50;6x91c62;>n70:j9;g:?82b;3oj70:k3;g4?xu3m<0;6?u24d69===:?84}r6f0?6==r7?i94=4d9>0`0=mh168h95999>0a7=mh168i;5e89~w1cc2909w0:jd;07a>;3m00n;6s|4d;94?4|5=o36464=5g:>7413ty?i54?:4y>0`>=:=o019k?:d;891b52l3019j9:374?82bi3o<7p}7}:;l;155523d1967055z?0a4<57f6=m>16?k<5e69>7f0=m11v>k::18185b<33370=j6;012>{t;l>1<78t=2g7>72b349n?7k7;<1ab?c>349h<7k7;<1f4?c0349n:7kn;|q0a=<72;q6?h95999>7`?=:;<0q~=j7;292~;4m>098h523cg9a==:;l31il523b29a<=:;l<1i5523cf9a<=z{:oi6=4={<1fe???349nn7<=6:p7`g=83?p1>kn:36f?85b13o370=l5;g:?85bj3oj70=l1;g4?xu4n<0;6?u23g7961c<5:l96h74}r1fa?6=:r78ii468:?0a`<5:?1v>h>:18185a833370=i1;012>{t;o:1<7;t=2d3>72b349ni7k8;<1e5?c>349h97k7;<1`5?c>3ty>?l4?:06x966620l01>:m:8d896362l=01>=i:8d8965420l01>=6:d58964120l01?h::8d897`e2l2018:?:36f?807>3oj70=;5;g;?855k3o370=?7;g;?85583o270=>4;g4?833:3o<70;;3;g4?83083o<70;9b;g4?xu4890;6?u23139=5=:;9:1=:94}r133?6=:r78<<4=569>751=:;<0q~;=7;291~;4880:;:5256a9a==:=5<6=r78<=46f:?043n278??46f:?060<>n278>o4j7:?1b1<>n279jl4j8:?67c<5712=m>16?8>5e89>76>=m>16?<=5e89>74`=m1169985e99>114=m11699=5e89>126=m1169;l5e99~w7`a2909w0=?0;;3?84an3;<;6s|31494?4|5::;6?;8;<132?45>2wx9=850;0x96612l2018>8:305?xu2;10;6<;t=3de><`<5:>264h4=21g><`<5:>86h94=212><`<5:8?64h4=3d0><`<5;l26h94=41f>72b34?=j7k8;<75e?c?34<;?7kn;<11e?c0349?j7k7;<103?c0349:>7k8;<131?c0349:i7k8;<77f?c?34??o7k7;<0g6?c?3ty9jh4?:3y>6c`=1916>kk51658yv57=3:1>v3=fg8112=:;9?1>?84}r703?6=9?q6>kk59g9>71>=1o16?76e=1o16?>>59g9>775=1o16?6c4=1o16>k65e99>16b=:=o0188i:d:8900f2l301;><:d:896252l=01><6:d;8962b2l201>=9:d5896632l=018:j:d:8902e2l3018:l:d;897b52l30q~;4<>02j63<4e8f<>;49j0n463<3c8:b>;4:o02j63<348f3>;4:;02j63<118f<>;5n802j63=f68f<>;2;j098h5257d9a<=:=?k1i:526109ad=:;=;1i:5233:9a2=:;991i:522e29a2=:=<;1i:5rs3d`>5<5s48mh77?;<0eg?70?2wx?==50;0x97`c2;?<70=?3;012>{t=:?1<7?={<0eg??a349;>7k8;<172??a349?o7k7;<12f?c?3498m77i;<11a??a349887k7;<115??a3499;7k7;<13b?c?348m<77i;<0e2?c?34?8n7<;e:?54716>nj5e89~w6652909w0;48;09>;5rs4`:>5<>s49;>7k7;<17g?c0349:977i;<12f?c0349<:77i;<14g?c0349=87k7;<0e2?c034?ij7<;e:p71g=838p1>:m:828962f28=<7p}<5083>7}:;=h1>894=272>7413ty88o4?:9y>71d=9>=018;k:d:890>>2l30188?:dc890062lk0189l:d;8904f2lk0187::dc8yv37=3:1>v3<508f<>;5k109>;5rs26:>5<5s49?m77?;<17=?70?2wx?8>50;0x962f2;?<70=:0;012>{t;=21<7<6<5:>36<98;|q00c<72;q6?9752458962a2;8=7p}<4683>7}:;=215=52355952152z?00=<5=>16?9k52348yv53>3:1>v3<468:4>;45<5s49?;7<:7:?00a<5:?1v8ln:18g853l3o<70=>6;;e?856k3o<70=87;;e?850l3o<70=95;g;?84a?3o<70;l0;07a>;28>0n;63<048fe>;48=0n563<028f<>;4810n;6s|35a94?4|5:>=6?;8;<17g?45>2wx9=o50;1x967f20l01>9m:8d897ee2;8=7p}<1883>7}:;8k15=5230;952152z?05d<5=>16??>52348yv3503:19v3<1`8232=:=2wx?<650;0x967>20:01>?7:054?xu49o0;6?u230;9601<5:;m6?<9;|q64=<72:q6?<659g9>72?=1o16>n752348yv56?3:1>v3<198:4>;49>0:;:5rs23f>5<5s49:47<:7:?05`<5:?1v8lm:18e856?33m70=>d;g4?850033m70=8e;g4?851>3o370;5k10n563<518f3>;4;48<0n563<058f<>;4810n46s|30494?4|5:;<64>4=235>4103ty8=i4?:3y>741=:<=01>?k:305?xu49<0;6?u23049=5=:;8?1=:94}r12g?6=:r78=;4=569>74e=:;<0q~=>b;296~;49<099:5230`967052z?07c<>8278?h4>769~w6222909w0=;4<<09>;5rs21e>5<1s498j7?87:?63d:>4j8:?676n>4j8:?6=5j50;0x965b20:01>=k:054?xu4<=0;6?u232g9601<5:>?6?<9;|q07f<72;q6?>j5919>76e=9>=0q~=;3;296~;4;m099:52351967052z?0062wx?>o50;0x965e20:01>=n:054?xu4<80;6?u232`9601<5:>:6?<9;|q005<72;q6?>o5245896272;8=7p}<3383>7}:;:915=52320952152z?076<5=>16?>752348yv54;3:15v3<328232=:=h:1i45256c9ad=:=k<1il525c59ad=:=?91i:525369601<5<986h64=4;3>`>mj7>52z?07<2wx?>>50;0x965620:01>=?:054?xu4;>0;6?u23239601<5:9<6?<9;|q06c<72;q6?>>5919>77`=9>=0q~=<6;296~;4;9099:52324967052z?06c<>8278>h4>769~w6522909w0==f;063>;4;<09>;5rs740>5<68r78?84j8:?04d<>n278==4j7:?02g<>n278;<4j7:?01<157=m016?l95e99>15e=m116?9<5e89>77g=mh16?9?5e99>77?=m>16??65e99>77b=m>1v>=;:181855m38>;63<358163=z{?<96=4k{<100?c03499;7k8;<13=??a349;j7k8;<15e??a349<<7k8;<16<::054?xu4:j0;6?u23349601<5:8h6?<9;|q063<72>q6??85165890g72l2018l9:d;890d02l3018<=:d:890442l3018=?:d;8yv55<3:1>v3<248:4>;4:=0:;:5rs20a>5<5s49997<:7:?06g<5:?1v8>?:181855j3o370;?1;012>{t;;91<7<6<5:886<98;|q06d<72;q6??:52458964f2;8=7p}<2383>7}:;;915=52330952152z?066<5=>16??752348yv5593:1>v3<238:4>;4:80:;:5rs20;>5<5s499>7<:7:?06=<5:?1v><8:181855938>;63<268163=z{<:?6=4<{<13a??a349=j77i;<0`3?45>2wx?=j50;0x966b20:01>>k:054?xu49=0;6?u231g9601<5:;?6?<9;|q04`<72?q6?=k5165890d12l=018l8:d5890452l3018<<:dc890572l=0q~;?3;297~;48m02j63<6d8:b>;5k?09>;5rs22`>5<5s49;h77?;<13g?70?2wx?<=50;0x966c2;?<70=>3;012>{t=981<7=t=22`><`<5:7413ty875e=1916?=l51658yv56:3:1>v3<0b8112=:;881>?84}r450?6=98q6?=l59g9>747=m>16?;m59g9>724=m>16?8o5e99>23g=:=o01?m;:d;890662l201>o8:d;8906d2l301>:=:d:8964f2l301>=7:d;8964>2l201>=8:d;896512l201>v3<0c8:4>;48h0:;:5rs232>5<5s49;n7<:7:?054<5:?1v>>6:181857i33;70=?9;343>{t;8:1<7730349:<7<=6:p75`=838p1>>6:374?857n389:6s|36c94?4|5:=i64>4=25b>4103ty84<4?:3y>72d=:<=01>6>:305?xu2nh0;6?u236`9521<5?:i6ho4}r14=?6=:r78;l460:?03<<6?>1v>6?:181850i38>;63<818163=z{:=36=4={<14=??7349<47?87:p72`=838p1>96:374?850n389:6s|36594?4|5:=364>4=254>4103ty8;h4?:3y>72>=:<=01>9j:305?xu4??0;6?u23659=5=:;><1=:94}r14`?6=:r78;:4=569>72b=:;<0q~=8c;296~;4??099:5236a967052z?02c<>8278:h4>769~w6122909w0=9f;063>;4?<09>;5rs4d:>5<5s49=j7?87:?54`8k:054?xu4?=0;6?u237g9601<5:=?6?<9;|q02f<72;q6?;j5919>73e=9>=0q~=83;296~;4>m099:52361967052z?02f<>8278:o4>769~w6152909w0=9c;063>;4?;09>;5rs24b>5<5s49=n77?;<15e?70?2wx?:?50;0x960e2;?<70=81;012>{t;>:1<7730349<<7<=6:p700=838p1>;8:828963128=<7p}<5e83>7}:;<=1>894=27g>7413ty>j:4?:3y>701=9>=01;>j:d:8yv01?3:14v3<5e8f<>;1>m098h522b69a2=::j=1i:523579a2=:;;i1i:523069a==:;>?1i55rs276>5<5s49>:77?;<161?70?2wx?8m50;0x96312;?<70=:c;012>{t>?<1<7kt=27`>`><5?349?87k7;<10349:87kn;<141?cf349<87k8;|q011<72;q6?8;5919>702=9>=0q~=:b;296~;4=<099:5234`9670512y>70d=m116:;l525g897e32lk018>>:dc897e02l301>o8:dc897e12l2018>l:dc8964f2l201>=7:dc896502l201>?<:d:896752l201>9::d;896132l201>9<:d5897e22l=0q~=:3;296~;4==02<63<528232=z{:?j6=4={<160?42?2789l4=279~w6352909w0=:3;;3?852:3;<;6s|34;94?4|5:?86?;8;<16=?45>2wx?8650;0x96352;?<70=:8;012>{t;?81<7<6<5:<96<98;|q02<<72;q6?;=52458960>2;8=7p}:f983>7}:;?91=:94=72a>`?58z?02<nl5e69>6f>=m>16?=95e69>776=m>16?5?5e99~w6062909w0=92;;3?85193;<;6s|37:94?4|5:<96?;8;<152wx9oj50;fx960?2l201?hn:d5890e42;>n70;?7;g:?84dj3o3707}:;?;15=52372952152z?024<5=>16?;952348yv3ek3:1=?u23759a==::o31i5525b0961c<5<:<6ho4=3aa>`?<5;ij6h64=3a;>`g<5:?;6ho4=26e>`?<5::>6h64=23e>`?<5:;n6h64=22;>`?<5:8;6ho4=2:2>`?<5:2;6h64=25e>`1<5;i26h94}r16b?6=:r78:=460:?01c<6?>1v>89:181851838>;63<678163=z{:?n6=4={<16b??7349>i7?87:p733=838p1>;i:374?851=389:6s|37694?4|5:?n6?;8;<150?45>2wx:8=50;;x97d>2l=01?l?:d58933>2;>n70;j7;g4?84e93o<707}::k31i5522c7967052z?1f<2909w0;5j10n46s|2cc94?4|5;h36h94=3`b>7413ty=994?:6y>6g>=:<=01?lm:374?84>n38>;6395b810`=:=l=1il525d39a==::hn1i:5rs3`;>5<5s48i47?87:?6`=l650;0x97d72l201?o9:305?xu5i00;6?u22c29a<=::hk1>?84}r0b4?6=;r79n=4=279>6<`=m116>lj5e89~w7db2909w07413ty9no4?:3y>6gd=9>=018k;:d;8yv4f93:1?v3=9g8f3>;5j809>;522`f9a==z{;3m6=4={<0:b?70?27>i94j8:p6c2=838p1?h::82897`328=<7p}=fc83>7}::o?1>894=3da>7413ty>>;4?:9y>6c3=9>=018;k:d5890>>2l=0188?:d:890062l20189l:d58904f2l20187::d:8yv4a;3:1>v3=f58:4>;5n:0:;:5rs3db>5<5s48m87<:7:?1bd<5:?1v?h=:18184a;33;70{t:o31<7730348m57<=6:p6c7=838p1?h=:82897`628=<7p}=f983>7}::o81>894=3d;>7413ty9j=4?:3y>6c7=1916>k>51658yv4a?3:1>v3=f08112=::o=1>?84}r0e2?6=:r79j=4=569>6c0=:;<0q~=m5;296~;29k02463<8g8163=z{:h=6=4<6z?65g<>:27>5i462:?6=f<>:27>5o462:?65d<>:27>=5462:?652<>:27>=4462:?653<>:27>=8462:?6`1<>:27>h>462:?6`7<>:27>h<462:?5<6<>:27=4?462:?5<4<>:27=4=462:?52d<>:27=:5462:?52<<>:27=:i462:?52g<>:27=:n462:?55`<>:27==n462:?55a<>:27=><462:?55c<>:27=>=462:?632<>:27>;5462:?67a<>:27>?o462:?67f<>:27>8=462:?67`<>:27>?k462:?6g4<>:27>nk462:?6g5<>:27>o9462:?6g7<>:27>o>462:?57c<>:27=?i462:?57`<>:27=8?462:?505<>:27=8<462:?61<<>:27>5h462:?65a<>:27=5i4b;:e?836i32m70;>8;:e?836?32m70;>9;:e?836>32m70;>5;:e?80??389:6s|50694??|5<;i6?:j;<7;6?c034<;47k7;<7bb?cf34?9h7k7;<4;7k8;|q0=6<72;q694j5999>7<2=:;<0q~;6a;29f~;21m098h523879a2=:;0>1i:523849a2=:>1=1i:5254:9a2=:=8l1i5525c:9a2=:=091i:5269:9a==:=m<1i:5rs2;1>5<5s4?2o777;<1:2?45>2wx94750;1x90?d2;>n70=64;g:?85>>3o27p}<9083>7}:=0h15552387967054z?6=g<57<2=m116?485e99~w6d32909w0;>a;;;?85?m3;<;6s|50194?1|5<;j6?:j;<7b0?c>34<;=7kn;<1;b?cf3493o7k7;<1;`?c?34<397k8;|q0f7<72;q69<65999>7=?=9>=0q~;>1;29`~;291098h525909a==:>9:1il525`d9a2=:=;o1i55269:9a2=:;0:1i45239c9a<=:;1i1il5239f9ad=:;131>894=4c6>`?<5=:468:?0<0<5:?1v8??:18;836?38?i639018f<>;40;0n463<848f3>;40>0n463<898fe>;2i<0n;639848f=>{t;k91<7<><5:2j6?<9;|q657<721q69<7525g893662l201>7?:d:896>f2l=01>6l:d;896>c2l3018o::dc893>22l20q~=m0;296~;29?02463<858163=z{<:m6=4k{<722?43m27=494j9:?6e1;o4j7:?6ec>h4j7:?0<1ji4ja:?6e7?84}r7g1?6==r7>h947f:?6`6h?47f:?6`4h;4=279~w0b7290?w0;k4;07a>;41j0nm63<9d8f=>;1>80n46s|38;94?4|57413ty>ok4?:4y>1a5=:=o01>7k:d5896?d2l301>7j:d:8933b2l20q~=68;296~;2l;02463<9b8163=z{:36f?85>l3o270=6c;g;?85>m3oj708:8;gb?xu4i;0;6?u26919===:;h<1>?84}r4;0?6==r7=4>47f:?5<7;4i=0nm63;1>80n56s|3`394?4|5?296464=2c6>7413ty=;h4?:4y>2=4=:=o01>o::d5896g32l301>o9:d58933b2l30q~=n0;296~;10802463720201;6?:36f?85f=3o370=n4;g;?85f>3oj708:8;g;?xu1??0;6?u267c9===:>>=1>?84}r44e?6=:r7=:5468:?53g<5:?1v;97:181801133370889;012>{t>:i1<79t=74g><><5?>96?:j;<441?c>34<<;7k7;<45b?c034<<>7k7;<477?c?3ty=;94?:3y>23d=1116::;52348yv04j3:14v396b8:<>;1<8098h526679a2=:>>=1i45267d9a==:>>81i:522dd9a==:>=>1i:5rs70f>5<5s4<:i777;<41b?45>2wx:>;50;5x937b21l01;?l:9d8937c21l01;<>:9d8937a21l01;3}:>8o1>9k4=4a;>`1<5?886h74=704>`?<5;n<6h64=3fg>`>7>52z?55f<>027=?>4=279~w3712903w08>c;07a>;2kj0n;639308f=>;1:=0n;639268f3>;1;:0n463=d68f=>;5l<0nm6s|62294?4|5?;o6464=712>7413ty==:4?:6y>24b=:=o018mn:d5893432l201;<8:d:897b02lk01?jm:d:897b22l=0q~8=8;296~;1:8024639298163=z{?;i6=48{<415?43m27>o9468:?6g3o54j8:?566;4j8:?56=`g<5?8?6h74=705>`?<5;nm6h64=3f:>`152z?565<>027=>o4=279~w37f2903w08=0;07a>;2k:02463:c78f3>;2k10n5639228f<>;1:?0n;63=e08f<>;1:00n;6s|56;94?4|5<=<6464=4:;>7413ty>4k4?:2y>121=0o169:658g9>1<5=:;<0q~;85;29<~;2?>098h5259`9a<=:=1i1i:5259:9a2=:>0l19:;4=477>`?<56h94=3ag>`152z?63=<>027>4h4=279~w0112903w0;88;07a>;20k0n;63:8b8f<>;20=0n463:828f<>;11o0>;;522e29a==:=<;1i55rs46g>5<5s4?8h777;<77a?45>2wx98950;5x905c21l018=m:9d8905d21l018:?:9d8905b21l018=i:9d8903?2;8=7p}:5383>7}:=:h15552544952152z?67f<>027>9=4=279~w0262909w0;;0;;;?833<3;<;6s|55;94?4|5<9n6464=46b>4103ty>884?:3y>16`=111699852348yv3d?3:1>v3:c08:<>;2k109>;5rs4aa>5<5s4?ij777;<7`g?45>2wx9n750;0x90e7202018mn:305?xu2k<0;6?u25b09===:=j<1>?84}r47=?6=:r7=?k468:?50d<5:?1v;;?:184804n32m708348n97k7;<0ff?c?3ty=8i4?:3y>26b=1116:9k52348yv04?3:14v393e810`=:>>h1i:5265a9a<=:>>:1i:526619a2=:>=o1i5522d79a<=::l91il5rs76a>5<5s4<8i777;<47g?45>2wx:>650;5x935b2;>n70889;g4?80083o370883;g;?84b=3oj707}:>=815552651967052z?505<>027=854=279~w35f290;1?<0n4639768fe>;1?90n5639738f=>;5mm0n463=e68f3>{t>=?1<7<><5?>=6?<9;|q61<<728?p18;6:8:8903>2;>n70;7b;g;?83?k3o270;74;g4?83?;3o<70;:b;g4?84c83o270;90;g4?83193o<70;92;g4?83283o370;;e;g:?833>3o270;;a;063>;2==0n;63:548f<>;2<=099:525559a2=::jn1i5522e09a2=z{a34?>o7<=6:p1d>=838p187j:8:890d62;8=7p}:b383>7}:=0o14k525c:967058z?6=`<51g6=m01694:5e99>2=>=m0169i85e99>1dc=mh169l75e`9~w04>2909w0;>d;;;?835l389:6s|50g94?4|5<;o65h4=403>7413ty>=i4?:0`x907c2;>n70874;g;?830j3o370;m0;g;?83?l3o<70;m8;g:?83>;3o370;8a;g4?83fl3oj70;=d;g:?85?<3o<70;k6;gb?83fm3o270=72;g4?85?=3o370=7f;g;?85>83o<70=7a;g;?835:3oj70;=3;g4?85?k3o<70=7d;g4?85??3o<70=78;g4?83?=3o<70;96;g4?83f13o27p}6}:;k<1>9k4=6db><><5?3o6>l8;|q6`2<72;q6>n<5999>1a1=:;<0q~890;296~;1=o024639608163=z{??>6=4;{<46b?43m27>h54j9:?6a6<5=>169h?5e69~w33c2909w08:c;;;?802m389:6s|64c94?4|5??26464=77a>7413ty=9:4?:3y>200=1116:8652348yv02:3:1hv3957810`=::k=1i5522`c9ad=::k?1i4525d49ad=::k;1i4522cc9a<=::kn1i:522c19ad=::kl1i:525ed9a<=:=l:1il522`49ad=z{?k96=46{<533???347?87:?44=<5=>1v:>7:181817?32m709?8;343>{t?9=1<7?={<533?43m27<=9462:?450<>:27<=;462:?452<>:27<=5462:?45<<>:27<=l462:?45g<>:27<=n462:?5a3<>:27=i:462:?5a=<>:27=i4462:?470<>:271}:?;l14k526gc9a==:>o21i:52723967054z?46c<5j5e69>33`=m016;:j5e89~w3`>2909w08i5;;;?80ai389:6s|6g194?5|5?l>6?:j;<4ee?c0342c>=:;<0q~8i4;296~;1n?098h527239a2=z{>8o6=4={<520???34=9i7<=6:p35d=838p1:?;:36f?80c03337p}82c83>7}:?8?1555273a967053z?450<537c=m11v:<6:181816>333709=a;012>{t?9n1<7=t=635>72b34:4?:3y>341=1116;?652348yv17m3:1?v3816810`=:>mh1555273c9a==z{>8>6=4={<521>?84}r524?6=;r7<=44=4d9>2ab=1116;?85e99~w2462909w09>a;;;?815:389:6s|70394?5|5>;j6?:j;<4ga???34=987k7;|q45c<72;q6;376=:;<0q~9>2;297~;09k098h526ed9===:?;81i55rs63g>5<5s4=:o777;<52a?45>2wx;<=50;0x927d2;>n709=0;g;?xu1n80;6?u26d49===:>o81>?84}r4f6?6=>r7=i;4=4d9>2c4=m>16:k>5e99>2`c=m016;>65e89>2`d=m01v;ki:18180b?333708i0;012>{t>l91<7;t=7g4>72b342`>=1116:hk52348yv0b<3:18v39e9810`=:>lo1i55272:9a2=:>lh1il5rs7gb>5<5s42;>n709<7;g:?814<3o3708jb;g;?xu0;?0;6?u27279===:?:=1>?84}r501?6==r7324=m>16;>l5e99>2`7=m>16;>?5e99~w3b7290nw08k8;07a>;0??0n46387`8f<>;1j=0n5638558f=>;0=10n4638458f<>;0>:0n5639938f2>;0><0n5638538f=>;1j;0n4638678f3>;04j7:?5ff4j6:?40cn7098a;gb?811<3o2709;3;g;?80ek3o2708m5;gb?81203o<709:d;g:?80><3o=70987;063>;1jl0n4638448f3>;0<10n;638678f<>;0>00n46s|6e194?c|5?ni6?:j;<541?c>34=<:7k6;<4a0?c?34=>o7kn;<56`?cf34==?7k8;<4:1?c134=?i7k8;<55g?cf34==97k7;<54=?c>347k6;<573ty=h94?:cy>2ae=:=o01::<:d;8923d2l301:;k:d:893?12l<01:9m:d;892022l=01;l8:d5892052l=01:97:d:8922?2l20q~8k5;29a~;1lm098h5276c9a<=:??>1i:5274a9a==:?h1i55275g9a==:??i1i5526c59a==:?>31i5526c09ad=z{?n=6=4k{<4ga?43m27<;84j8:?5f14ja:?5==27<;o4j7:?40`4j7:?5f6<5=>1v;j8:18a80cn38?i638748f3>;0<:0nm6385b8f3>;0>l0n;639988f2>;1j>0nm6384g8fe>;0>80n;638528f<>;0?l0nm6s|72194?4|5>996464=617>7413tyn709=e;g4?815k3o<709=a;g4?81503o<709=6;g4?815<3o<709=2;g4?81583o<709>e;g4?80a:3o3708i0;g4?80bm3o<709<7;g;?814<3o<708jc;063>{t>j81<7<><5?i>6?<9;|q5bg<72n:d:8yv0d;3:1>v39fg8:<>;1k?09>;5rs7d`>5<3s4u2712961c<5?i<6h64=62b>`152z?441<>027=oo4=279~w266290>w09?4;07a>;1kk0n4639cb8f<>;1km0n5638088f=>{t>j31<7<><5?ih6?<9;|q447<72=q6;=;525g893ed2l301;mk:dc8926>2l20q~8la;296~;08?024639ce8163=z{>:86=4<{<532?43m27=oi4j8:?44<n1>?84}r547?6=kr7<;>4=4d9>323=mh16;;k5e`9>2g1=m016;9h5e99>337=m116;8=5e`9>2gc=m016:o65e89>303=m>16;9;5e89>32c=m11v:8?:181812n33370991;012>{t??n1<7=`<5>2l20q~981;296~;0?9024638738163=z{>9h6=4<{<544?>a34=8576i;<50`?45>2wx;:>50;7x92172;>n70982;g;?814j3o<7099f;g;?810l3o<7p}9b183>7}:>hl155526c49670i7>56z?5ec;1;=0n4639b78f3>;1;?0n463=dg8f3>;5m80n;63=dc8f3>;5l00n4639288fe>;5lm0n;63=d48f<>;1jl0nm6s|6c`94?4|5?kn6464=7`g>7413ty=mn4?:by>2dc=:=o01;=;:d5893dc2l=01;=9:d5897ba2l301?k>:d;897be2l301?j6:d;8934>2l201?jk:d;897b22l301;l7:dc8yv13:3:1>v38408:<>;0=909>;5rs61e>5m6h94=772>`1<5;oo6h74=3ge>`?<5;o26h74=3g4>`?<5?>?6h64=3ga>`?<5;o86h74=67:>`1<5>>>6h64}r50e?6=:r7;1m80n5638308fe>;0;m0n46386g8f3>;0?m0nm6s|65d94?4|5?>m6?<9;<0f1?c03ty=?94?:3y>262=:;<01?j8:d58yv4>m3:1?v3=ac8163=:=l=1i4522cc9ad=z{;k86=4={<0b0?45>279n<4j8:p2d2=839p1:?j:d:892532lk01;o;:054?xu1kl0;6>u272:9670<5>9<6h94=617>`?56z?5<1;o4j9:?6ec>i4j7:?5<0<5:?169l<5e`9~w40>2909w0874;gb?80?0389:6s|5dg94?>|5?<:6h94=77f>`1<5??i6h94=77;>`1<5`><5j94?:9y>237=mh16:8k5e`9>20d=mh16:865e89>1`g=m>169ij5e69>1`d=m1169k:52348yv10=3:1?v38748163=:?>21i:5276;9a2=z{>==6=4<{<542?45>27<;54ja:?43<0;6?u276a9a2=:?>=1=:94}r54f?6=:r7<;n4j8:?43g<5:?1v;o9:18080e<389:639bb8f<>;1j<0n46s|16094?4|5>7413ty=m:4?:2y>332=:;<01:8j:d:892062l30q~89e;29e~;1?k0n4639788f=>;1<;1il5265c9ad=:>=21i:526549ad=:>=91il5265g9a2=z{?=:6=4n{<44f?c>34<<57k7;<47g?c034<>=7k6;<446?45>27=8l4j9:?50=:dc893442;8=708<6;gb?805n3oj708=d;g4?805j3oj708=8;gb?804;3o<7p}92483>d}:=ji1i4525bc9a==:>:;1i:526249a<=:>;<1>?84=70e>`?<5?8o6ho4=70a>`1<5?836h94=710>`?53z?406<5:?16:o<5e69>31d=m>1v?k7:181803k3o370{t:mk1<7`><5;ni6?<9;|q26=<72;q6::>52348930a2lk0q~?=e;296~;1:=09>;526319ad=z{?k26=4<{<4ag?c0342wx:om50;0x93dd2;8=708md;g:?xu1k90;6?u26cf9a==:>ko1>?84}r4a1?6=:r7=n84=279>2g0=m01v;ln:18180e>3o3708m8;012>{t?74134=>57k7;|q411<72;q6;8:52348923>2l30q~9:7;296~;0=10n5638548163=z{>?36=4={<5627<944ja:p30b=838p1:;k:305?812;3o27p}84e83>7}:?<:1i55275`967052z?415>?6?<9;<57b?c>34=09>;526349ad=z{:ko6=4={<7ef?45>27>j94j9:p051=839p1>k<:d5896c12l3019>7:305?xu3800;69u23d19a<=:<9k1>?84=2d1>`><5:i=6h94}r6`b?6=:r7?i=4=279>0a`=m>1v98=:18;82cn3o370:i3;012>;3n;0n563;d`8f=>;3l80n;63;d38f3>;3mh0n463;fb8f=>{t`?<5=no6h94=5f4>`><5=l=6?<9;|q726<72=q68ko5e89>0c3=m1168i=5e`9>0c>=:;<0q~?:f;296~;3nh09>;524g49ad=z{=<:6=4<{<1fb?cf349n<7k7;<1e`?45>2wx=;>50;0x96ca2;8=70=je;gb?xu3>=0;69u23dg9a<=:;o;1i5523gc9670<5:i=6h74}r7e7?6=:r7>j>4=279>1c6=m11v>hl:18685ak389:63;4k=0n563;098f3>;4nm0n46s|3``94?2|5`1<5<>=6h94=464>7413ty>;?4?:3y>13`=mh169:>52348yv31m3:14v3:6g8163=:=5<5s4?=m7kn;<75f?45>2wx9;750;:x900f2;8=70;:b;g:?83283o270;;c;g4?832<3oj70;:5;gb?833:3oj70;;7;g:?xu2i>0;6?u25`69ad=:=h?1>?84}r7b0?6==r7>m94=279>176=m1169lj5e89>1a0=m0169o=5e69~w107290?w0:i5;g4?82a;3o<70:k3;g:?82bn389:6s|4g694?5|5=l>6?<9;<6f=?c?34>n?7k6;|q71c<727cg=m>168=;5e69>7c1=:;<019>=:d58yv4bi3:1>v394`8f3>;5mk09>;5rs3g1>5<5s42wx>im50;0x934a2l=01?jk:305?xu5l=0;6?u263d9a==::m?1>?84}r1e0?6=:r78j<4j7:?0b7<5:?1v9kl:18182b13oj70:ja;012>{t??91<774134==57k6;|q741<727`?=m016?h85e69>7gb=m1168=;52348yv2bm3:18v3;f38163=:5<4s4>n:7k8;<6g`?c>34>mo7<=6:p0`1=83?p19k<:d:891c02;>n70:ka;gb?82c93o270:k5;gb?xu3880;6>u23d;9a2=:;lh1i452410967052z?6<7<5:?169:l5e`9~w6gd290;20m0n463:888f<>;2>90n563:608f=>;21=0n563:7e8f<>{t9?=1<774134>nj7kn;|q22=<72;q6?k75234896`02lk0q~=mf;296~;4jo09>;523b69ad=z{:i>6=4={<1`1?45>278o;4ja:p246=838p1;?>:dc8936b2;8=7p}91083>7}:>8;1>?84=736>`g52z?545<5:?16:=75e99~w3662909w08?1;012>;1800n56s|61094?4|5?:96?<9;<433ty=<>4?:3y>255=:;<01;>8:d58yv07>3:1>v39078163=:>9=1i55rs724>5<5s4<;;7<=6:?54=?84}r43=?6=:r7=<44=279>25g=m11v;>;:181807i3o<708?4;012>{t>8>1<7`?<5?;96?<9;|q6bf<72:q6:=o5234890b02l201?m<:36`?xu5mj0;6?u265:9a==::ln1>?84}r0f0?6=;r7=854j9:?1a0<5:?16>h95e`9~w7bb2909w08=d;g;?84cn389:6s|2e494?5|5?8o6h74=3f4>741348o57kn;|q1a`<72;q6:985e99>6``=:;<0q~=>1i45rs3g3>5<5s4<9n7k7;<0f5?45>2wx>i650;1x934e2l301?j6:305?80513o27p}6}:=m=1i:525d;9a<=:=l91=:94}r7f`?6=:r7>h:4j9:?6ag<5:?1v<8m:18183c?3oj70;j8;012>{t:hl1<7`g<5;ko6?<9;|q6`=<72=q69i65234890c?2lk018j6:d;890ce2lk0q~;j7;296~;2m>09>;525d79a<=z{27>i84j7:p53e=838p1;:<:d;893232;8=7p}>6e83>7}:>;21i45263;967053z?65c<5:?1698m5e69>176=m>1v8oi:18783fn389:63:ae8f<>;2il0n;63:a88f3>{t=k:1<774134?jh7k8;|q6b2l20q~;7c;296~;20j09>;5259g9a2=z{<8>6=4={<7;`?c>34?>h7<=6:p164=83?p186k:dc890472l3018l>:d5890>22lk018=<:305?xu20m0;6?u259f9670<5<2n6h74}r1ba?6=;r7>4h4ja:?6<=4=4=279~w0>32909w0;74;012>;2010n46s|59194?4|5<286?<9;<7;1?c?3ty>4:4?:3y>1=>=m01695;52348yv37l3:1?v3:0b8163=:;=?1il523569a<=z{27=5k4:5c9>130=m01v8;j:18080>n3?>i63:678fe>;2=l09>;5rs447>5<5s4<2j7;94:?623u26`09a3=:>h;1>8j4^7c2?xu1i:0;6>u26`69a3=:>h91>8j4^7c0?xu51<0;6>u22849a3=::0?1>8j4^3;6?xu0n;0;6?u27dg9a3=:?o81>9k4}r5f`?6=;r7769>3`b=:62;?h7S971:p3=5=839p1:6;:054?81?;38>o6P8829~w2`d2909w06?0;g5?81ak38?i6s|7gd94?5|51:;6<98;<5eb?42k2T5<5s4=3:7k9;<5f128=<70975;06g>X00<1v:k<:18181?03o=709j7;07a>{t?1=1<7=t=6:;>41034=3;7<:c:\4<2=z{>2i6=4<{<5;g?70?27<4o4=5b9]3=d53z?4ad<6?>16;h7524a8Z2c>3ty<4i4?:2y>3=c=9>=01:6k:37`?[1?l2wx;5h50;1x92?728=<7097f;06g>X00o1v:66:18081?i3;<;63888811f=Y?130q~9id;297~;0nl0:;:527gf960elo7p}8ec83>6}:?li1=:94=6ga>73d3W=nn6s|7b:94?4|5;3?6h84=6a`>72b3ty95=4?:2y>6<2=9>=01?7?:37`?[4>82wx;n950;0x97?42l<01:mm:36f?xu50l0;6>u22819521<5;2n6?;l;_0;a>{t?j<1<7`0<5>ij6?:j;|q14<5165897>c2;?h7S<7d:p6=e=839p1?7>:054?84?k38>o6P=8b9~w3?62908w0869;343>;118099n5Q6838yv0?n3:1?v39998232=:>1l1>8m4^7:e?xu10l0;6>u26859521<5?2n6?;l;_4;a>{t>1n1<7=t=7;5>41034<3h7<:c:\553z?5=1<6?>16:5l524a8Z3>e3ty=4l4?:2y>2<5=9>=01;6n:37`?[0?i2wx:5750;1x93?528=<70879;06g>X1001v8k6:18184d;33370;j9;012>{t=k?1<7`g<5134=:;<0q~;98;296~;2=j0n563:678163=z{8=;6=4={<714?cf34?987?87:p1<2=838p187<:d;890?32;8=7p}:9383>7}:=091il52582967053z?1`59<4j9:?1ga<5:?1v?mi:18684c8389:63:4d8fe>;2;5l;0nm6s|16194?4|5:8o6?<9;<11g?cf3ty:;94?:3y>75>=:;<01>>8:dc8yv3f93:1nv3:a18f3>;2?h0n463:b78f<>;2j>0n463:638f<>;2:;0n;63:228f<>;2i;09>;525229a==:=:91i:525829a2=z{<3m6=4<{<7b4?45>27>mn4=569>1=6=m11v{t=mh1<7`g<522l30q~?>9;296~;5i;09>;522c39ad=z{<=j6=4={<74e?45>27>4=4j7:p1dd=838p18l>:d:890g>2;8=7p}:2g83>0}:=k;1i4525709ad=:=1:1i4525229670<5<3;6ho4}r32f?6=:r7>n<4ja:?6ef<6?>1v{t98n1<774134?>i7k6;|q6``<72=q69im5e69>1`>=m1169ik5165890b>2l=0q~;j1;290~;2lj0n463:e98f3>;2m809>;525e;9a==z{8;n6=4={<7gg?45>27>io4j9:p54`=838p18jk:305?83b03o27p}:7g83>7}:=>i1il5256f967052z?63f<5:?1695>5e`9~w4462909w0;m6;012>;2j:0n56s|13094?4|5>4?:3y>135=:;<0188=:d;8yv2c83:1>v3;d68f3>;3l90:;:5rs5f0>5<5s4>o;7kn;<6g7?45>2wx=?:50;0x90`12;8=70;i4;gb?xu6:<0;6?u25`a9a2=:=hn1>?84}r312?6=:r7>mn4j8:?6e`<5:?1v8{t9;=1<774134?9h7kn;|q1f7<72;q6>oo5e99>6g5=:;<0q~;i2;296~;2mo0nm63:f18163=z{:i86=4={<1`4?cf349h=7<=6:p7f6=838p1>m?:305?85d<3o<7p}:9683>7}:=0>1il52587967052z?1fa<5:?16>om5e`9~w2202909w09;e;gb?813=389:6s|13d94?4|5>>n6?<9;<57f?c?3ty<:54?:3y>33e=m>16;;852348yv7483:1>v386b8163=:??31il5rs011>5<5s4>o?7k7;<6g2?70?2wx=>=50;0x90362;8=70;:0;g4?xu4k=0;6?u23cf9a2=:;j>1>?84}r1`hi:18685bk3oj70=l4;g;?82703o270=if;012>;4nm0n;6s|12694?4|5:oh6?<9;<1ff?c?3ty<:o4?:3y>334=m016;;752348yv74=3:1>v38638163=:??;1il5rs3f2>5<3s4??:7kn;<776?c>34???7k7;<0g6?45>2wx=>850;0x97da2;8=70`g<5:2n6?;8;|q272<72;q6;8=5234892352l20q~9:b;296~;0=;0n;638588163=z{8936=4={<431?45>27=<94j9:p25b=838p1;?::d;8936e2;8=7p}>3883>7}:>8?1>?84=731>`g52z?43a8389:6s|28594?5|5;3i6h84=3;4>73c3W82;6s|28`94?4|5;3i6<98;<4:g?4>j2wx=>l50;0x90bb2l=018ji:305?xu6;j0;6?u25eg9a==:=l:1>?84}r30`?6=:r7>i>4j7:?6a1<5:?1v<=j:18183b;3o370;j5;012>{t9:l1<7`1<5>:26?<9;|q205<72;q6;=65e99>35g=:;<0q~?;1;296~;0?>0n;638798163=z{8>96=4={<543?c?34=<57<=6:p515=838p19j?:d5891b62;8=7p}>4583>7}:52z?60d8o4=279~w4212909w0;;a;g;?833k389:6s|54194?4|5994?:3y>103=:;<018;9:d58yv0bj3:1>v39ec8163=:>li1i55rs7`2>5<5s47<=6:?5f67}:==81>?84=467>`>52z?606<5:?1699:5e69~w0462909w0;=2;012>;2:=0n46s|53094?4|5<886?<9;<710?c03ty?h94?:3y>0a3=:;<019j9:d58yv5?j3:1>v3<8b8163=:;1o1i55rs2:`>5<5s493h7<=6:?0<`02;8=70=79;g;?xu40>0;6?u239:9670<5:226h94}r5e2?6=9r74}:?ok14k5rs6d;>5<5s4=mm7<;e:?4bf<>02wvb?m?7;295~h50?0;7p`=c1:94?7|f;2=6<5rn3a3=?6=9rd94;4=;|l1g5g=83;pb?69:29~j7e7j3:1=v`=8787?xh5k9i1<7?tn3:5>0=zf;i;h7>51zl10g<73g83:784}o0`4`<728qe>5857:m6f6a290:wc<76;:8yk4d990;6{i:j;:6=4>{o0;2?gn?<:182k4?>3i0qc4}i:1<1h6sa2b36>5<6sg83:7k4}o0`53<728qe>585f:m6f70290:wc<76;33?xh5k821<7?tn3:5>47a;295~h50?0:?6sa2b3a>5<6sg83:7?;;|l1g4e=83;pb?69:078yk4d9m0;651zl1<3<6?2we>n?i:182k4?>3;37p`=c3294?7|f;2=6<74}o0`64<728qe>5851`9~j7e5:3:1=v`=8782f>{i:j886=4>{o0;2?7d3td9o?:50;3xj7>128n0qc4}i:=h1=6`=8782a>{i:j8=6=4={o0;2?7a3td9o?950;3xj7>12;:0qc4}i:1<1><5rn3a1=?6=9rd94;4=2:m6f4f290:wc<76;00?xh5k;h1<7?tn3:5>72n4?:0ym6=0=:<1vb?m=d;295~h50?09:6sa2b0f>5<6sg83:7<8;|l1g7`=83;pb?69:3:8yk4d;90;651zl1<3<5i2we>n==:182k4?>38i7p`=c2194?7|f;2=6?m4}o0`71<728qe>5852e9~j7e4=3:1=v`=8781a>{i:j9=6=4>{o0;2?4a3td9o>950;3xj7>12::0qc4}i:1<1?<5rn3a0=?6=9rd94;4<2:m6f5f290:wc<76;10?xh5k:h1<7?tn3:5>625<6sg83:7=8;|l1g6`=83;pb?69:2:8yk4d<90;651zl1<3<4i2we>n:=:182k4?>39i7p`=c5194?7|f;2=6>m4}o0`01<728qe>5853e9~j7e3=3:1=v`=8780a>{i:j>=6=4>{o0;2?5a3td9o9950;3xj7>12=:0qc7}i:1<18<5rn3a7=?6=9rd94;4;2:m6f2f2909wc<76;60?xh5k=h1<7?tn3:5>125<6sg83:7:8;|l1g1`=83;pb?69:5:8yk4d=90;6=7>51zl1<3<3i2we>n;=:182k4?>3>i7p`=c4194?7|f;2=69m4}o0`11<728qe>5854e9~j7e2=3:1=v`=8787a>{i:j?=6=4>{o0;2?2a3td9o8950;3xj7>12<:0qc4}i:1<19<5rn3a6=?6=9rd94;4:2:m6f3f290:wc<76;70?xh5k02:6sa2b7f>5<6sg83:7;8;|l1g0`=83;pb?69:4:8yk4d>90;651zl1<3<2i2we>n8=:182k4?>3?i7p`=c7194?7|f;2=68m4}o0`21<728qe>5855e9~j7e1=3:1=v`=8786a>{i:j<=6=4>{o0;2?3a3td9o;950;3xj7>12?:0qc4}i:1<1:<5rn3a5=?6=9rd94;492:m6f0f290:wc<76;40?xh5k?h1<7?tn3:5>32<1vb?m9d;295~h50?0=:6sa2b4f>5<6sg83:788;|l1g3`=83;pb?69:7:8yk4d?90;651zl1<3<1i2we>n9=:182k4?>35856e9~j7e0=3:1=v`=8785a>{i:j==6=4>{o0;2?0a3td9o:950;3xj7>12>:0qc4}i:1<1;<5rn3a4=?6=9rd94;482:m6f1f290:wc<76;50?xh5k>h1<7?tn3:5>225<6sg83:798;|l1g2`=83;pb?69:6:8yk4d090;651zl1<3<0i2we>n6=:182k4?>3=i7p`=c9194?7|f;2=6:m4}o0`<1<728qe>5857e9~j7e?=3:1=v`=8784a>{i:j2=6=4>{o0;2?1a3td9o5950;3xj7>121:0qc4}i:1<14<5rn3a;=?6=9rd94;472:m6f>f290:wc<76;:0?xh5k1h1<7?tn3:5>=25<6sg83:768;|l1g=`=83;pb?69:9:8yk4d190;651zl1<3n7=:182k4?>32i7p`=c8194?7|f;2=65m4}o0`=1<728qe>5858e9~j7e>=3:1=v`=878;a>{i:j3=6=4>{o0;2?>a3td9o4950;3xj7>120:0qc4}i:1<15<5rn3a:=?6=9rd94;462:m6f?f290:wc<76;;0?xh5k0h1<7?tn3:5><25<6sg83:778;|l1g<`=83;pb?69:8:8yk4di90;651zl1<3<>i2we>no=:182k4?>33i7p`=c`194?7|f;2=64m4}o0`e1<728qe>5859e9~j7ef=3:1=v`=878:a>{i:jk=6=4>{o0;2??a3td9ol950;3xj7>12h:0qc4}i:1<1m<5rn3ab=?6=9rd94;4n2:m6fgf290:wc<76;c0?xh5khh1<7?tn3:5>d25<6sg83:7o8;|l1gd`=83;pb?69:`:8yk4dj90;651zl1<3nl=:182k4?>3ki7p`=cc194?7|f;2=6lm4}o0`f1<728qe>585ae9~j7ee=3:1=v`=878ba>{i:jh=6=4>{o0;2?ga3td9oo950;3xj7>12k:0qc4}i:1<1n<5rn3aa=?6=9rd94;4m2:m6fdf290:wc<76;`0?xh5kkh1<7?tn3:5>g25<6sg83:7l8;|l1gg`=83;pb?69:c:8yk4dk90;651zl1<3nm=:182k4?>3hi7p`=cb194?7|f;2=6om4}o0`g1<728qe>585be9~j7ed=3:1=v`=878aa>{i:ji=6=4>{o0;2?da3td9on950;3xj7>12j:0qc4}i:1<1o<5rn3a`=?6=9rd94;4l2:m6fef290:wc<76;a0?xh5kjh1<7?tn3:5>f25<6sg83:7m8;|l1gf`=83;pb?69:b:8yk4dl90;651zl1<3nj=:182k4?>3ii7p`=ce194?7|f;2=6nm4}o0``1<728qe>585ce9~j7ec=3:1=v`=878`a>{i:jn=6=4>{o0;2?ea3td9oi950;3xj7>12m:0qc4}i:1<1h<5rn3ag=?6=9rd94;4k2:m6fbf290:wc<76;f0?xh5kmh1<7?tn3:5>a25<6sg83:7j8;|l1ga`=83;pb?69:e:8yk4dm90;651zl1<3nk=:182k4?>3ni7p`=cd194?7|f;2=6im4}o0`a1<728qe>585de9~j7eb=3:1=v`=878ga>{i:jo=6=4>{o0;2?ba3td9oh950;3xj7>12l:0qc4}i:1<1i<5rn3af=?6=9rd94;4j2:m6fcf290:wc<76;g0?xh5klh1<7?tn3:5>`25<6sg83:7k8;|l1g``=83;pb?69:d:8yk4dn90;651zl1<3nh=:182k4?>3oi7p`=cg194?7|f;2=6hm4}o0`b1<728qe>585ee9~j7ea=3:1=v`=878fa>{i:jl=6=4>{o0;2?ca3td9ok950;3xj7>12o:0qc4}i:1<1j<5rn3ae=?6=9rd94;4i2:m6f`f290:wc<76;d0?xh5koh1<7?tn3:5>c25<6sg83:7h8;|l1gc`=83;pb?69:g:8yk4c890;651zl1<3i>=:182k4?>3li7p`=d1194?7|f;2=6km4}o0g41<728qe>585fe9~j7b7=3:1=v`=878ea>{i:m:=6=4>{o0;2?`a3td9h=950;3xj7>128:;7p`=d1:94?7|f;2=6<>>;|l1`5?=83;pb?69:021?xh5l9k1<7?tn3:5>4643td9h=l50;3xj7>128:?7p`=d1a94?7|f;2=6<>:;|l1`5b=83;pb?69:025?xh5l9o1<7?tn3:5>4603td9h=h50;3xj7>128:37p`=d0294?7|f;2=6<>6;|l1`47=83;pb?69:02b?xh5l881<7?tn3:5>46e3td9h<=50;3xj7>128:h7p`=d0694?7|f;2=6<>k;|l1`43=83;pb?69:02f?xh5l8<1<7?tn3:5>46a3td9h<950;3xj7>128;;7p`=d0:94?7|f;2=6;|l1`4?=83;pb?69:031?xh5l8k1<7?tn3:5>4743td9h128;?7p`=d0a94?7|f;2=64703td9h128;37p`=d3294?7|f;2=647e3td9h?=50;3xj7>128;h7p`=d3694?7|f;2=647a3td9h?950;3xj7>1288;7p`=d3:94?7|f;2=6<<>;|l1`7?=83;pb?69:001?xh5l;k1<7?tn3:5>4443td9h?l50;3xj7>1288?7p`=d3a94?7|f;2=6<<:;|l1`7b=83;pb?69:005?xh5l;o1<7?tn3:5>4403td9h?h50;3xj7>128837p`=d2294?7|f;2=6<<6;|l1`67=83;pb?69:00b?xh5l:81<7?tn3:5>44e3td9h>=50;3xj7>1288h7p`=d2694?7|f;2=6<44a3td9h>950;3xj7>1289;7p`=d2:94?7|f;2=6<=>;|l1`6?=83;pb?69:011?xh5l:k1<7?tn3:5>4543td9h>l50;3xj7>1289?7p`=d2a94?7|f;2=6<=:;|l1`6b=83;pb?69:015?xh5l:o1<7?tn3:5>4503td9h>h50;3xj7>128937p`=d5294?7|f;2=6<=6;|l1`17=83;pb?69:01b?xh5l=81<7?tn3:5>45e3td9h9=50;3xj7>1289h7p`=d5694?7|f;2=6<=k;|l1`13=83;pb?69:01f?xh5l=<1<7?tn3:5>45a3td9h9950;3xj7>128>;7p`=d5:94?7|f;2=6<:>;|l1`1?=83;pb?69:061?xh5l=k1<7?tn3:5>4243td9h9l50;3xj7>128>?7p`=d5a94?7|f;2=6<::;|l1`1b=83;pb?69:065?xh5l=o1<7?tn3:5>4203td9h9h50;3xj7>128>37p`=d4294?7|f;2=6<:6;|l1`07=83;pb?69:06b?xh5l<81<7?tn3:5>42e3td9h8=50;3xj7>128>h7p`=d4694?7|f;2=6<:k;|l1`03=83;pb?69:06f?xh5l<<1<7?tn3:5>42a3td9h8950;3xj7>128?;7p`=d4:94?7|f;2=6<;>;|l1`0?=83;pb?69:071?xh5l4343td9h8l50;3xj7>128??7p`=d4a94?7|f;2=6<;:;|l1`0b=83;pb?69:075?xh5l4303td9h8h50;3xj7>128?37p`=d7294?7|f;2=6<;6;|l1`37=83;pb?69:07b?xh5l?81<7?tn3:5>43e3td9h;=50;3xj7>128?h7p`=d7694?7|f;2=6<;k;|l1`33=83;pb?69:07f?xh5l?<1<7?tn3:5>43a3td9h;950;3xj7>128<;7p`=d7:94?7|f;2=6<8>;|l1`3?=83;pb?69:041?xh5l?k1<7?tn3:5>4043td9h;l50;3xj7>1284003td9h;h50;3xj7>128<37p`=d6294?7|f;2=6<86;|l1`27=83;pb?69:04b?xh5l>81<7?tn3:5>40e3td9h:=50;3xj7>128<1<7?tn3:5>40a3td9h:950;3xj7>128=;7p`=d6:94?7|f;2=6<9>;|l1`2?=83;pb?69:051?xh5l>k1<7?tn3:5>4143td9h:l50;0xj7>128=?7p`=d6a94?7|f;2=6<9:;|l1`2b=83;pb?69:055?xh5l>o1<7?tn3:5>4103td9h:h50;3xj7>128=37p`=d9294?7|f;2=6<96;|l1`=7=83;pb?69:05b?xh5l181<7?tn3:5>41e3td9h5=50;3xj7>128=h7p`=d9694?7|f;2=6<9k;|l1`=3=83;pb?69:05f?xh5l1<1<7?tn3:5>41a3td9h5950;3xj7>1282;7p`=d9:94?7|f;2=6<6>;|l1`=?=83;pb?69:0:1?xh5l1k1<7?tn3:5>4>43td9h5l50;3xj7>1282?7p`=d9a94?7|f;2=6<6:;|l1`=b=83;pb?69:0:5?xh5l1o1<7?tn3:5>4>03td9h5h50;3xj7>128237p`=d8294?7|f;2=6<66;|l1`<7=83;pb?69:0:b?xh5l081<7?tn3:5>4>e3td9h4=50;3xj7>1282h7p`=d8694?7|f;2=6<6k;|l1`<3=83;pb?69:0:f?xh5l0<1<7?tn3:5>4>a3td9h4950;3xj7>1283;7p`=d8:94?7|f;2=6<7>;|l1`4?43td9h4l50;3xj7>1283?7p`=d8a94?7|f;2=6<7:;|l1`4?03td9h4h50;3xj7>128337p`=d`294?7|f;2=6<76;|l1`d7=83;pb?69:0;b?xh5lh81<7?tn3:5>4?e3td9hl=50;3xj7>1283h7p`=d`694?7|f;2=6<7k;|l1`d3=83;pb?69:0;f?xh5lh<1<7?tn3:5>4?a3td9hl950;3xj7>128k;7p`=d`:94?7|f;2=6;|l1`d?=83;pb?69:0c1?xh5lhk1<7?tn3:5>4g43td9hll50;3xj7>128k?7p`=d`a94?7|f;2=64g03td9hlh50;3xj7>128k37p`=dc294?7|f;2=64ge3td9ho=50;3xj7>128kh7p`=dc694?4|f;2=64ga3td9ho950;3xj7>128h;7p`=dc:94?7|f;2=6;|l1`g?=83;pb?69:0`1?xh5lkk1<7?tn3:5>4d43td9hol50;3xj7>128h?7p`=dca94?7|f;2=64d03td9hoh50;3xj7>128h37p`=db294?7|f;2=64de3td9hn=50;3xj7>128hh7p`=db694?7|f;2=64da3td9hn950;3xj7>128i;7p`=db:94?7|f;2=6;|l1`f?=83;pb?69:0a1?xh5ljk1<7?tn3:5>4e43td9hnl50;3xj7>128i?7p`=dba94?7|f;2=64e03td9hnh50;3xj7>128i37p`=de294?7|f;2=64ee3td9hi=50;3xj7>128ih7p`=de694?7|f;2=64ea3td9hi950;3xj7>128n;7p`=de:94?7|f;2=6;|l1`a?=83;pb?69:0f1?xh5lmk1<7?tn3:5>4b43td9hil50;3xj7>128n?7p`=dea94?7|f;2=64b03td9hih50;3xj7>128n37p`=dd294?7|f;2=64be3td9hh=50;3xj7>128nh7p`=dd694?7|f;2=64ba3td9hh950;3xj7>128o;7p`=dd:94?7|f;2=6;|l1``?=83;pb?69:0g1?xh5llk1<7?tn3:5>4c43td9hhl50;3xj7>128o?7p`=dda94?7|f;2=64c03td9hhh50;3xj7>128o37p`=dg294?7|f;2=64ce3td9hk=50;3xj7>128oh7p`=dg694?7|f;2=64ca3td9hk950;3xj7>128l;7p`=dg:94?7|f;2=6;|l1`c?=83;pb?69:0d1?xh5lok1<7?tn3:5>4`43td9hkl50;3xj7>128l?7p`=dga94?7|f;2=64`03td9hkh50;3xj7>128l37p`=e1294?7|f;2=64`e3td9i==50;3xj7>128lh7p`=e1694?7|f;2=64`a3td9i=950;3xj7>12;:;7p`=e1:94?7|f;2=6?>>;|l1a5?=83;pb?69:321?xh5m9k1<7?tn3:5>7643td9i=l50;3xj7>12;:?7p`=e1a94?7|f;2=6?>:;|l1a5b=83;pb?69:325?xh5m9o1<7?tn3:5>7603td9i=h50;3xj7>12;:37p`=e0294?7|f;2=6?>6;|l1a47=83;pb?69:32b?xh5m881<7?tn3:5>76e3td9i<=50;3xj7>12;:h7p`=e0694?7|f;2=6?>k;|l1a43=83;pb?69:32f?xh5m8<1<7?tn3:5>76a3td9i<950;3xj7>12;;;7p`=e0:94?7|f;2=6??>;|l1a4?=83;pb?69:331?xh5m8k1<7?tn3:5>7743td9i12;;?7p`=e0a94?7|f;2=6??:;|l1a4b=83;pb?69:335?xh5m8o1<7?tn3:5>7703td9i12;;37p`=e3294?7|f;2=6??6;|l1a77=83;pb?69:33b?xh5m;81<7?tn3:5>77e3td9i?=50;3xj7>12;;h7p`=e3694?7|f;2=6??k;|l1a73=83;pb?69:33f?xh5m;<1<7?tn3:5>77a3td9i?950;3xj7>12;8;7p`=e3:94?7|f;2=6?<>;|l1a7?=83;pb?69:301?xh5m;k1<7?tn3:5>7443td9i?l50;3xj7>12;8?7p`=e3a94?7|f;2=6?<:;|l1a7b=83;pb?69:305?xh5m;o1<7?tn3:5>7403td9i?h50;3xj7>12;837p`=e2294?7|f;2=6?<6;|l1a67=83;pb?69:30b?xh5m:81<7?tn3:5>74e3td9i>=50;3xj7>12;8h7p`=e2694?7|f;2=6?74a3td9i>950;3xj7>12;9;7p`=e2:94?7|f;2=6?=>;|l1a6?=83;pb?69:311?xh5m:k1<7?tn3:5>7543td9i>l50;3xj7>12;9?7p`=e2a94?7|f;2=6?=:;|l1a6b=83;pb?69:315?xh5m:o1<7?tn3:5>7503td9i>h50;3xj7>12;937p`=e5294?7|f;2=6?=6;|l1a17=83;pb?69:31b?xh5m=81<7?tn3:5>75e3td9i9=50;3xj7>12;9h7p`=e5694?7|f;2=6?=k;|l1a13=83;pb?69:31f?xh5m=<1<7?tn3:5>75a3td9i9950;3xj7>12;>;7p`=e5:94?7|f;2=6?:>;|l1a1?=83;pb?69:361?xh5m=k1<7?tn3:5>7243td9i9l50;3xj7>12;>?7p`=e5a94?7|f;2=6?::;|l1a1b=83;pb?69:365?xh5m=o1<7?tn3:5>7203td9i9h50;3xj7>12;>37p`=e4294?7|f;2=6?:6;|l1a07=83;pb?69:36b?xh5m<81<7?tn3:5>72e3td9i8=50;3xj7>12;>h7p`=e4694?7|f;2=6?:k;|l1a03=83;pb?69:36f?xh5m<<1<7?tn3:5>72a3td9i8950;3xj7>12;?;7p`=e4:94?7|f;2=6?;>;|l1a0?=83;pb?69:371?xh5m7343td9i8l50;3xj7>12;??7p`=e4a94?7|f;2=6?;:;|l1a0b=83;pb?69:375?xh5m7303td9i8h50;3xj7>12;?37p`=e7294?7|f;2=6?;6;|l1a37=83;pb?69:37b?xh5m?81<7?tn3:5>73e3td9i;=50;3xj7>12;?h7p`=e7694?7|f;2=6?;k;|l1a33=83;pb?69:37f?xh5m?<1<7?tn3:5>73a3td9i;950;3xj7>12;<;7p`=e7:94?7|f;2=6?8>;|l1a3?=83;pb?69:341?xh5m?k1<7?tn3:5>7043td9i;l50;3xj7>12;7003td9i;h50;3xj7>12;<37p`=e6294?7|f;2=6?86;|l1a27=83;pb?69:34b?xh5m>81<7?tn3:5>70e3td9i:=50;3xj7>12;<1<7?tn3:5>70a3td9i:950;3xj7>12;=;7p`=e6:94?7|f;2=6?9>;|l1a2?=83;pb?69:351?xh5m>k1<7?tn3:5>7143td9i:l50;3xj7>12;=?7p`=e6a94?7|f;2=6?9:;|l1a2b=83;pb?69:355?xh5m>o1<7?tn3:5>7103td9i:h50;3xj7>12;=37p`=e9294?7|f;2=6?96;|l1a=7=83;pb?69:35b?xh5m181<7?tn3:5>71e3td9i5=50;3xj7>12;=h7p`=e9694?7|f;2=6?9k;|l1a=3=83;pb?69:35f?xh5m1<1<7?tn3:5>71a3td9i5950;3xj7>12;2;7p`=e9:94?7|f;2=6?6>;|l1a=?=83;pb?69:3:1?xh5m1k1<7?tn3:5>7>43td9i5l50;3xj7>12;2?7p`=e9a94?7|f;2=6?6:;|l1a=b=83;pb?69:3:5?xh5m1o1<7?tn3:5>7>03td9i5h50;3xj7>12;237p`=e8294?7|f;2=6?66;|l1a<7=83;pb?69:3:b?xh5m081<7?tn3:5>7>e3td9i4=50;3xj7>12;2h7p`=e8694?7|f;2=6?6k;|l1a<3=83;pb?69:3:f?xh5m0<1<7?tn3:5>7>a3td9i4950;3xj7>12;3;7p`=e8:94?7|f;2=6?7>;|l1a7?43td9i4l50;3xj7>12;3?7p`=e8a94?7|f;2=6?7:;|l1a7?03td9i4h50;3xj7>12;337p`=e`294?7|f;2=6?76;|l1ad7=83;pb?69:3;b?xh5mh81<7?tn3:5>7?e3td9il=50;3xj7>12;3h7p`=e`694?7|f;2=6?7k;|l1ad3=83;pb?69:3;f?xh5mh<1<7?tn3:5>7?a3td9il950;3xj7>12;k;7p`=e`:94?7|f;2=6?o>;|l1ad?=83;pb?69:3c1?xh5mhk1<7?tn3:5>7g43td9ill50;3xj7>12;k?7p`=e`a94?7|f;2=6?o:;|l1adb=838pb?69:3c5?xh5mho1<77g03td9ilh50;0xj7>12;k37p`=ec294?4|f;2=6?o6;|l1ag7=83;pb?69:3cb?xh5mk81<7?tn3:5>7ge3td9io=50;3xj7>12;kh7p`=ec694?7|f;2=6?ok;|l1ag3=83;pb?69:3cf?xh5mk<1<7?tn3:5>7ga3td9io950;3xj7>12;h;7p`=ec:94?7|f;2=6?l>;|l1ag?=83;pb?69:3`1?xh5mkk1<7?tn3:5>7d43td9iol50;3xj7>12;h?7p`=eca94?7|f;2=6?l:;|l1agb=83;pb?69:3`5?xh5mko1<7?tn3:5>7d03td9ioh50;3xj7>12;h37p`=eb294?7|f;2=6?l6;|l1af7=83;pb?69:3`b?xh5mj81<7?tn3:5>7de3td9in=50;3xj7>12;hh7p`=eb694?7|f;2=6?lk;|l1af3=83;pb?69:3`f?xh5mj<1<7?tn3:5>7da3td9in950;3xj7>12;i;7p`=eb:94?7|f;2=6?m>;|l1af?=83;pb?69:3a1?xh5mjk1<7?tn3:5>7e43td9inl50;3xj7>12;i?7p`=eba94?7|f;2=6?m:;|l1afb=83;pb?69:3a5?xh5mjo1<7?tn3:5>7e03td9inh50;3xj7>12;i37p`=ee294?7|f;2=6?m6;|l1aa7=83;pb?69:3ab?xh5mm81<7?tn3:5>7ee3td9ii=50;3xj7>12;ih7p`=ee694?7|f;2=6?mk;|l1aa3=83;pb?69:3af?xh5mm<1<7?tn3:5>7ea3td9ii950;3xj7>12;n;7p`=ee:94?7|f;2=6?j>;|l1aa?=83;pb?69:3f1?xh5mmk1<7?tn3:5>7b43td9iil50;3xj7>12;n?7p`=eea94?7|f;2=6?j:;|l1aab=83;pb?69:3f5?xh5mmo1<7?tn3:5>7b03td9iih50;3xj7>12;n37p`=ed294?7|f;2=6?j6;|l1a`7=83;pb?69:3fb?xh5ml81<7?tn3:5>7be3td9ih=50;3xj7>12;nh7p`=ed694?7|f;2=6?jk;|l1a`3=83;pb?69:3ff?xh5ml<1<7?tn3:5>7ba3td9ih950;3xj7>12;o;7p`=ed:94?7|f;2=6?k>;|l1a`?=83;pb?69:3g1?xh5mlk1<7?tn3:5>7c43td9ihl50;3xj7>12;o?7p`=eda94?7|f;2=6?k:;|l1a`b=83;pb?69:3g5?xh5mlo1<7?tn3:5>7c03td9ihh50;3xj7>12;o37p`=eg294?7|f;2=6?k6;|l1ac7=83;pb?69:3gb?xh5mo81<7?tn3:5>7ce3td9ik=50;3xj7>12;oh7p`=eg694?7|f;2=6?kk;|l1ac3=83;pb?69:3gf?xh5mo<1<7?tn3:5>7ca3td9ik950;3xj7>12;l;7p`=eg:94?7|f;2=6?h>;|l1ac?=83;pb?69:3d1?xh5mok1<7?tn3:5>7`43td9ikl50;3xj7>12;l?7p`=ega94?7|f;2=6?h:;|l1acb=83;pb?69:3d5?xh5moo1<7?tn3:5>7`03td9ikh50;3xj7>12;l37p`=f1294?7|f;2=6?h6;|l1b57=83;pb?69:3db?xh5n981<7?tn3:5>7`e3td9j==50;3xj7>12;lh7p`=f1694?7|f;2=6?hk;|l1b53=83;pb?69:3df?xh5n9<1<7?tn3:5>7`a3td9j=950;3xj7>12::;7p`=f1:94?7|f;2=6>>>;|l1b5?=83;pb?69:221?xh5n9k1<7?tn3:5>6643td9j=l50;3xj7>12::?7p`=f1a94?7|f;2=6>>:;|l1b5b=83;pb?69:225?xh5n9o1<7?tn3:5>6603td9j=h50;3xj7>12::37p`=f0294?7|f;2=6>>6;|l1b47=83;pb?69:22b?xh5n881<7?tn3:5>66e3td9j<=50;3xj7>12::h7p`=f0694?7|f;2=6>>k;|l1b43=83;pb?69:22f?xh5n8<1<7?tn3:5>66a3td9j<950;3xj7>12:;;7p`=f0:94?4|f;2=6>?>;|l1b4?=83;pb?69:231?xh5n8k1<7?tn3:5>6743td9j12:;?7p`=f0a94?7|f;2=6>?:;|l1b4b=83;pb?69:235?xh5n8o1<7?tn3:5>6703td9j12:;37p`=f3294?7|f;2=6>?6;|l1b77=83;pb?69:23b?xh5n;81<7?tn3:5>67e3td9j?=50;3xj7>12:;h7p`=f3694?7|f;2=6>?k;|l1b73=83;pb?69:23f?xh5n;<1<7?tn3:5>67a3td9j?950;3xj7>12:8;7p`=f3:94?7|f;2=6><>;|l1b7?=83;pb?69:201?xh5n;k1<7?tn3:5>6443td9j?l50;3xj7>12:8?7p`=f3a94?7|f;2=6><:;|l1b7b=83;pb?69:205?xh5n;o1<7?tn3:5>6403td9j?h50;3xj7>12:837p`=f2294?7|f;2=6><6;|l1b67=83;pb?69:20b?xh5n:81<7?tn3:5>64e3td9j>=50;3xj72e281e>58533a8yk4a;=0;63:1=v`=87806c=zf;hni7>51zl10g<63g83:7=<0:m6g`>290:wc<;b;38j7>12:9:7p`=c1694?7|f;>i6<5a29497644gb>><=4pNOBz1be~40l3:1<7<51`2a>4?1;2wp>:j50;296?7f8j0:5;>4}z04`?6=8381=l>k:0;bg>{|:>n1<7>52;3b4`<61ho0qv<8d;294?4=9h:m6<7n7:x62b=83:1>7?n11822ad50;095=51286e:8y~40l3:1<7<516da>40c12wp>:j50;296?7?;=0::io4}z04`?6=8381=:ml:04gg>{|:>n1<7>52;3b54<6>o;0qv<8d;294?4=9h;96<8i2:x62b=83:1>7?n12822c550;095d73286g78y~40l3:1<7<51`35>4?e=2wp>:j50;296?7?0l0::ij4}z04`?6=8381=5=8:04ga>{|:>n1<7>52;34ba<6>ml0qv<8d;294?4=91986<8j0:x62b=83:1>7?733822`750;095d7028=oj6st26f94?6=:3;j=54>91g8y~40l3:1<7<51`3:>4?7n2wp>:j50;296?7f9h0:5=:4}z04`?6=8381=l?m:0526>{|:>n1<7>52;3;b=<6>l80qv<8d;294?4=9>ii6<8j3:x62b=83:1>7?7dg822`250;0952ea288e08y~40l3:1<7<51`3g>416<2wp>:j50;296?7?io0::h84}z04`?6=8381=l?j:04eb>{|:>n1<7>52;3465<6>l=0qv<8d;294?4=9h;m6<9l9:x62b=83:1>7?7b1822`>50;095=e228=4>6gc8y~40l3:1<7<51`02>40e82wp>:j50;296?7f:;0:4h;4}z04`?6=8381=l<<:0:ba>{|:>n1<7>52;3b61<6?9;0qv<8d;294?4=91ln6<8ja:x62b=83:1>7?n24822g?50;095d4128:4>6c`8y~40l3:1<7<51`0;>4?f02wp>:j50;296?7f:00:5o84}z04`?6=8381=:hl:04ff>{|:>n1<7>52;3b6d<6>hk0qv<8d;294?4=9h8i6<7>1:x62b=83:1>7?n2b822fg50;095245289ec954?a?3;2h55r{35g>5<72;0:5k8518f5?x}5?m0;6=4=:0;e1?7>l=1vw?9k:183>7<61o>1=4j=;|y13a<729096<7i3;3:`5=zs;=o6=4?:382=c4=90in7pu=7e83>5<5283m=7?6cb9~71c290;6?4>7d095=6b3tq9;i4?:181>41b;3;3==5r{35g>5<72;0:;h:51931?x}5?m0;6=4=:05f1?7?9=1vw?9k:183>7<6?l<1=5?9;|y13a<729096<9j7;3;5==zs;=o6=4?:3823`>=91;j7pu=7e83>5<528=n57?71b9~71c290;6?4>87g95=463tq9;i4?:181>4>1n3;3>>5r{35g>5<72;0:4:>51906?x}5?m0;6=4=:0:45?7?:>1vw?9k:183>7<60>81=5<6;|y13a<729096<683;3;6g=zs;=o6=4?:382<22=918o7pu=7e83>5<5282<97?72g9~71c290;6>4>9g;9580g8y~40l3:1<7<51955>4>492wp>:j50;296?708h0:4984}z04`?6=8381=5m=:0:`e>{|:>n1<7>52;34b0<601l0qv<8d;294?4=90>?6<9l5:x62b=83:1>7?7f1823f750;095=?d28=o<6st26a94?6=:3;j9=4=f:x62e=83:1>7?n55807>{|:>i1<7>52;3b1=<4?2wp>:m50;296?7f=m08o6st26a94?6=:3;j:<4;0:x62e=83:1>7?n67871>{|:>i1<7>52;3b2d<312wp>:m50;296?7f>o0?i6st26a94?6=:3;j;>4:2:x62e=83:1>7?n79863>{|:>i1<7>52;3b3f<2j2wp>:m50;296?7f080=<6st26a94?6=:3;j48494:x62e=83:1>7?n8`85=>{|:>i1<7>52;3b<`<1l2wp>:m50;296?7f1:0<>6st26a94?6=:3;j5:486:x62e=83:1>7?n9b84f>{|:>i1<7>52;3be5<0n2wp>:m50;296?7fi<0386st26a94?6=:3;jmn47b:x62e=83:1>7?nb28:6>{|:>i1<7>52;3bfd<>12wp>:m50;296?7fk80j<6st26a94?6=:3;jo54n7:x62e=83:1>7?ncg8ba>{|:>i1<7>52;3b`3:m50;296?7flm0io6st26a94?6=:3;ji94l3:x62e=83:1>7?nec8`e>{|:>i1<7>52;3bb7:m50;296?7fn00o46st26a94?6=:3;i<=4kf:x62e=83:1>7?m068f2>{|:>i1<7>52;3a4`:m50;296?7e9<0m86st26a94?6=:3;i=n4ib:x62e=83:1>7?m228247=zs;=h6=4?:382f7g=9930qv<8c;294?4=9k9:6{|:>i1<7>52;3a7c<69l1vw?9l:183>7<6j=<1=?;4}z04g?6=8381=o:k:00`?x}5?j0;6=4=:0`60?74;2wp>:m50;296?7e=k0:?l5r{35`>5<72;0:n;<51538y~40k3:1<7<51c4:>42?3tq9;n4?:181>4d083;?j6st26a94?6=:3;i;:4>579~71d290;6?4>b6g950b50;095g>2285<528h3o7?9b:x62e=83:1>7?m928237=zs;=h6=4?:382f30qv<8c;294?4=9kk:6<6?;|y13f<729096{|:>i1<7>52;3aec<60l1vw?9l:183>7<6jk<1=4;4}z04g?6=8381=olk:0;`?x}5?j0;6=4=:0``0?7f;2wp>:m50;296?7ekk0:ml5r{35`>5<72;0:ni<51c38y~40k3:1<7<51cf:>4d?3tq9;n4?:181>4db83;ij6st26a94?6=:3;ii:4>c79~71d290;6?4>bdg95fb50;095g`228n?7pu=7b83>5<528hmo7?kb:x62e=83:1>7?l0282a7=zs;=h6=4?:382g5g=9l30qv<8c;294?4=9j;:68;3e3>{|:>i1<7>52;3`5c<6nl1vw?9l:183>7<6k;<1>=;4}z04g?6=8381=n:m50;296?7d;k09=l5r{35`>5<72;0:o9<52338y~40k3:1<7<51b6:>74?3tq9;n4?:181>4e28389j6st26a94?6=:3;h9:4=379~71d290;6?4>c4g966b50;095f022;>?7pu=7b83>5<528i=o7<;b:x62e=83:1>7?l728117=zs;=h6=4?:382g2g=:<30qv<8c;294?4=9j2:6?8?;|y13f<729096{|:>i1<7>52;3`01vw?9l:183>7<6k1l1>;k4}z04g?6=8381=n7<:351?x}5?j0;6=4=:0a::m50;296?7d1j09;o5r{35`>5<72;0:ol?52928y~40k3:1<7<51bc6>7>33tq9;n4?:181>4efi38356st26a94?6=:3;hmh4=8e9~71d290;6?4>cc196<450;095fd02;3=7pu=7b83>5<528iio7<6b:x62e=83:1>7?lc181=c=zs;=h6=4?:382gf3=:h>0qv<8c;294?4=9ji26?o7;|y13f<729096{|:>i1<7>52;3``7<5j81vw?9l:183>7<6km<1>o;4}z04g?6=8381=njm:3`b?x}5?j0;6=4=:0agb?4em2wp>:m50;296?7dm=09o>5r{35`>5<72;0:oh652b58y~40k3:1<7<51bgg>7ed3tq9;n4?:181>4ea938o<6st26a94?6=:3;hj;4=d49~71d290;6?4>cgc96a?50;095f`a2;nn7pu=7b83>5<528n;?77?k0981a2=zs;=h6=4?:382`5e=:lh0qv<8c;294?4=9m;:6?h?;|y13f<7290965;0e0>{|:>i1<7>52;3g5d<5n01vw?9l:183>7<6l8o1>kj4}z04g?6=8381=i<<:221?x}5?j0;6=4=:0f13?57>2wp>:m50;296?7c:k085<72;0:h><53038y~40k3:1<7<51e1:>67?3tq9;n4?:181>4b3839:j6st26a94?6=:3;o8:4<279~71d290;6?4>d5g977b50;095a322:9?7pu=7b83>5<528n>o7=7?k628007=zs;=h6=4?:382`3g=;=30qv<8c;294?4=9m=:6>;?;|y13f<729096{|:>i1<7>52;3g3c<4=l1vw?9l:183>7<6l1<1?;;4}z04g?6=8381=i6m:24b?x}5?j0;6=4=:0f:4?51n2wp>:m50;296?7c1=08;>5r{35`>5<72;0:h47536:8y~40k3:1<7<51e;f>61c3tq9;n4?:181>4bf;393>6st26a94?6=:3;om54<869~71d290;6?4>d`a97=d50;095ad62:3;7pu=7b83>5<528ni97=64:x62e=83:1>7?kb`80=<=zs;=h6=4?:382`g`=;0o0qv<8c;294?4=9mi?6>o<;|y13f<729096{|:>i1<7>52;3gga<4ij1vw?9l:183>7<6lm81?o?4}z04g?6=8381=ij9:2`6?x}5?j0;6=4=:0fgf?5ei2wp>:m50;296?7cm908nk5r{35`>5<72;0:hh;53b68y~40k3:1<7<51egb>6e>3tq9;n4?:181>4bbm39hh6st26a94?6=:3;oj>4dg597a050;095a`d2:ni7pu=7b83>5<528o;<7=kf:x62e=83:1>7?j0480a1=zs;=h6=4?:382a5g=;l30qv<8c;294?4=9l:m6>kj;|y13f<7290963;1e6>{|:>i1<7>52;3f5=<4n>1vw?9l:183>7<6m8n1?km4}z04g?6=8381=h<=:522?x}5?j0;6=4=:0g13?27>2wp>:m50;296?7b:k0?5<72;0:i>>541d8y~40k3:1<7<51d16>1733tq9;n4?:181>4c4i3>:56st26a94?6=:3;n?k4;1d9~71d290;6?4>e51907450;095`2?2=8<7pu=7b83>5<528o?o7:=b:x62e=83:1>7?j508775=zs;=h6=4?:382a00=<:?0qv<8c;294?4=9l?j69=6;|y13f<729096{|:>i1<7>52;3f21<3<:1vw?9l:183>7<6m?318964}z04g?6=8381=h8j:56g?x}5?j0;6=4=:0g46?2292wp>:m50;296?7b?>0?9;5r{35`>5<72;0:i:m544`8y~40k3:1<7<51d:2>1073tq9;n4?:181>4c?>3>=96st26a94?6=:3;n4l4;689~71d290;6?4>e9d903c50;095`?42==97pu=7b83>5<528o247:87:x62e=83:1>7?j9b873g=zs;=h6=4?:382ad7=<1:0qv<8c;294?4=9lk=696:;|y13f<729096{|:>i1<7>52;3ff5<30o1vw?9l:183>7<6mk>184=4}z04g?6=8381=hl6:5;;?x}5?j0;6=4=:0ga`?2>k2wp>:m50;296?7bk;0?m<5r{35`>5<72;0:in854`78y~40k3:1<7<51daa>1gf3tq9;n4?:181>4cc83>jj6st26a94?6=:3;nh84;b59~71d290;6?4>eec90g?50;095`bb2=ho7pu=7b83>5<528on?7:l2:x62e=83:1>7?je687g3=zs;=h6=4?:382a`e={|:>i1<7>52;3fb<<3l11vw?9l:183>7<6moo18ij4}z04g?6=8381=k>=:5g2?x}5?j0;6=4=:0d33?2b>2wp>:m50;296?7a8k0?il5r{35`>5<72;0:j<>54dd8y~40k3:1<7<51g37>1`43tq9;n4?:181>4`613>m46st26a94?6=:3;m=i4;fb9~71d290;6?4>f30915750;095c412<:>7pu=7b83>5<528l9n7;?a:x62e=83:1>7?i2g864`=zs;=h6=4?:382b62==890qv<8c;294?4=9o9368?8;|y13f<729096{|:>i1<7>52;3e04<2:91vw?9l:183>7<6n=<19?;4}z04g?6=8381=k:n:40:?x}5?j0;6=4=:0d7b?35m2wp>:m50;296?7a=:0>??5r{35`>5<72;0:j8655258y~40k3:1<7<51g7`>05e3tq9;n4?:181>4`193??<6st26a94?6=:3;m:;4:449~71d290;6?4>f7`911g50;095c172<>m7pu=7b83>5<528l<97;:4:x62e=83:1>7?i7`861<=zs;=h6=4?:382b2c=={|:>i1<7>52;3ek1vw?9l:183>7<6n0:19;h4}z04g?6=8381=k7::457?x}5?j0;6=4=:0d:=?3002wp>:m50;296?7a1l0>;i5r{35`>5<72;0:jl<55938y~40k3:1<7<51gc4>0>13tq9;n4?:181>4`fj3?3m6st26a94?6=:3;mn?4:909~71d290;6?4>fc;91<>50;095ce72<3m7pu=7b83>5<528lh;7;n6:x62e=83:1>7?icd86ea=zs;=h6=4?:382ba3==k>0qv<8c;294?4=9onh68lm;|y13f<729096{|:>i1<7>52;3ead<2k01vw?9l:183>7<6no;19i>4}z04g?6=8381=kh7:4f4?x}5?j0;6=4=:0deb?3cm2wp>:m50;296?478?0>i85r{35`>5<72;09<=j55da8y~40k3:1<7<52137>0`43tq9;n4?:181>766j3?mm6st26a94?6=:38;>?49009~71d290;6?4=03;925>50;0965572?:m7pu=7b83>5<52;:8;78>6:x62e=83:1>7;>0qv<8c;294?4=:9>h6;:3;406>{|:>i1<7>52;031d<1;01vw?9l:183>7<58?;1:9>4}z04g?6=8381>=87:764?x}5?j0;6=4=:325b?03m2wp>:m50;296?47??0=985r{35`>5<72;09<:j564a8y~40k3:1<7<521:7>3043tq9;n4?:181>76?j3<=m6st26a94?6=:38;5?49709~71d290;6?4=08;922>50;0965g72?=m7pu=7b83>5<52;:j;7876:x62e=83:1>70>0qv<8c;294?4=:9hh6;7m;|y13f<729096?>l3;4b6>{|:>i1<7>52;03gd<1i01vw?9l:183>7<58m;1:o>4}z04g?6=8381>=j7:7`4?x}5?j0;6=4=:32gb?0em2wp>:m50;296?47m?0=o85r{35`>5<72;093b43tq9;n4?:181>76aj350;0964772?om7pu=7b83>5<52;;:;78i6:x62e=83:1>7<>1d85ba=zs;=h6=4?:381573=?9>0qv<8c;294?4=:88h6:>k;|y13f<729096??<3;520>{|:>i1<7>52;027d<09k1vw?9l:183>7<59=;1;?<4}z04g?6=8381><:7:60:?x}5?j0;6=4=:337b?1482wp>:m50;296?46=?05<72;09=8j572g8y~40k3:1<7<52047>2223tq9;n4?:181>771j3=?o6st26a94?6=:38:;?48529~71d290;6?4=16;930g50;0964>72><:7pu=7b83>5<52;;3;7998:x62e=83:1>7<>8d842c=zs;=h6=4?:3815<3=?><0qv<8c;294?4=:83h6:9k;|y13f<729096??n3;5;0>{|:>i1<7>52;02ed<00k1vw?9l:183>7<59k;1;4<4}z04g?6=8381>:m50;296?46k?05<72;09=nj57`g8y~40k3:1<7<520f7>2d23tq9;n4?:181>77cj3=io6st26a94?6=:38:i?48c29~71d290;6?4=1d;93fg50;0964`72>n:7pu=7b83>5<52;;m;79k8:x62e=83:1>7<>fd84`c=zs;=h6=4?:381653=?l<0qv<8c;294?4=:;:h6:kk;|y13f<729096?<>3;5e0>{|:>i1<7>52;015d<0nk1vw?9l:183>7<5:;;14=<4}z04g?6=8381>?<7:92:?x}5?j0;6=4=:301b?>682wp>:m50;296?45;?03=:5r{35`>5<72;09>>j580g8y~40k3:1<7<52367>=423tq9;n4?:181>743j329o6st26a94?6=:3899?47329~71d290;6?4=24;9<6g50;09670721>:7pu=7b83>5<52;8=;76;8:x62e=83:1>7<=6c8;0f=zs;=h6=4?:38163`=0<:0qv<8c;294?4=:;=?65;:;|y13f<729096?<89;:6e>{|:>i1<7>52;013a7<5:1;14;<4}z04g?6=8381>?6::945?x}5?j0;6=4=:30;=?>1i2wp>:m50;296?450m03:h5r{35`>5<72;09>4?58608y~40k3:1<7<523;6>=113tq9;n4?:181>74>13250;0967g2212=7pu=7b83>5<52;8j5767a:x62e=83:1>7<=ae8;<`=zs;=h6=4?:3816g7=0080qv<8c;294?4=:;h>6579;|y13f<729096?{|:>i1<7>52;01fa7<5:j;14l<4}z04g?6=8381>?m::9c5?x}5?j0;6=4=:30`=?>fi2wp>:m50;296?45km03mh5r{35`>5<72;09>i?58c08y~40k3:1<7<523f6>=d13tq9;n4?:181>74c132im6st26a94?6=:389hi47bd9~71d290;6?4=2d3950;0967c221i=7pu=7b83>5<52;8n576la:x62e=83:1>7<=ee8;g`=zs;=h6=4?:3816c7=0m80qv<8c;294?4=:;l>65j9;|y13f<729096?{|:>i1<7>52;01bc7<5;9>14h;4}z04g?6=8381>>>6:9gb?x}5?j0;6=4=:313a?>bn2wp>:m50;296?449:03j95r{35`>5<72;09?<658g;8y~40k3:1<7<5223g>=`b3tq9;n4?:181>755:33;?6st26a94?6=:388>:46099~71d290;6?4=33f9=5c50;09665420;?7pu=7b83>5<52;98577>a:x62e=83:1>7<<3g8:65=zs;=h6=4?:381713=1;<0qv<8c;294?4=::>i64{|:>i1<7>52;0012<>;11vw?9l:183>7<5;k4}z04g?6=8381>>8>:861?x}5?j0;6=4=:3151??3>2wp>:m50;296?44>h028o5r{35`>5<72;09?;k595d8y~40k3:1<7<52251><343tq9;n4?:181>750?33>46st26a94?6=:388;n465e9~71d290;6?4=3909=3550;0966>?20<27pu=7b83>5<52;93o779d:x62e=83:1>7<<938:36=zs;=h6=4?:3817<>=1>30qv<8c;294?4=::3n649i;|y13f<729096?=n4;;;1>{|:>i1<7>52;00ed<>0k1vw?9l:183>7<5;k:154?4}z04g?6=8381>>l::8;5?x}5?j0;6=4=:31af??>k2wp>:m50;296?44jo02m=5r{35`>5<72;09?n:59`78y~40k3:1<7<522ab>75dn33i<6st26a94?6=:388h946b49~71d290;6?4=3e;9=gg50;0966bb20hm7pu=7b83>5<52;9n877l5:x62e=83:1>7<64j9;|y13f<729096?=ib;;gg>{|:>i1<7>52;0745<>m81vw?9l:183>7<5<9<15h94}z04g?6=8381>9>l:8gg?x}5?j0;6=4=:3625??a:2wp>:m50;296?439>02j55r{35`>5<72;098d643tq9;n4?:181>725?3k;46st26a94?6=:38?>i4n0d9~71d290;6?4=4219e4250;09615?2h;27pu=7b83>5<52;>8o7o>d:x62e=83:1>7<;438b66=zs;=h6=4?:38101>=i;30qv<8c;294?4=:=>n6l{|:>i1<7>52;071<7<5<4}z04g?6=8381>98;:`66?x}5?j0;6=4=:365e?g3j2wp>:m50;296?43>l0j8k5r{35`>5<72;098::5a478y~40k3:1<7<5255:>d3f3tq9;n4?:181>720n3k=<6st26a94?6=:38?484n679~71d290;6?4=49`9e3e50;0961?62h=97pu=7b83>5<52;>2:7o87:x62e=83:1>7<;9b8b3a=zs;=h6=4?:3810d7=i180qv<8c;294?4=:=k<6l67;|y13f<729096?:nd;c;a>{|:>i1<7>52;07f67<59lk:`;f?x}5?j0;6=4=:36`7?gf<2wp>:m50;296?43k00jml5r{35`>5<72;098nh5ac28y~40k3:1<7<525f6>dd13tq9;n4?:181>72cj3kio6st26a94?6=:38?hk4nc19~71d290;6?4=4d69ef350;0961c>2hij7pu=7b83>5<52;>nj7ok0:x62e=83:1>7<;f48b`3=zs;=h6=4?:3810cd=imi0qv<8c;294?4=:<::6lk=;|y13f<729096?;?5;cf2>{|:>i1<7>52;064g7<5=8:1mk?4}z04g?6=8381>8?9:`d4?x}5?j0;6=4=:372g?gal2wp>:m50;296?42:80i5<72;099?95b1:8y~40k3:1<7<5240g>g6b3tq9;n4?:181>734;3h:86st26a94?6=:38>?44m1`9~71d290;6?4=52d9f7650;0960222k8=7pu=7b83>5<52;??57l=a:x62e=83:1>7<:4g8a75=zs;=h6=4?:381103=j:<0qv<8c;294?4=:{|:>i1<7>52;06231vw?9l:183>7<5=?i1n9j4}z04g?6=8381>89=:c70?x}5?j0;6=4=:374:m50;296?42?l0i9k5r{35`>5<72;0995:5b778y~40k3:1<7<524:b>g0e3tq9;n4?:181>73>83h<=6st26a94?6=:38>5;4m769~71d290;6?4=58a9f2b50;0960g52k287pu=7b83>5<52;?j;7l78:x62e=83:1>7<:ac8a{|:>i1<7>52;06g47<5=j<1nl94}z04g?6=8381>8ml:ccg?x}5?j0;6=4=:37g6?de;2wp>:m50;296?42l10in45r{35`>5<72;099ij5bcg8y~40k3:1<7<524g0>ge33tq9;n4?:181>73b?3hh46st26a94?6=:38>in4mce9~71d290;6?4=5g39fa450;0960`02kn37pu=7b83>5<52;?mh7lke:x62e=83:1>7<9008aa7=zs;=h6=4?:381253=jl<0qv<8c;294?4=:?:i6okl;|y13f<729096?8>1;`e6>{|:>i1<7>53;05530o8h5r{35f>5<72;09:<95bg:8y~40m3:1<7<5273;>g`>3tq9;h4?:181>706j3hmm6st26g94?6=:38==44k519~71b290;6?4=60c9`0750;09637d2m?97pu=7b83>5<52;<9h7lib:x62e=83:1>7<9318ab`=zs;=h6=4?:381265=k9;0qv<8c;294?4=:?9=6n>;;|y13f<729096?8<9;a33>{|:>i1<7>52;057f7<5>:l1o=j4}z04g?6=8381>;:=:b33?x}5?j0;6=4=:3471?e6;2wp>:m50;296?41<10h=;5r{35`>5<72;09:9l5c0;8y~40k3:1<7<5276f>f7d3tq9;n4?:181>70293i:j6st26a94?6=:38=994l239~71d290;6?4=6459g7350;09633f2j837pu=7b83>5<52;<>h7m=b:x62e=83:1>7<9618`6`=zs;=h6=4?:381235=k:;0qv<8c;294?4=:?<=6n=;;|y13f<729096?899;a03>{|:>i1<7>52;052f7<5>?l1o>j4}z04g?6=8381>;9=:b63?x}5?j0;6=4=:3441?e3;2wp>:m50;296?41?10h8;5r{35`>5<72;09::l5c5;8y~40k3:1<7<5275f>f2d3tq9;n4?:181>70?93i?j6st26a94?6=:38=454l599~71d290;6?4=69d9g3750;0963?12j5<52;<2h7m83:x62e=83:1>7<9a58`3f=zs;=h6=4?:3812dd=k1?0qv<8c;294?4=:?h96nh7;|y13f<729096?8m8;aea>{|:>i1<7>52;05fa7<5>j91h=74}z04g?6=8381>;m6:e2e?x}5?j0;6=4=:34`b?b6=2wp>:m50;296?41l<0o=o5r{35`>5<72;09:io5d328y~40k3:1<7<527fe>a423tq9;n4?:181>70b<3n9m6st26a94?6=:38=i44k2g9~71d290;6?4=6df9`6550;0963`62m9<7pu=7b83>5<52;7<9f88g7c=zs;=h6=4?:3812c`=l=?0qv<8c;294?4=:>:?6i:n;|y13f<729096?9?8;f67>{|:>i1<7>52;044`7<5?8>1h8h4}z04g?6=8381>:?n:e46?x}5?j0;6=4=:3514?b1j2wp>:m50;296?40:<0o;=5r{35`>5<72;09;?75d668y~40k3:1<7<5260f>a1>3tq9;n4?:181>714:3n50;0962262m2h7pu=7b83>5<52;=?;7j62:x62e=83:1>7<84b8g=2=zs;=h6=4?:381304=l0n0qv<8c;294?4=:>?36io<;|y13f<729096?9:d;fb<>{|:>i1<7>52;04247<5??=1ho<4}z04g?6=8381>:8l:e`4?x}5?j0;6=4=:3545?bek2wp>:m50;296?40?>0oo?5r{35`>5<72;09;:j5db:8y~40k3:1<7<526:0>aeb3tq9;n4?:181>71?13no86st26a94?6=:38<4k4kd`9~71d290;6?4=7869`a`50;0962?>2mo?7pu=7b83>5<52;=2j7jja:x62e=83:1>7<8a48gb5=zs;=h6=4?:3813dd=lo<0qv<8c;294?4=:>h:6ihl;|y13f<729096?9m5;g34>{|:>i1<7>52;04fg7<5?j;1i=m4}z04g?6=8381>:m8:d31?x}5?j0;6=4=:35``?c602wp>:m50;296?40l;0n=i5r{35`>5<72;09;i65e318y~40k3:1<7<526fg>`4?3tq9;n4?:181>71b;3o9i6st26a94?6=:3850;0962`32l9m7pu=7b83>5<52;=mm7k;5:x62e=83:1>7<7018f0g=zs;=h6=4?:381<50=m<;0qv<8c;294?4=:1:i6h;9;|y13f<729096?6>0;g6f>{|:>i1<7>52;0;517<508k1i;;4}z04g?6=8381>5:m50;296?4?:j0n;:5r{35`>5<72;094><5e6f8y~40k3:1<7<5291;>`>43tq9;n4?:181>7>4m3o356st26a94?6=:3838>4j8d9~71d290;6?4=85;9a<250;096=2a2l3j7pu=7b83>5<52;2>97kn0:x62e=83:1>7<75c8fe3=zs;=h6=4?:381<37=mhi0qv<8c;294?4=:1<=6hl>;|y13f<729096?69c;ga3>{|:>i1<7>52;0;377<50>21in=4}z04g?6=8381>59j:da:?x}5?j0;6=4=:3:;7?cdm2wp>:m50;296?4?010nh>5r{35`>5<72;0945j5ee:8y~40k3:1<7<529;1>`bc3tq9;n4?:181>7>>?3on>6st26a94?6=:3835n4je69~71d290;6?4=8`09a`b50;096=g?2ll87pu=7b83>5<52;2jh7ki8:x62e=83:1>7<7b28fb`=zs;=h6=4?:3810qv<8c;294?4=:1hm6k>n;|y13f<729096?6l5;d24>{|:>i1<7>52;0;gg7<50m;1j5j9:g02?x}5?j0;6=4=:3:ge?`5=2wp>:m50;296?4?m90m>o5r{35`>5<72;094h;5f228y~40k3:1<7<529g:>c533tq9;n4?:181>7>bl3l846st26a94?6=:383j>4i3d9~71d290;6?4=8g;9b1250;096=`a2o>j7pu=7b83>5<52;3;97h:0:x62e=83:1>7<60c8e13=zs;=h6=4?:381=47=n;|y13f<729096?7>c;d53>{|:>i1<7>52;0:67m1vw?9l:183>7<51;21j:=4}z04g?6=8381>4:m50;296?4>;h0m485r{35`>5<72;0959>5f9`8y~40k3:1<7<52865>c?63tq9;n4?:181>7?3k3l2;6st26a94?6=:3829?4i9e9~71d290;6?4=94:9bd550;096<3c2ok37pu=7b83>5<52;3=>7hnd:x62e=83:1>7<6698ef6=zs;=h6=4?:381=3c=nki0qv<8c;294?4=:0=:6kmm;|y13f<729096?784;d`a>{|:>i1<7>52;0:327<51>k1jh=4}z04g?6=8381>49k:gg5?x}5?j0;6=4=:3;;4?`a:2wp>:m50;296?4>0<0mj:5r{35`>5<72;0955l5fgf8y~40k3:1<7<528;2>467;2wp>:m50;296?4>1?0:<=64}z04g?6=8381>47m:023`>{|:>i1<7>52;0:e5<68880qv<8c;294?4=:0k>6<>>7:x62e=83:1>7<6a`8244e50;0960348y~40k3:1<7<528`b>465k2wp>:m50;296?4>k90:<><4}z04g?6=8381>4m::0203>{|:>i1<7>52;0:gg<68:n0qv<8c;294?4=:0n:6<>;3:x62e=83:1>7<6d78241>50;0960468y~40k3:1<7<528g;>462i2wp>:m50;296?4>ml0:<;>4}z04g?6=8381>4h<:0251>{|:>i1<7>52;0:b<<68?h0qv<8c;294?4=:0ln6<>80:x62e=83:1>750;096d6>28:0938y~40k3:1<7<52`36>46??2wp>:m50;296?4f9h0:<5m4}z04g?6=8381>l{|:>i1<7>52;0b63<68020qv<8c;294?4=:h8i6<>6d:x62e=83:1>750;096d5128:j46st26a94?6=:38j?n4>0`g8y~40k3:1<7<52`61>46e<2wp>:m50;296?4f<>0:l:k:02ab>{|:>i1<7>52;0b16<68j?0qv<8c;294?4=:h?36<>k2:x62e=83:1>750;096d0>28:m96st26a94?6=:38j;>4>11;8y~40k3:1<7<52`5b>476;2wp>:m50;296?4f090:=l69:0311>{|:>i1<7>52;0b750;096d?f28;?96st26a94?6=:38jm=4>15g8y~40k3:1<7<52`c5>472?2wp>:m50;296?4fij0:=;>4}z04g?6=8381>ll?:0353>{|:>i1<7>52;0bf0<69?l0qv<8c;294?4=:hh26750;096de428;3:6st26a94?6=:38jo54>19g8y~40k3:1<7<52`af>47>?2wp>:m50;296?4fl:0:=4h4}z04g?6=8381>lj7:03b3>{|:>i1<7>52;0b`a<69hl0qv<8c;294?4=:ho86750;096dca28;hm6st26a94?6=:38jj84>1e18y~40k3:1<7<52`da>47ck2wp>:m50;296?4e890:=h:4}z04g?6=8381>o>9:03f`>{|:>i1<7>52;0a4f<69o<0qv<8c;294?4=:k;:6750;096g7c288:<6st26a94?6=:38i>>4>20;8y~40k3:1<7<52c0:>445:2wp>:m50;296?4e:l0:>?o4}z04g?6=8381>o=<:0006>{|:>i1<7>52;0a7<<6::h0qv<8c;294?4=:k9n6<<;3:x62e=83:1>750;096g2?288>?6st26a94?6=:38i8h4>24a8y~40k3:1<7<52c77>441=2wp>:m50;296?4e=10:>;m4}z04g?6=8381>o;j:0041>{|:>i1<7>52;0a21<6:>o0qv<8c;294?4=:k750;096g12288246st26a94?6=:38i;l4>2`28y~40k3:1<7<52c:3>44f12wp>:m50;296?4e0?0:>o<4}z04g?6=8381>o6l:00af>{|:>i1<7>52;0a=7<6:j>0qv<8c;294?4=:k336<750;096gg3288oj6st26a94?6=:38iml4>2d78y~40k3:1<7<52cc`>44b?2wp>:m50;296?4eil0:>h74}z04g?6=8381>ol?:00ff>{|:>i1<7>52;0af7<6:ln0qv<8c;294?4=:kh?6<750;096gd?288m?6st26a94?6=:38inl4>2g78y~40k3:1<7<52c``>44a?2wp>:m50;296?4ejl0:>k74}z04g?6=8381>om?:00ef>{|:>i1<7>52;0ag7<6:on0qv<8c;294?4=:ki?6<750;096ge?289;?6st26a94?6=:38iol4>3178y~40k3:1<7<52ca`>457?2wp>:m50;296?4ekl0:?=74}z04g?6=8381>oj?:013f>{|:>i1<7>52;0a`7<6;9n0qv<8c;294?4=:kn?6<=?f:x62e=83:1>750;096gb?289:?6st26a94?6=:38ihl4>3078y~40k3:1<7<52cf`>456?2wp>:m50;296?4ell0:?<74}z04g?6=8381>ok?:012f>{|:>i1<7>52;0aa7<6;8n0qv<8c;294?4=:ko?6kli;|y13`<729096?lj5;d`4>{|:>o1<7>52;0aa37<5jll1jn;4}z04a?6=8381>oh?:ga5?x}5?l0;6=4=:3`e5?`d?2wp>:m50;296?4enh0mo55r{35f>5<72;09nkl5fb;8y~40m3:1<7<52cd`>cef3tq9;n4?:186>7e7=38i6?j511f`>46an2wp>:m50;291g<5k9<1=474i3;:8?4>15`9543328;>h7?>658253e=98=8647em3;:o:4>1e2954b>28;n=7?>e`825c5=98li6<>651363>44303;99=4>24;95705288=57?=738262d=9;2?6<<7d;31=0<6:0n1=?o9:00bb?75j10:>n?513ab>44c;3;9hn4l8b8eaf0mhl4ide8ea5 --case Maintain --slice_utilization_ratio 100 --bram_utilization_ratio 100 --verilog2001 YES --fsm_extract YES -fsm_encoding Auto --safe_implementation No --fsm_style LUT --ram_extract Yes --ram_style Auto --rom_extract Yes --mux_style Auto --decoder_extract YES --priority_extract Yes --shreg_extract YES --shift_extract YES --xor_collapse YES --rom_style Auto --auto_bram_packing NO --mux_extract Yes --resource_sharing YES --async_to_sync NO --mult_style Auto --iobuf YES --max_fanout 500 --bufg 24 --register_duplication YES --register_balancing No --slice_packing YES --optimize_primitives NO --use_clock_enable Yes --use_sync_set Yes --use_sync_reset Yes --iob Auto --equivalent_register_removal YES --slice_utilization_ratio_maxmargin 5 Index: pong/pong_top_pad.csv =================================================================== --- pong/pong_top_pad.csv (revision 427) +++ pong/pong_top_pad.csv (nonexistent) @@ -1,131 +0,0 @@ -#Release 12.3 - par M.70d (lin) -#Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -#Wed May 7 20:15:14 2014 - -# -## NOTE: This file is designed to be imported into a spreadsheet program -# such as Microsoft Excel for viewing, printing and sorting. The | -# character is used as the data field separator. This file is also designed -# to support parsing. -# -#INPUT FILE: pong_top_map.ncd -#OUTPUT FILE: pong_top_pad.csv -#PART TYPE: xc3s200a -#SPEED GRADE: -4 -#PACKAGE: vq100 -# -# Pinout by Pin Number: -# -# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, -Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,Drive (mA),Slew Rate,Termination,IOB Delay,Voltage,Constraint,IO Register,Signal Integrity, -P1,,,TMS,,,,,,,,,,,, -P2,,,TDI,,,,,,,,,,,, -P3,ld<5>,IOB,IO_L01P_3,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P4,,DIFFSLR,IO_L01N_3,UNUSED,,3,,,,,,,,, -P5,ld<6>,IOB,IO_L02P_3,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P6,,DIFFSLR,IO_L02N_3,UNUSED,,3,,,,,,,,, -P7,,DIFFSI_NDT,IP_3/VREF_3,UNUSED,,3,,,,,,,,, -P8,,,GND,,,,,,,,,,,, -P9,,DIFFMLR,IO_L03P_3/LHCLK0,UNUSED,,3,,,,,,,,, -P10,,DIFFSLR,IO_L03N_3/LHCLK1,UNUSED,,3,,,,,,,,, -P11,,,VCCO_3,,,3,,,,,2.50,,,, -P12,vga_vs,IOB,IO_L04P_3/LHCLK2,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P13,vga_red,IOB,IO_L04N_3/IRDY2/LHCLK3,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P14,,,GND,,,,,,,,,,,, -P15,vga_hs,IOB,IO_L05P_3/TRDY2/LHCLK6,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P16,vga_green,IOB,IO_L05N_3/LHCLK7,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P17,,,VCCINT,,,,,,,,1.2,,,, -P18,,,GND,,,,,,,,,,,, -P19,ps2c,IBUF,IO_L06P_3,INPUT,LVCMOS25*,3,,,,IBUF,,LOCATED,NO,NONE, -P20,vga_blue,IOB,IO_L06N_3,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P21,ps2d,IBUF,IP_3,INPUT,LVCMOS25*,3,,,,IBUF,,LOCATED,NO,NONE, -P22,,,VCCAUX,,,,,,,,2.5,,,, -P23,,DIFFMTB,IO_L01P_2/M1,UNUSED,,2,,,,,,,,, -P24,,DIFFMTB,IO_L02P_2/M2,UNUSED,,2,,,,,,,,, -P25,,DIFFSTB,IO_L01N_2/M0,UNUSED,,2,,,,,,,,, -P26,,,VCCO_2,,,2,,,,,2.50,,,, -P27,ld<7>,IOB,IO_L02N_2/CSO_B,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P28,seg_e,IOB,IO_L03P_2/RDWR_B,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P29,,DIFFSTB,IO_L03N_2/VS2,UNUSED,,2,,,,,,,,, -P30,,DIFFMTB,IO_L04P_2/VS1,UNUSED,,2,,,,,,,,, -P31,,DIFFSTB,IO_L04N_2/VS0,UNUSED,,2,,,,,,,,, -P32,,DIFFMTB,IO_L05P_2,UNUSED,,2,,,,,,,,, -P33,,DIFFSTB,IO_L05N_2,UNUSED,,2,,,,,,,,, -P34,,DIFFMTB,IO_L06P_2/D7,UNUSED,,2,,,,,,,,, -P35,,DIFFSTB,IO_L06N_2/D6,UNUSED,,2,,,,,,,,, -P36,,DIFFMTB,IO_L07P_2/D5,UNUSED,,2,,,,,,,,, -P37,,DIFFSTB,IO_L07N_2/D4,UNUSED,,2,,,,,,,,, -P38,,,VCCINT,,,,,,,,1.2,,,, -P39,,IBUF,IP_2/VREF_2,UNUSED,,2,,,,,,,,, -P40,,DIFFMTB,IO_L08P_2/GCLK14,UNUSED,,2,,,,,,,,, -P41,,DIFFSTB,IO_L08N_2/GCLK15,UNUSED,,2,,,,,,,,, -P42,,,GND,,,,,,,,,,,, -P43,clk_ic4,IBUF,IO_L09P_2/GCLK0,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE, -P44,,DIFFSTB,IO_L09N_2/GCLK1,UNUSED,,2,,,,,,,,, -P45,,,VCCO_2,,,2,,,,,2.50,,,, -P46,,DIFFSTB,IO_2/MOSI/CSI_B,UNUSED,,2,,,,,,,,, -P47,,,GND,,,,,,,,,,,, -P48,,DIFFMTB,IO_L10P_2/INIT_B,UNUSED,,2,,,,,,,,, -P49,,DIFFSTB,IO_L10N_2/D3,UNUSED,,2,,,,,,,,, -P50,,DIFFMTB,IO_L11P_2/D2,UNUSED,,2,,,,,,,,, -P51,,DIFFMTB,IO_L12P_2/D0/DIN/MISO,UNUSED,,2,,,,,,,,, -P52,,DIFFSTB,IO_L11N_2/D1,UNUSED,,2,,,,,,,,, -P53,,DIFFSTB,IO_L12N_2/CCLK,UNUSED,,2,,,,,,,,, -P54,,,DONE,,,,,,,,,,,, -P55,,,VCCAUX,,,,,,,,2.5,,,, -P56,,DIFFMLR,IO_L01P_1,UNUSED,,1,,,,,,,,, -P57,an<1>,IOB,IO_L01N_1,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P58,,,GND,,,,,,,,,,,, -P59,an<0>,IOB,IO_L02P_1/RHCLK0,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P60,an<3>,IOB,IO_L02N_1/RHCLK1,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P61,an<2>,IOB,IO_L03P_1/RHCLK2,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P62,seg_f,IOB,IO_L03N_1/TRDY1/RHCLK3,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P63,,,GND,,,,,,,,,,,, -P64,seg_b,IOB,IO_L04P_1/IRDY1/RHCLK6,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P65,seg_a,IOB,IO_L04N_1/RHCLK7,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P66,,,VCCINT,,,,,,,,1.2,,,, -P67,,,VCCO_1,,,1,,,,,2.50,,,, -P68,,DIFFMI_NDT,IP_1/VREF_1,UNUSED,,1,,,,,,,,, -P69,,,GND,,,,,,,,,,,, -P70,seg_d,IOB,IO_L05P_1,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P71,seg_dp,IOB,IO_L05N_1,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P72,seg_c,IOB,IO_L06P_1,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P73,seg_g,IOB,IO_L06N_1,OUTPUT,LVCMOS25*,1,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P74,,,GND,,,,,,,,,,,, -P75,,,TDO,,,,,,,,,,,, -P76,,,TCK,,,,,,,,,,,, -P77,,DIFFMTB,IO_L01P_0/VREF_0,UNUSED,,0,,,,,,,,, -P78,,DIFFSTB,IO_L01N_0,UNUSED,,0,,,,,,,,, -P79,,,VCCO_0,,,0,,,,,2.50,,,, -P80,,,GND,,,,,,,,,,,, -P81,,,VCCINT,,,,,,,,1.2,,,, -P82,,IBUF,IP_0/VREF_0,UNUSED,,0,,,,,,,,, -P83,btn3,IBUF,IO_L02P_0/GCLK4,INPUT,LVCMOS25*,0,,,,IBUF,,LOCATED,NO,NONE, -P84,ld<0>,IOB,IO_L02N_0/GCLK5,OUTPUT,LVCMOS25*,0,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P85,,DIFFMTB,IO_L03P_0/GCLK6,UNUSED,,0,,,,,,,,, -P86,ld<1>,IOB,IO_L03N_0/GCLK7,OUTPUT,LVCMOS25*,0,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P87,,,GND,,,,,,,,,,,, -P88,,DIFFMTB,IO_L04P_0/GCLK8,UNUSED,,0,,,,,,,,, -P89,ld<2>,IOB,IO_L04N_0/GCLK9,OUTPUT,LVCMOS25*,0,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P90,,DIFFSTB,IO_0/GCLK11,UNUSED,,0,,,,,,,,, -P91,,,GND,,,,,,,,,,,, -P92,,,VCCAUX,,,,,,,,2.5,,,, -P93,ld<3>,IOB,IO_L05P_0,OUTPUT,LVCMOS25*,0,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P94,,DIFFSTB,IO_L05N_0,UNUSED,,0,,,,,,,,, -P95,,,GND,,,,,,,,,,,, -P96,,,VCCO_0,,,0,,,,,2.50,,,, -P97,,IBUF,IP_0,UNUSED,,0,,,,,,,,, -P98,ld<4>,IOB,IO_L06P_0/VREF_0,OUTPUT,LVCMOS25*,0,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P99,,DIFFSTB,IO_L06N_0/PUDC_B,UNUSED,,0,,,,,,,,, -P100,,,PROG_B,,,,,,,,,,,, - -# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, -# -#* Default value. -#** This default Pullup/Pulldown value can be overridden in Bitgen. -#****** Special VCCO requirements may apply. Please consult the device -# family datasheet for specific guideline on VCCO requirements. -# -# -# \ No newline at end of file Index: pong/pong_top_guide.ncd =================================================================== --- pong/pong_top_guide.ncd (revision 427) +++ pong/pong_top_guide.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###4508:XlxV32DM 3fd7 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###2200:XlxV32DM 3ff8 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###2732:XlxV32DM 3fe1 a94eNqdm21v3DYMxz/RFpOU/IAVAbogyYKlTdGkXffKuAdnKHZNu/QK9L79ZPvssyyKotNXhf4/kdQjJfmyedo/7+rPT9/3Zx+vX8PZw+Wbd/XtzdvL2sL6t01UzUW1ENUSQVBXT9vM/UNMMwBbHnp38+ny9hWdy7pJ6Dah5wm9SOhlQq/OYSPoNcmykWUry7ksF7JcynIVG91eHqYAKSAAE1AfL27/BMsXQ8WW179/uLpm5uWo/Xrt/vfmwyemYR3z5cfPLpo1L39bbf6tefXuw9uHy/evsnOmUybq0YQGQskPiH5gNNGI0PNe8oGiD9S0BTWBYCoQEgMhjQ9K+TCiDzM0VvJhUj6s6MNq2mFTPnLRR65pR57yUYg+Ck07ipSPUvRRatpRpnxUoo9K046q87GKAnUmiSCJKIkkiUYSrSTmklhIYimJFeahePn+4ebi9W09QO8v7y8fsFGCw1ZN2cIKiIurkCVcXKW+ekml68XBlZbM4ir1/V8Z2RdVAypeVK9+tC+p2S/zxb1SETBT7v7vtxd/cMu5E8bs/5gCkGlI87z/vFnt6vv9at/UV/dv6qurLeBKS/5y84TrBTBwS4Wnh0StjRrVUWMbdbMAbmeCvsIQ+MIKpG4pqVtKS8aHFo0PLR0fo47aLInaLIraLI3aqslc3b58yfzLj/OP20zECmd3VCyucjw86KNb2p8FVily8+Vb3fzX3cJWelYxByZwt6IXWMf0fJzAsKCRsCAMgPQsOMHDWOpjoQWtJJdQtnpaN4Un/OLgzYKONEs60gwnai8316tt9wjQPtVwArRvPEVMYKtg+2zECyYmRLxTTDCxsEw0LBsLy8bCsqH37z/WXW85UyUrtN79a89EqtfOrn/tmavDIHnHyvVqt6t/vsrOfctjMftmMVOJNQm8SRBNDqphTSJvEkWTKJok3iSds49CM9WIqmUdGt6hEdtgxJ62vEkrmrRilDlvMh9NUqi6OzFXCmwpb4HYUsOWWrY0958Qj6VPX/fha2SvbT8/+6+GY/H4iNmIMlUJwKD/dBkARZdrMc2ABkI0acjd2uRWk39bOsntpv9P0yX8PEUMRx8liEmfYlS7phutSLP6O150MAeZMEsAZ3f+UY5F2GesgDQUG9AR6IZ9zUNfn6UGH9WYh14WdogRQtEDyh5Q2EdbSKGiqHJb4oHPZ4cxY1m2kstYXDHytk5Zh6tEfCUprxwmeYUzaXiTUuY4iJnjwGeOw5gbQFS5bfnAJoEDmwQObBI4sEngEPHG7WKHYfW0s2Arywmd25GmOr+NngiQA3Ays0P4umgfZftOFhvg9PoqSVyLIZAcgpPF6kau7mQmeXp6t0NKLqzswiYGodVTADJZwwPaiVIxSH8wmX0SmGncyuVPLQf51OLJ7MzwgIpr1IRwkUHCi8tvmAAiZ58AArQpKJpzp5w1CW/WdDNKtlLahJWyVDSsgwCNAnKnjrUKG3ohMrrdUWrfDV+WIqKRTZCulbkKc03YKsH4wXHGD48gStBI3bJLdssu3S07XbfstN2yW9gtu0lrCSJTJp7cJ4euMlSfV/umzZqPUUmYfR5BUfMQNw+j+YIn3OEjpgA3rp3S79Im+IkHi0CmgQBJARG3okOqu66102m7hD67I7OMHyaXJvSCm4IB1UWtMFewOT4YnHTHI7s0A4jfSxls2F3Snk2maEKenIOQnoOgmYPOjlFAhArIGIjNvOOzSnSMh2eX/l3Caig3Nhsdl9hqxuBKLpVPiTLaCQPQhW8UkDCzfGwI3uu5bbNbdVfXFVc67MuCaDh7wNqDcSNmqiBbZfxlmCQSYCC6bZkpBK7QnSmnhc3Tar1r/JNzX3Y89sx+njLT/CPyUZx849rKsj+vA53dMCMUyqHMslMgh2dJFnHTr1JAqsCHXxewnT+8dD0KWh80pAgXc5lm2AdCBoWkQ3fjVTDX/uHIZ7Bix2JU2fOVD5GRVGPZXh82wHVcI2In9ai63jayzm6rc6y7IaUIMVBbAjfsR+dejLvmcd8/6XHF889MYzE7CjMVWZPIm0TRJIomiTdJokniX/ZmKrEODe/QiA6N2AbLmzw9FlKozp8Dj6U8i2wpsaWGLZ29xh1L+1WFwWtZKIvVSa5OiepGrm4S1a1cvX2l8gft++brcxM8hI/F/DzwVRRVYh0C7xBEkyCaRN4kim1A/qn/qBJvkviDVK8Gc7kvBbYU2VLy9/Vj6bCvrwTN3y2fmp/7+uLu9q79swJ/2vgSIMoy+afjQHYJwyaA4TiRiVx3Gkgis7H0kXx2E5mr9VVCv5asl7mf1efqMNni3YmQWaE7W9mFmACkGNHMfgLuq4UQW9e3VUSG+YcQXwtf2D09fC4NZGEWDcDQvZE4xmfpR1mn2YsDR4S30Rg1v43GOfbxOcRL//gVAqpugEIOv78ih7dWhjuuXRWGsfV7+kAPaUS2slMiFFusJ8RAPJo+bRbtqqEkAoai/e1RwnSZcewVjMeLTEG5rUNDmVxDFfHOnVCzP/6IQN2dLO2TskxFzX4LGqP03UuurQrKWg2VW0XHGRtdbVNo/pQUxdg/12PpHBSec1B5zmGJ50IDVZrwKl14FUS+lkToLj0GR6zTzfMxKrH3ZYbAJGGiAUA8AEgGcDp5x8zjeVSiuGfiP+VMCBOvbJJB2Xhlm2zz6aePBU/MvxRNFIgqcWsUVUxUsS45/Q8xWRt0###2720:XlxV32DM 3fff a88eNqdm9uO2zYQhp+oWQ0PklwXBtKmG6RosxdBW/SKkCV5s4jWNmxvm337ijqYskjOjLZAKpnz8R8ehidJW+4vp8Y87c+Xu339/dLUu4t5NeXz0TxekvY/AeuSQEBomjFf/k5ERnLmWJTfDLBJwSalSBCyoSvbMCrbMCvbsCvbsKrw/PK9pdRPyUYoEulKRynBJtoYDgFSRtAygiEjaRnJkFG0jGLIaFpGbwBqlNHxSBqBIT6ginD7w6UL2pqww2oOnJ4ev7aEjZckbhvjk0QEjah4IQApBNCFGBER99CGWNQmEe9ykJbx7ArJruiSaSS7puuurx7yCGKSuAniJkRQxk0qbtL+kBlNk8lL00w3e5FabUwJmgFaSDCEBEdIMoQkR0gxhBRHSDOE7Dy2w6F2IkspYpzJ6hg4TlU7CoiHyECMI7a84V6et/XJFFV1qs9n2xcSNY8Dj0MJFiVZlEKLrfBiK1ax3aSEuWr7HTOnG9jGzXhljRzCQsz2KhEKUFcKdaVYrhTPlUZdaZYrzXOVoq5SlqvUuboZM25lvu1ib90PZ4NINgjH1dwsw6oioiquhUHNAjdHnMqIU4k7dbsC1KzCTlXEqcKdumEbVNUR1eseAlTA3G4OgskRWoSTZThZhZP17XZ2TJ4cFWvCfrtqBYBui6BwaJwqWZjgYTJetYaoWkNVreFUreFVraHLPEwddlzWhB0XkISApAQUIaAoAU0I2C3ObVOdy8OptvPjNpg8jijMKoKSEJZ0c2MokwhnEmg5RqsOSsqwpJu5pG9t54pQKgRTRTB1dowaUvt9W7AaVxtqFfMAuLHaEaPWX9tOl+unc63XTdX2UneB/iL6i+wvqr/o/pJuMnvJfhyyZJtVezGJefj5z/vuFtytcLfS3Sp3q91t6m6z7lauD7udXB+LU74+HvaP5nI4QnK9Nc/F8d3+8Vmtj2dR1t3/33349ff3/5j3H35bdb/Np1bH5hnvxwjxkkQnU3Uy1Uym6mVgfaqLyrQJfat+Bnu689JGFz6uA2kZiHla23tt+WaJ2k9atcFzm9Q95aieTv1TjKjNHaIJRNCIjBcCkEIAXQiYnOTDSHeSj5jsaS9i6qe5xDaSpBmwSx2Dsmc+BmZ2muNVAItSLCrlUMoutSS14jTG6u5BbFnYGGZkXwGjr4DVHmDbQ9AUo6bAqylMa1rNaHtTthP13R/l4WV/MWXzzdip2eyemkt9EvUyHpZmEDJdlsF8P9jR365yb8kIb81ot1WiZGRsDv+NVasW4bCQF1Iv4l3DvSEfvDGfbTYoYvk+g/SG3tTonp5EmC9lsTe/HCq7zRMFh3JPiEgYWJKwWVBKwZIUS0opWZJySSkVS1JNnqORsGZJ6iUVT1mS6RLJjCWZXdtSkLBJOBBLSXAgyYEUB9IcKOVAmchpqNhX3fveFRtFQnn71E5GdkpCp4UpNfboAlhx/APLP9BDycGCJcmYQxwsWZKSjvsrjMW9g1hKggNJbxsUgCZb0nIJjWxqQrjdYi8oDSwqjX1FtkBcLBIXy8TlInH7Ki0ai2VTFydzqh/RETulyPXMwd2rR4rCItZBSPs4iBNnIXqROCwSt2GziuM3+922JDLhs0NHLM+i+CWCBSVykylbXiyQdxNrzszSRhYbBT5KFMBtxG0c7tjoWLulORS7OMAvjutLrrjgi1/fRYmMl8MkbBLYpIifgAaizRAPZcdcH75u+ay5X0R/hGjbnodpmSLs4w+KGJ+7EVKCdCZJZ5LrTJHONOlMc52lpLOMdJZxneX2bQxGmIQCgAIEBUgKUBSgKSClgIwC8vigPs8X/pxLAlcU2KLAFxVsUcEXlWxRyRdVbFHFF9VsUc0XTdmiKV80Y4tmfNGcLZpvIL5One3R3Npe4+uUY67rVMFnkd2lD5P7s0mWAcWLcr4Ul9rcf/nD3N9XyGPaAPzDp3388BjmQcKCDOPue3keZE62X/A91qf4EjMAY3jE420GItvbOWm/KyTQoRrzY5v95T1z7n6N2lvM6L1Q7H4dTp2xQGzhjMPb2LhN+aLd9xDDe1RAjOMZmGYE4gQwJ9fH234NRqbdH8Rt/iMeZ5ssl5oBAfin/aCY9+YsSKVeeIexuwdvxMdA9+cjDL77cGjFJe8evCkQYd0HR3QWuWI1hFwxG0JO3jbmLH74hIpG05SH5YygA07QQRd0Kx7HrEbLShYmE0bHWIzVMT3IDwywb+Q5WAqsYqbALKYFY8+uQ3zOKmWeeCfdMDZOd7soPe5fUooYpea1ONenf2tvEepSr6tQjVrBf/EyB2w0QoVCK9wu/IVlZqd96MRryJm9jYqUItyfXSFgRrjKSFfZZIAADnY1D/fguGUtUWukLu4DOi9o7BbOd9lt7MYOLVGrtwXozUPbIkb7sfbceDh+q1/9SvTpV5c7wu4PIo+IjccZKHNKSuaxByIzUOV+788Jc89gPlKeckUSmqpWrpkttEqj3XWNOtzeBr2/Awsw7TgrOJT7vD4M992l1+f2IFoU/aX7MrNP2vZJ20lS2SeVk6SqT6q6pLS/P26H64Sre66eJO36pN0k6bFPehy+FT0fi9Ol2MuiHZiXp0tT9xUYv4ex/177z5ajVkCtArVK1KpQq0atKWrNUGtu//IhbG1eulfyAjWbT5//MklUY/iMCTMDbha4WeJmhZs1bk5xc7b5H9bv9Io=###4636:XlxV32DM 3fff 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###3992:XlxV32DM 3fff f80eNq1m0my3LYSRTejBRA9WBWe/1U4Am2EJ9ZAQ4X2/tFkoikWSiT17IHrGSASDW8eIoE0EfT5N9nZ89cPod1je7Cff7FtexBmnukP+SCC5AdoeiD/5/ag9QHKFDygRaqTlGCdSG1YaqNjKedjee5Mh9KZqZ3RGLEzAZ1pXzoTtWF+ADoT0Fnuvw2ExC21UblNfrS1yeUwFVvqwlCXilKb/fnrlxUqzeGhH/xhf36jck99sOc3EuVDq+c/6aHU7Pk9dZB//0mDS7/faFmB8MwFoRf4XLDT+uTO4Je3B7guD4heoJ5Py7a8dvmp7WfpmKb1+JekAWuXR6Ieu6XPOjYpkwFmqmVm69jiVv47rVL+/ZUM0leDFgzag0F1ymD+g40GHRj0YHDf0KBOhgTpUyyrJGgvSKv0Pb1INK3TH2IwzXk1TUUA27LZdmgbTeZf1kwLXvrqC56E2Pv6QYJF3eikNZ6FXcU7lWfx7ryUh7G8e0p5xcVTKIpXz56isSFF8WoQr+DNI9TsERGNEjSqZo9Q2JCgUYVGlewdDh5RpQVtwCMoeMTgRWTyCLbZ5BEyCWh/9Qial9fe8gh4+fKJrtFdIvVoFh7g+E0PsAsPcPSeBxCy8AAn/tQDCH0xjR7g2MG0m00n+cymswd0wQu9wytOfQwCVuXVF61Z0Fp5oAu4jInWB6rW8gNVaxp1mNZkELAshC1GDRolk4BJE6NBo6Qh3fYOJwGb3gYEbEHAZB/rioCFrQL2ScAqvX/zKmB2Eel8OyC9k/vA9tSzWwl5uylkvxCyjTeFzFZCJpeFLLZZyHwh5Pwg2BaTkulE6gPLu5JVg1/qZEB03bS08o5o3uDmixibsHkvBw3yJmzUU1qjQdhqYyhsh8Jms7AZGnVolDVh+2Ego7BdbwPC9o3MdqibyJz2Lg+b9BBehc3z6rn67q/vWcq3J+t5g99PxBZN+biZycIPC+HbcFP4cSV8f1P44tUgCJ9ydKUknVH5M7FBnbjBmIQvV3sYhQin6jTCyYe+khhRT0SOexjVthylvDmI2sJY/rKHaeUD+dtOAvfdRDYHidhGYN/b7JzT5l81HZN588/bhyCAc4IfiV4Offc9f+zjmvwoDLaqH8XmR37sB/0o9U8HW6V/4Yp/uVhiAfOIL/7F+s4n+dfvdkCj++TvBBW6tKC1xXeqy9u1tECAjJrcUSYidVC1/MtyHhfeJfQt7+JiW3iXMLe8i4uy03jnXe27YsmH78rgTeEVJZxWUxxQokNESya/DhhbNfCdsoomWPRs8HX1OAOD4Pg6cjRozxj07GWyyd//RzdYwlj/adxbbt7G4CRpIbxogRtsGLIWDK60ef3K4qvbD68uvnl1ZXmLIftqCF5Z2kXV9mpbt//BDXp9Wt/spH9li6m61KEnp6ehzkAdsxjohLwz0M9iIP/xd/5XCtXR1bNKy/eWAidSY4/o8GzClodvdG5T0eHZMCpoTPuI01tNHWpWyrc+2lpe2eLaQPbxe8+LEHJnHBhZHmjTLJ1VF8NZdGBVX4bGBVh1mhZHWk4rymcV1ijpsa5R/iNZKQ8g2ihsEaq50jPZ5dBDNfyyQfZ8xbm+QV5w7gVv+gPeuFgdbAh3E1urgw0R7m0KvDxi64QnN4CN3Irbglu4+73KrUgW3BLkJrf2L+EWn7hVQsWJW+0b5gduUbKf5Jtb8c1f5Jtf8S2e4xvuXiJB5/aNbxhYp5cOde7At7gB35KBkW/EIBng+CivTfVdiqFE9h2oow1fCFy/z+zbkX142uT3YcTQWPfZTOwTfSYj+zwGSl5OsY5HuAg8hfJyWAJgHx1mOLCvqAgaA/soIsof2JfXtrAvv4DOvkpWtFIbv8RJ3qz4xi/yTX7kG1vwTW43+cYXfJM3j628+0K+0RXf6E2+sRXf2D2+he1L+EZnvvGTfLMn+RYWfJPkIt/igm+SneMbRlSx7ZRi4xtGTpFiXTjyjSLf2IJvHBlmj3xjWMcborDT9B5HvoXm7xyQE7ZhxNB4mM3EN9tnMvEND3uSi0x8c9gZQ765YQmAb3yY4cg31hsD3zgiKh75RpFvbOYbHazUxmHmWyArvonf8Q3i1HglTuVCrEDHb4JOrkAn74Eu8D8DHaxCnCLRyFfE4zeJJ1bEEzeJp/6DSLQcw5whnjtHPLmtiCeuEU+SFfHUKeJZPKeNAlw6WQTiWQwsI8e67Ug8jsQTC+JJpJo7Ek9gnUBohRYmq5l4CgkgkXhqGDE0ln02I/EC7TMZiRdwhoFPxCuuw+oAobNxCYB4cpjhSDzRGwPxJEBLkiPxOBJPzMQbhgCNtxfi6XKlox/+lXjyQLz3O7nPgFMrwN07YGPBfOHGS64wJG9iSK0wpG5iyH/CkDQXAUS3UwDikq7AcvEoTLIVWMw5sGBkFpubsgaWFmBhnJSGfQCLRLCoCSyYVpMFWuFBt+b/uLNJqz/Bww/lbTDQxvWBTszY+yAnZmDUmPQ8McOgwypkhhlmB8xQw+ALGyQ7IkEiEtSMBNl7ACTQGQmUL9IUnLqaphA/3PKmjlZhnrX34EBXYZ69d/rOqF1c6lo8aNvl6eyEeQ9E3epSl+PsdVzm57xLw1Et8YS66UpKwzlGebPlnpW6SdkU1UsxEKAOE9AwvyuvxZRWo9AoQ6N2knJL/qG44c8P1Eunlr1Gp31+VQS0qZdOlB+yEiibD11pTjTb03t3r3rV9y9tD2kKv7+1/ZiLRlc7fbvf1Ppqp2/1Ta375T2uQrHTK6k4c9rBnNJQMsDe3uzydrMrbtzsnspXUy17Kw1juuvlY/lw16vG8jeZnVSiD4TZsZrz4H6TBvCBmv1Q6vx8ubuN5cfMziwl6MzPDoffJ4r7Teqbw8k+kMnhRG8DDifxlrc7qXj5QOhFZqfbvy6zc8iBoKtNpJU3fUevfEfc9J24+k6oP81iY9syj9Odz+N8k/FAAgKVbbMXqLH8TcZDKX+TtZl1UYTJ5nMm1hStQZhsw9QH1jwkvs/apArVHme147kUxZ0SjU3tunc4qV31NqB23dQuh7pB7drwEiGZQ26QZ+dyF8Zct2OqQvnk/T5VQauVExB2ywm0WjkBIbecQGuyTFXYfpeqMH8etDscSEBkRvyFyCwbOkQyEJERdyEiyyrQf3YgNIVh4tT5jtarMIzQS2GY1qswjGxnwjC9w6cqL2cJRrLFGmppzHfK7wzqMAyj6FNZaxBl4RGNNlhnphTvutCstil+XR5onUJj1Qc0hFsa0yPyYIZwK/twLddT5qzWG3YGECkPtFnUzpQeZtFpUj0SGhea1GlaHOkUj5XlyfFYWcMh4QC/shS2tdXcnHBQiyyOqu99tdlXcOLnDqw/wkkXA++gE28yxyyYcy/3UNer5D89nX6FD1vBx16ED1/Bx1yET/hC+Khzh9DUnoQUX0AqXGSUWDDKnUNU83yODiwaotD7HcM63hDl0LkNIqrdqdHm+DvWqUYgbGfCjK+ALmsQX2EYEDT2fbATvkwf6ISvHTubQuwqf1YHCJ3ZYYaALzPMcMTX3hsDvgxSRhzxxRBffMRXhSNaqY35y/4prhB1+04tBeFvWGVXUcJNVrkFq/Z7rLLk62/StBMrVu0XWSVXrNLXWGX5f5ArQPeT0PInoSUX0DIXoaUW0NLnoNW2MRLdVjVo4f7ICayTR2g5BJM/QstiHSZBaYt7NcsnaFmOTgz/n0V5oA0IGrM+2BFadusDnaAVsbN5z2UJdmaxMzLMEKA1znCElu2NAVoOuaOO0BIILTlDywxWamM5Q8uKFbTkSWiFj/sqv2CVusmqsMrfvMkq9SWsCjOr1IpV6iKr9IpV8iKrzBfuq8xJRIWTiNKrO7iLiNoXiOLnEIVbD9eisL0hClHjFNbpI6ICYigcEeWxzjTKYJ01M6KaywakhhkGBI2HwU6Ikn2gI6LwCjHrfUJUi+w8djbOEBAVhhmOiEq+/X9WsD5v###4024:XlxV32DM 3fff fa0eNrFm0uu5LYOhjfTC7BlirSqkPldRQN+yEAm6UEPG733qxf1sM1zqnQ6SICkTmyJku2P1E89HtOvv2baH+MxPN0f9jFOq/tjNuGP3z+V0Y/h4Qtt9Bhpcfe2OfzxfSRfYKYtFfBWYuU5/OEKmOfv3+u8ro/xgY/5sf36ptBZnqfnt/HAx07Pv10hV9//rvF33t3vNzUMDwXD010wqlyg5/OHIl/C/c6T/11nOtwvuH+HX8GsmpbnP+NhH6EZephVPWPDiM7etMSGptDgD/fk4f/VRP7X99fbV7W97fk/NazR6BH/sWx7YNuzs6nH3FftOv9jnHUyuvnHnCqjoKK9UUdLsz3Y0uJfR+plNPBDTcCGlrOhKRmCZOgANrR+aGg4PSZA9Zjj5fm20/NN9fMp5T/CWFszXHF35eJLd63Ol+6nBtXlYx03H8tatrOe7Wy546E6DXL1n7PxF5THenE8ak+to9TacG/he4bvLememoZ0z0HnrD//8g/u/3D1Vsv13JvQo3MAo/z1baiu57ZSnaP0w32a53dHdbi+lT7E67SH69xvB2lsY47Xd/bCw5WnZyyQOx8bo6PqfHD40JGTnxv286X1c1taSH6+NH4+TVPwc3iYk58vnkOdPkH03sbPJ+v9fLblwn52fAwXpiYSuBaV4Plrn+v7RzgZTHSu48UgvWTw7PZTwnRN3moMCgEEwlvR1UvYa4+bposnQTLNrmRE31Un040z/6QhEevbcMU8yZ5KPZvIQPjUnv5YIKMe+6RigYBhKOAw/P0TD8v3TDI622CU2Khio6ZmO75DFQskoyYZjR8sNeiRdjDGOqrUCajHLnuSR7NU9wLAeo0Aawcwue+/nAHe8kD1NsDMrf8Node1AxK2Qye2WsB2OTqxXQVsPYTR4nz0crudOsvchiEj2rYXcNmk/51irwuuwB90c+BMnkYI16m+XmG8MXGaidtajDeuqJm4LRFHQyZubTFe2Ciw0bXFeOWKwEbXjLEuDTYYQ6mTMNYJ4wp9OMVhp9Sc2oLHesZ478J4Ps6KbCgljk8jtQ6RGgXkF9uJPEnIb53I+/egb5FPCs0oegf5BGpRg+4ClBJH6xRWCObL/n4wH+tgrsODBU5s4wXhowVgiYG1rRfkgE0MrM1ekF3L6xDwdYbWO/bkii5O+Otrfb3ymoM7gdyJvfWa3HvkTuzZa6h0sPEaLHWS11D2Gl3dq70GBin4z5J6YWd5wQOq4C9lK04ldXkCDJInLH2eAFoM/mzRwMUTcoCuqAYUQz1yqN/fwfoa/PFIotY31gT/ob5+o2H8Bw/EhQIFe2B6YEjE+QInDePe0b2GOdiobjCGjN3BRjVjDENpsMH4KHUixqHLJw1ztBoGlBT8zX8W/GGUkDedyCsJeepEnsTgz2JaqX8t+MMsBf/5q8EfWDu7Nm4lELDoDgUqL5i5IotuX+DsBdQG/6G+XgX/qb5+I5kcIKkT1HoNB3hXIHWCsteo0sHaawJsqU7yGsXBv3jaeAr+TkI9jEPqPEW1LH/Ia25TAfer46gAk+Qi2OkiILmI7nQRczaYXWS8yPYuF6k9Yjn5Yxk4OEXSx+c5QnK6xiFY2sPC7EZB01yvhhFbX79RT8ByH9pUGDhCA8t94FRYA6shMPcqCcy9SgLOu6FNkYubc94NOUUGKB1pHGUqdZKjQHYUVd1rHGWT5njsZypJmtO9jBlzGDNWwSHM0ukQm+AQZu5ziIDYnUwyqzy1cwrWLfUB1rtxwJiLxU/HAajHAVQcFV0b92poS2SFAgVjnenZElm+QBwHFIdT9ypu1RCsbHRqcNUZvZWNThnXrTTY4LqWOgnX7aKGYD2pISuJ+kOK6++L+gbbXcKWOrG1ErbYia2s7rf31L2W1X3WLWOHur8HmKWAbmQ+Kl1fvxM4lhlsZb7OMt8yg1nmK4Zea0Gw7Gy0lfmae+MKJKNF5tvSYAP2XuoksG2Ow1t1r47DehBk/jq8AHYYT1jLvybhpazV6D6utZS1mqmTa1nC81Q7jjLXomRwliV5buDL8pwDoZ7v1YXmJFW38lyzPNecpOosz4urNPIc1Vpfz6qjop0E1cFJrW7luc7ynJNaneW5HkoHG9qPUifSrodMu63uNbQvguoww6tzM3caQxsBaqs6oV4EqO3QCfUhBGs7XdD7MFbjIDBsR5nhjxRFSfZwqNGFsEIa0F0SNdgsh8auqFggUoPDJbN0D16hCAHdYNQwikeLImOlDaN4ZBSX0mCNojalTkJxuSgKbVpFoSUB7Ia6FxVFTgOdNUnl7p3T4lpSuXvntDiOAoH78SaBSiBw3z8nsAGPo46z2IDHqlOzlA0FKvA4qdEsZX2B06qOe94avLAAE4yylA0FCniYhTVLWV8ggbeVBhvw1lIngcdStoJ1bWIgkpLAU29OUbyagmlJy+6dKZiWtOzemYKhlILtqwynMCVxysVQysV28/6gf4qcHOQQWoBzkGPJim0uhnmgZsmKkAFmpnBqAeYET7NkxTYXwyxBWLIi52KeudxgA/Be6iSAs2Qt0J8kK6IAsKbOQTzsAUEtDeKdIRRRGsR7Q6i0mG7fDaGbNIjvLw/i0/20AG73gzjyshy2K+TIXxl5WQ63y7QArveDOPKyO7Yr5JgZ5mV3zCvkiKXBGkXUpU5EEfEyiKNuB3GcJRTnr04LRCZJYrIzcuIsMdkbOXeJyfVNJqWFa2u+uAup5DFo7wd6/xkjR+3CNeYAOzNH9pLa434/0COvhmO7EI0c85BXwzEvRONcGmzgpFInwTlznCxA02mgl7bRafMvDfQo5kGdiw8o5kHQiauYB9FXB3oSMyP9xYEeFXNIw/1Aj5wiUZsiUY6gnCJRTpFyFo/H/UCPnCJhmyIhp0jIKRIeeaCfSoMNwKbUSQAvGeC5ulcP9KJSnfc394HerJ3VYZakdeW9k1uS1pX3Tm6JJIH6dW6lWald94kB4L3N3nSFa8z0PVnEa8XUTkYRk0C8Vkw8GQUZV2oml2KmH4zy2i+1k0vEsZN47Zfy5FLWpdSu/dJY6kRcSV3EAI2tGCAQ1ghm+5kY+HyF15mXVnb3zkkmklZ29849yiTtUd5fmGS6Z1PamqzyBg0/b1zBKWzthLzFi5b7rZ3ULsvmFX6Ky7IZ0aVcTzTxaizkTZbUrLrGOYGAKK+6UrvqShwdiVddKa+6ElQdqRGdSp2EaF51LVhPJ0ngEV3dR7dnRP0yVsi4/qPNPZclsLSloQnTUoa2d253JilD2zq3O9Mq7W3I2y/8skTlAl/Y7RMOTN3ubViuG027ls2a1s9b+1nG0tbuf5jr6zf7H2i7aph8vRoLODEkTgxpy46W/WVt9w1Rff1mOwS1W63zFh/SycmTP67lemp7zQoHSr8af9SVreiPmP0R6nbYH137qrIV2teb91PY97AqtzyOk59i2R3BJ90+8NM0lKiwyHM98KbCN6zPWk35rJX2Z63WSBqgdM4A+7aaAkrnDHDvcjyImdqd4215dBw/EEjFreC4HCtIB+x4+e+lA3b80r3B8/QnH7Tj/eAvHbSrDFr10YE78VxhEW/VcbtxOB+3W7i8rY7bwSVx4uN2uL113g6uaVL6UmhfOXAHS4ov/rWGc2neYjxUBwvyvS3d85lJuKcHjIOwv+de5TMY8H989//5/VMNKa0BnlXy7yYeeAOb1IB/9VWQil9iinVCoAgFcq9S5bH0uDqaB7stva2O5nnnTx2xtUoA3LmxJD0gzUbEx4yN8TQWYHMAJLpwqhzCE6S5rNTTNbRM5R2Fs3vhJZeze4qDvv8jVkZILY8GqxbivXZLMdhJimrH5fzufVQ7XohqgNIRETw6o5V0RIT6hDJY/BMHg1s5AIeV4hZ2xq1DilvUGbfMH4xb+NIxYTXur8U3GoX4RsOb8U0J8Y3Ua/Ft46hwcCRROb4tfI8d3+eg5/hmOb4dTXwbuTJPTPp3E31XjRPfQ76HOXwRxz7Txj7D/k4P9X8BewdC###4260:XlxV32DM 3fff 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###4240:XlxV32DM 3fff 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###3976:XlxV32DM 3fff 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###4060:XlxV32DM 3fff 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###4220:XlxV32DM 3fff 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###4060:XlxV32DM 3fff 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###4112:XlxV32DM 3fff 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###4116:XlxV32DM 3fff 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###4228:XlxV32DM 3fff 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###6532:XlxV32DM 3fff 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###6788:XlxV32DM 3fff 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###4444:XlxV32DM 3fff 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###4676:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 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###4760:XlxV32DM 3fff 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###4584:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 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###4564:XlxV32DM 3fff 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###4492:XlxV32DM 3fff 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###4532:XlxV32DM 3fff 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###4508:XlxV32DM 3fff 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###4560:XlxV32DM 3fff 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###3960:XlxV32DM 3561 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 \ No newline at end of file Index: pong/pong_top.twx =================================================================== --- pong/pong_top.twx (revision 427) +++ pong/pong_top.twx (nonexistent) @@ -1,338 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -]> -Release 12.3 Trace (lin)Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved./opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/trce -intstyle ise -v 3 -s 4 -n 3 --fastpaths -xml pong_top.twx pong_top.ncd -o pong_top.twr pong_top.pcf - -pong_top.ncdpong_top.ncdpong_top.pcfpong_top.pcfxc3s200a-4PRODUCTION 1.41 2010-09-153INFO:Timing:2698 - No timing constraints found, doing default enumeration.INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.INFO:Timing:3390 - This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.clk_ic4btn34.817-0.312ps2c2.0720.422clk_ic4clk_ic4clk_ic46.652Wed May 7 20:15:17 2014 TraceTrace Settings - -Peak Memory Usage: 102 MB - Index: pong/pong_top_usage.xml =================================================================== --- pong/pong_top_usage.xml (revision 427) +++ pong/pong_top_usage.xml (nonexistent) @@ -1,590 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Index: pong/pong.xise =================================================================== --- pong/pong.xise (revision 427) +++ pong/pong.xise (nonexistent) @@ -1,381 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Index: pong/_ngo/netlist.lst =================================================================== --- pong/_ngo/netlist.lst (revision 427) +++ pong/_ngo/netlist.lst (nonexistent) @@ -1,2 +0,0 @@ -/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.ngc 1399504485 -OK Index: pong/pong_top_par.xrpt =================================================================== --- pong/pong_top_par.xrpt (revision 427) +++ pong/pong_top_par.xrpt (nonexistent) @@ -1,988 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
- -
- - - - -
-
- -
-
- -
- - - - - - -
-
- -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - -
-
-
- - - -
- - - - Index: pong/pong_top.xpi =================================================================== --- pong/pong_top.xpi (revision 427) +++ pong/pong_top.xpi (nonexistent) @@ -1,3 +0,0 @@ -PROGRAM=PAR -STATE=ROUTED -TIMESPECS_MET=OFF Index: pong/pong_top.pad =================================================================== --- pong/pong_top.pad (revision 427) +++ pong/pong_top.pad (nonexistent) @@ -1,130 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Wed May 7 20:15:14 2014 - - -# NOTE: This file is designed to be imported into a spreadsheet program -# such as Microsoft Excel for viewing, printing and sorting. The | -# character is used as the data field separator. This file is also designed -# to support parsing. -# -INPUT FILE: pong_top_map.ncd -OUTPUT FILE: pong_top.pad -PART TYPE: xc3s200a -SPEED GRADE: -4 -PACKAGE: vq100 - -Pinout by Pin Number: - ------|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| -Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity| -P1|||TMS|||||||||||| -P2|||TDI|||||||||||| -P3|ld<5>|IOB|IO_L01P_3|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P4||DIFFSLR|IO_L01N_3|UNUSED||3||||||||| -P5|ld<6>|IOB|IO_L02P_3|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P6||DIFFSLR|IO_L02N_3|UNUSED||3||||||||| -P7||DIFFSI_NDT|IP_3/VREF_3|UNUSED||3||||||||| -P8|||GND|||||||||||| -P9||DIFFMLR|IO_L03P_3/LHCLK0|UNUSED||3||||||||| -P10||DIFFSLR|IO_L03N_3/LHCLK1|UNUSED||3||||||||| -P11|||VCCO_3|||3|||||2.50|||| -P12|vga_vs|IOB|IO_L04P_3/LHCLK2|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P13|vga_red|IOB|IO_L04N_3/IRDY2/LHCLK3|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P14|||GND|||||||||||| -P15|vga_hs|IOB|IO_L05P_3/TRDY2/LHCLK6|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P16|vga_green|IOB|IO_L05N_3/LHCLK7|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P17|||VCCINT||||||||1.2|||| -P18|||GND|||||||||||| -P19|ps2c|IBUF|IO_L06P_3|INPUT|LVCMOS25*|3||||IBUF||LOCATED|NO|NONE| -P20|vga_blue|IOB|IO_L06N_3|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P21|ps2d|IBUF|IP_3|INPUT|LVCMOS25*|3||||IBUF||LOCATED|NO|NONE| -P22|||VCCAUX||||||||2.5|||| -P23||DIFFMTB|IO_L01P_2/M1|UNUSED||2||||||||| -P24||DIFFMTB|IO_L02P_2/M2|UNUSED||2||||||||| -P25||DIFFSTB|IO_L01N_2/M0|UNUSED||2||||||||| -P26|||VCCO_2|||2|||||2.50|||| -P27|ld<7>|IOB|IO_L02N_2/CSO_B|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| -P28|seg_e|IOB|IO_L03P_2/RDWR_B|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| -P29||DIFFSTB|IO_L03N_2/VS2|UNUSED||2||||||||| -P30||DIFFMTB|IO_L04P_2/VS1|UNUSED||2||||||||| -P31||DIFFSTB|IO_L04N_2/VS0|UNUSED||2||||||||| -P32||DIFFMTB|IO_L05P_2|UNUSED||2||||||||| -P33||DIFFSTB|IO_L05N_2|UNUSED||2||||||||| -P34||DIFFMTB|IO_L06P_2/D7|UNUSED||2||||||||| -P35||DIFFSTB|IO_L06N_2/D6|UNUSED||2||||||||| -P36||DIFFMTB|IO_L07P_2/D5|UNUSED||2||||||||| -P37||DIFFSTB|IO_L07N_2/D4|UNUSED||2||||||||| -P38|||VCCINT||||||||1.2|||| -P39||IBUF|IP_2/VREF_2|UNUSED||2||||||||| -P40||DIFFMTB|IO_L08P_2/GCLK14|UNUSED||2||||||||| -P41||DIFFSTB|IO_L08N_2/GCLK15|UNUSED||2||||||||| -P42|||GND|||||||||||| -P43|clk_ic4|IBUF|IO_L09P_2/GCLK0|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE| -P44||DIFFSTB|IO_L09N_2/GCLK1|UNUSED||2||||||||| -P45|||VCCO_2|||2|||||2.50|||| -P46||DIFFSTB|IO_2/MOSI/CSI_B|UNUSED||2||||||||| -P47|||GND|||||||||||| -P48||DIFFMTB|IO_L10P_2/INIT_B|UNUSED||2||||||||| -P49||DIFFSTB|IO_L10N_2/D3|UNUSED||2||||||||| -P50||DIFFMTB|IO_L11P_2/D2|UNUSED||2||||||||| -P51||DIFFMTB|IO_L12P_2/D0/DIN/MISO|UNUSED||2||||||||| -P52||DIFFSTB|IO_L11N_2/D1|UNUSED||2||||||||| -P53||DIFFSTB|IO_L12N_2/CCLK|UNUSED||2||||||||| -P54|||DONE|||||||||||| -P55|||VCCAUX||||||||2.5|||| -P56||DIFFMLR|IO_L01P_1|UNUSED||1||||||||| -P57|an<1>|IOB|IO_L01N_1|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P58|||GND|||||||||||| -P59|an<0>|IOB|IO_L02P_1/RHCLK0|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P60|an<3>|IOB|IO_L02N_1/RHCLK1|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P61|an<2>|IOB|IO_L03P_1/RHCLK2|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P62|seg_f|IOB|IO_L03N_1/TRDY1/RHCLK3|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P63|||GND|||||||||||| -P64|seg_b|IOB|IO_L04P_1/IRDY1/RHCLK6|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P65|seg_a|IOB|IO_L04N_1/RHCLK7|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P66|||VCCINT||||||||1.2|||| -P67|||VCCO_1|||1|||||2.50|||| -P68||DIFFMI_NDT|IP_1/VREF_1|UNUSED||1||||||||| -P69|||GND|||||||||||| -P70|seg_d|IOB|IO_L05P_1|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P71|seg_dp|IOB|IO_L05N_1|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P72|seg_c|IOB|IO_L06P_1|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P73|seg_g|IOB|IO_L06N_1|OUTPUT|LVCMOS25*|1|12|SLOW|NONE**|||LOCATED|NO|NONE| -P74|||GND|||||||||||| -P75|||TDO|||||||||||| -P76|||TCK|||||||||||| -P77||DIFFMTB|IO_L01P_0/VREF_0|UNUSED||0||||||||| -P78||DIFFSTB|IO_L01N_0|UNUSED||0||||||||| -P79|||VCCO_0|||0|||||2.50|||| -P80|||GND|||||||||||| -P81|||VCCINT||||||||1.2|||| -P82||IBUF|IP_0/VREF_0|UNUSED||0||||||||| -P83|btn3|IBUF|IO_L02P_0/GCLK4|INPUT|LVCMOS25*|0||||IBUF||LOCATED|NO|NONE| -P84|ld<0>|IOB|IO_L02N_0/GCLK5|OUTPUT|LVCMOS25*|0|12|SLOW|NONE**|||LOCATED|NO|NONE| -P85||DIFFMTB|IO_L03P_0/GCLK6|UNUSED||0||||||||| -P86|ld<1>|IOB|IO_L03N_0/GCLK7|OUTPUT|LVCMOS25*|0|12|SLOW|NONE**|||LOCATED|NO|NONE| -P87|||GND|||||||||||| -P88||DIFFMTB|IO_L04P_0/GCLK8|UNUSED||0||||||||| -P89|ld<2>|IOB|IO_L04N_0/GCLK9|OUTPUT|LVCMOS25*|0|12|SLOW|NONE**|||LOCATED|NO|NONE| -P90||DIFFSTB|IO_0/GCLK11|UNUSED||0||||||||| -P91|||GND|||||||||||| -P92|||VCCAUX||||||||2.5|||| -P93|ld<3>|IOB|IO_L05P_0|OUTPUT|LVCMOS25*|0|12|SLOW|NONE**|||LOCATED|NO|NONE| -P94||DIFFSTB|IO_L05N_0|UNUSED||0||||||||| -P95|||GND|||||||||||| -P96|||VCCO_0|||0|||||2.50|||| -P97||IBUF|IP_0|UNUSED||0||||||||| -P98|ld<4>|IOB|IO_L06P_0/VREF_0|OUTPUT|LVCMOS25*|0|12|SLOW|NONE**|||LOCATED|NO|NONE| -P99||DIFFSTB|IO_L06N_0/PUDC_B|UNUSED||0||||||||| -P100|||PROG_B|||||||||||| - ------|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| - -* Default value. -** This default Pullup/Pulldown value can be overridden in Bitgen. -****** Special VCCO requirements may apply. Please consult the device - family datasheet for specific guideline on VCCO requirements. - - Index: pong/pong.gise =================================================================== --- pong/pong.gise (revision 427) +++ pong/pong.gise (nonexistent) @@ -1,196 +0,0 @@ - - - - - - - - - - - - - - - - - - - - 11.1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Index: pong/cntrl.sym =================================================================== --- pong/cntrl.sym (revision 427) +++ pong/cntrl.sym (nonexistent) @@ -1,56 +0,0 @@ -VERSION 5 -BEGIN SYMBOL cntrl -SYMBOLTYPE BLOCK -TIMESTAMP 2004 10 27 16 54 36 -SYMPIN 0 -288 Input CLK -SYMPIN 0 -224 Input RESET -SYMPIN 0 -160 Input SERVE -SYMPIN 384 -208 Output HSYNCH -SYMPIN 384 -128 Output VSYNCH -SYMPIN 384 -48 Output COLOR(1:0) -SYMPIN 0 -96 Input left_dir(1:0) -SYMPIN 0 -32 Input right_dir(1:0) -BEGIN DISPLAY 192 -328 ATTR SymbolName - ALIGNMENT BCENTER - FONT 56 "Arial" -END DISPLAY -BEGIN DISPLAY 72 -288 PIN CLK ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 72 -224 PIN RESET ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 72 -160 PIN SERVE ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 312 -208 PIN HSYNCH ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 312 -128 PIN VSYNCH ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 312 -48 PIN COLOR(1:0) ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -LINE N 64 -288 0 -288 -LINE N 64 -224 0 -224 -LINE N 64 -160 0 -160 -LINE N 320 -208 384 -208 -LINE N 320 -128 384 -128 -RECTANGLE N 320 -60 384 -36 -LINE N 320 -48 384 -48 -RECTANGLE N 64 -320 320 0 -BEGIN DISPLAY 72 -96 PIN left_dir(1:0) ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 64 -96 0 -96 -RECTANGLE N 0 -108 64 -84 -LINE N 64 -32 0 -32 -RECTANGLE N 0 -44 64 -20 -BEGIN DISPLAY 72 -32 PIN right_dir(1:0) ATTR PinName - FONT 24 "Arial" -END DISPLAY -END SYMBOL

pong/cntrl.sym Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/pong_tb.v =================================================================== --- pong/pong_tb.v (revision 427) +++ pong/pong_tb.v (nonexistent) @@ -1,2457 +0,0 @@ -//////////////////////////////////////////////////////////////////////////////// -// Copyright (c) 1995-2003 Xilinx, Inc. -// All Right Reserved. -//////////////////////////////////////////////////////////////////////////////// -// ____ ____ -// / /\/ / -// /___/ \ / Vendor: Xilinx -// \ \ \/ Version : 10.1.02 -// \ \ Application : ISE -// / / Filename : pong_tb.v -// /___/ /\ Timestamp : Fri Aug 29 13:16:06 2008 -// \ \ / \ -// \___\/\___\ -// -//Command: -//Design Name: pong_tb -//Device: Xilinx -// -`timescale 1ns/1ps - -module pong_tb; - reg btn3 = 1'b0; - reg clk_ic4 = 1'b0; - reg ps2c = 1'b0; - reg ps2d = 1'b0; - wire [3:0] an; - wire [7:0] ld; - wire seg_a; - wire seg_b; - wire seg_c; - wire seg_d; - wire seg_dp; - wire seg_e; - wire seg_f; - wire seg_g; - wire vga_blue; - wire vga_green; - wire vga_hs; - wire vga_red; - wire vga_vs; - - parameter PERIOD = 20; - parameter real DUTY_CYCLE = 0.5; - parameter OFFSET = 2; - - initial // Clock process for clk_ic4 - begin - #OFFSET; - forever - begin - clk_ic4 = 1'b0; - #(PERIOD-(PERIOD*DUTY_CYCLE)) clk_ic4 = 1'b1; - #(PERIOD*DUTY_CYCLE); - end - end - - pong_top UUT ( - .btn3(btn3), - .clk_ic4(clk_ic4), - .ps2c(ps2c), - .ps2d(ps2d), - .an(an), - .ld(ld), - .seg_a(seg_a), - .seg_b(seg_b), - .seg_c(seg_c), - .seg_d(seg_d), - .seg_dp(seg_dp), - .seg_e(seg_e), - .seg_f(seg_f), - .seg_g(seg_g), - .vga_blue(vga_blue), - .vga_green(vga_green), - .vga_hs(vga_hs), - .vga_red(vga_red), - .vga_vs(vga_vs)); - - initial begin - // ------------- Current Time: 188ns - #188; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 308ns - #120; - btn3 = 1'b1; - // ------------------------------------- - // ------------- Current Time: 388ns - #80; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 648ns - #60; - btn3 = 1'b0; - // ------------------------------------- - // ------------- Current Time: 788ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 1188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 1388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 1588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 1788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 1988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 2188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 2388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 2408ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 2588ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 2788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 2988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 3188ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 3388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 3588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 3608ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 3788ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 3988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 4188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 4388ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 4588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 4788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 4988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 5188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 5388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 5548ns - #160; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 5588ns - #40; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 5788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 5988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 6188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 6388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 6588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 6788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 6988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 7128ns - #140; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 7188ns - #60; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 7388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 7588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 7788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 7988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 8188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 8388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 8588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 8788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 8988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 9188ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 9388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 9588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 9788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 9988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 10188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 10388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 10588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 10788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 10988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 11188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 11388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 11588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 11788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 11968ns - #180; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 11988ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 12188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 12388ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 12588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 12788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 12988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 13188ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 13388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 13588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 13608ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 13788ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 13988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 14188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 14388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 14428ns - #40; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 14588ns - #160; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 14788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 14988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 15188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 15388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 15568ns - #180; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 15588ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 15788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 15988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 16188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 16388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 16588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 16788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 16988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 17188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 17388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 17588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 17788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 17988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 18188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 18388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 18588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 18668ns - #80; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 18788ns - #120; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 18988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 19148ns - #160; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 19188ns - #40; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 19388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 19588ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 19788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 19988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 20188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 20388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 20428ns - #40; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 20588ns - #160; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 20788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 20808ns - #20; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 20988ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 21128ns - #140; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 21188ns - #60; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 21388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 21588ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 21788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 21988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 22188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 22388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 22408ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 22588ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 22788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 22988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 23188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 23388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 23588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 23788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 23988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 24188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 24388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 24588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 24768ns - #180; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 24788ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 24988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 25188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 25388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 25588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 25788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 25988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 26188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 26388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 26588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 26788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 26828ns - #40; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 26988ns - #160; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 27188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 27388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 27588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 27788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 27988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 28188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 28388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 28588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 28788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 28988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 29188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 29388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 29588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 29788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 29988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 30188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 30368ns - #180; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 30388ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 30588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 30768ns - #180; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 30788ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 30988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 31168ns - #180; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 31188ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 31388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 31588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 31788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 31988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 32008ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 32188ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 32368ns - #180; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 32388ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 32588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 32788ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 32988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 33188ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 33388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 33588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 33788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 33988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 34008ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 34188ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 34388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 34588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 34788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 34988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 35188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 35388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 35588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 35788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 35988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 36188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 36388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 36588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 36788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 36988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 37188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 37388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 37588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 37788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 37988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 38188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 38388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 38588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 38768ns - #180; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 38788ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 38988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 39188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 39388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 39588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 39788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 39988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 40188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 40388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 40588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 40788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 40988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 41188ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 41388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 41588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 41788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 41988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 42188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 42388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 42588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 42788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 42988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 43188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 43388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 43588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 43788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 43988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 44188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 44388ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 44588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 44788ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 44988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 45188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 45228ns - #40; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 45388ns - #160; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 45588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 45628ns - #40; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 45788ns - #160; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 45988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 46028ns - #40; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 46188ns - #160; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 46388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 46448ns - #60; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 46588ns - #140; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 46788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 46988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 47188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 47388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 47588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 47608ns - #20; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 47788ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 47988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 48008ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 48188ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 48388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 48588ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 48788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 48988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 49188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 49388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 49588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 49788ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 49988ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 50188ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 50388ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 50408ns - #20; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 50588ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 50788ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 50988ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 51188ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 51388ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 51588ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 51848ns - #260; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 52048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 52248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 52448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 52468ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 52648ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 52848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 53048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 53248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 53448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 53648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 53848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 54048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 54248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 54448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 54648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 54848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 55048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 55248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 55448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 55648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 55848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 56048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 56248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 56448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 56648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 56848ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 57048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 57248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 57448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 57648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 57848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 58048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 58248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 58448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 58648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 58848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 59048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 59248ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 59448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 59648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 59848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 60048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 60248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 60448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 60648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 60848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 61048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 61248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 61448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 61648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 61848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 62048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 62248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 62448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 62648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 62848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 63048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 63228ns - #180; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 63248ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 63448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 63648ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 63848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 64028ns - #180; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 64048ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 64248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 64428ns - #180; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 64448ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 64648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 64848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 64868ns - #20; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 65048ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 65248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 65268ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 65448ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 65648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 65848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 66048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 66248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 66448ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 66648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 66848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 66868ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 67048ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 67248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 67448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 67648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 67848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 68048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 68248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 68448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 68648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 68848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 69048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 69248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 69448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 69648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 69848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 70048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 70248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 70448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 70648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 70848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 71048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 71248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 71448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 71648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 71848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 72048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 72248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 72448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 72648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 72848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 73048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 73248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 73448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 73648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 73688ns - #40; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 73848ns - #160; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 74048ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 74248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 74448ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 74648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 74848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 74868ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 75048ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 75248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 75448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 75648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 75848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 76028ns - #180; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 76048ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 76248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 76448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 76648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 76848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 77048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 77228ns - #180; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 77248ns - #20; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 77448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 77648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 77848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 78048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 78248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 78448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 78648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 78848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 79048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 79248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 79448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 79648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 79848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 80048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 80248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 80448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 80648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 80848ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 81048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 81248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 81448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 81648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 81848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 82048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 82248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 82448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 82648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 82848ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 83048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 83248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 83448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 83648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 83848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 84048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 84248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 84448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 84648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 84848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 85048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 85248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 85448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 85648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 85848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 86048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 86248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 86448ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 86648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 86848ns - #200; - ps2c = 1'b0; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 87048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 87248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 87268ns - #20; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 87448ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 87648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 87688ns - #40; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 87848ns - #160; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 88048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 88248ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 88448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 88648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 88848ns - #200; - ps2c = 1'b0; - ps2d = 1'b0; - // ------------------------------------- - // ------------- Current Time: 89048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 89248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 89448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 89648ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 89848ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 90048ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 90068ns - #20; - ps2d = 1'b1; - // ------------------------------------- - // ------------- Current Time: 90248ns - #180; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 90448ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 90648ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 90848ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 91048ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 91248ns - #200; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 91448ns - #200; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 91588ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 91708ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 91808ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 91848ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 91988ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 92108ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 92208ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 92248ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 92388ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 92508ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 92608ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 92648ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 92788ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 92908ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 93008ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 93048ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 93188ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 93308ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 93408ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 93448ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 93588ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 93708ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 93808ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 93848ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 93988ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 94108ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 94208ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 94248ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 94388ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 94508ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 94608ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 94648ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 94788ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 94908ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 95008ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 95048ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 95188ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 95308ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 95408ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 95448ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 95588ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 95708ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 95808ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 95848ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 95988ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 96108ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 96208ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 96248ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 96388ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 96508ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 96608ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 96648ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 96788ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 96908ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 97008ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 97048ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 97188ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 97308ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 97408ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 97448ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 97588ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 97708ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 97808ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 97848ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 97988ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 98108ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 98208ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 98248ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 98388ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 98508ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 98608ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 98648ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 98788ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 98908ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 99008ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 99048ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 99188ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 99308ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 99408ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 99448ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 99588ns - #140; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 99708ns - #120; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 99808ns - #100; - ps2c = 1'b0; - // ------------------------------------- - // ------------- Current Time: 99848ns - #40; - ps2c = 1'b1; - // ------------------------------------- - // ------------- Current Time: 99988ns - #140; - ps2c = 1'b0; - // ------------------------------------- - end - -endmodule -
pong/pong_tb.v Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/vga_int.sym =================================================================== --- pong/vga_int.sym (revision 427) +++ pong/vga_int.sym (nonexistent) @@ -1,61 +0,0 @@ -VERSION 5 -BEGIN SYMBOL vga_int -SYMBOLTYPE BLOCK -TIMESTAMP 2004 9 13 18 46 46 -SYMPIN 0 -288 Input CLK -SYMPIN 0 -48 Input COLOR(1:0) -SYMPIN 416 -288 Output RED -SYMPIN 416 -224 Output BLUE -SYMPIN 416 -160 Output GREEN -SYMPIN 416 -96 Output VSYNCH_OUT -SYMPIN 416 -32 Output HSYNCH_OUT -SYMPIN 0 -208 Input HSYNCH_IN -SYMPIN 0 -128 Input VSYNCH_IN -BEGIN DISPLAY 208 -328 ATTR SymbolName - ALIGNMENT BCENTER - FONT 56 "Arial" -END DISPLAY -BEGIN DISPLAY 72 -288 PIN CLK ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 72 -48 PIN COLOR(1:0) ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 344 -288 PIN RED ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 344 -224 PIN BLUE ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 344 -160 PIN GREEN ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 344 -96 PIN VSYNCH_OUT ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 344 -32 PIN HSYNCH_OUT ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -RECTANGLE N 64 -320 352 0 -LINE N 64 -288 0 -288 -RECTANGLE N 0 -60 64 -36 -LINE N 64 -48 0 -48 -LINE N 352 -288 416 -288 -LINE N 352 -224 416 -224 -LINE N 352 -160 416 -160 -LINE N 352 -96 416 -96 -LINE N 352 -32 416 -32 -BEGIN DISPLAY 76 -208 PIN HSYNCH_IN ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 64 -208 0 -208 -LINE N 64 -128 0 -128 -BEGIN DISPLAY 72 -128 PIN VSYNCH_IN ATTR PinName - FONT 24 "Arial" -END DISPLAY -END SYMBOL
pong/vga_int.sym Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/_xmsgs/trce.xmsgs =================================================================== --- pong/_xmsgs/trce.xmsgs (revision 427) +++ pong/_xmsgs/trce.xmsgs (nonexistent) @@ -1,19 +0,0 @@ - - - -No timing constraints found, doing default enumeration. - -To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. - -The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. - -This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation. - -This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error. - - - Index: pong/_xmsgs/map.xmsgs =================================================================== --- pong/_xmsgs/map.xmsgs (revision 427) +++ pong/_xmsgs/map.xmsgs (nonexistent) @@ -1,18 +0,0 @@ - - - -No environment variables are currently set. - - -All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs. - - -The function generator cntrl_inst/CGEN1/Mrom_data_rom0000161_6 failed to merge with F5 multiplexer cntrl_inst/CGEN1/Mrom_data_rom0000101_5_f5. There is a conflict for the FXMUX. The design will exhibit suboptimal timing. - - - - Index: pong/_xmsgs/xst.xmsgs =================================================================== --- pong/_xmsgs/xst.xmsgs (revision 427) +++ pong/_xmsgs/xst.xmsgs (nonexistent) @@ -1,72 +0,0 @@ - - - -"/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd" line 139: Index value(s) does not match array range, simulation mismatch. - - -Signal <TEMP_PIXEL<2:0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. - - -Signal <TEMP_LINE<2:0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. - - -HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. - - -Node <TEMP_PIXEL_0> of sequential type is unconnected in block <VGA1>. - - -Node <TEMP_PIXEL_1> of sequential type is unconnected in block <VGA1>. - - -Node <TEMP_PIXEL_2> of sequential type is unconnected in block <VGA1>. - - -Node <TEMP_LINE_0> of sequential type is unconnected in block <VGA1>. - - -Node <TEMP_LINE_1> of sequential type is unconnected in block <VGA1>. - - -Node <TEMP_LINE_2> of sequential type is unconnected in block <VGA1>. - - -Node <TEMP_PIXEL_0> of sequential type is unconnected in block <vgacore>. - - -Node <TEMP_PIXEL_1> of sequential type is unconnected in block <vgacore>. - - -Node <TEMP_PIXEL_2> of sequential type is unconnected in block <vgacore>. - - -Node <TEMP_LINE_0> of sequential type is unconnected in block <vgacore>. - - -Node <TEMP_LINE_1> of sequential type is unconnected in block <vgacore>. - - -Node <TEMP_LINE_2> of sequential type is unconnected in block <vgacore>. - - -FF/Latch <seven_seg_0> has a constant value of 1 in block <game_title>. This FF/Latch will be trimmed during the optimization process. - - -Due to other FF/Latch trimming, FF/Latch <seven_seg_2> has a constant value of 0 in block <game_title>. This FF/Latch will be trimmed during the optimization process. - - -Due to other FF/Latch trimming, FF/Latch <seven_seg_6> has a constant value of 0 in block <game_title>. This FF/Latch will be trimmed during the optimization process. - - -Due to other FF/Latch trimming, FF/Latch <seven_seg_7> has a constant value of 0 in block <game_title>. This FF/Latch will be trimmed during the optimization process. - - -HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. - - - - Index: pong/_xmsgs/par.xmsgs =================================================================== --- pong/_xmsgs/par.xmsgs (revision 427) +++ pong/_xmsgs/par.xmsgs (nonexistent) @@ -1,20 +0,0 @@ - - - -No user timing constraints were detected or you have set the option to ignore timing constraints ("par -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". - - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - -CLK Net:read_ps2_inst/ps2_ctrl/filter_clk may have excessive skew because - 0 CLK pins and 2 NON_CLK pins failed to route using a CLK template. - - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - - - Index: pong/_xmsgs/bitgen.xmsgs =================================================================== --- pong/_xmsgs/bitgen.xmsgs (revision 427) +++ pong/_xmsgs/bitgen.xmsgs (nonexistent) @@ -1,9 +0,0 @@ - - - - - Index: pong/_xmsgs/ngdbuild.xmsgs =================================================================== --- pong/_xmsgs/ngdbuild.xmsgs (revision 427) +++ pong/_xmsgs/ngdbuild.xmsgs (nonexistent) @@ -1,9 +0,0 @@ - - - - - Index: pong/_xmsgs/pn_parser.xmsgs =================================================================== --- pong/_xmsgs/pn_parser.xmsgs (revision 427) +++ pong/_xmsgs/pn_parser.xmsgs (nonexistent) @@ -1,33 +0,0 @@ - - - - - - - - - - -Analyzing Verilog file \"/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/game_title.v\" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd" into library work - - -Analyzing Verilog file \"/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/ps2_cntrl.v\" into library work - - -Analyzing Verilog file \"/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/read_ps2.v\" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/testram.vhd" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vga_interface.vhd" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/vgacore_multi.vhd" into library work - - - - Index: pong/pong_top.sch =================================================================== --- pong/pong_top.sch (revision 427) +++ pong/pong_top.sch (nonexistent) @@ -1,767 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 2004-9-13T18:46:48 - - - - - - - - - - - - - - 2004-10-27T16:54:38 - - - - - - - - - - - - - - - 2004-10-26T14:41:2 - - - - - - - - - - - - - - - 2004-9-27T16:48:42 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - a - b - c - d - e - f - g - - dp - - - - - - - - a - b - c - d - e - f - g - - dp - - - - - - - - a - b - c - d - e - f - g - - dp - - - - - - - - - a - b - c - d - e - f - g - - dp - AN3 - AN2 - AN1 - AN0 - - - - - - - - - - - - - - - - - - - - - - - - - - Four-Digit, Seven-Segment LED Display - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - pin1 - pin2 - pin3 - pin13 - pin14 - pins 6,7,8,10,11 => GND - pins 4,5,9,12,15 => OPEN - - - - - - - - - - - - - - - - - - - - - - - - pin1 - pin6 - pin11 - - - - pin5 - pin10 - pin15 - - - - VGA Port - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - LEDs - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 - 1 - 2 - 3 - 4 - 6 - - - - - - - Pin3 - GND - Pin4 - Voltage Supply - Pin6 - Reserved - Pin2 - Reserved - Pin1 - Data (PS2D) - Pin5 - CLK (PS2C) - - - - - - - PS2 Port - - - - - - - - - - Clock - - Push Button Reset - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - \ No newline at end of file
pong/pong_top.sch Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/pong_top.bit =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/pong_top.bit =================================================================== --- pong/pong_top.bit (revision 427) +++ pong/pong_top.bit (nonexistent)
pong/pong_top.bit Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/iseconfig/pong_top.xreport =================================================================== --- pong/iseconfig/pong_top.xreport (revision 427) +++ pong/iseconfig/pong_top.xreport (nonexistent) @@ -1,217 +0,0 @@ - - -
- 2014-05-07T21:58:59 - pong_top - 2014-05-07T20:15:24 - /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/iseconfig/pong_top.xreport - /home/lguanuco/opencores/phr/trunk/codigo/demos/pong - 2014-05-07T20:05:39 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: pong/iseconfig/pong.projectmgr =================================================================== --- pong/iseconfig/pong.projectmgr (revision 427) +++ pong/iseconfig/pong.projectmgr (nonexistent) @@ -1,108 +0,0 @@ - - - - - - - - - 2 - /pong_top |home|lguanuco|opencores|phr|trunk|codigo|demos|pong|pong_top.sch/cntrl_inst - cntrl - static_display - /pong_top |home|lguanuco|opencores|phr|trunk|codigo|demos|pong|pong_top.sch/read_ps2_inst - read_ps2 - PROJECT - - - cntrl_inst - cntrl - static_display (/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd) - - 0 - 0 - 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000196000000020000000000000000000000000000000064ffffffff000000810000000000000002000001960000000100000000000000000000000100000000 - false - cntrl_inst - cntrl - static_display (/home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_cntrl.vhd) - - - - 1 - Configure Target Device - Design Utilities - Implement Design - Synthesize - XST - User Constraints - - - - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - - - - - 1 - - - 0 - 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000001ea000000040101000100000000000000000000000064ffffffff000000810000000000000004000000910000000100000000000000630000000100000000000000840000000100000000000000720000000100000000 - false - game_title.v - - - - 1 - work - - - 0 - 0 - 000000ff0000000000000001000000000000000001000000000000000000000000000000000000017e000000010001000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - work - - - - 1 - Design Utilities - - - - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - - - - - 1 - Design Utilities - - - - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - - - - - 1 - Design Utilities - - - - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - - - 000000ff0000000000000002000001440000011d01000000060100000002 - Implementation - Index: pong/pong_top.par =================================================================== --- pong/pong_top.par (revision 427) +++ pong/pong_top.par (nonexistent) @@ -1,222 +0,0 @@ -Release 12.3 par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -cudar75:: Wed May 07 20:14:56 2014 - -par -w -intstyle ise -ol high -t 1 pong_top_map.ncd pong_top.ncd pong_top.pcf - - -Constraints file: pong_top.pcf. -Loading device for application Rf_Device from file '3s200a.nph' in environment /opt/Xilinx/12.3/ISE_DS/ISE/. - "pong_top" is an NCD, version 3.2, device xc3s200a, package vq100, speed -4 - -Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) -Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) - -INFO:Par:282 - No user timing constraints were detected or you have set the option to ignore timing constraints ("par - -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all - internal clocks in this design. Because there are not defined timing requirements, a timing score will not be - reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. - Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". - -Device speed data version: "PRODUCTION 1.41 2010-09-15". - - -Design Summary Report: - - Number of External IOBs 29 out of 68 42% - - Number of External Input IOBs 4 - - Number of External Input IBUFs 4 - Number of LOCed External Input IBUFs 4 out of 4 100% - - - Number of External Output IOBs 25 - - Number of External Output IOBs 25 - Number of LOCed External Output IOBs 25 out of 25 100% - - - Number of External Bidir IOBs 0 - - - Number of BUFGMUXs 3 out of 24 12% - Number of Slices 271 out of 1792 15% - Number of SLICEMs 2 out of 896 1% - - - -Overall effort level (-ol): High -Placer effort level (-pl): High -Placer cost table entry (-t): 1 -Router effort level (-rl): High - -Starting initial Timing Analysis. REAL time: 1 secs -Finished initial Timing Analysis. REAL time: 1 secs - - -Starting Placer -Total REAL time at the beginning of Placer: 1 secs -Total CPU time at the beginning of Placer: 1 secs - -Phase 1.1 Initial Placement Analysis -Phase 1.1 Initial Placement Analysis (Checksum:1e70b73c) REAL time: 2 secs - -Phase 2.7 Design Feasibility Check -Phase 2.7 Design Feasibility Check (Checksum:1e70b73c) REAL time: 2 secs - -Phase 3.31 Local Placement Optimization -Phase 3.31 Local Placement Optimization (Checksum:1e70b73c) REAL time: 2 secs - -Phase 4.2 Initial Clock and IO Placement - -Phase 4.2 Initial Clock and IO Placement (Checksum:4ac3cedb) REAL time: 7 secs - -Phase 5.30 Global Clock Region Assignment -Phase 5.30 Global Clock Region Assignment (Checksum:4ac3cedb) REAL time: 7 secs - -Phase 6.36 Local Placement Optimization -Phase 6.36 Local Placement Optimization (Checksum:4ac3cedb) REAL time: 7 secs - -Phase 7.8 Global Placement -.............................................. -................... -............................. -................................................... -..................................................................... -Phase 7.8 Global Placement (Checksum:4de7ac3) REAL time: 8 secs - -Phase 8.5 Local Placement Optimization -Phase 8.5 Local Placement Optimization (Checksum:4de7ac3) REAL time: 8 secs - -Phase 9.18 Placement Optimization -Phase 9.18 Placement Optimization (Checksum:48265134) REAL time: 9 secs - -Phase 10.5 Local Placement Optimization -Phase 10.5 Local Placement Optimization (Checksum:48265134) REAL time: 9 secs - -Total REAL time to Placer completion: 9 secs -Total CPU time to Placer completion: 9 secs -Writing design to file pong_top.ncd - - - -Starting Router - - -Phase 1 : 1894 unrouted; REAL time: 11 secs - -Phase 2 : 1731 unrouted; REAL time: 11 secs - -Phase 3 : 324 unrouted; REAL time: 11 secs - -Phase 4 : 363 unrouted; (Par is working to improve performance) REAL time: 11 secs - -Phase 5 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs - -Updating file: pong_top.ncd with current fully routed design. - -Phase 6 : 0 unrouted; (Par is working to improve performance) REAL time: 12 secs - -Phase 7 : 0 unrouted; (Par is working to improve performance) REAL time: 17 secs - -Phase 8 : 0 unrouted; (Par is working to improve performance) REAL time: 18 secs - -Phase 9 : 0 unrouted; (Par is working to improve performance) REAL time: 18 secs - -Phase 10 : 0 unrouted; (Par is working to improve performance) REAL time: 18 secs - -Phase 11 : 0 unrouted; (Par is working to improve performance) REAL time: 18 secs - -Phase 12 : 0 unrouted; (Par is working to improve performance) REAL time: 18 secs -WARNING:Route:455 - CLK Net:read_ps2_inst/ps2_ctrl/filter_clk may have excessive skew because - 0 CLK pins and 2 NON_CLK pins failed to route using a CLK template. - -Total REAL time to Router completion: 18 secs -Total CPU time to Router completion: 18 secs - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Generating "PAR" statistics. - -************************** -Generating Clock Report -************************** - -+---------------------+--------------+------+------+------------+-------------+ -| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| -+---------------------+--------------+------+------+------------+-------------+ -| clk_ic4_BUFGP | BUFGMUX_X2Y1| No | 62 | 0.065 | 1.029 | -+---------------------+--------------+------+------+------------+-------------+ -|cntrl_inst/VGA1/VCLK | | | | | | -| | BUFGMUX_X1Y10| No | 20 | 0.031 | 1.001 | -+---------------------+--------------+------+------+------------+-------------+ -| cntrl_inst/VCLK | BUFGMUX_X1Y0| No | 39 | 0.050 | 1.014 | -+---------------------+--------------+------+------+------------+-------------+ -|read_ps2_inst/ps2_ct | | | | | | -| rl/filter_clk | Local| | 11 | 0.186 | 2.221 | -+---------------------+--------------+------+------+------------+-------------+ - -* Net Skew is the difference between the minimum and maximum routing -only delays for the net. Note this is different from Clock Skew which -is reported in TRCE timing report. Clock Skew is the difference between -the minimum and maximum path delays which includes logic delays. - -Timing Score: 0 (Setup: 0, Hold: 0) - -Asterisk (*) preceding a constraint indicates it was not met. - This may be due to a setup or hold violation. - ----------------------------------------------------------------------------------------------------------- - Constraint | Check | Worst Case | Best Case | Timing | Timing - | | Slack | Achievable | Errors | Score ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net clk | SETUP | N/A| 6.652ns| N/A| 0 - _ic4_BUFGP | HOLD | 1.085ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net cnt | SETUP | N/A| 6.033ns| N/A| 0 - rl_inst/VGA1/VCLK | HOLD | 1.222ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net cnt | SETUP | N/A| 8.425ns| N/A| 0 - rl_inst/VCLK | HOLD | 0.990ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net rea | SETUP | N/A| 3.053ns| N/A| 0 - d_ps2_inst/ps2_ctrl/filter_clk | HOLD | 0.976ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - - -All constraints were met. -INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the - constraint is not analyzed due to the following: No paths covered by this - constraint; Other constraints intersect with this constraint; or This - constraint was disabled by a Path Tracing Control. Please run the Timespec - Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - - -Generating Pad Report. - -All signals are completely routed. - -Total REAL time to PAR completion: 18 secs -Total CPU time to PAR completion: 18 secs - -Peak Memory Usage: 149 MB - -Placement: Completed - No errors found. -Routing: Completed - No errors found. - -Number of error messages: 0 -Number of warning messages: 1 -Number of info messages: 1 - -Writing design to file pong_top.ncd - - - -PAR done! Index: pong/webtalk.log =================================================================== --- pong/webtalk.log (revision 427) +++ pong/webtalk.log (nonexistent) @@ -1,16 +0,0 @@ -Release 12.3 - WebTalk (M.70d) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Project Information --------------------- -ProjectID=E94CCD9076C2E482F228683ED13B61B0 -ProjectIteration=1 - -WebTalk Summary ----------------- -INFO:WebTalk:2 - WebTalk is enabled. - -INFO:WebTalk:8 - WebTalk Install setting is ON. -INFO:WebTalk:6 - WebTalk User setting is ON. - -INFO:WebTalk:5 - /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/12.3/ISE_DS/ISE/data/reports/webtalk_introduction.html Index: pong/pongPHR.prm =================================================================== --- pong/pongPHR.prm (revision 427) +++ pong/pongPHR.prm (nonexistent) @@ -1,17 +0,0 @@ -PROMGEN: Xilinx Prom Generator M.70d -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -promgen -w -p mcs -c FF -o /home/lguanuco/opencores/phr/trunk/codigo/demos/pong//pongPHR -x xcf02s -u 00000000 /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.bit - -PROM /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pongPHR.prm map: Wed May 7 20:16:19 2014 - -Calculating PROM checksum with fill value ff - -Format Mcs86 (32-bit) -Size 256K -PROM start 0000:0000 -PROM end 0003:ffff -PROM checksum 01be497e - - Addr1 Addr2 Date File(s) - 0000:0000 0002:4831 May 7 20:15:21 2014 /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pong_top.bit Index: pong/pong_top_map.xrpt =================================================================== --- pong/pong_top_map.xrpt (revision 427) +++ pong/pong_top_map.xrpt (nonexistent) @@ -1,430 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
-
- - - - - - -
- -
- - - - - -
-
- - - - - - -
-
- - - - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - -
-
- - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- -
-
-
-
-
-
-
- -
-
- - - - Index: pong/pong_top_map.mrp =================================================================== --- pong/pong_top_map.mrp (revision 427) +++ pong/pong_top_map.mrp (nonexistent) @@ -1,185 +0,0 @@ -Release 12.3 Map M.70d (lin) -Xilinx Mapping Report File for Design 'pong_top' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off --c 100 -o pong_top_map.ncd pong_top.ngd pong_top.pcf -Target Device : xc3s200a -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3a -- $Revision: 1.52 $ -Mapped Date : Wed May 7 20:14:52 2014 - -Design Summary --------------- -Number of errors: 0 -Number of warnings: 1 -Logic Utilization: - Number of Slice Flip Flops: 190 out of 3,584 5% - Number of 4 input LUTs: 442 out of 3,584 12% -Logic Distribution: - Number of occupied Slices: 271 out of 1,792 15% - Number of Slices containing only related logic: 271 out of 271 100% - Number of Slices containing unrelated logic: 0 out of 271 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 470 out of 3,584 13% - Number used as logic: 440 - Number used as a route-thru: 28 - Number used as Shift registers: 2 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 29 out of 68 42% - Number of BUFGMUXs: 3 out of 24 12% - -Average Fanout of Non-Clock Nets: 3.79 - -Peak Memory Usage: 162 MB -Total REAL time to MAP completion: 2 secs -Total CPU time to MAP completion: 2 secs - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Table of Contents ------------------ -Section 1 - Errors -Section 2 - Warnings -Section 3 - Informational -Section 4 - Removed Logic Summary -Section 5 - Removed Logic -Section 6 - IOB Properties -Section 7 - RPMs -Section 8 - Guide Report -Section 9 - Area Group and Partition Summary -Section 10 - Timing Report -Section 11 - Configuration String Information -Section 12 - Control Set Information -Section 13 - Utilization by Hierarchy - -Section 1 - Errors ------------------- - -Section 2 - Warnings --------------------- -WARNING:Pack:266 - The function generator - cntrl_inst/CGEN1/Mrom_data_rom0000161_6 failed to merge with F5 multiplexer - cntrl_inst/CGEN1/Mrom_data_rom0000101_5_f5. There is a conflict for the - FXMUX. The design will exhibit suboptimal timing. - -Section 3 - Informational -------------------------- -INFO:MapLib:562 - No environment variables are currently set. -INFO:LIT:244 - All of the single ended outputs in this design are using slew - rate limited output drivers. The delay on speed critical single ended outputs - can be dramatically reduced by designating them as fast outputs. - -Section 4 - Removed Logic Summary ---------------------------------- - 4 block(s) optimized away - -Section 5 - Removed Logic -------------------------- - -Optimized Block(s): -TYPE BLOCK -GND XST_GND -VCC XST_VCC - -To enable printing of redundant blocks removed and signals merged, set the -detailed map report option and rerun map. - -Section 6 - IOB Properties --------------------------- - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IBUF/IFD | SUSPEND | -| | | | | Term | Strength | Rate | | | Delay | | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| an<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| an<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| an<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| an<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| btn3 | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| clk_ic4 | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| ld<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| ld<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| ld<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| ld<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| ld<4> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| ld<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| ld<6> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| ld<7> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| ps2c | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| ps2d | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| seg_a | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| seg_b | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| seg_c | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| seg_d | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| seg_dp | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| seg_e | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| seg_f | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| seg_g | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vga_blue | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vga_green | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vga_hs | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vga_red | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vga_vs | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -Section 7 - RPMs ----------------- - -Section 8 - Guide Report ------------------------- -Guide not run on this design. - -Section 9 - Area Group and Partition Summary --------------------------------------------- - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Area Group Information ----------------------- - - No area groups were found in this design. - ----------------------- - -Section 10 - Timing Report --------------------------- -This design was not run using timing mode. - -Section 11 - Configuration String Details ------------------------------------------ -Use the "-detail" map option to print out Configuration Strings - -Section 12 - Control Set Information ------------------------------------- -No control set information for this architecture. - -Section 13 - Utilization by Hierarchy -------------------------------------- -Use the "-detail" map option to print out the Utilization by Hierarchy section. Index: pong/game_title.sym =================================================================== --- pong/game_title.sym (revision 427) +++ pong/game_title.sym (nonexistent) @@ -1,29 +0,0 @@ -VERSION 5 -BEGIN SYMBOL game_title -SYMBOLTYPE BLOCK -TIMESTAMP 2004 9 27 16 48 37 -SYMPIN 0 -96 Input clk -SYMPIN 384 -96 Output seven_seg(7:0) -SYMPIN 384 -32 Output an(3:0) -RECTANGLE N 64 -128 320 0 -BEGIN DISPLAY 192 -136 ATTR SymbolName - ALIGNMENT BCENTER - FONT 56 "Arial" -END DISPLAY -LINE N 64 -96 0 -96 -BEGIN DISPLAY 72 -96 PIN clk ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 320 -96 384 -96 -BEGIN DISPLAY 312 -96 PIN seven_seg(7:0) ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -RECTANGLE N 320 -108 384 -84 -LINE N 320 -32 384 -32 -BEGIN DISPLAY 312 -32 PIN an(3:0) ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -RECTANGLE N 320 -44 384 -20 -END SYMBOL
pong/game_title.sym Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/pong_top.drc =================================================================== --- pong/pong_top.drc (revision 427) +++ pong/pong_top.drc (nonexistent) @@ -1,8 +0,0 @@ -Release 12.3 Drc M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Wed May 7 20:15:19 2014 - -drc -z pong_top.ncd pong_top.pcf - -DRC detected 0 errors and 0 warnings. Index: pong/xlnx_auto_0_xdb/cst.xbcd =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: pong/xlnx_auto_0_xdb/cst.xbcd =================================================================== --- pong/xlnx_auto_0_xdb/cst.xbcd (revision 427) +++ pong/xlnx_auto_0_xdb/cst.xbcd (nonexistent)
pong/xlnx_auto_0_xdb/cst.xbcd Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: pong/pongPHR.sig =================================================================== --- pong/pongPHR.sig (revision 427) +++ pong/pongPHR.sig (nonexistent) @@ -1,7 +0,0 @@ -// PROMGEN: Xilinx Prom Generator M.70d -// Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -DATE 05/07/14-20:16 -SOURCE /home/lguanuco/opencores/phr/trunk/codigo/demos/pong/pongPHR.mcs -DEVICE XCF02S -SIGNATURE 0x01BE497E Index: pong/read_ps2.v =================================================================== --- pong/read_ps2.v (revision 427) +++ pong/read_ps2.v (nonexistent) @@ -1,154 +0,0 @@ -//////////////////////////////////////////////////////////////////////////////// -// Copyright (c) 1995-2005 Xilinx, Inc. -// All Right Reserved. -//////////////////////////////////////////////////////////////////////////////// -// ____ ____ -// / /\/ / -// /___/ \ / Vendor: Xilinx -// \ \ \/ Version : 8.1i -// \ \ Application : ISE -// / / Filename : read_ps2 -// /___/ /\ Timestamp : 09/20/2005 11:12:50 -// \ \ / \ -// \___\/\___\ -// -// -//Design Name: PONG -// -// This module interprets the scan code from the keyboard and outputs the -// corresponding signal to move a paddle or serve. - - -`timescale 1ns / 1ps - -module read_ps2(Clk, - PS2_Clk, - PS2_Data, - Reset, - ps2_code, - left_dir, - right_dir, - serve - ); - - input Clk; - input PS2_Clk; - input PS2_Data; - input Reset; - output [1:0] left_dir; - output [1:0] right_dir; - output [7:0] ps2_code; - output serve; - - reg [1:0] left_dir = 2'b00; - reg [1:0] right_dir = 2'b00; - reg serve; - reg read; - wire data_ready; - reg stopkey; - reg state; - wire trigger; - - - PS2_CTRL ps2_ctrl ( - .Clk(Clk), - .DoRead(read), - .PS2_Clk(PS2_Clk), - .PS2_Data(PS2_Data), - .Reset(Reset), - .Scan_Code(ps2_code), - .scan_ready(data_ready), - .trigger(trigger) - ); - - - - always @(posedge Clk or posedge Reset) - - if (Reset) begin - right_dir <= 2'b00; // No right paddle movement - left_dir <= 2'b00; // No left paddle movement - serve <= 1'b1; // Start with ball being served - read = 1'b1; // Ready to receive scan code - stopkey <= 1'b0; // Stop key code has not been read - state <= 2'b0; - end - - else if ((data_ready) | (!read)) begin // New key data has arrived - case (state) - 1'b0 : begin - if (!stopkey) begin // new data is not releasing a key - case (ps2_code) - 8'b01110101: begin // up arrow key - right_dir <= 2'b01; //right up - end - 8'b01110010: begin // down arrow key - right_dir <= 2'b10; // right down - end - 8'b00011101: begin // w key - left_dir <= 2'b01; // left up - end - 8'b00011011: begin // s key - left_dir <= 2'b10; // left down - end - 8'b00101001: begin // space bar key - serve <= 1'b1; // serve ball - end - 8'b11110000: begin // A key has been released - stopkey <= 1'b1; // set stopkey bit - serve <= "0"; - end - default: begin - right_dir <= right_dir; - left_dir <= left_dir; - serve <= "0"; - end - endcase // end of case (ps2_code) statement - state <= 1'b1; - end - else begin // new data is telling which key was just released - case (ps2_code) - 8'b01110101: begin // up arrow key - if (right_dir == 2'b01) - right_dir <= 2'b00; // stop right paddle up motion - stopkey <= 1'b0; - end - 8'b01110010: begin // down arrow key - if (right_dir == 2'b10) - right_dir <= 2'b00; // stop right paddle down motion - stopkey <= 1'b0; - end - 8'b00011101: begin // w key - if (left_dir == 2'b01) - left_dir <= 2'b00; // stop left paddle up motion - stopkey <= 1'b0; - end - 8'b00011011: begin // s key - if (left_dir == 2'b10) - left_dir <= 2'b00; // stop left paddle down motion - stopkey <= 1'b0; - end - 8'b11100000: begin // Extended code key - stopkey <= 1'b1; // Check next ps2 entry for stop - end - 8'b00101001: begin // space bar key - serve <= 1'b0; // stop serve ball - stopkey <= 1'b0; - end - default: begin - end - endcase // end of case (ps2_code) statement - state <= 1'b1; - end - read = 1'b0; - end - - 1'b1 : begin - if (!trigger) begin - read = 1'b1; // resets read bit to enable PS2_Ctrl input - state <= 1'b0; - end - end - endcase - end // End of if (read_data) statement -endmodule \ No newline at end of file
pong/read_ps2.v Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: pong/read_ps2.sym =================================================================== --- pong/read_ps2.sym (revision 427) +++ pong/read_ps2.sym (nonexistent) @@ -1,55 +0,0 @@ -VERSION 5 -BEGIN SYMBOL read_ps2 -SYMBOLTYPE BLOCK -TIMESTAMP 2004 10 26 14 41 1 -SYMATTR VeriModel "read_ps2" -SYMPIN 0 -224 Input Clk -SYMPIN 0 -32 Input PS2_Clk -SYMPIN 0 -96 Input PS2_Data -SYMPIN 0 -160 Input Reset -SYMPIN 384 -160 Output left_dir(1:0) -SYMPIN 384 -96 Output right_dir(1:0) -SYMPIN 384 -224 Output serve -SYMPIN 384 -32 Output ps2_code(7:0) -BEGIN DISPLAY 96 -284 ATTR SymbolName - FONT 44 "Arial" -END DISPLAY -BEGIN DISPLAY 72 -224 PIN Clk ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 72 -32 PIN PS2_Clk ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 72 -96 PIN PS2_Data ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 72 -160 PIN Reset ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 212 -160 PIN left_dir(1:0) ATTR PinName - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 200 -96 PIN right_dir(1:0) ATTR PinName - FONT 24 "Arial" -END DISPLAY -LINE N 64 -224 0 -224 -LINE N 64 -160 0 -160 -LINE N 64 -96 0 -96 -LINE N 64 -32 0 -32 -LINE N 320 -160 384 -160 -LINE N 320 -96 384 -96 -RECTANGLE N 320 -108 384 -84 -RECTANGLE N 320 -172 384 -148 -RECTANGLE N 64 -256 320 12 -LINE N 320 -224 384 -224 -BEGIN DISPLAY 312 -224 PIN serve ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -BEGIN DISPLAY 312 -32 PIN ps2_code(7:0) ATTR PinName - ALIGNMENT RIGHT - FONT 24 "Arial" -END DISPLAY -RECTANGLE N 320 -44 384 -20 -LINE N 320 -32 384 -32 -END SYMBOL
pong/read_ps2.sym Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.