OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /phr/trunk/codigo/demos
    from Rev 428 to Rev 429
    Reverse comparison

Rev 428 → Rev 429

/github/pong-master/top_map.ngm File deleted \ No newline at end of file
/github/pong-master/logo.vhd File deleted \ No newline at end of file
/github/pong-master/top_pad.csv File deleted \ No newline at end of file
/github/pong-master/top_summary.html File deleted \ No newline at end of file
/github/pong-master/top_guide.ncd File deleted \ No newline at end of file
/github/pong-master/top.vhd File deleted \ No newline at end of file
/github/pong-master/xlnx_auto_0_xdb/cst.xbcd File deleted \ No newline at end of file
/github/pong-master/top_envsettings.html File deleted \ No newline at end of file
/github/pong-master/top.ncd File deleted \ No newline at end of file
/github/pong-master/top.ngc File deleted \ No newline at end of file
/github/pong-master/top.ngd File deleted \ No newline at end of file
/github/pong-master/xst/work/sub00/vhpl00.vho Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
github/pong-master/xst/work/sub00/vhpl00.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl01.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl01.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl01.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl01.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl01.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl10.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl10.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl10.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl10.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl10.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl02.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl02.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl02.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl02.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl02.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl11.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl11.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl11.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl11.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl11.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl03.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl03.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl03.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl03.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl03.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl12.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl12.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl12.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl12.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl12.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl04.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl04.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl04.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl04.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl04.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl13.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl13.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl13.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl13.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl13.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl05.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl05.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl05.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl05.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl05.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl06.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl06.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl06.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl06.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl06.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl07.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl07.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl07.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl07.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl07.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl08.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl08.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl08.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl08.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl08.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/sub00/vhpl09.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/xst/work/sub00/vhpl09.vho =================================================================== --- github/pong-master/xst/work/sub00/vhpl09.vho (revision 428) +++ github/pong-master/xst/work/sub00/vhpl09.vho (nonexistent)
github/pong-master/xst/work/sub00/vhpl09.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/xst/work/hdllib.ref =================================================================== --- github/pong-master/xst/work/hdllib.ref (revision 428) +++ github/pong-master/xst/work/hdllib.ref (nonexistent) @@ -1,14 +0,0 @@ -EN vga_sync NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/vga_sync.vhd sub00/vhpl04 1399585763 -EN mux2 NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/mux2.vhd sub00/vhpl10 1399585769 -AR pong_text arch /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/logo.vhd sub00/vhpl09 1399585768 -AR vga_sync rtl /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/vga_sync.vhd sub00/vhpl05 1399585764 -EN clk25mhz NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/CLK25MHZ.vhd sub00/vhpl02 1399585761 -AR clk25mhz behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/CLK25MHZ.vhd sub00/vhpl03 1399585762 -EN top NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/top.vhd sub00/vhpl12 1399585771 -AR top rtl /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/top.vhd sub00/vhpl13 1399585772 -EN game_graphic_generation NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/Game_graphic_generation.vhd sub00/vhpl06 1399585765 -EN pong_text NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/logo.vhd sub00/vhpl08 1399585767 -AR game_graphic_generation rtl /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/Game_graphic_generation.vhd sub00/vhpl07 1399585766 -EN font_rom NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/font_rom.vhd sub00/vhpl00 1399585759 -AR font_rom arch /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/font_rom.vhd sub00/vhpl01 1399585760 -AR mux2 rtl /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/mux2.vhd sub00/vhpl11 1399585770 Index: github/pong-master/top.bit =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/pong-master/top.bit =================================================================== --- github/pong-master/top.bit (revision 428) +++ github/pong-master/top.bit (nonexistent)
github/pong-master/top.bit Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/pong-master/_ngo/netlist.lst =================================================================== --- github/pong-master/_ngo/netlist.lst (revision 428) +++ github/pong-master/_ngo/netlist.lst (nonexistent) @@ -1,2 +0,0 @@ -/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/top.ngc 1399585766 -OK Index: github/pong-master/top.par =================================================================== --- github/pong-master/top.par (revision 428) +++ github/pong-master/top.par (nonexistent) @@ -1,217 +0,0 @@ -Release 12.3 par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -cudar75:: Thu May 08 18:51:08 2014 - -par -w -intstyle ise -ol high -t 1 top_map.ncd top.ncd top.pcf - - -Constraints file: top.pcf. -Loading device for application Rf_Device from file '3s200a.nph' in environment /opt/Xilinx/12.3/ISE_DS/ISE/. - "top" is an NCD, version 3.2, device xc3s200a, package vq100, speed -4 - -Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) -Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) - -INFO:Par:282 - No user timing constraints were detected or you have set the option to ignore timing constraints ("par - -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all - internal clocks in this design. Because there are not defined timing requirements, a timing score will not be - reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. - Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". - -Device speed data version: "PRODUCTION 1.41 2010-09-15". - - -Design Summary Report: - - Number of External IOBs 11 out of 68 16% - - Number of External Input IOBs 6 - - Number of External Input IBUFs 6 - Number of LOCed External Input IBUFs 6 out of 6 100% - - - Number of External Output IOBs 5 - - Number of External Output IOBs 5 - Number of LOCed External Output IOBs 5 out of 5 100% - - - Number of External Bidir IOBs 0 - - - Number of BUFGMUXs 2 out of 24 8% - Number of RAMB16BWEs 1 out of 16 6% - Number of Slices 202 out of 1792 11% - Number of SLICEMs 0 out of 896 0% - - - -Overall effort level (-ol): High -Placer effort level (-pl): High -Placer cost table entry (-t): 1 -Router effort level (-rl): High - -Starting initial Timing Analysis. REAL time: 1 secs -Finished initial Timing Analysis. REAL time: 1 secs - - -Starting Placer -Total REAL time at the beginning of Placer: 1 secs -Total CPU time at the beginning of Placer: 1 secs - -Phase 1.1 Initial Placement Analysis -Phase 1.1 Initial Placement Analysis (Checksum:cbdb6160) REAL time: 2 secs - -Phase 2.7 Design Feasibility Check -Phase 2.7 Design Feasibility Check (Checksum:cbdb6160) REAL time: 2 secs - -Phase 3.31 Local Placement Optimization -Phase 3.31 Local Placement Optimization (Checksum:cbdb6160) REAL time: 2 secs - -Phase 4.2 Initial Clock and IO Placement - -Phase 4.2 Initial Clock and IO Placement (Checksum:fbe48f4d) REAL time: 7 secs - -Phase 5.30 Global Clock Region Assignment -Phase 5.30 Global Clock Region Assignment (Checksum:fbe48f4d) REAL time: 7 secs - -Phase 6.36 Local Placement Optimization -Phase 6.36 Local Placement Optimization (Checksum:fbe48f4d) REAL time: 7 secs - -Phase 7.8 Global Placement -............. -.. -...................... -.. -.. -Phase 7.8 Global Placement (Checksum:ca08ba58) REAL time: 8 secs - -Phase 8.5 Local Placement Optimization -Phase 8.5 Local Placement Optimization (Checksum:ca08ba58) REAL time: 8 secs - -Phase 9.18 Placement Optimization -Phase 9.18 Placement Optimization (Checksum:42dfa246) REAL time: 8 secs - -Phase 10.5 Local Placement Optimization -Phase 10.5 Local Placement Optimization (Checksum:42dfa246) REAL time: 8 secs - -Total REAL time to Placer completion: 8 secs -Total CPU time to Placer completion: 8 secs -Writing design to file top.ncd - - - -Starting Router - - -Phase 1 : 1284 unrouted; REAL time: 10 secs - -Phase 2 : 1197 unrouted; REAL time: 10 secs - -Phase 3 : 243 unrouted; REAL time: 10 secs - -Phase 4 : 248 unrouted; (Par is working to improve performance) REAL time: 10 secs - -Phase 5 : 0 unrouted; (Par is working to improve performance) REAL time: 10 secs - -Updating file: top.ncd with current fully routed design. - -Phase 6 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs - -Phase 7 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs - -Phase 8 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs - -Phase 9 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs - -Phase 10 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs - -Phase 11 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs - -Phase 12 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs -WARNING:Route:455 - CLK Net:vga_sync_unit/on_off may have excessive skew because - 0 CLK pins and 2 NON_CLK pins failed to route using a CLK template. - -Total REAL time to Router completion: 11 secs -Total CPU time to Router completion: 11 secs - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Generating "PAR" statistics. - -************************** -Generating Clock Report -************************** - -+---------------------+--------------+------+------+------------+-------------+ -| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| -+---------------------+--------------+------+------+------------+-------------+ -| clklent/CLKlent | BUFGMUX_X1Y10| No | 36 | 0.073 | 1.046 | -+---------------------+--------------+------+------+------------+-------------+ -| clk_IBUF | BUFGMUX_X2Y1| No | 2 | 0.000 | 0.990 | -+---------------------+--------------+------+------+------------+-------------+ -|vga_sync_unit/on_off | | | | | | -| | Local| | 15 | 0.087 | 2.131 | -+---------------------+--------------+------+------+------------+-------------+ - -* Net Skew is the difference between the minimum and maximum routing -only delays for the net. Note this is different from Clock Skew which -is reported in TRCE timing report. Clock Skew is the difference between -the minimum and maximum path delays which includes logic delays. - -Timing Score: 0 (Setup: 0, Hold: 0) - -Asterisk (*) preceding a constraint indicates it was not met. - This may be due to a setup or hold violation. - ----------------------------------------------------------------------------------------------------------- - Constraint | Check | Worst Case | Best Case | Timing | Timing - | | Slack | Achievable | Errors | Score ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net clk | SETUP | N/A| 9.772ns| N/A| 0 - lent/CLKlent | HOLD | 1.691ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net clk | SETUP | N/A| 2.126ns| N/A| 0 - _IBUF | HOLD | 1.297ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net vga | SETUP | N/A| 2.348ns| N/A| 0 - _sync_unit/on_off | HOLD | 1.581ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - - -All constraints were met. -INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the - constraint is not analyzed due to the following: No paths covered by this - constraint; Other constraints intersect with this constraint; or This - constraint was disabled by a Path Tracing Control. Please run the Timespec - Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - - -Generating Pad Report. - -All signals are completely routed. - -Total REAL time to PAR completion: 12 secs -Total CPU time to PAR completion: 11 secs - -Peak Memory Usage: 148 MB - -Placement: Completed - No errors found. -Routing: Completed - No errors found. - -Number of error messages: 0 -Number of warning messages: 1 -Number of info messages: 1 - -Writing design to file top.ncd - - - -PAR done! Index: github/pong-master/top.unroutes =================================================================== --- github/pong-master/top.unroutes (revision 428) +++ github/pong-master/top.unroutes (nonexistent) @@ -1,9 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 18:51:20 2014 - -All signals are completely routed. - - - Index: github/pong-master/webtalk_pn.xml =================================================================== --- github/pong-master/webtalk_pn.xml (revision 428) +++ github/pong-master/webtalk_pn.xml (nonexistent) @@ -1,42 +0,0 @@ - - - - -
- - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
Index: github/pong-master/top.ngr =================================================================== --- github/pong-master/top.ngr (revision 428) +++ github/pong-master/top.ngr (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5;71`<'aefi"bhu`lvgj)hxldhck|/qjq+qtrfb%hcigho.ffiju(o`~cyo!ooe.idusm{%H@F<:MIX-rmb2m2%cc`k lfwbjpeh'fznbna}er-slw)sz|d`#nakifm,``kh{&mbxe{m/qmmc(kf{}oy#Jobe^eqeunnkVmnbh|nthmm*snci7"f`md-ocpgi}je$c}kacnpfw*voz&~yyce cnfjcj)cmdex#jg{ht`,tjh`%dkxxh| lnel*snc0124?46799:;46??002345g<99::<?0148557699l0==4G_bmvjq:>294:?6??:HLSQQ01855:>586;2;;6D@_UU8GeqgE400;2<;4118JJUSS2ycsiaQw<883:43<990BB][[:qk{aiY~400;2<>4118LQQVR\3[757>114924?IR\Y__6_k|umv?=?699?1:<7AZTQWW>uomeUS044?>04855294:<6??:ccohZ~Xz531<3?m;029fdjkWqUySljkst`3456;13:5=?5>0;`bhiYW{ol044?>02855:>58682;;6oo}2^{\f9?=87;i7<>5b`p1[|YeWhnoxl?012?=?699;1:<7lnr3]z[wc`400;2k5>0;`bvZXj531<3?n;029fdtXqVhTmij|uc2345:>294:=6??:ccq[|Yumn626=0i;029tl~bdVr757>1f:33>uomeUr044?>8924?~;13:5=>5>0;z\``jsiVxnk1750?3a?46=pVnn`yoPrde\ip~789:7<7719:33>|:>294:?6??:x]gairfW{ol044?>39256=699=0=<>?013:?47688;;<<7410335476901:=7698;:86?>:HLSQQ50?30?47=AGZ^X7okds>24?699=1:=7GAPTV9eabuI5;;6=0k;039eabu48:1<3?>;039eabuW{ol0<>50?3864=40291H1<50?g87?OIX\^1H1<50?31?61>586:291EC^ZT;FbpdD;:3:5=?5<:HLSQQ0387?OIX\^1~jl><383:47<;3CE\XZ5rf`187<76o186B[[PTV9U94=87;?7>4@UURVP?Tb{|f0?4?>0587?IR\Y__6j|npi]qcg:5294:=6=5OTVSQQ6:19KPRW]]0iuzPrf`?6?690291]1<50?d87?dn|Vkoh2=:1<23>5=j`~Tmij}_lw{45654;0;2<643;dljqYpg{nTbbz?012?6?6999186j|npi]qcg:5294i7>4}gc3?6?69j291~jl=<383:d=42{mi0?4?>g90>wijWhno~1<50?g87?theVid`1<50?d87?rbp}Uyko2=:1?-5380==32M686=0j;58JJUSS2M686=0>2:69MKVR\3NjxlO33;2=55=32@D[YY4mto>0>58a3=0DYY^ZT;S?7?6902>1]1=50?c80?dsf591<3h44;plsZgcl{686=0>7:69vjqXimnyS`{w012186<7681>=68>2:49MKVR\3kohO35;2=<>1=L5<1<3k47;KMTPR=L5<1<3??;68JJUSS2Zog0;4?>g94>JSSX\^1]1850?32?211194>fnfzVkoh29:1<26>1=kakySljkr^n?2?69911<6nfnr^cg`wYj}q:;1=kakySljkr^p?2?6998136D@_UU8Geqg;?3:5=?57:NWWTPR=lh~j0:4?>0382KEH>L>6:CM@6D5<2KEH984AOF7F4099Bgfsj4?437Lmlul>4:==Fkjf050n;@a`qh:>29437Lmlul>::==E]ZUBBKA>;B08G@3>2028GIMkmg~Taxv?013056=DDBfnbyQbuy2344Yney30OBCBIUVF@2=DZLK_II=4Cmi6?FjlMg;0H95K<1<7?A:66?1O0?4?>59G87813M686=0;;E>0:1=C4=4?7I2:>79G83<76=1O0;0;;Ecwe2=Ci}k7<394D`vb84803Mkm1<17:Fbpd:46>1Omyo34?58@drf4<4<7Io{a=4==>Bf|h6<6=08;Ecwe919=2NjxlO7;EcweD:76k1OmyoN<0294;?99GeqgF484j7Io{a@>1>58?3MkmL2=>`9GeqgF4:0;255KaucB868?3MkmL2;>99GeqgF4<437Io{a@>5:==Ci}kJ0:07;EcweD:?6h1OmyoN<883:==Ci}kJ040:;EcweG>18:FbpdD;97k0HlznB=094;>Bf|hH79364D`vbF90902NjxlL37?:8@drfJ525m6Jnt`@?=?6902NjxlL39?08BA5>0:JP}keXkdzT`y>?01:8LZeh}g~:m6FPcnwmp969i2BTob{at=3=e>NXkfex1<1a:J\gjsi|595m6FPcnwmp929i2BTob{at=7=e>NXkfex181a:J\gjsi|5=5m6FPcnwmp9>9k2BTob{at=;94;g<@Vidycz39?31?MYdg|dSnc_ds34566;2BTob{at^antZcv89:;=<=4H^alqkrXkdzTi|>?01027>NXkfexRmbp^gr4567;8<0DRm`uov\ghvXmx:;<=Qfmq38M7=N8;1B=?5F239J77=N<;1B9?5F639J31=NF@^87D@Ye:IFAUYOALXJ^NFV2:NG3>JHO@IJ@o5CPL]OEQEOW8h0@]CPL@V@LZ4e3EZFSAO[CI]0f>JWEVFJXNFP469N*26cWE;27@okd^`bhiYW{Ujhi}zb123447>3DkohRlnlm]z[gYflmy~n=>?003:?HgclVhj~?Qv_c]b`aurj9:;<?10;8IfijxhxTZ^wac^antZas89:;=<74MbmntdtX^ZseoRmbp^nw456798h0Anabp`p\fdt5WfdTo`~Pld234576j2Ghc`~nr^`bv7YhfVif|Rbj012254d?0332f>Kdgdzj~Rlnr3]ljZejxVfn<=><10g8IfijxhxTnl|=_x]qabYdeyUli=>?003f?HeheykySoo}2^{\v`aXkdzT`h>?0132a>Kdgdzj~Rlnr3]z[wc`Wjg{Saz?01225d=Jkfg{mQmas]ljZejxVfn<=>?10c8IfijxhxTnl|Poo]`iuYkm9:;=?10c8IfijxhxTccQ`fg]`iuYk|9:;=e:O`khvfzVyzSoocl^mm[fkwWeo;<=?>1d9Ngjkwi{Ux}Rlnlm]ljZejxVfn<=>=10g8IfijxhxT|Qmamn\kkYdeyUgi=>?303e?HeheykySuQkemvb[wc`Wjg{Sjz?01225c=Jkfg{mQw_egopdYumnUha}Qht123547a3Dida}o}_y]gairfW{olSnc_fv345469o1Fobcas]{[ack|hUyijQlmq]dp567;8;m7@m`mqcq[}Ycme~jSkh_bos[br789>:=k5BcnosewYWmogxlQ}ef]`iuY`|9:;91g9Ngjkwi{UsSikct`]qabYdeyUlx=>?703e?HeheykySuQkemvb[wc`Wjg{Sak?01225c=Jkfg{mQw_egopdYumnUha}Qce123547a3Dida}o}_x]gairfW{olSnc_fv345669o1Fobcas]z[ack|hUyijQlmq]op56788o0A`{w_gmmpZdn|8n0A`{w_smn[gos9830Aab_bjbvZgcl{UySab0123544IU:K;87B\<3:MQ04=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC63X>0]1>14:S?5;0W;;3:586_33?68U929<2[79384Q=494;29>2[757>14:S?=;789Qavsk|5;5n6\jstnw87<7601Yi~{ct=0==>Tb{|f0>06;Sgpqir;<730^h}zlu>6:<=Umzgx1819:Pfwpjs4>427_k|umv?<;d5\em48W`j;87<0_hb31?48W`j;:7<0_hb33?48W`j;<7<0_hb35?:8W`j;>3:5:6]jl=4=0>R^XL<0Y}J`wo18RFE?3_MJS^WAC89UM@QX\PZN96X\yoag?SU~fjUjbi>?0103?SU~fjUjbi>?01]jiuYQ[pdhSl`k0123546<^ZseoRmbp^ew4567991]_t`l_bos[ir789:37[Qlotlw5d=QWje~by2?>`9U[firf}6:2l5Y_bmvjq:56h1]Snaznu>0:d=QWje~by2;>`9U[firf}6>2l5Y_bmvjq:16h1]Snaznu>4:d=QWje~by27>b9U[firf}626=0n;W]`kphs404:>6XPcnwmpZejxVoz<=>?129U[firf}Uha}Qjq12344743_Uhcx`{_bos[`w789:9=>5Y_bmvjqYdeyUn}=>?0235?SYdg|dSnc_ds3456Xadz37[gken]lj4bgcl{6:2:5ndep?6;108;`fgv929?2koh2:>69b`at;>7=0mij}<6<4?dbcz525;6okds>::0=flmxJ46okdsC?4;dgcl{K7>364aefqE95902kohO34?c8eabuI5?1<364aefqE93902kohO36?:8eabuI5=546okdsC?<;>1:g=flmxT~hi33?`8eabuW{ol090m;`fgvZtbo5?5n6okds]qab:16k1jhi|Prde?3;d6:`bhiYW{Ujhi}zb12349799?1imabPx^p\eabt}k:;<=2=>048fdjkWqUySljkst`3456;;7;=7oocl^z\vZgclzi<=>?<5<22>dfdeUsSQndeqvf56785?5=;5mamn\|ZtXimnxyo>?01>5:401:a=eiefTtR|jg=1=`>dfdeUsSkh<5e9aeijXpVxnk191d:`bhiYW{ol050i;ccohZ~Xzlm757>1d:`bhiYW{ol040m;ccohZXj5:5n6lnlm]z[g:66k1imabPy^`?6;d2o5mamn\}Zd;>7h0nlbc_x]a828e3kkg`RwPb=:=`>dfdeUrSo26:131?35?ggkdVsTnRokdrwa45674;4::6lnlm]z[gYflmy~n=>?0=1=53=eiefTuRlPaefpqg67896?2<84b`no[|YeWhnoxl?012?1;713kkg`RwPb^cg`vse89:;0;0>6:`bhiY~WkUjhi}zb12349199?1imabPy^`\eabt}k:;<=27>0:8fdjkWpUiSljkst`3456;13:5=;5mamn\}ZdXimnxyo>?01>::g=eiefTuR|jg0f8fdjkWpUyij2?>e9aeijXqVxnk1?1d:`bhiY~W{ol0?0k;ccohZXzlm7?3j4b`no[|Yumn6?2i5mamn\}Ztbo5?5h6lnlm]z[wc`4?4o7oocl^{\v`a;?7n0nlbc_x]qab:?6o1imabPy^pfc9?=87n0nlbc_x]qab:>6>1imRaa_`lg45679880nl|=_nl\ghvXdl:;<=?=;ccq6ZiiWjg{Sak?01326>dfz;UdbRmbp^nf45659;1imRwPb=0=f>dfz;UrSo2<>c9aew4XqVh783l4b`p1[|Ye4<4i7oo}2^{\f909j2hj~?Qv_c>4:g=ei{8TuRl38?f8fdt5WpUi044?>c9aew4XqVh753?9;ccq6ZXjVkoh~{m01238586>2hj~?Qv_c]b`aurj9:;<1?1179aew4XqVhTmij|uc2345:568<0nl|=_x]a[dbc{|h;<=>33?35?ggu:VsTnRokdrwa45674=4::6lnr3]z[gYflmy~n=>?0=7=53=ei{8TuRlPaefpqg67896=2<84b`p1[|YeWhnoxl?012?3;713kky>RwPb^cg`vse89:;050>8:`bv7Y~WkUjhi}zb12349?=87;=7oo}2^{\fZgclzi<=>?<8d:`bv7Y~W{ol0=0k;ccq6ZXzlm7=3j4b`p1[|Yumn692i5mas0\}Ztbo595h6lnr3]z[wc`4=4o7oo}2^{\v`a;=7n0nl|=_x]qab:16m1imRwPrde?=?69l2hj~?Qv_sgd8<86:2hj~?Qv_sgd[dhc89:;>l5mas0\}ZtboVkeh=>?0^kntZdfz;UrSkh_`lg45679880nl|=_x]qabYffm:;<<dfz;UrSkh_bos[ic789::96lnr3]z[wc`Wjg{Saz?01226>dfz;UrSkh_omw4566:h1im?00325>dfz;UrSkh_np3454502hj~?Qv_sgd[jt7898Te`~Pb`p1[|YumnUd~=>?2048fdtXgg897oo}_nl\ekb789:Te`~Pb`p\kkYffm:;<=?>1:`bvZiiWjg{Sak?01225>dfzVeeSnc_mg3457692hj~Raa_bos[ic7898:=6lnr^mm[fkwWeo;<==n;ccq[|Ye494j7oo}_x]a848f3kkyStQm<30n;ccq[|Ye4=4j7oo}_x]a808f3kkyStQm<7::43?01>2:43?01>0:43?01>6:43?01>4:43?01>:>586=2hj~RwPb^cg`vse89:;040n;ccq[|Yumn;h7oo}_x]qab:76j1imQv_sgd848d3kkyStQ}ef>1:f=ei{UrSkh<2<`?gguWpUyij2;>b9aewY~W{ol080l;ccq[|Yumn6=2n5mas]z[wc`4>4h7oo}_x]qab:?6l1imQv_sgd8<<76j1imQv_sgd8<8692hj~RwPrde\ekb789:946lnr^{\v`aXign;<=>Pilr\fdtXqVxnkRoad12344763kkyStQ}ef]bja6788837oo}_x]qabYffm:;<dfzVsT~hiPclr\hq6789;:7oo}_x]qabYig}:;<<<7;ccq[|YumnUecy>?00]jiuYei{UrSkh_omw456698:0nl|Py^pfcZiu89:;>;5mas]z[wc`Wfx;<=>Pilr\fdtXqVxnkRa}0123546>1028fdtXqVxnkRa}012163=ei{UrSkh_np3454XadzTnl|Py^pfcZiu89:9=55miu]b`ate3kcSljkr=2=f>dn|Vkoh2>>e9amqYflmx7>7>1b:`jpZgcl{692<=4bhv\eabuWds<=>=<1<20>dn|VkohQbuy2347:768;>7og{_`fgvZkrp9:;>1>11037?gosWhno~Rczx1236969:8?0ndzPaefq[hs89:90=0=1078flrXimnyS`{w0121858498?0ndzPaefq[hs89:90=0;1078flrXimnyS`{w0121858298>0ndzPaefq[hs89:90=09169amqYflmxTaxv?010?4;Yney;87og{_`fgvZkrp9:;>1?1159amqYflmxTaxv?010?5;76=2hbxRokds]nq}678;6:24:`jpZgcl{Ufyu>?03>2:7723kcSljkr^ov|567:5;5>?2=3=74723kcSljkr^ov|567:5;58?2=3=14733kcSljkr^ov|567:5;5:<94bhv\eabuWds<=>=<0<\mhv6=2hbxRokds]nq}678;696=0>3:`jpZgcl{Ufyu>?03>1:423321>dn|VkohQbuy2347:56:;:96lft^cg`wYj}q:;5321>dn|VkohQbuy2347:56<;:86lft^cg`wYj}q:;734?gosWhno~Rczx1236949W`g{:6l{n=2=2>dsf5;5:6l{n=0=<>dsf591<384bul?7;?8cgz89`ldtXimnyo6mgas]b`at;87i0oeo}_`fgv979k2icmQndep?6;eeoi{Ujhi|34?a8gmguWhno~1;1e:akewYflmx7:7>1c:akewYflmx7:3k4cicq[dbczVf7<3<=;bjbvZgcl{Ug0=0Pilr\gmguWhno~Rb30?3f?fnfzVkohQc<0<16>eoi{Ujhi|Pl=3=[lkwWjbj~Rokds]o8486m2icmQndep\h949:;1hdl|Paefq[i:56Vcf|Rmgas]b`atXd585=h5lh`p\eabuWe682?<4cicq[dbczVf7?3Qfmq]`ldtXimnySa2<>0g8gmguWhno~Rb34?33?fnfzVkohQc<783:`=d`hxTmij}_m>5:74?2b9`ldtXimnySaQnne2345YneyUhdl|Paefq[iYffm:;<=?>3:akewYflmxT`Road12357e=_hos[fnfzVkohQc_`lg45659890oeo}_`fgvZjXign;<===c:akewYflmxT`Road1237ZojxVicmQndep\hZgil9:;?<==;bjbvZgcl{UgSnc_ds3456XadzToeo}_`fgvZjXkdzTi|>?01322>eoi{Ujhi|Pl^antZcv89::??5lh`p\eabuWeUha}Qjq1235ZojxVicmQndep\hZejxVoz<=>>1048gmguWhno~RbPclr\at678;997nfnr^cg`wYkWjg{Sh?010\mhvXkakySljkr^n\ghvXmx:;2:akewYflmxT`Ra}01236d=d`hxTmij}_m]lv5678Vcf|Rmgas]b`atXdVey<=>?1068gmguWhno~Rczx12369699<1hdl|Paefq[hs89:90=0>179`ldtXimnyS`{w0121858698?0oeo}_`fgvZkrp9:;>1>12048gmguWhno~Rczx1236969:8;=7nfnr^cg`wYj}q:;2322>eoi{Ujhi|Pmtz3454;87>:=;5lh`p\eabuWds<=>=<1<6543?2=2=[lkw9=1hdl|Paefq[hs89:90<0>5:akewYflmxTaxv?010?5;76>2icmQndep\ip~78987=3?>149`ldtXimnyS`{w012184859?1hdl|Paefq[hs89:90<0=1048gmguWhno~Rczx1236979;8;=7nfnr^cg`wYj}q:;>5322>eoi{Ujhi|Pmtz3454;97?:=85lh`p\eabuWds<=>=<0<55==d`hxTmij}_lw{4565484Te`~>4:akewYflmxTaxv?010?6;723jbj~Rokds]nq}678;692?03>1:476=2icmQndep\ip~78987>3<>6:akewYflmxTaxv?010?6;469?1hdl|Paefq[hs89:90?0<1048gmguWhno~Rczx1236949<8;=7nfnr^cg`wYj}q:;4321>eoi{Ujhi|Pmtz3454;:7<:46mgas]b`atXe|r;<=<32?]jiu733jbj~Rokds]nq}678;682<;4cicq[dbczVg~t=>?2=1=540?03>0:7713jbj~Rokds]nq}678;682??>6:akewYflmxTaxv?010?7;569?1hdl|Paefq[hs89:90>0;1048gmguWhno~Rczx1236959=8;>7nfnr^cg`wYj}q:;73;?fnfzVkohQbuy2347:46Vcf|<:4cicq[dbczVg~t=>?2=6=50=d`hxTmij}_lw{45654=4:=;5lh`p\eabuWds<=>=<5<25435><84cicq[dbczVg~t=>?2=6=64713jbj~Rokds]nq}678;6?2>?>6:akewYflmxTaxv?010?0;269?1hdl|Paefq[hs89:9090:1078gmguWhno~Rczx1236929>820oeo}_`fgvZkrp9:;>1:1_hos51=d`hxTmij}_lw{45654<4:96mgas]b`atXe|r;<=<35?322>eoi{Ujhi|Pmtz3454;=7;:=;5lh`p\eabuWds<=>=<4<1543?2=7=[lkw9?1hdl|Paefq[hs89:90;4?>068gmguWhno~Rczx12369099<1hdl|Paefq[hs89:90;0>179`ldtXimnyS`{w0121838698?0oeo}_`fgvZkrp9:;>1812048gmguWhno~Rczx1236909:8;=7nfnr^cg`wYj}q:;2322>eoi{Ujhi|Pmtz3454;>7>:=;5lh`p\eabuWds<=>=<7<6543?2=4=[lkwm2icmQndep\v969m2icmQndep\v979m2icmQndep\v949m2icmQndep\v959m2icmQndep\v929m2icmQndep\v939991hdl|Paefq[w:1294n7nfnr^cg`wYu4?487nbd7:aooici|=1hccj8;bmvjqcu?2njxl2?>69geqg;97=0hlzn<3<4?agsi595;6jnt`>7:2=ci}k79394d`vb838>3mkm1950?58`drf4>427ig}ebvjkk54>5813meJ0:07;gmmpZdn|11mcczPrno:?cii|Veeym4fnlw[riul5:5o6h`nu]tkwb;97i0jb`{_vmq`949k2ldbyQxosf?7;e`hf}U|cj35?a8bjhsW~eyh181e:dljqYpg{n7;7>1c:dljqYpg{n7;3?;;gmmpZqhzmUecy>?01>3:7c?01>3:4733oeexRy`re]mkq67896:2?k4fnlw[riulVddx=>?0=3=[lkwWoeexRy`re]mkq67896:2?01>1>586<2ldbyQxosf\jjr789:7>3?01>1:ZojxVldbyQxosf\jjr789:7>3?l;fpbtmYuok6;2i5hr`rk[wae494:i6i}aqj\vbd;87;:i6i}aqj\vbd;878:i6i}aqj\vbd;879:i6i}aqj\vbd;87>:h6i}aqj\vbd;87?:<6i}aqj\vbd;87Uba}m4gscslZt`j5;5h6i}aqj\vbd;97;n7j|npi]qcg:668;n7j|npi]qcg:66;;n7j|npi]qcg:66:;n7j|npi]qcg:66=;o7j|npi]qcg:66<;;7j|npi]qcg:66Vcf|h5hr`rk[wae4;0;2n5hr`rk[wae4;4o7j|npi]qcg:568o0koh^pdf94998o0koh^pdf949:8o0koh^pdf949;8o0koh^pdf949<8n0koh^pdf949=8:0koh^pdf949W`g{;6i}e`vfv`=`zlkia}epwbh0=o{pdh=6g<;h]31>jf|jb?7ak|sc9oavuhzl{~ma84ldtjaw1?0^kntZjhofUdbRoad12344753eelcRaa_bos[`w789:9m6b`gn]ljZejxVoz<=>?_hos[ii`gVeeSnc_ds345669;1gcjaPoo]`iuYkm9:;<<<4lnel[jhXkdzT`h>?00;8hjahW|dbx>5buy68ip~512g~t?Qznhv5?jhXgoln7b`Pogd\ekb789:9>6aa_nde[dhc89:;Sdc_nl\kc`Xign;<=>>109ljZianVif|Rb{012354=hfVemjRmbp^nw4566m2eeSbhi_omw4566:;1dbRaif^llp5679Vcf|Raa_nde[kis89::=l5iygo[]:76h1{eukc_Y>2:d=waqogSU2=>`9sm}ckWQ682l5iygo[]:36h1{eukc_Y>6:d=waqogSU29>`9sm}ckWQ6<2l5iygo[]:?6j1{eukc_Y>:>58f3ycsiaQW<86h1{eukc_y>3:d=waqogSu2>>`9sm}ckWq692l5iygo[}:46h1{eukc_y>7:d=waqogSu2:>`9sm}ckWq6=2l5iygo[}:06h1{eukc_y>;:f=waqogSu26:10n;qk{aiY~4=4j7}gwem]z808f3ycsiaQv<7::2=wgeUh`f74pnn\gimbf11{caQhaug;?uikWzig~l5om]vtaipf01{cciPtdzwe>vhz}Ujon{b9:rlvqYflmx37}a}t^aood=wg{~Toaejn89skwrXlh~j56~`ru]gkpr>3yeyxRintd:8tjtsWfzj46~`ru]ltg0l5}d^`bhiYhfVkeh=>?0^kntZtcWkkg`Raa_`lg4567901yik|Pthah6==umoxTxdmd_`lg4567W`g{Skir^vjgnYffm:;<=?>4:pfbwYsajaTo`~Pep2345723{om~Rzfcj]`iuYby9:;<?7;sgevZrnkbUha}Qjq1234Zojx8>0~hh}_uk`oZejxVoz<=>>149qactX|`i`Snc_ds345769<1yik|Pthah[fkwWl{;<=?=149qactX|`i`Snc_ds34574911yik|Pthah[fkwWl{;<=?Pilr4?wae95:5;6|hb0>2:<=uok;7>7>17:pdf4:56>1yko<30?58vbd548427im2=094;18:pdf9699820~jl30?023>t`j5:5?l5}gc>3:Zojx?1yko2>>69qcg:66820~jl31?32<>t`j5;5><94rf`?5;5f3{mi0<0Pilr;?wae4;0;2;5}gc>1:2=uok692<64rf`?6;7602xln1<12058vbd;:79j7im<3<\mhv43{efn6|`m^cg`w:76k1yc`Qndep?5;b`9qkhYdge692o5}ol]geqg;87h0~bcPd`vb848e3{efSio{a=0=f>theVnjxl2<>c9qkhYci}k783l4rno\`drf4<4i7ab_ecwe909l2xdaRjnt`>4>58e3{efSio{a=5=<>thVkohl4rnu\eabu494i7ax_`fgv979j2xd{Rokds>1:a=ug~Ujhi|33;2=f>thVkoh2<>018vjqXimnyS`{w01218586<2xd{Rokds]nq}678;6;2?2=2=54733{e|Sljkr^ov|567:5:5><;4rnu\eabuWds<=>=<1<1543=<1<7543=<:4rnu\eabuWds<=>=<1<552=ug~Ujhi|Pmtz3454;87Uba}?<;smt[dbczVg~t=>?2=3=51=ug~Ujhi|Pmtz3454;97;:96|`w^cg`wYj}q:;>0320>thVkohQbuy2347:66;;>7ax_`fgvZkrp9:;>1?12036?wipWhno~Rczx1236979;8;>7ax_`fgvZkrp9:;>1?14036?wipWhno~Rczx1236979=8;?7ax_`fgvZkrp9:;>1?16058vjqXimnyS`{w0121848Xadz:?6|`w^cg`wYj}q:;068vjqXimnyS`{w012187869<1yczQndep\ip~78987>3?>159qkrYflmxTaxv?010?6;46=2xd{Rokds]nq}678;692??>5:plsZgcl{Ufyu>?03>1:676=2xd{Rokds]nq}678;6929?>5:plsZgcl{Ufyu>?03>1:076<2xd{Rokds]nq}678;692;?8;smt[dbczVg~t=>?2=0=[lkw9<1yczQndep\ip~78987?7>1129qkrYflmxTaxv?010?7;733{e|Sljkr^ov|567:595=<;4rnu\eabuWds<=>=<2<2542thVkohQbuy2347:46Vcf|55}tm]w}uc03{giRaa259qqicXggUjbi>?01]jiuYu}eoTccQnne234576:2x~`hQ`n^antZcv89:;>l5}umg\kkYdeyUn}=>?0^kntZtrdlUdbRmbp^gr45679880~xbj_nl\ghvXmx:;<<uvWkkg`Raa_`lg4567W`g{S~Pb`no[jhXign;<=>>149puZdfdeUdbRmbp^nf45679<1x}Rlnlm]ljZejxVfn<=>>149puZdfdeUdbRmbp^nf45659<1x}Rlnlm]ljZejxVfn<=>rbp}Uyko2?>5d8p`~sW{mi0=0Pilra?qc|Vxln1?1c:vf|qYuok6:2rbp}Uyko2=>03g?qc|Vxln1<120f8p`~sW{mi0?0<1b9wa}rXznh7>3:i;ug{pZt`j585Sdc3:vlt==rfzclbhj=;tr5?pvcg~d27{ocud]`mk?<~hf~iRabe9ucdYtqgiTycg{8:tj``iXgg?0z~wac49tmaro<2r7<3:4x=3=0>~;:7>0t1=14:z?0;2<=4x^ffhqgXzlmTmcj?0121g>~XllfmR|jg^cm`5678Vcf|RvPddnweZtboVkeh=>?0030?}Ycme~jSkh_`lg4566:j1sSikct`]qabYffm:;<?m4x^ffhqgXzlmTmcj?010\mhvXpVnn`yoPrde\ekb7898:=;5w_egopdYumnUha}Qht1234402rThhb{a^pfcZejxVm<=><179{[ack|hUyijQlmq]dp567<8<0tRjjluc\v`aXkdzTky>?0435?}Ycme~jSkh_bos[br789<::6vPddnweZtboVif|Ri{012453=WmogxlQ}ef]`iuYkm9:;<<84x^ffhqgXzlmTo`~Pld23447?3qUoiazn_sgd[hs89:;0=46>048|Zbbd}kT~hiPmtz3456;07997uQkemvb[wc`Wds<=>?<9<\mhvXpVnn`yoPrde\ip~789:743?>3:z\``jsiVxnkR``t12357e>_hos[}Ycme~jSkh_np345769;1sSikct`]qabYhz9:;>?o4x^ffhqgXzlmTc>?03]jiuYWmogxlQ}ef]lv567:8;97uQkemvb[wc`Wfx;<===a:z\``jsiVxnkRa}0120[lkwWqUoiazn_sgd[jt7899:==5wc2q145g*<$|z==>;;x>3:1=~484?7t2=>59z86833p6?295v<4<7?|:16=1r0:0;;x>;:3=~400;295v<8XllfmR|jg=;94;bC<528qX544>978245<6;:?:hn4<46:;k7><3;0b<7::79'5<5=91n0q^77:0;5>46728989>3;;<7?<343gg?53?120zK?:5;295?7=8rY257?66;334?74;<;oo7=;79:8 4122oh0(<:=:418f12>290i684=7zN2=5<6s-;?>7:71:O5<7=9r.?>l4;;|&234<3<01Q4n4={5491=71=:10?h7:j:|k052<722e?9<4?::k021<722e89h4?::m0`<<72-;?87=k8:l206<732e8h?4?:%370?5c02d:8>4>;:m0gf<72-;?87=k8:l206<532c9m;4?:%370?4f=2d:8>4?;:k1e1<72-;?874?:%370?4f=2d:8>4=;:k1e7<72-;?870}K90:1=vFi9:O5<7=:rd?>o496:&76d<33t.:;<460:k:5?6=3f2m6=44b0;1>5<6290;w)?81;347>N60l1d=9?50;9~f<4=8391<7>t$052>fc<@82n7A?60;3x c1=>2wG=4?52z&76d<73g>9n7=m;|k;`?6=3`3h6=44o066>5<54;294~"6?80o<6F>8d9O5<6=9r.m;784}M3:5?4|,=8j6=5a43`97g=za1n1<75f9b83>>o6==0;66a>4483>>{e1>0;6>4<:4y'527=1>1d5>4?::k01c<722c2=7>5;c;7>5<4290;w)?81;55?M7?m2cnj7>5;hd3>5<5<4290;w)?81;55?M7?m2cnj7>5;hd3>5<5<4290;w)?81;30`>N60l1bik4?::ke4?6=3f;8o7>5;|q:7?6=:rT2?6366;30g>{t;7}:1=0:?n52978fb>{t1<0;6?u294827f=:1?0m<6srs8394?2|V0;014<58e9>736=0m165:461:p=6<72;q65?46c:?:3??43ty2>7>52z\;b>;>:3;?96s|34d94?5|5:<;6<::;<154?72<272;7=:f:p56?=838p1<7=:062?851833h7psm45d94?7?28?1?luC18295~Na12wG=4?52zl76g<102.?>l4;;|&234<6?=1Q4n4={0:95<4g=990vc<99:188m=`=831d=5m50;9l`=<722e84=4?:%370?50n2d:8>4?;:m03`<72-;?87=8f:l206<632e8;i4?:%370?50n2d:8>4=;:m03f<72-;?87=8f:l206<432e8;o4?:%370?50n2d:8>4;;:m03d<72-;?87=8f:l206<232e8;44?:%370?50n2d:8>49;:m03=<72-;?87=8f:l206<032e8;:4?:%370?50n2d:8>47;:m033<72-;?87=8f:l206<>32e84o4?:%370?5?i2d:8>4?;:m0<<<72-;?87=7a:l206<632e8454?:%370?5?i2d:8>4=;:m0<2<72-;?87=7a:l206<432e84;4?:%370?5?i2d:8>4;;:m0<0<72-;?87=7a:l206<232e8494?:%370?5?i2d:8>49;:m0<6<72-;?87=7a:l206<032e84?4?:%370?5?i2d:8>47;:m0<4<72-;?87=7a:l206<>32h:4k4?:083>5}#9>;1h95G19g8ka5=831vn<7=:182>5<7s-;<=7?83:J2<`=h9=;1<75rb055>5<3290;w)?81;f3?M7?m2F:5<4={%61e?35<5<3290;w)?81;f3?M7?m2F:5<4={%61e?35<:e28L4>b3E;2=70=i<;h18k5ri9f94?=n1j0;66g>5583>>i6<<0;66smde83>f<729qG=4?5bz&764<49h1/??:545`8 6gd20i0(>o7:928 6d628>>7)=na;;b?k5f:3;0(>l<:044?k5f=3;0(9:ef8^=e=9r;=6pg6a;29?l71?3:17b?;6;29 42328?97c?;3;;8?j73?3:1(<:;:071?k73;3207b?;9;29 42328?97c?;3;58?j73j3:1(<:;:071?k73;3<07b?;c;29 42328?97c?;3;78?j73l3:1(<:;:071?k73;3>07b?;f;29 42328?97c?;3;18?j7283:1(<:;:071?k73;3807b?:1;29 42328?97c?;3;38?j72;3:1(<:;:071?k73;3:07pl>7c83>a<729qG=4?5dz&764<49h1/??:545`8 6gd20i0(>o7:928 6d628>>7)=na;;b?k5f:3;0(>om:8`8j6g4281/?o=51758j6g2281/8?o55:l76g<1:2w/=:?516`8^=e=9r;=6pg6a;29?l?e2900e<88:188k421290/=9:51408j4242010c<:8:18'512=9<80b<:<:998k42>290/=9:51408j4242>10c<:m:18'512=9<80b<:<:798k42d290/=9:51408j4242<10c<:k:18'512=9<80b<:<:598k42a290/=9:51408j4242:10c<;?:18'512=9<80b<:<:398k436290/=9:51408j4242810c<;<:18'512=9<80b<:<:198yg74>3:1=94?:1yO5<7=?r.?><4;339'7d`=j81/89k5a:&0f7<6=?1/89j56:&76d<23g>9n7=i;|&234<6;?1Q4n4={00952458ab>h6<:0276gm5;29 4232kl0b<:<:998mg1=83.:894mf:l206<032ci57>5$067>g`86;54icc94?"6<=0ij6`>4286?>oej3:1(<:;:cd8j4242=10eom50;&2014<;:ka`?6=,8>?6oh4n060>7=458ab>h6<:0:76gl0;29 4232kl0b<:<:198k430290/=9:51738j4242010c<;7:18'512=9?;0b<:<:998k43f290/=9:51738j4242>10c<;m:18'512=9?;0b<:<:798k43d290/=9:51738j4242<10c<;k:18'512=9?;0b<:<:598k43b290/=9:51738j4242:10c<;i:18'512=9?;0b<:<:398k407290/=9:51738j4242810c<8=:18'512=9?;0b<:<:198yg74?3:1=94?:1yO5<7=?r.?><4;339'7d`=j81/89k5359'7g4=9<<0(9:k:79'07g==2d?>o4;0:'527=9:=0V5m52z31>41=u`h96=4+1569fc=i9=91565fb483>!73<3hm7c?;3;:8?ld0290/=9:5bg9m515=?21bn44?:%370?da3g;??784;h`b>5<#9=>1nk5a15191>=njk0;6)?;4;`e?k73;3>07dll:18'512=jo1e=9=53:9jfa<72-;?87li;o377?4<3`hn6=4+1569fc=i9=91=65fc183>!73<3hm7c?;3;28?j72?3:1(<:;:042?k73;3307b?:8;29 42328<:7c?;3;:8?j72i3:1(<:;:042?k73;3=07b?:b;29 42328<:7c?;3;48?j72k3:1(<:;:042?k73;3?07b?:d;29 42328<:7c?;3;68?j72m3:1(<:;:042?k73;3907b?:f;29 42328<:7c?;3;08?j7183:1(<:;:042?k73;3;07b?92;29 42328<:7c?;3;28?xd68>0;6n4?:1yO5<7=?r.8mk4m1:&70`<13-9i>79>;%615?52k2.?>54;4`9'07g==2d?>o4:4:'527=99=0V5m51z16>xoe:3:1(<:;:c18j4242h10eo;50;&20146;:ka3?6=,8>?6o=4n060>==458a7>h6<:0<76gma;29 4232k90b<:<:798mgd=83.:894m3:l206<232cio7>5$067>g586954icf94?"6<=0i?6`>4280?>oem3:1(<:;:c18j4242;10en>50;&2014>;:ka0?6=,8>?6o=4n060>5=;1<75rb02;>590(9<>:241?!2503>?m6*;2`86?k25j3??7p*>70824==]0j0:w>;5}h`1>5<#9=>1n>5a1519e>=nj<0;6)?;4;`0?k73;3307dl8:18'512=j:1e=9=58:9jf<<72-;?87l<;o377?1<3`hj6=4+1569f6=i9=91:65fbc83>!73<3h87c?;3;78?ldd290/=9:5b29m515=<21bni4?:%370?d43g;??7=4;h`f>5<#9=>1n>5a15196>=nk90;6)?;4;`0?k73;3;07dl;:18'512=j:1e=9=50:9l36<722wi=<750;a94?6|D83:6:u+3`d9f4=#<=o1i6*"3:808:?5+43:901g<,=8j685a43`90==z,8=:64}4=3wbn?4?:%370?d43g;??7o4;h`6>5<#9=>1n>5a1519=>=nj>0;6)?;4;`0?k73;3207dl6:18'512=j:1e=9=57:9jfd<72-;?87l<;o377?0<3`hi6=4+1569f6=i9=91965fbb83>!73<3h87c?;3;68?ldc290/=9:5b29m515=;21bnh4?:%370?d43g;??7<4;ha3>5<#9=>1n>5a15195>=nj=0;6)?;4;`0?k73;3:07b9<:188yg76i3:1o7>50zN2=4<0s-9jj7l>;%67a?543-9i>79<;%615?51:2.?>54;4`9'07g==2d?>o4;8:'527=98k0V5m51z16>xoe:3:1(<:;:c18j4242h10eo;50;&20146;:ka3?6=,8>?6o=4n060>==458a7>h6<:0<76gma;29 4232k90b<:<:798mgd=83.:894m3:l206<232cio7>5$067>g586954icf94?"6<=0i?6`>4280?>oem3:1(<:;:c18j4242;10en>50;&2014>;:ka0?6=,8>?6o=4n060>5=91<75rb026>5j7):=a;78j14e2=o0q)?81;331>\?k3;p?84ric094?"6<=0i?6`>428b?>oe=3:1(<:;:c18j4242010eo950;&20147;:ka=?6=,8>?6o=4n060>2=458a7>h6<:0=76gmb;29 4232k90b<:<:498mge=83.:894m3:l206<332cih7>5$067>g586>54icg94?"6<=0i?6`>4281?>od83:1(<:;:c18j4242810eo:50;&2014?;:m45?6=3th:<;4?:b83>5}K90;1;v*"3"3:808:?5+43:901g<,=8j685a43`90`=z,8=:6<>9;[:`>4}4=3wbn?4?:%370?d43g;??7o4;h`6>5<#9=>1n>5a1519=>=nj>0;6)?;4;`0?k73;3207dl6:18'512=j:1e=9=57:9jfd<72-;?87l<;o377?0<3`hi6=4+1569f6=i9=91965fbb83>!73<3h87c?;3;68?ldc290/=9:5b29m515=;21bnh4?:%370?d43g;??7<4;ha3>5<#9=>1n>5a15195>=nj=0;6)?;4;`0?k73;3:07b9<:188ygcc290i6>4k{%345?cc3fo26=44ieg94?=nlo0;66gj0;29?lc62900eh<50;9ja6<722cn87>5;hg6>5<>dbi3:197>50z&234<0k2B:4h5feg83>>oa83:17dh>:188mc4=831d=>m50;9~f`d=83?1<7>t$052>2`<@82n7dki:188mc6=831bj<4?::ke6?6=3f;8o7>5;|`fg?6==3:17084`>N60l1bik4?::ke4?6=3`l:6=44ig094?=h9:i1<75rsd;94?4|Vl301hm512a8yvbb2909wSjj;``4}rg2>5<5sWo:70km:g38yvc52909wSk=;c45<5sWo>70kl:g28yvc12909wSk9;c78c83>g<42mq/=:?519`8k4>02900e<9l:188m41c2900e<9j:188m41a2900e<6?:188m4>62900e<6=:188m4>42900e<6;:188m4>12900n<67:186>5<7s-;<=79l;I3;a>obn3:17dh?:188mc7=831bj?4?::m27f<722wi=5750;794?6|,8=:6:h4H0:f?lca2900ek>50;9jb4<722cm>7>5;n30g?6=3th:4l4?:483>5}#9>;1;i5G19g8m``=831bj=4?::ke5?6=3`l96=44o01`>5<52z\2<2=:91k1=>m4}r34g?6=:rT:;n5219:9b5=z{8=o6=4={_34`>;6010nj6s|16g94?4|V8=n70?79;ge?xu6?o0;6?uQ16d894>?2o;0q~?70;296~X60916=575f19~w4>62909wS?71:?2<<534;357h>;|q2<6<72;qU=5=4=0:b>``52z\2<1=:91k1j=5rs0:5>5<5sW;3:63>898e6>{t9121<745d34;3m7h>;|q2<<<72;q6=57512a894>f2o80qpl>7983>6<729q/=:?5749K5=c>i6;j0;66smd`83>6<729q/=:?5749K5=c>i6;j0;66sm36394?5=83:p(<9>:678L4>b3`om6=44ig294?=h9:i1<75rb256>5<5290;w)?81;d;?M7?m2cni7>5;n30g?6=3ty:;;4?:3y]520<58==6<::;|q;b?6=>rT3j63>778;`>;4>o03h63k8;:g?8bc20k01<9m:8c8yvb?2909wSj7;4223ty:;l4?:3y>55>=?:16=:65f19~w41>2909w0??7;52?87003om7p}kc;296~;68?0v3>04845>;ci3om7p}k9;296~;c03;>863ka;30g>{t9>=1<743334;<47?9>:01`?850=3on7p}<7383>7}:9831;>523639ac=z{:=86=4={<32e?14349<=7h?;|q02c<72;qU=5m4=24e>4223tyn57>53z?g`?71?27:;o46b:?f`?c>3ty8;94?:3y>73`=9<>01>9::01`?xu60>0;6?u216`9531<582i6<68;|q71a<72:qU?5>4=015>40534;:57m?;%352?22k2d::84?;|q71g<72:qU?:k4=015>40734;:57lj;%352?22k2d::84>;|q71d<72:qU?:j4=015>43a34;:57lk;%352?22k2d::84=;|q71<<72:qU?:m4=015>43b34;:57ll;%352?22k2d::84<;|q71=<72:qU?:l4=015>43c34;:57lm;%352?22k2d::84;;|q712<72:qU?:o4=015>43d34;:57ln;%352?22k2d::84:;|q713<72:qU?:74=015>43e34;:57l6;%352?22k2d::849;|q710<72:qU?:64=015>43f34;:57l8;%352?22k2d::848;|q711<72:qU?:94=015>43?34;:57l:;%352?22k2d::847;|q716<72:qU?:84=015>43034;:57l=;%352?22k2d::846;|q740534;:m7m?;%352?2?j2d::84?;|q740734;:m7lj;%352?2?j2d::84>;|q7<<<72:qU?564=014>43a34;:m7lk;%352?2?j2d::84=;|q7<=<72:qU?594=014>43b34;:m7ll;%352?2?j2d::84<;|q7<2<72:qU?584=014>43c34;:m7lm;%352?2?j2d::84;;|q7<3<72:qU?5;4=014>43d34;:m7ln;%352?2?j2d::84:;|q7<0<72:qU?5:4=014>43e34;:m7l6;%352?2?j2d::849;|q7<1<72:qU?5=4=014>43f34;:m7l8;%352?2?j2d::848;|q7<6<72:qU?5<4=014>43?34;:m7l:;%352?2?j2d::847;|q7<7<72:qU?5?4=014>43034;:m7l=;%352?2?j2d::846;|q2<3<728:b28946?2j:01<6m:0:5?!71>3;396`>6483?xu60=0;68u216`9507<589<6ok4=024>gc<58:36ok4=0:a>4>33-;=:7?75:l220<63ty:4>4?:4y>52d=9<:01<=8:cf894602kn01<>7:cf894>e28287)?96;3;1>h6><097p}>8383>0}:9>h1=9h4=014>ge<58:<6om4=02;>ge<582i6<6=;%352?7?=2d::84<;|q2<4<728:c`8946?2kh01<6m:0:2?!71>3;396`>6487?xu6090;68u216`951e<589<6oo4=024>gg<58:36oo4=0:a>4>73-;=:7?75:l220<23ty:;k4?:4y>52d=9=h01<=8:c;894602k301<>7:c;894>e28=m7)?96;3;1>h6><0=7p}>7d83>0}:9>h1=974=014>g1<58:<6o94=02;>g1<582i6<9j;%352?7?=2d::848;|q23a<728:c78946?2k?01<6m:05g?!71>3;396`>648;?xu6?j0;68u216`9510<589<6o<4=024>g4<58:36o<4=0:a>41d3-;=:7?75:l220<>3tyn47>55z?g`?72;27:?;4l0:?24043634;8:7lj;<331?db34;;:7lj;`0<,8<=6h94n046>4=z{l?1<7;t=ef9506<589=6oj4=026>gb<58:=6oj4=df9a0=#9?<1i:5a17796>{tm=0;68u2de820c=:9:<1nn521179ff=:99<1nn52ee8f0>"6>?0n;6`>6480?xub;3:19v3kd;37`>;6;?0in63>048af>;68?0in63jd;g0?!71>3o<7c?95;68yvc5290>w0jk:06`?874>3hj70??5;`b?877>3hj70kk:d08 4012l=0b<8::49~w`7=83?p1ij515`894512k301<>::c;894612k301hj5e09'530=m>1e=;;56:pa5<72489>560=j>16==;5b69>550=j>16ii4j0:&2235<2s4no6<:9;<302?d534;;97l=;<332?d534oo6ik4$045>`1645rs01;>5<0s4;3j7j<;<333?d334;;47l;;<32=?d334;:m7l;;<331?d334;;:7l;;|q27<<72=q6=4<51538941120i01>8i:8a89a>=1j1vqoj9:182`?342l>p@<7?:0yKb<=zD83:6?ua43`92d=#<;k186s+1639`0=]0j0?w9;515821?3?2tP::94>6z6`>71=:10>n7:19<4=a;0g>4e==90:j7<=:379yl>a2900e9;?:188k633290/=9:53418j4242910c>:m:18'512=;<90b<:<:098k624290/=9:53418j4242;10e>79:18'512=;0?0b<:<:198m6?3290/=9:53878j4242810e>7<:18'512=;0?0b<:<:398m6?5290/=9:53878j4242:10e>7>:18'512=;0?0b<:<:598m6?7290/=9:53878j4242<10e>6i:18'512=;0?0b<:<:798m6>b290/=9:53878j4242>10e>6k:18'512=;0?0b<:<:998m6>d290/=9:53878j4242010e>o>:18'512=;h:0b<:<:198m6?a290/=9:53`28j4242810e>7j:18'512=;h:0b<:<:398m6?c290/=9:53`28j4242:10e>7l:18'512=;h:0b<:<:598m6?e290/=9:53`28j4242<10e>7n:18'512=;h:0b<:<:798m6?>290/=9:53`28j4242>10e>77:18'512=;h:0b<:<:998m6?0290/=9:53`28j4242010e?o9:18'512=:h?0b<:<:198m7g3290/=9:52`78j4242810e?o<:18'512=:h?0b<:<:398m7g5290/=9:52`78j4242:10n<6i:182>5<7s-;<=7j;;I3;a>ic;3:17pl>9383>4<729q/=:?51618L4>b3f;?=7>5;|`727<72=0;6=u+1639gc=O91o0@<7>:3y'07g=92d?>o48c:j5;h;`>5<>6=44}c657?6=<3:1708`b>N60l1G=4?52z&76d<63g>9n79l;|k;`?6=3`2n6=44i8a94?=h9=?1<75rb5;3>5<2290;w)?81;f1?M7?m2F:5<4={%61e?75<>o6>:0;66a>4483>>{e<0;1<7;50;2x 4162m;0D<6j;M3:5?4|,=8j6<5a43`93a=za1n1<75f8d83>>o>k3:17d?:4;29?j73=3:17pl>e383>40=83:p@<7>:cy'077=9020(><;:56a?!5fk33h7)=n8;:3?!5e93;?96*h4i;0:7)=nb;;a?k5f;3;0(9:0g1?_>d2;q:=7?9:|k:e?6=3`3i6=44i9394?"6<=03m6`>428:?>o?:3:1(<:;:9c8j4242110e5=50;&20148;:k;0?6=,8>?65o4n060>3=458;e>h6<:0>76g76;29 42321k0b<:<:598m=1=83.:8947a:l206<432c347>5$067>=g86?54i9;94?"6<=03m6`>4282?>o?j3:1(<:;:9c8j4242910c<:9:18'512=9<80b<:<:898k420290/=9:51408j4242110c<:6:18'512=9<80b<:<:698k42e290/=9:51408j4242?10c<:l:18'512=9<80b<:<:498k42c290/=9:51408j4242=10c<:i:18'512=9<80b<:<:298k437290/=9:51408j4242;10c<;>:18'512=9<80b<:<:098k434290/=9:51408j4242910qo<93;2952<729qG=4?5dz&764<6111/??:545a8 6gd20i0(>o7:928 6d628>>7)=na;;b?k5f:3;0(>om:8`8j6g4281/?o;51608j6g1281/8?o51:l76g<002w/=:?52718^=e=:r;:6<85}h346?6=3`3j6=44i8`94?=n080;6)?;4;:b?k73;3307d6=:18'512=0h1e=9=58:9j<6<72-;?876n;o377?1<3`2?6=4+1569!73<32j7c?;3;78?l>1290/=9:58`9m515=<21b4:4?:%370?>f3g;??7=4;h:;>5<#9=>14l5a15196>=n000;6)?;4;:b?k73;3;07d6m:18'512=0h1e=9=50:9l510=83.:894>539m515=121d=9950;&201<6=;1e=9=58:9l51?=83.:894>539m515=?21d=9l50;&201<6=;1e=9=56:9l51e=83.:894>539m515==21d=9j50;&201<6=;1e=9=54:9l51`=83.:894>539m515=;21d=8>50;&201<6=;1e=9=52:9l507=83.:894>539m515=921d=8=50;&201<6=;1e=9=50:9~f763290::7>50zN2=49=7?68:&061<3=091/?o?51578 6gf20k0b>o=:09'7dd=1k1e?l=51:&76d<63g>9n79m;|&234<58=1Q4n4={03953>o?93:1(<:;:9c8j4242010e5<50;&20147;:k;7?6=,8>?65o4n060>2=1<7*>458;e>h6<:0=76g75;29 42321k0b<:<:498m=0=83.:8947a:l206<332c3;7>5$067>=g86>54i9:94?"6<=03m6`>4281?>o?13:1(<:;:9c8j4242810e5l50;&2014?;:m203<72-;?87?:2:l206<>32e:8:4?:%370?72:2d:8>47;:m20<<72-;?87?:2:l206<032e:8o4?:%370?72:2d:8>49;:m20f<72-;?87?:2:l206<232e:8i4?:%370?72:2d:8>4;;:m20c<72-;?87?:2:l206<432e:9=4?:%370?72:2d:8>4=;:m214<72-;?87?:2:l206<632e:9>4?:%370?72:2d:8>4?;:a67e=83;<6=4?{M3:5?b|,=8:6<77;%110?23k2.8mn46c:&0e=449'7dg=1h1e?l<51:&0eg<>j2d8m>4>;%1a1?70:2d8m;4>;%61e?781<75f9`83>>o>j3:17d6>:18'512=0h1e=9=59:9j<7<72-;?876n;o377?><3`286=4+1569!73<32j7c?;3;48?l>2290/=9:58`9m515==21b4;4?:%370?>f3g;??7:4;h:4>5<#9=>14l5a15197>=n010;6)?;4;:b?k73;3807d66:18'512=0h1e=9=51:9j5$067>4353g;??774;n373?6=,8>?6<;=;o377?><3f;?57>5$067>4353g;??794;n37f?6=,8>?6<;=;o377?0<3f;?o7>5$067>4353g;??7;4;n37`?6=,8>?6<;=;o377?2<3f;?j7>5$067>4353g;??7=4;n364?6=,8>?6<;=;o377?4<3f;>=7>5$067>4353g;??7?4;n367?6=,8>?6<;=;o377?6<3th:?=4?:0694?6|D83:6:u+4339064<,:km6o?4$56f>2=#;k81=884$56g>3=#<;k1=6`;2c8ag>{#9>;1=>>4Z9a96~7528=1qdl=:18'512=jo1e=9=59:9jf0<72-;?87li;o377?><3`h<6=4+1569fc=i9=91;65fb883>!73<3hm7c?;3;48?ldf290/=9:5bg9m515==21bno4?:%370?da3g;??7:4;h``>5<#9=>1nk5a15197>=njm0;6)?;4;`e?k73;3807dlj:18'512=jo1e=9=51:9jg5<72-;?87li;o377?6<3f;>;7>5$067>4063g;??774;n36?6<8>;o377?><3f;>m7>5$067>4063g;??794;n36f?6=,8>?6<8>;o377?0<3f;>o7>5$067>4063g;??7;4;n36`?6=,8>?6<8>;o377?2<3f;>i7>5$067>4063g;??7=4;n36b?6=,8>?6<8>;o377?4<3f;=<7>5$067>4063g;??7?4;n356?6=,8>?6<8>;o377?6<3th:?94?:883>5}K90;1;v*;208777=#;hl1n<5+3c29g4=#;k81=884$56g>3=#<;k1=6`;2c8`5>{#9>;1=>:4Z9a97~5b2:l1894ric094?"6<=0i:6`>4281?>oe=3:1(<:;:c48j4242810eo950;&2012d:8>4?;:k`6?6=,8>?6n:4n060>7=458`0>h6<:0:76gl5;29 4232j>0b<:<:198k430290/=9:514;8j4242;10c<;7:18'512=9<30b<:<:098k43f290/=9:514;8j4242910qo?<1;2951<729qG=4?57z&764<3;;1/?lh5b09'01c=?2.8n?4>579'01b=>2.?>l4>;o61f?dc3t.:;<4>309Y428:?>oe=3:1(<:;:cd8j4242110eo950;&20148;:ka=?6=,8>?6oh4n060>3=458ab>h6<:0>76gmb;29 4232kl0b<:<:598mge=83.:894mf:l206<432cih7>5$067>g`86?54icg94?"6<=0ij6`>4282?>od83:1(<:;:cd8j4242910c<;8:18'512=9?;0b<:<:898k43?290/=9:51738j4242110c<;n:18'512=9?;0b<:<:698k43e290/=9:51738j4242?10c<;l:18'512=9?;0b<:<:498k43c290/=9:51738j4242=10c<;j:18'512=9?;0b<:<:298k43a290/=9:51738j4242;10c<8?:18'512=9?;0b<:<:098k405290/=9:51738j4242910qo?<5;29=?6=8rF:5<48{%615?24:2.8mk4m1:&0f5579'01b=>2.?>l4>;o61f?e53t.:;<4>349Y6=4+1569f3=i9=91=65fb683>!73<3h=7c?;3;28?le5290/=9:5c59m515=:21bo>4?:%370?e33g;??7?4;ha6>5<#9=>1o95a15194>=h9<=1<7*>45821<=i9=91>65`14:94?"6<=0:945a15195>=h945821<=i9=91<65rb011>5<6<3:19084!2593>8>6*"3"3\?k38p=?4>7;jf7<72-;?87li;o377??<3`h>6=4+1569fc=i9=91465fb683>!73<3hm7c?;3;58?ld>290/=9:5bg9m515=>21bnl4?:%370?da3g;??7;4;h`a>5<#9=>1nk5a15190>=njj0;6)?;4;`e?k73;3907dlk:18'512=jo1e=9=52:9jf`<72-;?87li;o377?7<3`i;6=4+1569fc=i9=91<65`14594?"6<=0::<5a1519=>=h9<21<7*>458224=i9=91465`14c94?"6<=0::<5a15193>=h9458224=i9=91:65`14a94?"6<=0::<5a15191>=h9458224=i9=91865`14g94?"6<=0::<5a15197>=h9458224=i9=91>65`17294?"6<=0::<5a15195>=h9?81<7*>458224=i9=91<65rb010>5<6<3:19084!2593>8>6*"3"3\?k38p=?4>7;jf7<72-;?87li;o377??<3`h>6=4+1569fc=i9=91465fb683>!73<3hm7c?;3;58?ld>290/=9:5bg9m515=>21bnl4?:%370?da3g;??7;4;h`a>5<#9=>1nk5a15190>=njj0;6)?;4;`e?k73;3907dlk:18'512=jo1e=9=52:9jf`<72-;?87li;o377?7<3`i;6=4+1569fc=i9=91<65`14594?"6<=0::<5a1519=>=h9<21<7*>458224=i9=91465`14c94?"6<=0::<5a15193>=h9458224=i9=91:65`14a94?"6<=0::<5a15191>=h9458224=i9=91865`14g94?"6<=0::<5a15197>=h9458224=i9=91>65`17294?"6<=0::<5a15195>=h9?81<7*>458224=i9=91<65rb022>5<6<3:19084!2593;256*"3"3\?k38p=?4>7;jf7<72-;?87li;o377??<3`h>6=4+1569fc=i9=91465fb683>!73<3hm7c?;3;58?ld>290/=9:5bg9m515=>21bnl4?:%370?da3g;??7;4;h`a>5<#9=>1nk5a15190>=njj0;6)?;4;`e?k73;3907dlk:18'512=jo1e=9=52:9jf`<72-;?87li;o377?7<3`i;6=4+1569fc=i9=91<65`14594?"6<=0::<5a1519=>=h9<21<7*>458224=i9=91465`14c94?"6<=0::<5a15193>=h9458224=i9=91:65`14a94?"6<=0::<5a15191>=h9458224=i9=91865`14g94?"6<=0::<5a15197>=h9458224=i9=91>65`17294?"6<=0::<5a15195>=h9?81<7*>458224=i9=91<65rb021>5<6<3:19084!2593;256*"3"3\?k38p=?4>7;jf7<72-;?87li;o377??<3`h>6=4+1569fc=i9=91465fb683>!73<3hm7c?;3;58?ld>290/=9:5bg9m515=>21bnl4?:%370?da3g;??7;4;h`a>5<#9=>1nk5a15190>=njj0;6)?;4;`e?k73;3907dlk:18'512=jo1e=9=52:9jf`<72-;?87li;o377?7<3`i;6=4+1569fc=i9=91<65`14594?"6<=0::<5a1519=>=h9<21<7*>458224=i9=91465`14c94?"6<=0::<5a15193>=h9458224=i9=91:65`14a94?"6<=0::<5a15191>=h9458224=i9=91865`14g94?"6<=0::<5a15197>=h9458224=i9=91>65`17294?"6<=0::<5a15195>=h9?81<7*>458224=i9=91<65rb020>5<6<3:19084!2593;256*"3"3\?k38p=?4>7;jf7<72-;?87li;o377??<3`h>6=4+1569fc=i9=91465fb683>!73<3hm7c?;3;58?ld>290/=9:5bg9m515=>21bnl4?:%370?da3g;??7;4;h`a>5<#9=>1nk5a15190>=njj0;6)?;4;`e?k73;3907dlk:18'512=jo1e=9=52:9jf`<72-;?87li;o377?7<3`i;6=4+1569fc=i9=91<65`14594?"6<=0::<5a1519=>=h9<21<7*>458224=i9=91465`14c94?"6<=0::<5a15193>=h9458224=i9=91:65`14a94?"6<=0::<5a15191>=h9458224=i9=91865`14g94?"6<=0::<5a15197>=h9458224=i9=91>65`17294?"6<=0::<5a15195>=h9?81<7*>458224=i9=91<65rb027>5<6<3:19084!2593;256*"3"3\?k38p=?4>7;jf7<72-;?87li;o377??<3`h>6=4+1569fc=i9=91465fb683>!73<3hm7c?;3;58?ld>290/=9:5bg9m515=>21bnl4?:%370?da3g;??7;4;h`a>5<#9=>1nk5a15190>=njj0;6)?;4;`e?k73;3907dlk:18'512=jo1e=9=52:9jf`<72-;?87li;o377?7<3`i;6=4+1569fc=i9=91<65`14594?"6<=0::<5a1519=>=h9<21<7*>458224=i9=91465`14c94?"6<=0::<5a15193>=h9458224=i9=91:65`14a94?"6<=0::<5a15191>=h9458224=i9=91865`14g94?"6<=0::<5a15197>=h9458224=i9=91>65`17294?"6<=0::<5a15195>=h9?81<7*>458224=i9=91<65rb00`>5<683:1908;!25939=o6*"4j=0::55+3c09500"3:h0:7c:=b;a7?x"6?808:n5U8b813d=>:0vel650;&20148;:kb=?6=,8>?6lh4n060>3=458bb>h6<:0>76gnb;29 4232hl0b<:<:598mde=83.:894nf:l206<432cjh7>5$067>d`86?54i`g94?"6<=0jj6`>4282?>oe83:1(<:;:`d8j4242910e<86:18'512=9?l0b<:<:798m40f290/=9:517d8j4242<10e<8m:18'512=9?l0b<:<:598m40d290/=9:517d8j4242:10e<8k:18'512=9?l0b<:<:398m40b290/=9:517d8j4242810e<9?:18'512=9?l0b<:<:198k4312900qo?=f;29f?6=8rF:5<48{%615?5cn2.8m44>a79'7db=;;?0b9<6:99m004=02.?>l4>;o61f?e43t.:;<4>2g9Y5<#9=>1=ll4n060>7=86<54i0c`>5<#9=>1=ll4n060>5=86:54o204>5<#9=>1??k4n060>3=86854o20:>5<#9=>1??k4n060>1=86>54o20a>5<#9=>1??k4n060>7=86<54o20e>5<#9=>1??k4n060>5=5c;294~J6180l=:618 1462:<97):=8;67e>"3:h0:7c:=b;f3?x"6?80:>l5U8b8263=u`h96=4+1569f6=i9=91m65fb483>!73<3h87c?;3;;8?ld0290/=9:5b29m515=021bn44?:%370?d43g;??794;h`b>5<#9=>1n>5a15192>=njk0;6)?;4;`0?k73;3?07dll:18'512=j:1e=9=54:9jfa<72-;?87l<;o377?5<3`hn6=4+1569f6=i9=91>65fc183>!73<3h87c?;3;38?ld3290/=9:5b29m515=821d;>4?::a57?=83i1<7>tL0;2>2}#;hl1n<5+45g977=#;k81;<5+433970e<,=8369:n;%61e?75$067>g586454ic594?"6<=0i?6`>428;?>oe13:1(<:;:c18j4242>10eoo50;&20149;:kaf?6=,8>?6o=4n060>0=458a7>h6<:0?76gmd;29 4232k90b<:<:298mgc=83.:894m3:l206<532ch<7>5$067>g586<54ic694?"6<=0i?6`>4283?>i093:17pl>1c83>43=83:p@<7>:6y'7d`=j81/?o>5c09'7g4=?=1/8??53718 14?2=>j7):=a;38j14e2ko0q)?81;32f>\?k38p=?4>3;jf7<72-;?87li;o377??<3`h>6=4+1569fc=i9=91465fb683>!73<3hm7c?;3;58?ld>290/=9:5bg9m515=>21bnl4?:%370?da3g;??7;4;h`a>5<#9=>1nk5a15190>=njj0;6)?;4;`e?k73;3907dlk:18'512=jo1e=9=52:9jf`<72-;?87li;o377?7<3`i;6=4+1569fc=i9=91<65fc383>!73<3ih7c?;3;;8?le4290/=9:5cb9m515=021bo84?:%370?ed3g;??794;ha5>5<#9=>1on5a15192>=nk>0;6)?;4;a`?k73;3?07dm7:18'512=kj1e=9=54:9jg<<72-;?87ml;o377?5<3`ij6=4+1569gf=i9=91>65fcc83>!73<3ih7c?;3;38?lec290/=9:5cb9m515=821d;94?::a54e=83;>6=4?{M3:5?1|,:km6o?4$2`3>f7<,:h96::4$502>6043->947:;a:&76d<63g>9n7lj;|&234<69j1Q4n4={00956458ab>h6<:0276gm5;29 4232kl0b<:<:998mg1=83.:894mf:l206<032ci57>5$067>g`86;54icc94?"6<=0ij6`>4286?>oej3:1(<:;:cd8j4242=10eom50;&2014<;:ka`?6=,8>?6oh4n060>7=458ab>h6<:0:76gl0;29 4232kl0b<:<:198mf4=83.:894lc:l206<>32ch?7>5$067>fe86554ib794?"6<=0ho6`>4284?>od>3:1(<:;:ba8j4242?10en950;&2014:;:k`?6nm4n060>1=458`g>h6<:0876gla;29 4232ji0b<:<:398mfd=83.:894lc:l206<632chh7>5$067>fe86=54o6694?=zj8;o6=4>5;294~J6180{#9>;1=<3`h<6=4+1569fc=i9=91;65fb883>!73<3hm7c?;3;48?ldf290/=9:5bg9m515==21bno4?:%370?da3g;??7:4;h``>5<#9=>1nk5a15197>=njm0;6)?;4;`e?k73;3807dlj:18'512=jo1e=9=51:9jg5<72-;?87li;o377?6<3`i96=4+1569gf=i9=91565fc283>!73<3ih7c?;3;:8?le2290/=9:5cb9m515=?21bo;4?:%370?ed3g;??784;ha4>5<#9=>1on5a15191>=nk10;6)?;4;a`?k73;3>07dm6:18'512=kj1e=9=53:9jgd<72-;?87ml;o377?4<3`ii6=4+1569gf=i9=91=65fce83>!73<3ih7c?;3;28?j132900qo?>e;2950<729qG=4?57z&0ec<4<629'07>=<=k0(9:03f?_>d2;q:>7?<:|ka6?6=,8>?6oh4n060><=458ab>h6<:0376gm7;29 4232kl0b<:<:698mg?=83.:894mf:l206<132cim7>5$067>g`86854ic`94?"6<=0ij6`>4287?>oek3:1(<:;:cd8j4242:10eoj50;&2014=;:kaa?6=,8>?6oh4n060>4=458ab>h6<:0;76gl2;29 4232ji0b<:<:898mf5=83.:894lc:l2065$067>fe86:54ib494?"6<=0ho6`>4285?>od?3:1(<:;:ba8j4242<10en650;&2014;;:k`=?6=,8>?6nm4n060>6=458`g>h6<:0976glb;29 4232ji0b<:<:098mfb=83.:894lc:l206<732e<87>5;|`255<72j0;6=uC18393~"4io0i=6*;4d8a?!5e:3=97):=1;16`>"3:10?8l5+43c95>h3:k0j96s+1639546?6o=4n060>d=458a7>h6<:0276gm7;29 4232k90b<:<:998mg?=83.:894m3:l206<032cim7>5$067>g586;54ic`94?"6<=0i?6`>4286?>oek3:1(<:;:c18j4242=10eoj50;&2014<;:kaa?6=,8>?6o=4n060>7=458a7>h6<:0:76gm4;29 4232k90b<:<:198k24=831vn:18`>5<7sE;2=79t$2ce>g7<,=>n6n5+3c0931=#<;;1?;=4$50;>12f3->9m7?4n50a>d31:X;g?7|;<0veo<50;&2014n;:ka1?6=,8>?6o=4n060><=458a7>h6<:0376gm9;29 4232k90b<:<:698mgg=83.:894m3:l206<132cin7>5$067>g586854ica94?"6<=0i?6`>4287?>oel3:1(<:;:c18j4242:10eok50;&2014=;:k`4?6=,8>?6o=4n060>4=1<7*>458a7>h6<:0;76a84;29?xd69;0;6<;50;2xH4?62>q/?lh5b09'7g6=k81/?o<5759'077=;?90(9<7:56b?!25i3;0b96T7c;0x57<6;3wbn?4?:%370?da3g;??774;h`6>5<#9=>1nk5a1519<>=nj>0;6)?;4;`e?k73;3=07dl6:18'512=jo1e=9=56:9jfd<72-;?87li;o377?3<3`hi6=4+1569fc=i9=91865fbb83>!73<3hm7c?;3;18?ldc290/=9:5bg9m515=:21bnh4?:%370?da3g;??7?4;ha3>5<#9=>1nk5a15194>=nk;0;6)?;4;a`?k73;3307dm<:18'512=kj1e=9=58:9jg0<72-;?87ml;o377?1<3`i=6=4+1569gf=i9=91:65fc683>!73<3ih7c?;3;78?le?290/=9:5cb9m515=<21bo44?:%370?ed3g;??7=4;hab>5<#9=>1on5a15196>=nkk0;6)?;4;a`?k73;3;07dmk:18'512=kj1e=9=50:9l31<722wi=<=50;36>5<7sE;2=79t$2ce>g7<,:h;6n?4$2`1>22<,=8:6>8<;%61l4>;o61f?g23t.:;<4>129Y4ric094?"6<=0ij6`>428:?>oe=3:1(<:;:cd8j4242110eo950;&20148;:ka=?6=,8>?6oh4n060>3=458ab>h6<:0>76gmb;29 4232kl0b<:<:598mge=83.:894mf:l206<432cih7>5$067>g`86?54icg94?"6<=0ij6`>4282?>od83:1(<:;:cd8j4242910en<50;&20146;:k`7?6=,8>?6nm4n060>==458`g>h6<:0<76gl6;29 4232ji0b<:<:798mf1=83.:894lc:l206<232ch47>5$067>fe86954ib;94?"6<=0ho6`>4280?>odi3:1(<:;:ba8j4242;10enl50;&2014>;:k``?6=,8>?6nm4n060>5=>1<75rb02:>5j7):=a;38j14e2130q)?81;33=>\?k3;p?84ric094?"6<=0i?6`>428b?>oe=3:1(<:;:c18j4242010eo950;&20147;:ka=?6=,8>?6o=4n060>2=458a7>h6<:0=76gmb;29 4232k90b<:<:498mge=83.:894m3:l206<332cih7>5$067>g586>54icg94?"6<=0i?6`>4281?>od83:1(<:;:c18j4242810eo:50;&2014?;:m46?6=3th:5}K90;1;v*"3{#9>;1==o4Z9a95~522tci>7>5$067>g586l54ic794?"6<=0i?6`>428:?>oe?3:1(<:;:c18j4242110eo750;&20148;:kae?6=,8>?6o=4n060>3=458a7>h6<:0>76gmc;29 4232k90b<:<:598mgb=83.:894m3:l206<432cii7>5$067>g586?54ib294?"6<=0i?6`>4282?>oe<3:1(<:;:c18j4242910c::50;9~f46e290:97>50zN2=4<0s-9jj7l>;%1a4?e63-9i>79;;%615?51;2.?>54;4`9'07g=92d?>o479:'527=99h0V5m52z31>45=u`h96=4+1569fc=i9=91565fb483>!73<3hm7c?;3;:8?ld0290/=9:5bg9m515=?21bn44?:%370?da3g;??784;h`b>5<#9=>1nk5a15191>=njk0;6)?;4;`e?k73;3>07dll:18'512=jo1e=9=53:9jfa<72-;?87li;o377?4<3`hn6=4+1569fc=i9=91=65fc183>!73<3hm7c?;3;28?le5290/=9:5cb9m515=121bo>4?:%370?ed3g;??764;ha6>5<#9=>1on5a15193>=nk?0;6)?;4;a`?k73;3<07dm8:18'512=kj1e=9=55:9jg=<72-;?87ml;o377?2<3`i26=4+1569gf=i9=91?65fc`83>!73<3ih7c?;3;08?lee290/=9:5cb9m515=921boi4?:%370?ed3g;??7>4;n57>5<51483>5}K90;1;v*"4j90h=6*"3:808:>5+43:901g<,=8j6<5a43`9<<=z,8=:6<>l;[:`>7}6:3;86pgm2;29 4232kl0b<:<:898mg3=83.:894mf:l2065$067>g`86:54ic;94?"6<=0ij6`>4285?>oei3:1(<:;:cd8j4242<10eol50;&2014;;:kag?6=,8>?6oh4n060>6=458ab>h6<:0976gme;29 4232kl0b<:<:098mf6=83.:894mf:l206<732ch>7>5$067>fe86454ib194?"6<=0ho6`>428;?>od=3:1(<:;:ba8j4242>10en850;&20149;:k`3?6=,8>?6nm4n060>0=458`g>h6<:0?76gl9;29 4232ji0b<:<:298mfg=83.:894lc:l206<532chn7>5$067>fe86<54ibf94?"6<=0ho6`>4283?>i0<3:17pl>1483>f<729qG=4?57z&0ec<4<629'07>=<=k0(9:036?_>d28q897sfb383>!73<3h87c?;3;c8?ld2290/=9:5b29m515=121bn:4?:%370?d43g;??764;h`:>5<#9=>1n>5a15193>=njh0;6)?;4;`0?k73;3<07dlm:18'512=j:1e=9=55:9jff<72-;?87l<;o377?2<3`ho6=4+1569f6=i9=91?65fbd83>!73<3h87c?;3;08?le7290/=9:5b29m515=921bn94?:%370?d43g;??7>4;n57>5<5c;294~J6180h6*;29870d=#<;k1=6`;2c8a4>{#9>;1=<:4Z9a95~522tci>7>5$067>g586l54ic794?"6<=0i?6`>428:?>oe?3:1(<:;:c18j4242110eo750;&20148;:kae?6=,8>?6o=4n060>3=458a7>h6<:0>76gmc;29 4232k90b<:<:598mgb=83.:894m3:l206<432cii7>5$067>g586?54ib294?"6<=0i?6`>4282?>oe<3:1(<:;:c18j4242910c:<50;9~f471290h6=4?{M3:5?1|,:km6o?4$56f>67<,:h96:=4$502>6053->947:;a:&76d<63g>9n7l?;|&234<69?1Q4n4>{279yld5290/=9:5b29m515=i21bn84?:%370?d43g;??774;h`4>5<#9=>1n>5a1519<>=nj00;6)?;4;`0?k73;3=07dln:18'512=j:1e=9=56:9jfg<72-;?87l<;o377?3<3`hh6=4+1569f6=i9=91865fbe83>!73<3h87c?;3;18?ldb290/=9:5b29m515=:21bo=4?:%370?d43g;??7?4;h`7>5<#9=>1n>5a15194>=h?:0;66sm11g94?e=83:p@<7>:6y'7d`=j81/89k52g9'7g4=?=1/8??53718 14?2=>j7):=a;38j14e20<0q)?81;33a>\?k3;p?84ric094?"6<=0i?6`>428b?>oe=3:1(<:;:c18j4242010eo950;&20147;:ka=?6=,8>?6o=4n060>2=458a7>h6<:0=76gmb;29 4232k90b<:<:498mge=83.:894m3:l206<332cih7>5$067>g586>54icg94?"6<=0i?6`>4281?>od83:1(<:;:c18j4242810eo:50;&2014?;:m40?6=3th:5}K90;1;v*"3"3:8089i5+43:901g<,=8j6<5a43`9=0=z,8=:6<>k;[:`>4}4=3wbn?4?:%370?d43g;??7o4;h`6>5<#9=>1n>5a1519=>=nj>0;6)?;4;`0?k73;3207dl6:18'512=j:1e=9=57:9jfd<72-;?87l<;o377?0<3`hi6=4+1569f6=i9=91965fbb83>!73<3h87c?;3;68?ldc290/=9:5b29m515=;21bnh4?:%370?d43g;??7<4;ha3>5<#9=>1n>5a15195>=nj=0;6)?;4;`0?k73;3:07b9=:188yg77n3:1o7>50zN2=4<0s-9jj7l>;%67a?563-9i>79<;%615?51:2.?>54;4`9'07g=92d?>o465:'527=99l0V5m51z16>xoe:3:1(<:;:c18j4242h10eo;50;&20146;:ka3?6=,8>?6o=4n060>==458a7>h6<:0<76gma;29 4232k90b<:<:798mgd=83.:894m3:l206<232cio7>5$067>g586954icf94?"6<=0i?6`>4280?>oem3:1(<:;:c18j4242;10en>50;&2014>;:ka0?6=,8>?6o=4n060>5=91<75rb03e>5<6=3:19084!5fn3h:7)=m0;a2?!5e:3=:7):=1;16g>"3:10?8l5+43c95>h3:k0o96s+163954`v?=:019yld5290/=9:5bg9m515=121bn84?:%370?da3g;??764;h`4>5<#9=>1nk5a15193>=nj00;6)?;4;`e?k73;3<07dln:18'512=jo1e=9=55:9jfg<72-;?87li;o377?2<3`hh6=4+1569fc=i9=91?65fbe83>!73<3hm7c?;3;08?ldb290/=9:5bg9m515=921bo=4?:%370?da3g;??7>4;ha1>5<#9=>1on5a1519=>=nk:0;6)?;4;a`?k73;3207dm::18'512=kj1e=9=57:9jg3<72-;?87ml;o377?0<3`i<6=4+1569gf=i9=91965fc983>!73<3ih7c?;3;68?le>290/=9:5cb9m515=;21bol4?:%370?ed3g;??7<4;haa>5<#9=>1on5a15195>=nkm0;6)?;4;a`?k73;3:07b9>:188yg7583:1=84?:1yO5<7=?r.8mk4m1:&0f57>5$067>g`86454ic794?"6<=0ij6`>428;?>oe?3:1(<:;:cd8j4242>10eo750;&20149;:kae?6=,8>?6oh4n060>0=458ab>h6<:0?76gmc;29 4232kl0b<:<:298mgb=83.:894mf:l206<532cii7>5$067>g`86<54ib294?"6<=0ij6`>4283?>od:3:1(<:;:ba8j4242010en=50;&20147;:k`1?6=,8>?6nm4n060>2=458`g>h6<:0=76gl7;29 4232ji0b<:<:498mf>=83.:894lc:l206<332ch57>5$067>fe86>54ibc94?"6<=0ho6`>4281?>odj3:1(<:;:ba8j4242810enj50;&2014?;:m45?6=3th:>:4?:b83>5}K90;1;v*"3h6*;29870d=#<;k1=6`;2c8g7>{#9>;1=?94Z9a95~522tci>7>5$067>g586l54ic794?"6<=0i?6`>428:?>oe?3:1(<:;:c18j4242110eo750;&20148;:kae?6=,8>?6o=4n060>3=458a7>h6<:0>76gmc;29 4232k90b<:<:598mgb=83.:894m3:l206<432cii7>5$067>g586?54ib294?"6<=0i?6`>4282?>oe<3:1(<:;:c18j4242910c:<50;9~f44?290h6=4?{M3:5?1|,:km6o?4$56f>f=#;k81;95+4339735<,=8369:n;%61e?75$067>g586454ic594?"6<=0i?6`>428;?>oe13:1(<:;:c18j4242>10eoo50;&20149;:kaf?6=,8>?6o=4n060>0=458a7>h6<:0?76gmd;29 4232k90b<:<:298mgc=83.:894m3:l206<532ch<7>5$067>g586<54ic694?"6<=0i?6`>4283?>i0<3:17pl>2083>43=83:p@<7>:6y'7d`=j81/?o>5c09'7g4=?81/8??534a8 14?2=>j7):=a;38j14e2m30q)?81;315>\?k38p=?4>3;jf7<72-;?87li;o377??<3`h>6=4+1569fc=i9=91465fb683>!73<3hm7c?;3;58?ld>290/=9:5bg9m515=>21bnl4?:%370?da3g;??7;4;h`a>5<#9=>1nk5a15190>=njj0;6)?;4;`e?k73;3907dlk:18'512=jo1e=9=52:9jf`<72-;?87li;o377?7<3`i;6=4+1569fc=i9=91<65fc383>!73<3ih7c?;3;;8?le4290/=9:5cb9m515=021bo84?:%370?ed3g;??794;ha5>5<#9=>1on5a15192>=nk>0;6)?;4;a`?k73;3?07dm7:18'512=kj1e=9=54:9jg<<72-;?87ml;o377?5<3`ij6=4+1569gf=i9=91>65fcc83>!73<3ih7c?;3;38?lec290/=9:5cb9m515=821d;<4?::a574=83;>6=4?{M3:5?1|,:km6o?4$2`3>f7<,:h96:?4$502>63d3->947:;a:&76d<63g>9n7j6;|&234<6:;1Q4n4={00956458ab>h6<:0276gm5;29 4232kl0b<:<:998mg1=83.:894mf:l206<032ci57>5$067>g`86;54icc94?"6<=0ij6`>4286?>oej3:1(<:;:cd8j4242=10eom50;&2014<;:ka`?6=,8>?6oh4n060>7=458ab>h6<:0:76gl0;29 4232kl0b<:<:198mf4=83.:894lc:l206<>32ch?7>5$067>fe86554ib794?"6<=0ho6`>4284?>od>3:1(<:;:ba8j4242?10en950;&2014:;:k`?6nm4n060>1=458`g>h6<:0876gla;29 4232ji0b<:<:398mfd=83.:894lc:l206<632chh7>5$067>fe86=54o6394?=zj8886=4l:183I7>93=p(>oi:c38 12b2m1/?o<5729'077=;?80(9<7:56b?!25i3;0b9458a7>h6<:0j76gm5;29 4232k90b<:<:898mg1=83.:894m3:l2065$067>g586:54icc94?"6<=0i?6`>4285?>oej3:1(<:;:c18j4242<10eom50;&2014;;:ka`?6=,8>?6o=4n060>6=458a7>h6<:0976gl0;29 4232k90b<:<:098mg2=83.:894m3:l206<732e5;|`261<72j0;6=uC18393~"4io0i=6*;4d8e?!5e:3=:7):=1;16g>"3:10?8l5+43c95>h3:k0o:6s+1639572?6o=4n060>d=458a7>h6<:0276gm7;29 4232k90b<:<:998mg?=83.:894m3:l206<032cim7>5$067>g586;54ic`94?"6<=0i?6`>4286?>oek3:1(<:;:c18j4242=10eoj50;&2014<;:kaa?6=,8>?6o=4n060>7=458a7>h6<:0:76gm4;29 4232k90b<:<:198k27=831vn<<::18`>5<7sE;2=79t$2ce>g7<,=>n6o5+3c0936=#<;;1?;<4$50;>12f3->9m7?4n50a>a54n;:ka1?6=,8>?6o=4n060><=458a7>h6<:0376gm9;29 4232k90b<:<:698mgg=83.:894m3:l206<132cin7>5$067>g586854ica94?"6<=0i?6`>4287?>oel3:1(<:;:c18j4242:10eok50;&2014=;:k`4?6=,8>?6o=4n060>4=1<7*>458a7>h6<:0;76a83;29?xd6:?0;6n4?:1yO5<7=?r.8mk4m1:&70`79>;%615?52k2.?>54;4`9'07g=92d?>o4k3:'527=9;<0V5m51z16>xoe:3:1(<:;:c18j4242h10eo;50;&20146;:ka3?6=,8>?6o=4n060>==458a7>h6<:0<76gma;29 4232k90b<:<:798mgd=83.:894m3:l206<232cio7>5$067>g586954icf94?"6<=0i?6`>4280?>oem3:1(<:;:c18j4242;10en>50;&2014>;:ka0?6=,8>?6o=4n060>5=;1<75rb27a>5<22<02w)?81;16f>i4==0;66g;5183>>o5990;66g=4683>>o4j?0;66l<5`83>6<729q/=:?5779K5=c>i6;j0;66sm34494?5=83:p(<9>:01g?M7?m2cnj7>5;hd3>5<70842>N60l1bik4?::ke4?6=3f;8o7>5;|`01=<72:0;6=u+163956b<@82n7dki:188mc6=831d=>m50;9~f63>29086=4?{%345?123A;3i6gjf;29?l`72900c<=l:188yv52<3:1>vP<559>70?=9:i0q~::0;296~X3=916?875eg9~w7772908wS<>0:?012:7ki;|q0f3<72;qU?o84=27b>c697>52z?01d<6;j16?885f19~w45?2909w0=:6;30g>;4=>0m<6s|12;94?4|5:?<6<=l;<1670>=9:i01>;6:g28yxd4=;0;684::8y'527=;<80c>:m:188m1372900e???:188m7202900e>l9:188f63629086=4?{%345?113A;3i6gjf;29?l`72900c<=l:188yg53l3:1?7>50z&234<6;m1C=5k4idd94?=nn90;66a>3b83>>{e;=o1<7=50;2x 4162><0D<6j;hge>5<m6=4<:183!7093;8h6F>8d9jac<722cm<7>5;n30g?6=3th89=4?:283>5}#9>;1;85G19g8m``=831bj=4?::m27f<722wx?9l50;0xZ62e349><7?n6hh4=26e>``53z\102=:;<;1ik5235f9ac=z{:h=6=4={_1a2>;4=80m<6s|35a94?4|5:?:6<=l;<17`?`73ty:?54?:3y>71b=9:i01>:j:g28yv7413:1>v3<4d827f=:;=l1j=5rs01b>5<5s49?j7?:n:186>0<>s-;<=7=;a:m006<722c?9=4?::k155<722c98:4?::k0f3<722h8844?:283>5}#9>;1;;5G19g8m``=831bj=4?::m27f<722wi?9;50;194?6|,8=:6<=k;I3;a>obn3:17dh?:188k45d2900qo=;6;297?6=8r.:;<486:J2<`=nmo0;66gi0;29?j74k3:17pl<4683>6<729q/=:?512f8L4>b3`om6=44ig294?=h9:i1<75rb26;>5<4290;w)?81;56?M7?m2cnj7>5;hd3>5<5235:956e><7>52z\715=:;=21ik5rs333>5<4sW8:<63<478fb>;4<>0nj6s|25594?5|V;><70=;9;ge?853=3om7p}7}Y;k<01>:6:g28yv53<3:1>v3<48827f=:;=?1j=5rs01;>5<5s49?97?750;0x9621289h70=;7;d3?xu6;h0;6?u2355956e<5:>36k>4}|`0f`<72k086iu+16397gc5<5<5<5<5<5<2290;w)?81;5a?M7?m2cnj7>5;hd3>5<>i6;j0;66sm3ca94?3=83:p(<9>:6g8L4>b3`om6=44ig294?=nn80;66gi2;29?j74k3:17pl0<729q/=:?57e9K5=c>oa93:17dh=:188k45d2900q~=ma;296~X4jh16?oj512a8yv5>?3:1>vP<969>7gd=mo1v>77:181[5>0278nn4jf:p776;<1ag?`73ty85l4?:3y]7;4jk0m=6s|38f94?4|V:3o70=mb;d3?xu41l0;6?uQ38g896de2o80q~=6f;296~X41o16?om5f39~w6g62909wS=n1:?0fa5<5<5<5<5<5<>290;w)?81;30f>N60l1bik4?::ke4?6=3`l:6=44ig094?=nn:0;66gi4;29?l`22900ek850;9l56e=831vn>m>:180>5<7s-;<=798;I3;a>obn3:17dh?:188k45d2900qo=l2;297?6=8r.:;<485:J2<`=nmo0;66gi0;29?j74k3:17p}7}Y;kl01>m=:01`?xu40j0;6?uQ39a896e72o:0q~=7d;296~X40m16?n>5eg9~w6>b2909wS=7e:?0g5a349h<7h=;|q0=5<72;qU?4>4=2a3>c552z\0=4=:;j:1j85rs2;1>5<5sW92>63{t;091<77}Y;0>01>m>:dd8yv5>>3:1>vP<979>7f7=n91v>m?:18185d83;8o63{t;j;1<745d349h>7h?;|a626=8391<7>t$052>23<@82n7dki:188mc6=831d=>m50;9~f75>29086=4?{%345?123A;3i6gjf;29?l`72900c<=l:188yg20>3:1>7>50z&234>i6;j0;66sm3c;94?5=83:p(<9>:678L4>b3`om6=44ig294?=h9:i1<75rb50g>5<2290;w)?81;5a?M7?m2cnj7>5;hd3>5<>i6;j0;66sm25:94?3=83:p(<9>:6`8L4>b3`om6=44ig294?=nn80;66gi2;29?j74k3:17pl=1083>0<729q/=:?57c9K5=c>oa93:17dh=:188k45d2900qo=m7;297?6=8r.:;<485:J2<`=nmo0;66gi0;29?j74k3:17pl=7883>6<729q/=:?512g8L4>b3`om6=44ig294?=h9:i1<75rb35a>5<4290;w)?81;30a>N60l1bik4?::ke4?6=3f;8o7>5;|`137<72:0;6=u+163930=O91o0ehh50;9jb5<722e:?n4?::a62b=8391<7>t$052>45b3A;3i6gjf;29?l`72900c<=l:188yg43:3:1?7>50z&234<6;l1C=5k4idd94?=nn90;66a>3b83>>{e:=>1<7=50;2x 416289n7E?7e:kfb?6=3`l;6=44o01`>5<53;294~"6?80<96F>8d9jac<722cm<7>5;n30g?6=3th98;4?:283>5}#9>;1=>k4H0:f?lca2900ek>50;9l56e=831vn99n:180>5<7s-;<=7?1<729q/=:?5799K5=c>oa93:17b?5<>oa:3:17b?4?:1y'527=9:n0D<6j;hge>5<7E?7e:kfb?6=3`l;6=44o01`>5<3<7>55;294~"6?80:8=5G19g8m``=831bj=4?::ke5?6=3`l96=44o01`>5<=57>53;294~"6?80<96F>8d9jac<722cm<7>5;n30g?6=3th9;:4?:383>5}#9>;1j55G19g8m`c=831d=>m50;9~f72729096=4?{%345?`?3A;3i6gje;29?j74k3:17pl;7983>7<729q/=:?5f99K5=c:9f894c520k01?8<:8c8976320k01?70d=<<:01>;=:573?853i3>><6s|20094?4|58:26:<4=332>``54z?01g<5<>16?8<52558962f2;><70<;8;30g>{t:891<722<5;;:6k>4}r020?6=:r7:<;50;0x946d2>>01??>:g08yv21<3:1>v3;64827f=:<1:1j<5rs545>5<5s4>=;7?289h7p}=4883>7}:98:1;?5225:9ac=z{;>j6=4={<325?13348?47h?;|q10g<72;q6=<<5759>61>=n81v?:l:181876;3=?70<;8;d1?xu5<80;6?u2250956e<5;9i6hh4}r077?6=:r79894>3b9>66d=n91v?:::181843>3;8o63=418fa>{t::n1<722<5;>96k>4}r61g?6=:r7?>i4>3b9>7g1=mo1v>l7:18687b:33i70;5c6<57>52z?73d<6;j168;;5eg9~w11e2909w0:8c;30g>;3>>0nj6s|46f94?4|5==n6<=l;<653?`73ty?;k4?:3y>0=6=9:i01997:dg8yv21i3:1>v3>1g845>;3?h0nj6s|47`94?4|588;6:?4=55b>c6=o7>52z?264<0927?;n4jf:p03b=838p1<<=:638911d2o:0q~:9e;296~;6::0{t27<5==h6k<4}r644?6=:r7:>8483:?73`;0199j:g28yv4403:1>v3=2b8237=:::31=>m4}r040?6=:r7:=8484:?13<>o50;0x975e289h70<;6;d3?xu5?:0;6?u2106937=::>h1j=5rs34e>5<5s48=?7?82:?135<6;j1v???:187852j38:<63<538155=:;=k1><>4=332>45d3ty9;<4?:3y>624=9:i01?9k:g28yv20?3:1?v3;638;a>;3>:03i63;79827f=z{:o?6=4={<31g?72>278n:4i0:p07c=838p1{t<::1<722<5=8o6k?4}r605?6=:r7:=h484:?76a80198::g28910>2ll0q~:83;297~;6:10<863;648e5>;3>00m<6s|26:94?4|5;=26<=l;<046?ca3ty9;l4?:3y>62d=9:i01?9=:g28yv40k3:1>v3=7e827f=::>=1ih5rs31f>5<5s4;;j79<;<00=?`73ty?5>4?:5y>0<6=9?90197>:077?875i3=870:70;ge?xu31;0;69u24829<`=:<0;14h5213;934=:<1:1j=5rs2`5>5<3s49>n7=m6:?017<4j?16?9o53c4896d0289h7p}=3g83>7}::;i15o52252956e52z?0f`<4jh16?o75eg9~w6da2909w0=l3;1ab>;4j00m<6s|26494?4|5;<864l4=354>45d3ty9;84?:3y>540=?:16>:>5f19~w6332909wS=:4:?01g<4==1/=;853418j402291v>:m:181[53j2789?4<4c9'530=;<90b<8::09~w6242909wS=;3:?00d<4<:1/=;853418j4022;1v>79:18;[5>>27:=o4ld:?25f4<979'530=;0?0b<8::19~w6?32903wS=64:?25g7<:18;[5>;27:=o4la:?25f4<929'530=;0?0b<8::39~w6?52903wS=62:?25g7>:18;[5>927:=o4l8:?25f4<909'530=;0?0b<8::59~w6?72903wS=60:?25g6i:18;[5?n27:=o4l6:?25f4<8g9'530=;0?0b<8::79~w6>b2902wS=7e:?2704<8d9'530=;0?0b<8::69~w6>c2902wS=7d:?2704<8e9'530=;0?0b<8::99~w6>d2902wS=7c:?2704<8b9'530=;0?0b<8::89~w6g62903wS=n1:?25a4l0:?24g7i:18;[5>n27:=i4lb:?25`4md:?24g7k:18;[5>l27:=i4l9:?25`4mb:?24g7m:18;[5>j27:=i4l7:?25`27:=h4m9:?25727:=>4m9:?24g27:76:18:[5>127:?94m7:?25a4m7:?24g1v>77:18:[5>027:?94m5:?25a4m5:?24g78:18:[5>?27:?94m2:?25a4m2:?24g1/=;853`28j402201v?o9:180[4f>279?44jf:?101l:50;0xZ7g3348?>7ki;%352?4f=2d::84>;|q1e6<72:qU>l=4=353>``<5;=i6hh4$045>7g23g;=97<4}r0b6?6=:rT9m?5226;9ac=#9?<1>l;4n046>6=z{==>6=4={<656??d34><:7?;:070?877:3i;70?=a;a3?876l3i;70?=0;a3?875:3i;7)?96;03a>h6><0;7p}=0e83>3}::9>1=8?4=021>gc<588j6ok4=03g>gc<588;6ok4=001>gc<,8<=6?>j;o351?756z?141<6=916==<5be9>57g=jm16=576=jm16=?<5be9'530=:9o0b<8::39~w76e290=w0;68;0io63>2`8ag>;69m0io63>218ag>;6:;0io6*>67814`=i9??1?6s|21c94?0|5;:?6<:k;<336?de34;9m7lm;<32`?de34;9<7lm;<316?de3-;=:7652=9=i01<>=:cc8944f2kk016;5rs324>5<0s48;87?;9:?271?4m7:&223<58l1e=;;57:p650=83=p1?>;:064?874<3i870??2;`6?875i3h>70?>d;`6?87583h>70?=2;`6?!71>38;i6`>648;?xu58<0;6:u22169510<589?6n<4=021>g4<588j6o<4=03g>g4<588;6o<4=001>g4<,8<=6?>j;o351??53z?2a7<6=:16==?5c19>54d=k91/=;851da8j402291v=63>008aa>;69k0ii6*>6782af=i9??1=6s|1dc94?5|58o96<;?;<335?dc34;:n7lk;%352?7bk2d::84=;|q2a<<72:q6=h<515d894662ki01e3820a=:99;1no5210`9fg=#9?<1=hm4n046>1=z{8o<6=4<{<3f6?73k27:<<4ma:?25geb9m533==2wx=h850;1x94c528>i70??1;`:?876j3h27)?96;3fg>h6><0=7p}>e483>1}:9l81=974=016>f3<58::6o94=03a>g1<,8<=654z?2a7<6<>16=>;5c29>557=j<16=;6;<0h>63>008a6>;69k0i>6*>6782af=i9??156s|1g:94?3|589:6<8=;<31=?e734;:i7mk;<32b?ec34;9=7mk;%352?7a?2d::84?;|q2b3<72?51728944>2ko01:b`8 40128l<7c?95;38yv7a=3:19v3>30821c=:9;31ni5210g9gd=:98l1ol521339gd=#9?<1=k94n046>7=z{8l?6=4:{<305?72m27:>44mc:?25`f69m533=;2wx=k=50;7x945628?o70?=9;`a?876m3i370?>f;a;?87593i37)?96;3e3>h6><0?7p}>f383>0}:9:;1=8m4=00:>gg<58;n6n94=03e>f1<588:6n94$045>4`03g;=97;4}r3e5?6==r7:?<4>5c9>57?=j016=54`=k?16=??5c79'530=9o=0b<8::79~w4`7290>w0?<1;36e>;6:00i;63>1d8`1>;69o0h963>208`1>"6>?0:j:5a17793>{t9ll1<7;t=012>43?34;957l:;<32a?e434;:j7m<;<315?e43-;=:7?i7:l220567=9<=01<<6:c08947b2j801:0:9>521169g5=:9881o=521079g5=:98l1o=5+174963b6=5rs34`>5<2s48=?7?:1:?241m1e=;;51:p63d=83?p1?8<:073?877<3ho70?>2;`g?876=3ho70?>f;`g?!71>38=h6`>6481?xu5>h0;68u2271951`<58:?6om4=031>ge<58;>6om4=03e>ge<,8<=6?8k;o351?555z?126<6544=jk16=<;5bc9>54`=jk1/=;8527f8j4022=1v?87:186841;3;?o63>058ae>;69;0im63>148ae>;69o0im6*>67812a=i9??196s|27594?3|5;<86<:m;<330?d>34;:>7l6;<321?d>34;:j7l6;%352?41l2d::849;|q123<72;=515;894632k=011n8521009f0=:98?1n85210d9f0=#9?<1>;j4n046>==z{;27:<94m2:?2578950;7x945428<970?>3;ag?876<3i;70?>6;a3?87583io7)?96;062>h6><0;7p}=5483>0}:9:91=;>4=030>fd<58;?6ok4=035>gc<588;6nl4$045>7313g;=97?4}r060?6==r7:?>4>5g9>545=kh16=<:5be9>540=jm16=?>5c`9'530=:<<0b<8::39~w734290>w0?<3;36a>;69:0h563>158ag>;69?0io63>218`=>"6>?099;5a17797>{t:<81<7;t=010>43c34;:?7m7;<320?de34;::7lm;<314?e?3-;=:7<:6:l220<33ty99<4?:4y>565=91n4521049f<=:9;:1o;5+17496006;5rs36e>5<2s4;8?7?:a:?256=4l5:&223<5=?1e=;;57:p61c=83?p1<=<:07;?876;3i870?>4;`6?876>3h>70?=0;a0?!71>38>:6`>648;?xu5g4<58;=6o<4=003>f4<,8<=6?;9;o351??55z?16f<6=:16===5c19>55d=k916==k5c19>577=k91/=;852248j402291v?=::186845k3;>=63>028aa>;68k0ii63>0d8aa>;6:80ii6*>678173=i9??1=6s|22694?3|5;8h6<;?;<337?dc34;;n7lk;<33a?dc34;9=7lk;%352?44>2d::84=;|q176<72?m515d894642ki01<>m:ca8946b2ki01<<>:ca8 4012;9=7c?95;18yv44:3:19v3=2b820a=:9991no5211`9fg=:99o1no521339fg=#9?<1>>84n046>1=z{;9:6=4:{<01g?73k27:<>4ma:?24g>>50;7x974d28>i70??3;`:?877j3h270??e;`:?87593h27)?96;002>h6><0=7p}=2g83>0}::;i1=974=020>g1<58:i6o94=02f>g1<588:6o94$045>7513g;=9794}r01a?6==r79>n4>469>555=j<16==l5b49>55c=j<16=??5b49'530=::<0b<8::99~w74c290>w0<=c;372>;68:0i>63>0c8a6>;68l0i>63>208a6>"6>?09?;5a1779=>{t:;:1<7;t=011>40534;;o7mk;<33`?e734;;j7m?;<316?ec3-;=:7<>f:l220<73ty9=h4?:4y>564=9?:01<>l:b`8946c2ko01<>i:cg894452jh0(<89:33e?k71=3;0q~<>d;291~;6;;0:9k5211a9gd=:99n1ni5211d9fa=:9;81ol5+174964`6?5rs33`>5<2s4;8>7?:e:?24f?4l9:&223<59o1e=;;53:p64d=83?p1<==:07g?877k3i370??d;`a?877n3hi70?=2;a;?!71>38:j6`>6487?xu59h0;68u2120950e<58:h6n94=02g>gg<58:m6oo4=001>f1<,8<=6??i;o351?355z?277<6=k16==m5c79>55b=j016==h5b89>574=k?1/=;8520d8j4022?1v??7:186874:3;>m63>0b8`1>;68m0i;63>0g8a3>;6:;0h96*>67815c=i9??1;6s|20594?3|58996<;7;<33g?e434;;h7l:;<33b?d234;9>7m<;%352?46n2d::847;|q153<72<51458946d2j801<>k:c08946a2k801<<=:b08 4012;;m7c?95;;8yv7c>3:14v3>318227=:98i1oi521359g5=:9;21o=521319g5=:9;>1o=521379g5=:9;<1o=5+17495a36=5rs0f7>5:4me:?26=>4me:?26184me:?263d49m533=92wx=i=50;:x945728?m70?>c;ab?875?3ho70?=8;`g?875;3ho70?=4;`g?875=3ho70?=6;`g?!71>3;o96`>6481?xu6l;0;65u2122950c<58;h6n74=004>ge<58836om4=000>ge<588?6om4=006>ge<588=6om4$045>4b23g;=97=4}r3g5?6=0r7:?=4>5e9>54e=k116=?95bc9>57>=jk16=?=5bc9>572=jk16=?;5bc9>570=jk1/=;851e78j4022=1vo63>1b8`3>;6:>0im63>298ae>;6::0im63>258ae>;6:<0im63>278ae>"6>?0:h85a17791>{t9jl1<76t=013>43e34;:o7m9;<313?d>34;947l6;<317?d>34;987l6;<311?d>34;9:7l6;%352?7c=2d::849;|q2g`<721q6=>>514c8947d2j?01<<8:c58944?2k=01<<<:c5894432k=01<<::c5894412k=0(<89:0f6?k71=3=0q~?ld;29<~;6;90:955210a9g6=:9;=1n85213:9f0=:9;91n8521369f0=:9;?1n8521349f0=#9?<1=i;4n046>==z{8ih6=47{<304?72?27:=n4l2:?26254m2:?26694m2:?260;4m2:&223<6l<1e=;;59:p7c7=838p1<h6><0;7p}7}:9;i1mh5213d977b<,8<=6>h?;o351?752z?26fk4<2c9'530=;o:0b<8::39~w6cc2909w0?=c;c`?875n399m6*>6780b5=i9??1?6s|3da94?4|588h6ll4=00e>64>3-;=:7=i0:l220<33ty8io4?:3y>57e=ih16=?h533:8 4012:l;7c?95;78yv5bi3:1>v3>2b8b=>;6:o08>:5+17497c66;5rs2g:>5<5s4;9o7o7;<31b?55>2.::;4"6>?08i:5a17794>{t;l<1<7=t=016>43?34;9o7?9e:?26f<6>m1/=;853d58j402281v>k::186874=3;>;63>2b822f=:9;i1=;l4=00`>40f34;9o7?99:&223<4m>1e=;;52:p036=833p198<:066?87b:32i70?j2;::?87b:32370?j2;:4?87b:32=70?j2;:6?87b:32?70?j2;:0?!71>3>==6`>6484?xu3=o0;6?u24709513<58o965<4$045>1063g;=9764}r66a?6=0r7:i?471:?2=7<6<81684?59b9>635=0:16>;=5839>67e=0:16>?m5839>652=081/=;854738j402201v96j:18:82>93;?963=058;f>;58=03563=058;<>;58=03;63=058;2>;58=03963=058;0>;58=03?6*>678753-;=:7:7f:l220566=k916==?51708 40128o;7c?95;28yv7cn3:1>v3>318aa>;6880::=5+17495`66<5rs0ff>5<5s4;8<7lk;<335?72n2.::;4>e19m533=:2wx=ij50;0x94572ki01<>>:07f?!71>3;n<6`>6480?xu6lj0;6?u21229fg=:99;1=8j4$045>4c73g;=97:4}r3gf?6=:r7:?=4ma:?244<6=j1/=;851d28j4022<1v"6>?0:i=5a17792>{t9m31<7g1<58::6<;n;%352?7b82d::848;|q2`=<72;q6=>>5b49>557=9<20(<89:0g3?k71=320q~?k7;296~;6;90i>63>008212=#9?<1=h>4n046><=z{:o86=4={<300?72i27:>k4>ab9'530=;l80b<8::19~w6c62909w0?<4;36<>;6:o0:ml5+17497`46<5rs2g3>5<5s4;887?:7:?26c<6i>1/=;853d08j4022;1v?><:18187493i;70??2;356>"6>?09{t:9;1<7gc<58:96<8?;%352?47:2d::84>;|q145<72;q6=>?5be9>554=903821`=#9?<1>=<4n046>6=z{8ln6=4={<305?de34;;>7?:d:&223<58;1e=;;54:p5cb=838p1<=>:cc8946528?h7)?96;036>h6><0>7p}>fb83>7}:9:;1n452110950d<,8<=6?>=;o351?052z?2745`9'530=:980b<8::69~w4`f2909w0?<1;`6?877:3;>46*>678147=i9??146s|1g;94?4|589:6o<4=021>4303-;=:73ty9>o4?:3y>564=k916===51708 4012;8j7c?95;28yv4513:1>v3>338aa>;68:0::=5+174967g6<5rs30;>5<5s4;8>7lk;<337?72n2.::;4=2`9m533=:2wx>?950;0x94552ki01<><:07f?!71>389m6`>6480?xu5:?0;6?u21209fg=:9991=8j4$045>74f3g;=97:4}r011?6=:r7:??4ma:?246<6=j1/=;8523c8j4022<1v?<;:181874:3h270??3;36f>"6>?09>l5a17792>{t:;91<7g1<58:86<;n;%352?45i2d::848;|q167<72;q6=><5b49>555=9<20(<89:30b?k71=320q~<=1;296~;6;;0i>63>028212=#9?<1>?o4n046><=z{;<96=4={<307?e734;;87?92:&223<5>81e=;;50:p636=838p1<=<:cg8946328<;7)?96;055>h6><0:7p}=5g83>7}:9:91ni52116950`<,8<=6?8>;o351?4i7>52z?2765d9'530=:?;0b<8::29~w73c2909w0?<3;`a?877<3;>h6*>678124=i9??186s|24a94?4|58986oo4=027>43d3-;=:7<91:l220<23ty99o4?:3y>565=j016==:514`8 4012;<:7c?95;48yv42i3:1>v3>328a3>;68=0:9l5+17496376:5rs37:>5<5s4;8?7l:;<330?7202.::;4=609m533=02wx>8650;0x94542k801<>;:074?!71>38==6`>648:?xu6;10;6?:t=0:e>a5<5=3;64m4=340>=d<5;<86574=340>=><5;<86594=340>=0<5;<865;4=340>=2<5;<865?4=30`>=d<5;8h6574=30`>=><5;8h6594=30`>=0<5;8h65;4=30`>=2<5;8h65?4=00b>g2<58826o:4=033>g2<58;:6o:4=02:>g2<58:j6o:4=036>g2<58;?6o:4=035>g2<58:n6o:4=02g>g2<58:m6o:4=004>g2<58836o:4=000>g2<588?6o:4=006>g2<588=6o:4}|`02g<72831>h4:czN2=5<6sAl27pB>9081k25j36pT>658g04=:>094788:4a92=<313>i69o55e85e?0>2?l1qd6i:188m1372900c9:=:18'512=<=;0b<:<:198k15f290/=9:54538j4242810c9=<:18'512=<=;0b<:<:398m6?1290/=9:53878j4242910e>7;:18'512=;0?0b<:<:098m6?4290/=9:53878j4242;10e>7=:18'512=;0?0b<:<:298m6?6290/=9:53878j4242=10e>7?:18'512=;0?0b<:<:498m6>a290/=9:53878j4242?10e>6j:18'512=;0?0b<:<:698m6>c290/=9:53878j4242110e>6l:18'512=;0?0b<:<:898m6g6290/=9:53`28j4242910e>7i:18'512=;h:0b<:<:098m6?b290/=9:53`28j4242;10e>7k:18'512=;h:0b<:<:298m6?d290/=9:53`28j4242=10e>7m:18'512=;h:0b<:<:498m6?f290/=9:53`28j4242?10e>76:18'512=;h:0b<:<:698m6??290/=9:53`28j4242110e>78:18'512=;h:0b<:<:898f655290:87m51gyO5<6=9rBm56sC18396~h3:k0356*;2`80?x"6?808?<5U8b813e=;?0vV<8;:2y5a?5?2:31qd6i:188k644290/=9:53308j4242910c><>:18'512=;;80b<:<:098k647290/=9:53308j4242;10c>?i:18'512=;;80b<:<:298k67b290/=9:53308j4242=10c>?k:18'512=;;80b<:<:498k67d290/=9:53308j4242?10c>?m:18'512=;;80b<:<:698m4?d290/=9:518`8j4242910e:18'512=90h0b<:<:498m4g7290/=9:518`8j4242?10e<7i:18'512=90h0b<:<:698m4?b290/=9:518`8j4242110e<7k:18'512=90h0b<:<:898m4?f290/=9:518`8j4242h10n:180>5<7s-;<=7mj;I3;a>J61809w):=a;08j14e21ho7pg7d;29?l?d2900c<:::188yg7d:3:1?7>50z&234{n0m0;66g6c;29?j73=3:17pl>c583>6<729q/=:?5cd9K5=ch3:k03ni5ri9f94?=n1j0;66a>4483>>{e9j?1<7=50;2x 4162jo0D<6j;M3:5?4|,=8j6?5a43`95<4290;w)?81;af?M7?m2F:5<4={%61e?45<53;294~"6?80hi6F>8d9O5<7=:r.?>l4=;o61f?>el2wb4i4?::k:g?6=3f;?97>5;|`2g=<72:0;6=u+1639g`=O91o0@<7>:3y'07g=:2d?>o47be9~m=b=831b5n4?::m200<722wi=n750;194?6|,8=:6nk4H0:f?I7>938p(99081!25i380b9v*;2`81?k25j32ih6sf8e83>>o>k3:17b?;5;29?xd6k:0;6>4?:1y'527=kl1C=5k4L0;2>7}#<;k1>6`;2c8;fa=za1n1<75f9b83>>i6<<0;66sm13g94?74290;wA?61;5x 1462:nm7)=n9;3b2>"4im08>85a43;9<56h3:k03o=5r$052>44b3S2h6?u<7;4g>xo6i>0;6)?;4;3b<>h6<:0j76g>a`83>!73<3;j46`>428:?>o6ij0;6)?;4;3b<>h6<:0376g>ae83>!73<3;j46`>4284?>o6il0;6)?;4;3b<>h6<:0=76g>b183>!73<3;j46`>4286?>o6j80;6)?;4;3b<>h6<:0?76g>b383>!73<3;j46`>4280?>o6j:0;6)?;4;3b<>h6<:0976g>b583>!73<3;j46`>4282?>o6i00;6)?;4;3b<>h6<:0;76a<2783>!73<399i6`>4284?>i4:>0;6)?;4;11a>h6<:0=76a<2983>!73<399i6`>4286?>i4:00;6)?;4;11a>h6<:0?76a<2`83>!73<399i6`>4280?>i4:k0;6)?;4;11a>h6<:0976a<2e83>!73<399i6`>4282?>i4:o0;6)?;4;11a>h6<:0;76s|8g83>f}Y0o16=n?58e9>5f4=0m16=n:58e9>5f3=0m16=n858e9>5f1=0m16=n658e9>5f?=0m16=no58e9>5fd=0m16=n=58e9~w6442909wS==3:?26`<4:o1/=;853308j402291v><>:181[55927:>h4<2e9'530=;;80b<8::09~w6472909wS==0:?26`<4:k1/=;853308j4022;1v>?i:181[56n27:>h4<2`9'530=;;80b<8::29~w67b2909wS=>e:?26`<4:01/=;853308j4022=1v>?k:181[56l27:>h4<299'530=;;80b<8::49~w67d2909wS=>c:?26`<4:>1/=;853308j4022?1v>?m:181[56j27:>h4<279'530=;;80b<8::69~w4?d2909wS?6c:?2g6<>k2.::;4>9c9m533=82wx=l;50;0xZ4g234;hn77l;%352?7>j2d::84>;|q2e1<72;qU=l:4=0ab>52z\2e6=:9j315n5+174956>5rs0c1>5<5sW;j>63>c98:g>"6>?0:5o5a17790>{t9h;1<7h6><0>7p}>a183>7}Y9h:01n3:1>vP>9g9>5f3=1j1/=;8518`8j4022>1v<7j:181[7>m27:o946c:&223<61k1e=;;58:p53ty:5l4?:3y]54?e3g;=97o4}r3a3?6=:r7:o>4>449>57c=9h30(<89:0`5?k71=3:0q~?l0;296~;6kk0:885213g95g2<,8<=652z?2gd<6<<16=?k51c18 40128h=7c?95;08yv7em3:1>v3>c88200=:9;o1=o<4$045>4d13g;=97=4}r3a`?6=:r7:o54>449>57c=9k;0(<89:0`5?k71=3>0q~?mc;296~;6k>0:885213g95g6<,8<=652z?2g3<6<<16=?k51`g8 40128h=7c?95;48yv7ei3:1>v3>c48200=:9;o1=lj4$045>4d13g;=9794}r3a=?6=:r7:o94>449>57c=9hi0(<89:0`5?k71=320q~?m8;296~;6k;0:885213g95dg<,8<=652z?2g4<6<<16=?k51`58 40128h=7c?95;c8yxd5?l0;6:4<:6yO5<6=9rBm56sC18393~h3:k0i86*;208024=#;hi15n5+3c39513<,:kn6i94n2c7>4=#<;k1?6s+1639bf=]0j09w9=53e8~^4032;q?47=l:|kg3?6=3f;?57>5$067>42?3g;??7>4;n373?6=,8>?6<:7;o377?7<3f;?:7>5$067>42?3g;??7<4;hc3>5<#9=>15k5a15194>=n1l0;6)?;4;;e?k73;3;07d7k:18'512=1o1e=9=52:9a2?6=;3;1?vB>9182M`>3tF:5<4:{%615?5192.8mn46c:&0f4<6<<1/?lk5d69m7d2=92w/=:?5fe9j=f<722e:884?::kg3?6=3k<1<7=50;2x 4162ok0D<6j;h;`>5<k2wx=9;50;0xZ42234<1=9;4}rf4>5<5sWn<7085d29~yg1=8391=7=tL0;3>4}On01v@<7>:4y'077=;?;0(>ol:8a8 6d628>>7)=ne;f4?k5f<3;0q)?81;dg?l?d2900c<:::188ma1=831i:7>53;294~"6?80mm6F>8d9j=f<722co?7>5;n371?6=3ty2o7>52z\:g>;120i0q~?;5;296~X6<<16:7?;5:p`2<72;qUh:526;f0?x{e;h0;6>4>:2yO5<6=9rBm56sC18391~"3:808:<5+3`a9=f=#;k;1=9;4$2cf>a1cb0;66l9:180>5<7s-;<=7hn;I3;a>o>k3:17dj<:188k4222900q~7l:181[?d34<15n5rs066>5<5sW;?9639:066?xuc?3:1>vPk7:?5>a554z\g3>;12m=01:4k7:?0e?b03ty:844?:3y]51?<5:k1=9;4$045>42?3g;=97>4}r373?6=:rT:8:527;371>"6>?0:855a17795>{t9=<1<7<`6=5rs8g94?4|V0o01:46c:&223<>n2d::84>;|q:`?6=:rT2h639:8a8 40120l0b<8::39~yg5a:3:157:59zN2=5<6sAl27pB>9084k25j3h87):=1;155>"4ij02o6*"3:h087p*>708ea>\?k38p944:6;Y532=:r?j68;5}hf4>5<i6=4+156951g86=54o06:>5<#9=>1=9o4n060>4=<6=4+156951g86?54o065>5<#9=>1=9o4n060>6=458b5>h6<:0;76gn0;29 4232h;0b<:<:098m5$067>d786>54b783>6<62:qG=4>51zJe=>{K90;19v*;208024=#;hi15n5+3c39513<,:kn6i94n2c7>4=z,8=:6kj4i8a94?=h9=?1<75fd683>>d129086=4?{%345?`f3A;3i6g6c;29?lb42900c<:::188yv?d2909wS7l;<49=f=z{8>>6=4={_371>;128>>7p}k7;296~Xc?27=6i=4}|`4>5<42808wA?60;3xLc?6063-9jo77l;%1a5?73=2.8mh4k7:l0e1<63t.:;<4id:k:g?6=3f;?97>5;hf4>5<4?:1y'527=nh1C=5k4i8a94?=nl:0;66a>4483>>{t1j0;6?uQ9b9>2??d3ty:884?:3y]513<5?0:885rse594?4|Vm=01;4k3:~f6g=8391=7=tL0;3>4}On01v@<7>:4y'077=;?;0(>ol:8a8 6d628>>7)=ne;f4?k5f<3;0q)?81;dg?l?d2900c<:::188ma1=831i:7>53;294~"6?80mm6F>8d9j=f<722co?7>5;n371?6=3ty2o7>52z\:g>;120i0q~?;5;296~X6<<16:7?;5:p`2<72;qUh:526;f0?x{e;k0;6>4>:2yO5<6=9rBm56sC18391~"3:808:<5+3`a9=f=#;k;1=9;4$2cf>a1cb0;66l9:180>5<7s-;<=7hn;I3;a>o>k3:17dj<:188k4222900q~7l:181[?d34<15n5rs066>5<5sW;?9639:066?xuc?3:1>vPk7:?5>a555z\g3>;12m=01:4k7:?0e?b0349i6i94}r37f?6=:rT:8o523c8200=#9?<1=9o4n046>5=z{8>26=4={_37=>;4i3;?96*>67820d=i9??1=6s|15594?4|V8><70951578 40128>j7c?95;08yv73>3:1>vP>479>2?73=2.::;4>4`9m533=;2wxm?4?:3y]e7=:;k02o6*>678b5>h6><0;7p}n0;296~Xf8278m77l;%352?g63g;=97?4}r;f>5<5sW3n70959b9'530=i81e=;;52:p=a<72;qU5i526;;`?!71>3k:7c?95;18yxd5i10;6k48:gyO5<6=9rBm56sC18393~h3:k0i>6*;208024=#;hi15n5+3c39513<,:kn6i94n2c7>4=#<;k1?6s+1639bc=]0j09w;:5638~^4032;q=:78>:|kg3?6=3f;?j7>5$067>42b3g;??7>4;n37`?6=,8>?6<:j;o377?7<3f;?o7>5$067>42b3g;??7<4;n37f?6=,8>?6<:j;o377?5<3f;?57>5$067>42b3g;??7:4;n373?6=,8>?6<:j;o377?3<3f;?:7>5$067>42b3g;??784;hc5>5<#9=>1m85a15194>=ni=0;6)?;4;c6?k73;3;07do<:18'512=i<1e=9=52:9je7<72-;?87o:;o377?5<3`k;6=4+1569e0=i9=91865f9d83>!73<3k>7c?;3;78?l?c290/=9:5a49m515=>21i:7>53;397~J6190:wEh6;|N2=4<2s->9=7=91:&0ef<>k2.8n<4>449'7dc=l>1e?l:51:'527=nm1b5n4?::m200<722co;7>5;c494?5=83:p(<9>:gc8L4>b3`3h6=44ie194?=h9=?1<75rs8a94?4|V0i01;46c:p513=838pR<::;<49513l>:066?!5fm3n<7c=n4;38y!7093lo7d7l:188k4222900ei950;9a2?6=;3:1708ee>N60l1b5n4?::kg7?6=3f;?97>5;|q:g?6=:rT2o639:8a8yv73=3:1>vP>449>2?73=2wxh:4?:3y]`2=:>3n87psm3`83>6<62:qG=4>51zJe=>{K90;19v*;208024=#;hi15n5+3c39513<,:kn6i94n2c7>4=z,8=:6kj4i8a94?=h9=?1<75fd683>>d129086=4?{%345?`f3A;3i6g6c;29?lb42900c<:::188yv?d2909wS7l;<49=f=z{8>>6=4={_371>;128>>7p}k7;296~Xc?27=6i=4}|`0f?6=;3;1?vB>9182M`>3tF:5<4:{%615?5192.8mn46c:&0f4<6<<1/?lk5d69m7d2=92w/=:?5fe9j=f<722e:884?::kg3?6=3k<1<7=50;2x 4162ok0D<6j;h;`>5<k2wx=9;50;0xZ42234<1=9;4}rf4>5<5sWn<7085d29~yg3329086<4<{M3:4?7|@o30qA?61;7x 1462:<:7)=nc;;`?!5e93;?96*h4i=0:7p*>708e`>o>k3:17b?;5;29?lb02900n;4?:283>5}#9>;1jl5G19g8m4?::m200<722wx5n4?:3y]=f=:>33h7p}>4483>7}Y9=?01;4>449~wa1=838pRi94=78g7>{zj0}#<;;1?;?4$2c`>5<k27=64m4}r371?6=:rT:88526;371>{tl>0;6?uQd69>2?b43twi9k4?:282>6}K90:1=vFi9:O5<7==r.?><4<609'7de=1j1/?o?51578 6gb2m=0b>o;:09~ 4162on0e4m50;9l513=831bh:4?::`5>5<4290;w)?81;db?M7?m2c2o7>5;hf0>5<>6=44}r;`>5<5sW3h70859b9~w4222909wS?;5:?5>4223tyo;7>52z\g3>;12m90qp}k7;29<~Xc?27=6i94=68g3>;4i3n<70=m:e58902=l>169h4k7:?6b?b03ty:8k4?:3y]51`<542b3g;=97>4}r37`?6=:rT:8i525d8200=#9?<1=9k4n046>4=z{8>h6=4={_37g>;2<3;?96*>67820`=i9??1>6s|15`94?4|V8>i70=m:066?!71>3;?i6`>6480?xu6<00;6?uQ15;896g=9=?0(<89:06f?k71=3>0q~?;7;296~X6<>16;7?;5:&223<67}Yi:169946c:&223633k>7c?95;18yvg72909wSo?;<1b>1=z{0o1<7k2.::;4n5:l220<23ty2h7>52z\:`>;120i0(<89:`78j4022?1vqo?=d;29`?6=8rF:5<48{%615?5cn2.8m44>a79'7db=;;?0b9<6:528j1352>1/8?o53:l76g?65$067>4ga3g;??7:4;h3bg?6=,8>?65$067>4ga3g;??7<4;h3ba?6=,8>?65$067>4ga3g;??7>4;n112?6=,8>?6>5$067>64d3g;??7;4;n11?6>5$067>64d3g;??7=4;n11e?6=,8>?6>5$067>64d3g;??7?4;n11`?6=,8>?6>o4?:0294?6|D83:65u+433973e<,:kh6l94$2`7>40?3-9i>7?:6:l76<>>7?4$50b>6=i<;h1o85r$052>60d3S2h6?u9b;40>xof03:1(<:;:`d8j4242>10el750;&20149;:kbe?6=,8>?6lh4n060>0=458bb>h6<:0?76gnc;29 4232hl0b<:<:298mdb=83.:894nf:l206<532cji7>5$067>d`86<54ic294?"6<=0jj6`>4283?>o6>00;6)?;4;35b>h6<:0=76g>6`83>!73<3;=j6`>4286?>o6>k0;6)?;4;35b>h6<:0?76g>6b83>!73<3;=j6`>4280?>o6>m0;6)?;4;35b>h6<:0976g>6d83>!73<3;=j6`>4282?>o6?90;6)?;4;35b>h6<:0;76a>5783>>{e98=1<7;50;2xH4?62>q/?lh5b09'01c=:l1/?o<5739'077=;458a<>h6<:0876gm5;29 4232k20b<:<:398mg1=83.:894m8:l206<632ci57>5$067>g>86=54o6094?=zj8;36=4::183I7>93=p(>oi:c38 12b2;l0(>l=:668 1462:<87):=8;67e>"3:h087c:=b;:e?x"6?80:=55U8b8201=u`h96=4+1569f==i9=91?65fb483>!73<3h37c?;3;08?ld0290/=9:5b99m515=921bn44?:%370?d?3g;??7>4;n57>5<?47>55;69<~"6?80?855`45094?=n<<:1<75f43094?=n;::1<75f37794?=e<==1<7=50;2x 4162>?0D<6j;hge>5<?6=4;:183!7093=27E?7e:kfb?6=3`l;6=44ig394?=h9:i1<75rb566>5<4290;w)?81;30`>N60l1bik4?::ke4?6=3f;8o7>5;|`703<72:0;6=u+163930=O91o0ehh50;9jb5<722e:?n4?::p014=838pR9:=;<672?74k2wx88>50;0xZ13734>?:7ki;|q767<72:qU8?<4=564>``<5=>?6hh4}r104?6=;rT8?=524559b5=:<=>1j<5rs246>5<5sW9=963;458e4>{t<=91<745d34>?97ki;|q701<72;q689:512a891222o:0q~?<8;296~;3<<0:?n524549b5=zuk>?<7>55;69<~"6?80?8=5`42c94?=n<<:1<75f43094?=n;::1<75f37794?=e<:l1<7=50;2x 4162>?0D<6j;hge>5<5<4290;w)?81;30`>N60l1bik4?::ke4?6=3f;8o7>5;|`77`<72:0;6=u+163930=O91o0ehh50;9jb5<722e:?n4?::p06g=838pR9=n;<60a?74k2wx88>50;0xZ13734>8i7ki;|q767<72:qU8?<4=51e>``<5=9h6hh4}r104?6=;rT8?=5242d9b5=:<:i1j<5rs246>5<5sW9=963;3b8e4>{t<:h1<745d34>8h7ki;|q77f<72;q68>m512a8915c2o:0q~?<8;296~;3;m0:?n5242g9b5=zuk>857>55;69<~"6?80??45`42194?=n<<:1<75f43094?=n;::1<75f37794?=e<:21<7=50;2x 4162>?0D<6j;hge>5<6=4;:183!7093=27E?7e:kfb?6=3`l;6=44ig394?=h9:i1<75rb515>5<4290;w)?81;30`>N60l1bik4?::ke4?6=3f;8o7>5;|`772<72:0;6=u+163930=O91o0ehh50;9jb5<722e:?n4?::p065=838pR9=<;<603?74k2wx88>50;0xZ13734>8;7ki;|q767<72:qU8?<4=51;>``<5=9>6hh4}r104?6=;rT8?=5242:9b5=:<:?1j<5rs246>5<5sW9=963;348e4>{t<:>1<745d34>8:7ki;|q770<72;q68>;512a891512o:0q~?<8;296~;3;?0:?n524259b5=zuk8j=7>56;49f~"6?809m<5`28:94?=n<;81<75f39g94?=n;??1<75f38394?=n:181<75m2`294?5=83:p(<9>:678L4>b3`om6=44ig294?=h9:i1<75rb3;b>5<4290;w)?81;56?M7?m2cnj7>5;hd3>5<70842>N60l1bik4?::ke4?6=3f;8o7>5;|`1=a<72:0;6=u+163956b<@82n7dki:188mc6=831d=>m50;9~f7?b29086=4?{%345?113A;3i6gjf;29?l`72900c<=l:188yg4>n3:1?7>50z&234<6;m1C=5k4idd94?=nn90;66a>3b83>>{t:021<7n3;8o6s|43094?5|V=8970m3om7p}<8d83>7}Y;1o01?o?:g28yv51=3:1?vP<649>64m5eg9~w6?62909wS=61:?1=d5<50;0xZ7>53482o7h?;|q1=<<72;q6>l>512a897?a2ll0q~<6a;296~;51h0:?n5228f9ac=z{;3i6=4={<0:g?74k2795i4i0:p56>=838p1?7k:01`?84>m3l;7p}>3883>7}::0o1=>m4=3;e>c6g}#9>;1>484o3:g>5<5<6=44i2;3>5<5<4290;w)?81;56?M7?m2cnj7>5;hd3>5<70841>N60l1bik4?::ke4?6=3f;8o7>5;|`1=4<72:0;6=u+163933=O91o0ehh50;9jb5<722e:?n4?::a6<4=8391<7>t$052>45c3A;3i6gjf;29?l`72900c<=l:188yg4>;3:1?7>50z&234<0>2B:4h5feg83>>oa83:17b?4?:1y'527=9:n0D<6j;hge>5<;51=0:?n5rs501>5<4sW>9>63=948fb>;51:0nj6s|39f94?4|V:2o70<65;d3?xu4><0;6>uQ377897>a2ll01?7>:dd8yv5>83:1>vP<919>6=`=n91v?6?:181[4?82795<4i0:p6=c=838p1?7::01`?84><3om7p}=8g83>7}::1l1=>m4=3;1>``52z?1=4<6;j16>4<5f19~w45?2909w0<62;30g>;51:0m<6s|12;94?4|5;386<=l;<0:0?`73twi>5m50;492?d|,8=:6?6l;n0;7?6=3`>9>7>5;h1;g?6=3`9=97>5;h1;b?6=3`85;c0;f?6=;3:170841>N60l1bik4?::ke4?6=3f;8o7>5;|`1<0<72:0;6=u+163930=O91o0ehh50;9jb5<722e:?n4?::a6=1=8391<7>t$052>20<@82n7dki:188mc6=831d=>m50;9~f7>?29086=4?{%345?74l2B:4h5feg83>>oa83:17b?4?:1y'527=??1C=5k4idd94?=nn90;66a>3b83>>{e:1k1<7=50;2x 416289o7E?7e:kfb?6=3`l;6=44o01`>5<52z\1<6=::1k1=>m4}r616?6=;rT?>?5229`9ac=::131ik5rs2:`>5<5sW93o63=8c8e4>{t;??1<7=t^246?84?=3om70<77;ge?xu40o0;6?uQ39d897>22o:0q~<8f;296~X5?o16>595f19~w7>32909w0<7b;30g>;50h0nj6s|29794?4|5;2>6<=l;<0;6=1=9:i01?67:g28yv7403:1>v3=89827f=::131j=5rs01:>5<5s48357?3?4?::k0=d<722c8:84?::k0=a<722c8j:4?::`75c<72:0;6=u+163930=O91o0ehh50;9jb5<722e:?n4?::a04?=8391<7>t$052>23<@82n7dki:188mc6=831d=>m50;9~f17e29086=4?{%345?113A;3i6gjf;29?l`72900c<=l:188yg26k3:1?7>50z&234<6;m1C=5k4idd94?=nn90;66a>3b83>>{e<8n1<7=50;2x 4162><0D<6j;hge>5<8d9jac<722cm<7>5;n30g?6=3ty?=:4?:3y]041<5=;n6<=l;|q767<72:qU8?<4=53e>``<5=;o6hh4}r1:e?6=:rT85l5240d9b5=z{:<>6=4<{_151>;3900nj63;1c8fb>{t;0n1<77}Y;o=019?m:g28yv2603:1>v3;1g827f=:<8o1ik5rs53:>5<5s4>:57?c;d3?xu6;10;6?u240a956e<5=;o6k>4}r30=?6=:r7?=i4>3b9>04c=n91vqo:>5;292?0=jr.:;<4;149l05e=831b8?<50;9j7t$052>23<@82n7dki:188mc6=831d=>m50;9~f16b29086=4?{%345?123A;3i6gjf;29?l`72900c<=l:188yg2683:1?7>50z&234<0>2B:4h5feg83>>oa83:17b?4?:1y'527=9:n0D<6j;hge>5<5<:?7>53;294~"6?80:?i5G19g8m``=831bj=4?::m27f<722wx8=m50;0xZ16d34>:?7?:>7ki;|q0=<<72;qU?474=537>c653z\020=:<9o1ik524029ac=z{:3h6=4={_1:g>;38l0m<6s|3g794?4|V:l>70:>0;d3?xu38m0;6?u2406956e<5=;86hh4}r63a?6=:r7?

3b9>047=mo1v9>i:18182683;8o63;108e4>{t9:21<745d34>:>7h?;|q27<<72;q68<<512a891742o:0qpl;0c83>3<12kq/=:?541`8k1652900e9<=:188m6??2900e>8::188m6?e2900e>h;:188f16f29086=4?{%345?123A;3i6gjf;29?l`72900c<=l:188yg27<3:1?7>50z&234<0=2B:4h5feg83>>oa83:17b?4?:1y'527=??1C=5k4idd94?=nn90;66a>3b83>>{e<9=1<7=50;2x 416289o7E?7e:kfb?6=3`l;6=44o01`>5<;47>53;294~"6?80<:6F>8d9jac<722cm<7>5;n30g?6=3th?<44?:283>5}#9>;1=>j4H0:f?lca2900ek>50;9l56e=831v9>=:181[27:27?<44>3b9~w1452908wS:=2:?74d=838pR>77;<63e?`73ty8:84?:2y]733<5=:?6hh4=525>``52z\0=g=:<9>1j=5rs2d7>5<5sW9m863;078e4>{t<991<745d34>;57ki;|q741<72;q68=:512a891602ll0q~:?5;296~;38?0:?n524159b5=z{8936=4={<633?74k27?<54i0:p56?=838p19>7:01`?82713l;7psm41394?0=>3hp(<9>:522?j5a03:17d:=2;29?l5>?3:17d=95;29?l5>i3:17d=i3;29?g2783:1?7>50z&234<0=2B:4h5feg83>>oa83:17b?4?:1y'527=?<1C=5k4idd94?=nn90;66a>3b83>>{e;oi1<7=50;2x 4162><0D<6j;hge>5<8d9jac<722cm<7>5;n30g?6=3th8jh4?:283>5}#9>;1;;5G19g8m``=831bj=4?::m27f<722wi?kh50;194?6|,8=:6<=k;I3;a>obn3:17dh?:188k45d2900q~=i8;296~X4n116?kh512a8yv25:3:1?vP;239>056=mo16?kk5eg9~w6?02909wS=67:?7454}r1e7?6=:rT8j>523ga9b5=z{:l26=4={<634?74k278jk4jf:p7cg=838p1>hn:01`?85al3om7p}7}:;oi1=>m4=2dg>c652z?0ba<6;j16?kk5f19~w45>2909w0=ie;30g>;4no0m<6srb22f>5<12?0iw)?81;13a>i48<0;66g;2383>>o49?0;66g<6483>>o5jk0;66g=b183>>d48m0;6>4?:1y'527=?<1C=5k4idd94?=nn90;66a>3b83>>{e;9=1<7=50;2x 4162>?0D<6j;hge>5<5<53;294~"6?80:?i5G19g8m``=831bj=4?::m27f<722wi?=l50;194?6|,8=:6:84H0:f?lca2900ek>50;9l56e=831vn>>l:180>5<7s-;<=7?7}Y;9?01>>l:01`?xu3:;0;6>uQ4308966c2ll01>>m:dd8yv56>3:1>vP<179>75b=n91v>8::180[51=278<:4jf:?04<ol50;0xZ7de349;;7h?;|q1f5<72;qU>o>4=22:>c652z?04a<6;j16?=m5eg9~w6602909w0=?7;30g>;48h0nj6s|31:94?4|5::26<=l;<13e?`73ty:?54?:3y>75g=9:i01>>m:g28yv7413:1>v3<0c827f=:;9i1j=5r}c137?6==391;v*>708046=h:oo1<75f43094?=n;8>1<75f37794?=n:ho1<75m31094?5=83:p(<9>:678L4>b3`om6=44ig294?=h9:i1<75rb223>5<3290;w)?81;5b?M7?m2cnj7>5;hd3>5<8d9jac<722cm<7>5;n30g?6=3ty9jh4?:3y]6cc<5:::6<=l;|q767<72:qU8?<4=221>``<5::;6hh4}r120?6=:rT8=9523109b5=z{:<>6=4={_151>;4890m<6s|2`g94?4|V;kn70=?0;d2?xu5no0;6?u2310956e<5:::6hh4}r134?6=:r78<=4>3b9>757=n91vqolj50;9a6ce=8391<7>t$052>23<@82n7dki:188mc6=831d=>m50;9~f7`129086=4?{%345?123A;3i6gjf;29?l`72900c<=l:188yg4a03:1?7>50z&234<0>2B:4h5feg83>>oa83:17b?4?:1y'527=9:n0D<6j;hge>5<5<53;294~"6?80:?i5G19g8m``=831bj=4?::m27f<722wx>k:50;0xZ7`3348mn7?c653z\020=::o<1ik522g:9ac=z{;h26=4={_0a=>;5n?0m<6s|2`f94?4|V;ko703b9>6c?=mo1v?h8:18184a03;8o63=f88e4>{t9:21<745d348mm7h?;|q27<<72;q6>ko512a897`e2o:0qpl=f283>3<12kq/=:?52g18k7cf2900e9<=:188m6752900e>8::188m7d02900e?ol:188f7`529086=4?{%345?123A;3i6gjf;29?l`72900c<=l:188yg4bk3:1?7>50z&234<0=2B:4h5feg83>>oa83:17b?4?:1y'527=??1C=5k4idd94?=nn90;66a>3b83>>{e:ll1<7=50;2x 416289o7E?7e:kfb?6=3`l;6=44o01`>5<53;294~"6?80<:6F>8d9jac<722cm<7>5;n30g?6=3th9j<4?:283>5}#9>;1=>j4H0:f?lca2900ek>50;9l56e=831v?kn:181[4bi279j<4>3b9~w1452908wS:=2:?1b7?=;<0e6?`73ty8:84?:2y]733<5;oh6hh4=3gf>``52z\1f2=::li1j=5rs3c`>5<5sW8jo63=ed8e4>{t:lh1<745d348m=7ki;|q1af<72;q6>hm512a897ca2ll0q~3hp(<9>:3g:?j4b83:17d:=2;29?l5693:17d=95;29?l4e=3:17d50z&234<0=2B:4h5feg83>>oa83:17b?4?:1y'527=?<1C=5k4idd94?=nn90;66a>3b83>>{e:l>1<7=50;2x 4162><0D<6j;hge>5<6=4<:183!7093;8h6F>8d9jac<722cm<7>5;n30g?6=3th9i;4?:283>5}#9>;1;;5G19g8m``=831bj=4?::m27f<722wi>h950;194?6|,8=:6<=k;I3;a>obn3:17dh?:188k45d2900q~h9512a8yv25:3:1?vP;239>6`>=mo16>h85eg9~w6762909wS=>1:?1a=7ki;<0f0?ca3ty9n84?:3y]6g3<5;o96k>4}r0bf?6=:rT9mo522d69b5=z{;o:6=4={<0f7}::l>1=>m4=3g6>c652z?1a0<6;j16>h85f19~w45>2909w0;5m>0m<6srb3fe>5<12?0iw)?81;0gb>i5l?0;66g;2383>>o4990;66g<6483>>o5j:0;66g=a`83>>d5ll0;6>4?:1y'527=?<1C=5k4idd94?=nn90;66a>3b83>>{e:m21<7=50;2x 4162>?0D<6j;hge>5<5<53;294~"6?80:?i5G19g8m``=831bj=4?::m27f<722wi>im50;194?6|,8=:6:84H0:f?lca2900ek>50;9l56e=831vn?jk:180>5<7s-;<=7?7}Y:m<01?jk:01`?xu3:;0;6>uQ430897bb2ll01?jl:dd8yv5683:1>vP<119>6ac=n91v>8::180[51=279h54jf:?1`do=50;0xZ7d4348o47h?;|q1ed<72;qU>lo4=3fb>c652z?1``<6;j16>ij5eg9~w7b?2909w0;5lk0nj6s|2e;94?4|5;nj6<=l;<0gf?`73ty:?54?:3y>6ad=9:i01?jl:g28yv7413:1>v3=db827f=::mn1j=5r}c0g1?6=>3<1nv*>7081`0=h:ji1<75f43094?=n;9l1<75f37794?=n:k;1<75f2`;94?=e:m>1<7=50;2x 4162>?0D<6j;hge>5<7E?7e:kfb?6=3`l;6=44o01`>5<53;294~"6?80<:6F>8d9jac<722cm<7>5;n30g?6=3th9h<4?:283>5}#9>;1=>j4H0:f?lca2900ek>50;9l56e=831vn?j=:180>5<7s-;<=799;I3;a>obn3:17dh?:188k45d2900qo3e9K5=c>i6;j0;66s|2ba94?4|V;ih70{t<;81<7=t^501?84c<3om70<16>nk5eg9>6a6=mo1v?l>:181[4e9279oh4i0:p6d?=838pR?o6;<0g4?`73ty9oi4?:3y>6a2=9:i01?j<:dd8yv4dm3:1>v3=cd827f=::m;1ik5rs3ae>5<5s48o<7?650;0x97b6289h704}|`1ff<72<0;6=u+1639516<@82n7dki:188mc6=831bj<4?::ke6?6=3f;8o7>5;|`1f=<72:0;6=u+163956b<@82n7dki:188mc6=831d=>m50;9~f7d1290?6=4?{%345?74n2B:4h5feg83>>oa83:17dh>:188k45d2900qo3g9K5=c>oa93:17b?4?:1y'527=9:n0D<6j;hge>5<96=4=:183!7093l37E?7e:kfa?6=3f;8o7>5;|`07c<72;0;6=u+1639b==O91o0ehk50;9l56e=831vn>=k:181>5<7s-;<=7h7;I3;a>obm3:17b?1<729q/=:?57`9K5=c>oa93:17b?1<729q/=:?57`9K5=c>oa93:17b?0;684?:1y'527=?l1C=5k4idd94?=nn90;66gi1;29?l`52900c<=l:188yg5103:187>50z&234<0i2B:4h5feg83>>oa83:17dh>:188k45d2900qo6<729q/=:?5749K5=c>i6;j0;66sm2b094?5=83:p(<9>:648L4>b3`om6=44ig294?=h9:i1<75rb3a7>5<4290;w)?81;54?M7?m2cnj7>5;hd3>5<708205=O91o0ehh50;9jb5<722cm=7>5;hd1>5<70841>N60l1bik4?::ke4?6=3f;8o7>5;|`023<72=0;6=u+16393==O91o0ehh50;9jb5<722cm=7>5;n30g?6=3ty3j7>52z\;b>;4;;03j6s|44294?1|V=?;70<8e;f4?85a:3n<70><63;418715=:<:3188>4}r0`e?6==r79nn4i2:?1f33b9~w7dc2909w0;5kk0nj6s|2cd94?4|5;i;6<=l;<0`f?`73ty9o<4?:3y>6f4=9:i01?mm:g38yv4d;3:1>v3=c5827f=::jh1j?5rs3a5>5<1s48io7h?;<0a7ki;<0`3?74k2wx>n650;7x97dd2o;01?l7:g2897d12o:01?l;:g2897e>289h7p}<6683>7}:;?21=>m4=245>``9>7>513y>01>=<;8019:?:501?82413>9>63=a08767=::0<18?<4=3:`>14534>9<7:=2:?750<3:;168=l5430891662=8970=?e;616>;48:0?>?522gf9074<5;l869<=;<0f=?25:279hk4;239>6a3=<;8019<<:01`?xu3:=0;6?u2437956e<5=886hh4}r612?6=:r7?>:4>3b9>075=n91v>=?:187875j3;>:63;498075=:<=:1?>>4=51:>6573ty8:84?:00x912?2:<>70:;0;151>;3;008:8522`39733<5;3=6>8:;<0;g?51=27?>=4<649>043=;??019>m:246?827939=963<0d8020=:;991?;;4=3dg>602348m?7=95:?1a<<4><16>ih5377897b22:<>70=96;30g>{t;?31<724<5:<=6k>4}r15e?6=:r7:=5484:?023?47:;2:&223<3<81e=;;50:p06g=838pR9=n;<674?24i2.::;4;409m533=92wx8>=50;0xZ15434>857:<3:&223<3<81e=;;52:p7<0=83>pR>79;<323?d>34;:47l6;<611?ca3-;=:7=65:l220<73ty8594?:`y]7<2<58;<6o94=03;>g1<5;i>6hh4=3a4>c7<5;i26k>4=506>c7<5;hn6hh4=3a3>``<5;i?6hh4$045>6?23g;=97?4}r1:7?6=irT85>521059f0=:9821n8522b79b5=::j=1j=522b;9ac=:<;?1j=522b29b5=::j81j=522b69b5=#9?<1?4;4n046>7=z{:396=46{_1:6>;6:m0:mi521059f7=:9821n?522b79b4=::j=1ik522b;9b4=::ko1j=522b09ac=#9?<1?4;4n046>6=z{:3:6=4<{_1:5>;6:m0:mn522`397<7<,8<=6>7:;o351?253z\0=5=:9;n1=lo4=3;5>6?73-;=:7=65:l220<23ty84k4?:2y]7=`<588o62wx?5k50;0xZ6>b348j=7=7e:&223<41<1e=;;57:p7=b=838pR>6k;<0:2?5?l2.::;4<949m533=02wx?5m50;0xZ6>d3483o7=7c:&223<41<1e=;;59:p7d7=839pR>o>;<613?`6349=47ki;%352?5f82d::84?;|q0=c<72:qU?4h4=504>c4<5:<36k>4$045>6g73g;=97?4}r1:a?6=;rT85h524359b5=:;?21j<5+17497d66?5rs2;g>5<4sW92h63;2180=a=:<;=1ik5+17497d66>5rs2;`>5<4sW92o63>2e82f5=:<8?1?4m4$045>6g73g;=97:4}r1:f?6=;rT85o5213f95dc<5=:i6>7m;%352?5f82d::84:;|q0=d<72:qU?4o4=503>6?f34>;=7=6a:&223<4i91e=;;56:p776;<621?5>12.::;4;n7=68:&223<4i91e=;;58:p7<1=838pR>78;<635?5>?2.::;4o>50;1x9655283h70;48l09n=5+17496d`6=5rs3cf>5<4s498>7?n5:?1e=<67gc3-;=:7764=9h901?o7:06a?84a;38jo6*>6781ec=i9??1?6s|2``94?5|5:996;5i10:8:522ed96dg<,8<=6?oi;o351?353z?077<6i916>l65154897b22;k27)?96;0bb>h6><0=7p}6}:;:81=4h4=2d1>42e34>9<7=i7:&223<4n?1e=;;50:p7c3=839p1>==:0;f?85a:3;?563;1480b0=#9?<1?k84n046>4=z{:l?6=4<{<106?7>l278j?4>469>05d=;o>0(<89:2d5?k71=380q~=i3;297~;4;;0:5l523g09510<5=::6>h<;%352?5a>2d::84<;|q1fg<72;q6?=k52c`897dd289h7)?96;0ae>h6><0;7p}=b883>6}::on1>o74=3``>``<5;i>6<=l;%352?4ei2d::84=;|q1f2<72;q6>k=52c5897d?289h7)?96;0ae>h6><087p}=b483>7}::l31>o;4=3`5>45d3-;=:74?:3y>6a`=:k901?l;:01`?!71>38im6`>6486?xu5j80;6?u22e796g7<5;h96<=l;%352?4ei2d::849;|q1<7<72:q6>:k515;897g62;2970=;2;gf?!71>383=6`>6483?xu5090;6>u226g9511<5;3=6?6?;<10b?cb3-;=:7<71:l220<63ty9;k4?:2y>62c=9=<01?6l:35e?854l3on7)?96;0;5>h6><097p}<4083>7}:9;h1=:>4=261>45d3-;=:7=;0:l220<73ty8?h4?:2y>57d=9?o01<678005=i9??1=6s|32a94?3|588i6<8l;<31f?71j27:>o4>6`9>57d=9?301>=k:01`?!71>39?<6`>6481?xu5110;6?u226g9e5=::h;1>464$045>7?03g;=97>4}r0;`?6=:r79;h46e:?1=3<50m1/=;852858j402281v?6<:181840m33o70<7c;0;7>"6>?095:5a17796>{t<8=1<7d4<5=8;69?8;%352?26>2d::84?;|q74f<72;q6?k<5a19>043=<9i0(<89:535?k71=3;0q~:?2;296~;4n;02i63;0c8747=#9?<18<84n046>7=z{:l36=4={<1e6??c34>;=7=i8:&223<39?1e=;;53:p740=838p1<678050=i9??1<6s|30694?4|588o6>"6>?08=85a17796>{t;881<764>348m?7=>2:&223<49<1e=;;53:p747=838p1<678050=i9??186s|30294?4|588o6><8;<0gb?5682.::;4<149m533==2wx?=h50;0x944c2:8=70"6>?08=85a17792>{t;9?1<7d0<5::n6>>:;%352?57<2d::84?;|q1b`<72;q6>l65a59>755=:oo0(<89:227?k71=3;0q~7=z{;oj6=4={<0b2;o;7)?96;130>h6><0?7p}=d783>7}::h215h522ed96a0<,8<=6>>;;o351?352z?1e=<>l279h84=cb9'530=;9>0b<8::79~w65e2909w0=<2;117>;6:k0i<6*>67807d=i9??1<6s|32;94?4|5:996><>;<31f?gb3-;=:7=764=;;:01<v3<33805c=:9;h1mn5+174976g6>5rs215>5<5s498>7=>e:?26g;50;0x96552:;o70?=b;cb?!71>398m6`>6486?xu4;=0;6?u2320974e<588i6l74$045>65f3g;=9784}r107?6=:r78??4<1c9>57d=i11/=;8532c8j4022>1vqo=9e;29e?5=irF:5=4>{Id:?xJ61809wc:=b;4f?!25i3>0q)?81;15`>\?k39p8;4;1;61>x\6>=08w9h54e87a?{n;831<75`3e;94?"6<=08h55a15194>=h;m81<7*>4580`==i9=91=65`3ba94?"6<=08h55a15196>=n;j=1<7*>4580g3=i9=91<65f3b794?"6<=08o;5a15195>=n;j>1<7*>4580g3=i9=91>65f3b`94?"6<=08ol5a15194>=n;j31<7*>4580gd=i9=91=65f3b:94?"6<=08ol5a15196>=e;mo1<7:53;4x 4162:nn7b=k9;29?l5613:17d=l7;29?l5dj3:17o=kd;297?6=8r.:;<485:J2<`=nmo0;66gi0;29?j74k3:17pl6<729q/=:?5779K5=c>i6;j0;66sm3ea94?5=83:p(<9>:01g?M7?m2cnj7>5;hd3>5<53z\05<=:;mn1ik523e`9ac=z{:i<6=4={_1`3>;4lm0m<6s|3b`94?4|V:ii70=kb;d3?xu4lh0;6?u23ef956e<5:nh6hh4}r1gf?6=:r78ho4>3b9>7ae=n91vqo=k7;290?5=>r.:;<4t$052>23<@82n7dki:188mc6=831d=>m50;9~f6b329086=4?{%345?113A;3i6gjf;29?l`72900c<=l:188yg5c=3:1?7>50z&234<6;m1C=5k4idd94?=nn90;66a>3b83>>{t;m81<77}Y;j?01>j9:g28yv5d13:1>vP7a2=n91v>j<:18185c>3;8o63{t;m>1<745d349o97h?;|a7a7=83>1?78t$052>6b63f9ho7>5;h12=?6=3`9h87>5;h1`53;294~"6?80<96F>8d9jac<722cm<7>5;n30g?6=3th8oh4?:283>5}#9>;1;;5G19g8m``=831bj=4?::m27f<722wi?nh50;194?6|,8=:6<=k;I3;a>obn3:17dh?:188k45d2900q~=lc;296~X4kj16?nh512a8yv5613:1?vP<189>7a6=mo16?nk5eg9~w6e32909wS=l4:?0`5512a896ea2ll0q~=le;296~;4kl0:?n523bd9b5=zuz9:57>54z\05<=:;mo1?<74=2f4>67>349o=7=>9:p7a?=838pR>j6;<1ga?5c12.::;4ml;<1g5?5dk2.::;4m:;<1g3?5d=2.::;4mm;<1ga?5dj2.::;4349o;7=l9:&223<4kh1e=;;51:p7f>=838pR>m7;<1g5?5d02.::;4?8:181[56?278=5461:p007=838pR9;>;<67b?70>2wx?;:50;0xZ603349=i7=>9:p70c=838pR>;j;<67b?b?3ty9m:4?:5y>74>=0o1689h58g9>`3{t;m31<76780`==i9??1<6s|3e094?4|V:n970=9e;1g6>"6>?08h55a17795>{t;ji1<76780`==i9??1>6s|2`494?4|V;k=70j9:3c5?!71>38j96`>6483?xu5i=0;6?uQ2`689a0=:h>0(<89:3c6?k71=3;0q~6780=0=i9??1<6s|38694?5|5=>m6>9j;6?3349=n7=64:&223<41<1e=;;51:p7<5=839p19:i:25g?8b12:3870=9b;1:7>"6>?08585a17796>{t;081<7=t=56e>61d34n=6>7=;<15f?5>:2.::;4<949m533=;2wx?4?50;1x912a2:=i70j9:2;2?851j392=6*>6780=0=i9??186s|38294?5|5=>m6>9n;6?7349=n7=60:&223<41<1e=;;55:p7=`=839p19:i:25:?8b12:2m70=9b;1;b>"6>?08585a17792>{t;1o1<7=t=56e>61?34n=6>6j;<15f?5?m2.::;4<949m533=?2wx?5j50;1x912a2:=<70j9:2:g?851j393h6*>6780=0=i9??146s|39a94?5|5=>m6>99;6>d349=n7=7c:&223<41<1e=;;59:p7d7=839p19:i:2:a?8b12:k:70=9b;1b5>"6>?08m=5a17794>{t;0l1<7=t=56e>6>>34n=6>7i;<15f?5>n2.::;46780e5=i9??1>6s|38f94?5|5=>m6>68;6?c349=n7=6d:&223<4i91e=;;53:p7"6>?08m=5a17790>{t;0h1<7=t=56e>6>234n=6>7m;<15f?5>j2.::;46780e5=i9??1:6s|38;94?5|5=>m6>6<;6?>349=n7=69:&223<4i91e=;;57:p7<>=839p19:i:2:1?8b12:3370=9b;1:<>"6>?08m=5a1779<>{t;0=1<7=t=56e>6>634n=6>78;<15f?5>?2.::;401>8j:2a4?!71>39h:6`>6483?xu4k<0;6?u2d7800g=:;?o1?n;4$045>6e13g;=97?4}r1`0?6=:r7o:7=;3:?02`<4k=1/=;853b48j4022;1v>mm:181851j3>?>63<6d80gg=#9?<1?no4n046>5=z{:i26=4={<15f?24i278:h4;4>l08o55+17497fg6?5r}|~DEE|ko21?lmkf14:yEFEs9wKL]ur@A \ No newline at end of file Index: github/pong-master/pong.gise =================================================================== --- github/pong-master/pong.gise (revision 428) +++ github/pong-master/pong.gise (nonexistent) @@ -1,189 +0,0 @@ - - - - - - - - - - - - - - - - - - - - 11.1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Index: github/pong-master/top_map.mrp =================================================================== --- github/pong-master/top_map.mrp (revision 428) +++ github/pong-master/top_map.mrp (nonexistent) @@ -1,181 +0,0 @@ -Release 12.3 Map M.70d (lin) -Xilinx Mapping Report File for Design 'top' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off --c 100 -o top_map.ncd top.ngd top.pcf -Target Device : xc3s200a -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3a -- $Revision: 1.52 $ -Mapped Date : Thu May 8 18:51:04 2014 - -Design Summary --------------- -Number of errors: 0 -Number of warnings: 8 -Logic Utilization: - Total Number Slice Registers: 82 out of 3,584 2% - Number used as Flip Flops: 69 - Number used as Latches: 13 - Number of 4 input LUTs: 351 out of 3,584 9% -Logic Distribution: - Number of occupied Slices: 202 out of 1,792 11% - Number of Slices containing only related logic: 202 out of 202 100% - Number of Slices containing unrelated logic: 0 out of 202 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 383 out of 3,584 10% - Number used as logic: 351 - Number used as a route-thru: 32 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 11 out of 68 16% - Number of BUFGMUXs: 2 out of 24 8% - Number of RAMB16BWEs: 1 out of 16 6% - -Average Fanout of Non-Clock Nets: 3.38 - -Peak Memory Usage: 162 MB -Total REAL time to MAP completion: 2 secs -Total CPU time to MAP completion: 2 secs - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Table of Contents ------------------ -Section 1 - Errors -Section 2 - Warnings -Section 3 - Informational -Section 4 - Removed Logic Summary -Section 5 - Removed Logic -Section 6 - IOB Properties -Section 7 - RPMs -Section 8 - Guide Report -Section 9 - Area Group and Partition Summary -Section 10 - Timing Report -Section 11 - Configuration String Information -Section 12 - Control Set Information -Section 13 - Utilization by Hierarchy - -Section 1 - Errors ------------------- - -Section 2 - Warnings --------------------- -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. - -Section 3 - Informational -------------------------- -INFO:MapLib:562 - No environment variables are currently set. -INFO:LIT:244 - All of the single ended outputs in this design are using slew - rate limited output drivers. The delay on speed critical single ended outputs - can be dramatically reduced by designating them as fast outputs. - -Section 4 - Removed Logic Summary ---------------------------------- - 2 block(s) optimized away - -Section 5 - Removed Logic -------------------------- - -Optimized Block(s): -TYPE BLOCK -GND XST_GND -VCC XST_VCC - -To enable printing of redundant blocks removed and signals merged, set the -detailed map report option and rerun map. - -Section 6 - IOB Properties --------------------------- - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IBUF/IFD | SUSPEND | -| | | | | Term | Strength | Rate | | | Delay | | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| btn<0> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| btn<1> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| btn<2> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| btn<3> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| clk | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| hsync | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| levier | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| rgb<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| rgb<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| rgb<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vsync | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -Section 7 - RPMs ----------------- - -Section 8 - Guide Report ------------------------- -Guide not run on this design. - -Section 9 - Area Group and Partition Summary --------------------------------------------- - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Area Group Information ----------------------- - - No area groups were found in this design. - ----------------------- - -Section 10 - Timing Report --------------------------- -This design was not run using timing mode. - -Section 11 - Configuration String Details ------------------------------------------ -Use the "-detail" map option to print out Configuration Strings - -Section 12 - Control Set Information ------------------------------------- -No control set information for this architecture. - -Section 13 - Utilization by Hierarchy -------------------------------------- -Use the "-detail" map option to print out the Utilization by Hierarchy section. Index: github/pong-master/README.md =================================================================== --- github/pong-master/README.md (revision 428) +++ github/pong-master/README.md (nonexistent) @@ -1,8 +0,0 @@ -Project name : Pong -==================== - -Pong video-game ( VHDL / Xilinx FPGA ) output => VGA - -Compilation -=========== -Use Xilinx studio for synthesis and deployment to FPGA Index: github/pong-master/top.lso =================================================================== --- github/pong-master/top.lso (revision 428) +++ github/pong-master/top.lso (nonexistent) @@ -1 +0,0 @@ -work Index: github/pong-master/_xmsgs/trce.xmsgs =================================================================== --- github/pong-master/_xmsgs/trce.xmsgs (revision 428) +++ github/pong-master/_xmsgs/trce.xmsgs (nonexistent) @@ -1,19 +0,0 @@ - - - -No timing constraints found, doing default enumeration. - -To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. - -The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. - -This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation. - -This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error. - - - Index: github/pong-master/_xmsgs/map.xmsgs =================================================================== --- github/pong-master/_xmsgs/map.xmsgs (revision 428) +++ github/pong-master/_xmsgs/map.xmsgs (nonexistent) @@ -1,39 +0,0 @@ - - - -No environment variables are currently set. - - -All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs. - - -Dangling pin <DIA0> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA1> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA2> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA3> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA4> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA5> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA6> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA7> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - - - Index: github/pong-master/_xmsgs/xst.xmsgs =================================================================== --- github/pong-master/_xmsgs/xst.xmsgs (revision 428) +++ github/pong-master/_xmsgs/xst.xmsgs (nonexistent) @@ -1,77 +0,0 @@ - - - -"/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/Game_graphic_generation.vhd" line 204: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: -<bar2_y_t>, <bar2_y_b> - - -"/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/Game_graphic_generation.vhd" line 225: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: -<bar2_on> - - -Clock of register <CLKlent> seems to be also used in the data or control logic of that element. - - -Signal <wall_rgb> is never used or assigned. This unconnected signal will be trimmed during the optimization process. - - -Signal <wall_on> is never used or assigned. This unconnected signal will be trimmed during the optimization process. - - -Signal <ball> is never used or assigned. This unconnected signal will be trimmed during the optimization process. - - -Found 3-bit latch for signal <bit_addr>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. - - -Found 4-bit latch for signal <row_addr>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. - - -Found 7-bit latch for signal <char_addr>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. - - -Signal <pixel_tick> is never used or assigned. This unconnected signal will be trimmed during the optimization process. - - -The FF/Latch <y_delta_reg_2> in Unit <Game_graphic_generation_unit> is equivalent to the following 7 FFs/Latches, which will be removed : <y_delta_reg_3> <y_delta_reg_4> <y_delta_reg_5> <y_delta_reg_6> <y_delta_reg_7> <y_delta_reg_8> <y_delta_reg_9> - - -The FF/Latch <x_delta_reg_2> in Unit <Game_graphic_generation_unit> is equivalent to the following 7 FFs/Latches, which will be removed : <x_delta_reg_3> <x_delta_reg_4> <x_delta_reg_5> <x_delta_reg_6> <x_delta_reg_7> <x_delta_reg_8> <x_delta_reg_9> - - -FF/Latch <x_delta_reg_0> (without init value) has a constant value of 1 in block <Game_graphic_generation_unit>. This FF/Latch will be trimmed during the optimization process. - - -FF/Latch <y_delta_reg_0> (without init value) has a constant value of 1 in block <Game_graphic_generation_unit>. This FF/Latch will be trimmed during the optimization process. - - -The ROM <Mrom_data_rom0000> will be implemented as a read-only BLOCK RAM, absorbing the register: <addr_reg>. - - -The RAM <Mrom_data_rom0000> will be implemented as BLOCK RAM - - -FF/Latch <x_delta_reg_0> (without init value) has a constant value of 1 in block <Game_graphic_generation>. This FF/Latch will be trimmed during the optimization process. - - -Due to other FF/Latch trimming, FF/Latch <y_delta_reg_0> (without init value) has a constant value of 1 in block <Game_graphic_generation>. This FF/Latch will be trimmed during the optimization process. - - -The FF/Latch <y_delta_reg_2> in Unit <Game_graphic_generation> is equivalent to the following 7 FFs/Latches, which will be removed : <y_delta_reg_3> <y_delta_reg_4> <y_delta_reg_5> <y_delta_reg_6> <y_delta_reg_7> <y_delta_reg_8> <y_delta_reg_9> - - -The FF/Latch <x_delta_reg_2> in Unit <Game_graphic_generation> is equivalent to the following 7 FFs/Latches, which will be removed : <x_delta_reg_3> <x_delta_reg_4> <x_delta_reg_5> <x_delta_reg_6> <x_delta_reg_7> <x_delta_reg_8> <x_delta_reg_9> - - -FF/Latch <char_addr_5> (without init value) has a constant value of 0 in block <pong_text>. This FF/Latch will be trimmed during the optimization process. - - -HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. - - - - Index: github/pong-master/_xmsgs/par.xmsgs =================================================================== --- github/pong-master/_xmsgs/par.xmsgs (revision 428) +++ github/pong-master/_xmsgs/par.xmsgs (nonexistent) @@ -1,20 +0,0 @@ - - - -No user timing constraints were detected or you have set the option to ignore timing constraints ("par -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". - - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - -CLK Net:vga_sync_unit/on_off may have excessive skew because - 0 CLK pins and 2 NON_CLK pins failed to route using a CLK template. - - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - - - Index: github/pong-master/_xmsgs/bitgen.xmsgs =================================================================== --- github/pong-master/_xmsgs/bitgen.xmsgs (revision 428) +++ github/pong-master/_xmsgs/bitgen.xmsgs (nonexistent) @@ -1,33 +0,0 @@ - - - -Dangling pin <DIA0> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA1> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA2> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA3> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA4> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA5> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA6> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - -Dangling pin <DIA7> on block:<logo_unit/font_unit/Mrom_data_rom0000>:<RAMB16BWE_RAMB16BWE>. - - - - Index: github/pong-master/_xmsgs/ngdbuild.xmsgs =================================================================== --- github/pong-master/_xmsgs/ngdbuild.xmsgs (revision 428) +++ github/pong-master/_xmsgs/ngdbuild.xmsgs (nonexistent) @@ -1,9 +0,0 @@ - - - - - Index: github/pong-master/_xmsgs/pn_parser.xmsgs =================================================================== --- github/pong-master/_xmsgs/pn_parser.xmsgs (revision 428) +++ github/pong-master/_xmsgs/pn_parser.xmsgs (nonexistent) @@ -1,33 +0,0 @@ - - - - - - - - - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/CLK25MHZ.vhd" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/Game_graphic_generation.vhd" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/font_rom.vhd" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/logo.vhd" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/mux2.vhd" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/top.vhd" into library work - - -Parsing VHDL file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/vga_sync.vhd" into library work - - - - Index: github/pong-master/top_par.xrpt =================================================================== --- github/pong-master/top_par.xrpt (revision 428) +++ github/pong-master/top_par.xrpt (nonexistent) @@ -1,831 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
- -
- - - - -
-
- -
-
- -
- - - - - - -
-
- -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - -
-
-
- - - -
- - - - Index: github/pong-master/Game_graphic_generation.vhd =================================================================== --- github/pong-master/Game_graphic_generation.vhd (revision 428) +++ github/pong-master/Game_graphic_generation.vhd (nonexistent) @@ -1,242 +0,0 @@ - -------------------------------------------------------------------------- --- ,-----.,------.,--. ,--.,--------.,------. ,---. ,--. ,------. -- --- ' .--./| .---'| ,'.| |'--. .--'| .--. ' / O \ | | | .---' -- --- | | | `--, | |' ' | | | | '--'.'| .-. || | | `--, -- --- ' '--'\| `---.| | ` | | | | |\ \ | | | || '--.| `---. -- ---- `-----'`------'`--' `--' `--' `--' '--'`--' `--'`-----'`------' --- ----------------------------------------------------------------------------- ---------------------------------------------------------------------------- --- Company: Ecole Centrale PAris ( MS Embedded Systems ) --- Engineer: Anass Bensrhir --- Marouane Benamor --- --- Create Date: 01:58:31 10/21/2010 --- Design Name: Pong V1.0 --- Module Name: Game_graphic_generation - RTL --- Project Name: --- Target Devices: Xilinx Spartan Familly --- Tool versions: Xilinx ISE 12.1 --- Description: --- Module de generation des graphiques du Jeu (2 barres et une balle) avec gestion des mouvements --- Dependencies: Non --- --- Revision: --- Revision 0.01 - --- Additional Comments: --- ------------------------------------------------------------------------------- ------------*------------------------------------------- --- Declaration des Librairies ------------*------------------------------------------- - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_unsigned.ALL; -use ieee.numeric_std.all; ------------*------------------------------------------- --- Declaration de L'entité ------------*------------------------------------------- - -entity Game_graphic_generation is - Port ( CLK25 : in STD_LOGIC; - btn : in STD_LOGIC_VECTOR (3 downto 0); -- 4 boutons de Direction - video_on : in STD_LOGIC; -- Depuis Vga_sync - pixel_x : in STD_LOGIC_VECTOR (9 downto 0); - pixel_y : in STD_LOGIC_VECTOR (9 downto 0); - graph_rgb : out STD_LOGIC_VECTOR (2 downto 0)); -- Sortie RGB -end Game_graphic_generation; ------------*------------------------------------------- --- Declaration de L'architecture ------------*------------------------------------------- -architecture RTL of Game_graphic_generation is ------------*------------------------------------------- --- Declaration des Constantes ------------*------------------------------------------- --- Resolution du VGA 640 x 480 pixels -constant MAX_X: integer:=640; -constant MAX_Y: integer:=480; --- Taille et coordonnées de la barre 1 ( 6 pixels en largeur et 72 en hauteur ) -constant BAR_X_L: integer:=600; -constant BAR_X_R: integer:=606; -constant BAR_Y_SIZE: integer:=72; --- Vitesse de mouvement de la barre 1 -constant BAR_V: integer:=6; --- Taille et coordonnées de la barre 2 ( 6 pixels en largeur et 72 en hauteur ) -constant BAR2_X_L: integer:=40; -constant BAR2_X_R: integer:=46; -constant BAR2_Y_SIZE: integer:=72; --- Vitesse de mouvement de la barre 2 -constant BAR2_V: integer:=6; --- Taille de la balle -constant BALL_SIZE: integer:=8; --- Vitesses de mouvement de la balle , +3 et -3 -constant BALL_V_P: std_logic_vector(9 downto 0):=conv_std_logic_vector(3,10); -constant BALL_V_N: std_logic_vector(9 downto 0):=std_logic_vector(to_signed(-3,10)); --- forme ronde de la balle stockée sur une ROM 8x8 bits -type rom_type is array (0 to 7) of std_logic_vector(0 to 7); -constant BALL_ROM : rom_type := -( "00111100", - "01111110", - "11111111", - "11111111", - "11111111", - "11111111", - "01111110", - "00111100" -); -signal refr_tick : std_logic; -signal pixel_x_signal, pixel_y_signal: std_logic_vector(9 downto 0); -signal bar_y_t, bar_y_b: std_logic_vector(9 downto 0); -signal bar_y_reg, bar_y_next: std_logic_vector(9 downto 0); -signal bar2_y_t, bar2_y_b: std_logic_vector(9 downto 0); -signal bar2_y_reg, bar2_y_next: std_logic_vector(9 downto 0); -signal ball_x_l, ball_x_r: std_logic_vector(9 downto 0); -signal ball_y_t, ball_y_b: std_logic_vector(9 downto 0); -signal ball_x_reg, ball_x_next: std_logic_vector(9 downto 0); -signal ball_y_reg, ball_y_next: std_logic_vector(9 downto 0); -signal x_delta_reg, x_delta_next: std_logic_vector(9 downto 0); -signal y_delta_reg, y_delta_next: std_logic_vector(9 downto 0); - - --- Signaux pour la gestion de la Ram qui enveloppe la forme de la balle -signal rom_addr, rom_col: std_logic_vector(2 downto 0); -signal rom_data: std_logic_vector(7 downto 0); -signal rom_bit :std_logic; --- Signaux pour la gestion de l'affichage des differents composants -signal wall_on, bar_on,bar2_on, sq_ball_on, rd_ball_on : std_logic; -signal wall_rgb, bar_rgb,bar2_rgb, ball_rgb : std_logic_vector(2 downto 0); ----------------------------------------------------------------- -Begin -pixel_x_signal <= pixel_x; -pixel_y_signal <= pixel_y; --- 60 Mhz Ballayage du VGA Complet -refr_tick <= '1' when (pixel_y_signal = 481) and (pixel_x_signal =0) else '0'; ------------*------------------------------------------- --- Process pour la gestion des coordonnées de chaque composant en fonction de l'horloge ------------*------------------------------------------- -Traitement_Clock:process(CLK25) -begin - if (CLK25'event and CLK25='1') then - bar_y_reg <= bar_y_next; - bar2_y_reg <= bar2_y_next; - ball_x_reg <= ball_x_next; - ball_y_reg <= ball_y_next; - x_delta_reg <= x_delta_next; - y_delta_reg <= y_delta_next; - end if; -end process Traitement_Clock; ---------------------------------------------------------------- ------------*------------------------------------------- --- Traitement Barre 2 signal de sortie (bar2_on) ------------*------------------------------------------- --- Signaux Barre 2 ----------------------------------------------------------------- -bar2_y_t <= bar2_y_reg; -bar2_y_b <= bar2_y_t + BAR2_Y_SIZE -1; - -bar2_on <= '1' when (BAR2_X_L <= pixel_x_signal) and (pixel_x_signal <=BAR2_x_R) and - (bar2_y_t <= pixel_y_signal) and (pixel_y_signal <= bar2_y_b) else - '0'; -bar2_rgb <= "111"; ------------------------------------------------------------------ ------------*------------------------------------------- ---Process pour la gestion du mouvement de la barre 2 en fonction des boutons 2 et 3 ------------*------------------------------------------- -mouvement_barre2:process(bar2_y_reg,bar2_y_b,bar2_y_t,refr_tick,btn) -begin -bar2_y_next <= bar2_y_reg; - if refr_tick = '1' then -- Condition sur le balayage complet 60 HZ - if btn(3)='1' and bar2_y_b<(MAX_Y-1-BAR2_V) then - bar2_y_next <= bar2_y_reg + BAR2_V; - elsif btn(2)='1' and bar2_y_t > BAR2_V then - bar2_y_next <= bar2_y_reg - BAR2_V; - end if; - end if; -end process mouvement_barre2; ---------------------------------------------------------------- ------------*------------------------------------------- --- Traitement Barre 1 signal de sortie (bar1_on) similaire à Barre 2 ------------*------------------------------------------- ---Signaux Barre 1 ----------------------------------------------------------------- -bar_y_t <= bar_y_reg; -bar_y_b <= bar_y_t + BAR_Y_SIZE -1; - -bar_on <= '1' when (BAR_X_L <= pixel_x_signal) and (pixel_x_signal <=BAR_x_R) and - (bar_y_t <= pixel_y_signal) and (pixel_y_signal <= bar_y_b) else - '0'; -bar_rgb <= "111"; ------------*------------------------------------------- ---Process pour la gestion du mouvement de la barre 1 en fonction des boutons 0 et 1 ------------*------------------------------------------- -mouvement_barre1:process(bar_y_reg,bar_y_b,bar_y_t,refr_tick,btn) -begin -bar_y_next <= bar_y_reg; - if refr_tick = '1' then - if btn(1)='1' and bar_y_b<(MAX_Y-1-BAR_V) then - bar_y_next <= bar_y_reg + BAR_V; - elsif btn(0)='1' and bar_y_t > BAR_V then - bar_y_next <= bar_y_reg - BAR_V; - end if; - end if; -end process mouvement_barre1; ------------*------------------------------------------- --- Traitement Balle signal de sortie (rd_ball_on) ------------*------------------------------------------- -ball_x_l <= ball_x_reg; -ball_y_t <= ball_y_reg; -ball_x_r <= ball_x_l + BALL_SIZE - 1; -ball_y_b <= ball_y_t + BALL_SIZE - 1; -sq_ball_on <= '1' when (ball_x_l <= pixel_x_signal) and (pixel_x_signal <= ball_x_r) and - (ball_y_t <= pixel_y_signal) and (pixel_y_signal<=ball_y_b) else - '0'; -rom_addr <= pixel_y_signal(2 downto 0) - ball_y_t(2 downto 0); -rom_col <= pixel_x_signal(2 downto 0) - ball_x_l(2 downto 0); -rom_data <= BALL_ROM(CONV_INTEGER(rom_addr)); -rom_bit <= rom_data(CONV_INTEGER(rom_col)); -rd_ball_on <= '1' when (sq_ball_on='1') and (rom_bit='1')else '0'; -ball_rgb <= "111"; -ball_x_next <= ball_x_reg + x_delta_reg when refr_tick = '1' else ball_x_reg; -ball_y_next <= ball_y_reg + y_delta_reg when refr_tick = '1' else ball_y_reg; ------------*------------------------------------------- ---Process pour la gestion du mouvement et du choc de la balle entre les 2 barres et le contour de l'ecran ------------*------------------------------------------- -mouvement_Ball:process(x_delta_reg,y_delta_reg,ball_y_t,ball_x_l,ball_x_r,ball_y_t,ball_y_b,bar_y_t,bar_y_b) -begin - x_delta_next <= x_delta_reg; - y_delta_next <= y_delta_reg; - if ball_y_t<1 then - y_delta_next <= BALL_V_P; - elsif ball_y_b > (MAX_Y -1) then - y_delta_next <= BALL_V_N; - elsif(BAR_X_L <= ball_x_r) and (ball_x_r <= BAR_X_R) then - if (bar_y_t <= ball_y_b) and (ball_y_t <= bar_y_b) then - x_delta_next <= BALL_V_N; - end if; - elsif(BAR2_X_L <= ball_x_r) and (ball_x_r <= BAR2_X_R) then - if (bar2_y_t <= ball_y_b) and (ball_y_t <= bar2_y_b) then - x_delta_next <= BALL_V_P; - end if; - end if; -end process mouvement_Ball; ------------*------------------------------------------- ---Process Global pour gérer l'affichage de chaque composant dans les coordonnées attribués et calculés ------------*------------------------------------------- -Glocal_process:process(video_on,wall_on,bar_on,rd_ball_on,wall_rgb,bar_rgb,ball_rgb) -begin -if (video_on='0') then - graph_rgb <= "000"; - -elsif bar2_on ='1' then - graph_rgb <=bar2_rgb; - elsif bar_on ='1' then - graph_rgb <=bar_rgb; - elsif rd_ball_on ='1' then - graph_rgb <=ball_rgb; - else graph_rgb <= "000"; - - end if; -end process Glocal_process; ------------------------------------------------------------------------ -end architecture RTL; - Index: github/pong-master/top_map.ncd =================================================================== --- github/pong-master/top_map.ncd (revision 428) +++ github/pong-master/top_map.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###2196:XlxV32DM 3fc4 87ceNq9m9ty2kgQhl9FldpbO5ppHYBJuUocRFhjSGHs2FdTQhxCLQZWiMRTm4ffFpKIsdnauBv7QjOjlr6/BTP6Wy7kP3xw/pHqzMEtBtwequpsvkw3qVlMPPFrbM03E+tsbT3GsJG2HZ19/1vY9pljIWJFySSyzuaJtZpO8aSijy08wzpbWelqrR+i9fkyHmfj8+Us79fx1MKc8wSbdSKULZQAJc5tbGxs4FxkjRRCQa1/M/xyM6wls9EnWbMvhK2cWqeXhUbp8hNgyI1VYMVRkhgr/hbNl9YmjZJ0vpxZP+bpt+LIw/bR+tCOHiZ6lkTrb/NYzybLSRKl89VSb5fz9ONVFMfbBz2KFgv9qJPJTMfmk33x4Q3kzRvKJ/JQfnRi+QN1zz2Nerx6WKP07uoxGD+s9WJi27Z8pzxQ5nFOnef4x3nbNPtPUzlpms3f+ep9msp+v1Ti/VLJ90u1n6vqSVM96vFkkUb5rYq5ZunTyXqHXOIdc8l3zFXOl3uipbHZjvYl562kjR69ifSu1Oyl/ZNKP1WenkQ5mUwTnc7jv3S0HO/uhh9lGXOi383wfRbpjVnG+5WyXab6s877k4jdHopJolj2NZpSBFDkx3w8sabbZZx9I89kQi/XWKxmq4LHfT1d4XWM5qmWeup9wCsRuEncADcH57vZHATCLgeiHMhyAF4+KHun6N2i94reL/pK0VfxgrtdV9W7/caln3d391NVvwnbVzd3H9uN7iX2+JT4NKJxJ2wN8AmxftPpNvUwGLRb2DQ+VzByrTu9sC9V/Q63+1jVJ4uNZZ+DJawr4ex2a4WaLvUrL8NlkuJQB3d1s9UN7nXQ/FPX6/276ZMjnR624yeBL0GzPN6va3y2xWD8K4CHS+VBcFUXXv1rS+9H+Dy8O3Td7TRaXd0Qt83m85jMYvIwdo8XHx4LtscHsfCZWOhmX8KzmIex6WEofBG4PxRuZ3PydL/XDF+Gnp911x+EL0PZWY2gqW87ra/6tjW47vR7LkYGg3upGi1QjU4PB5dOjM1PvDachM5QB8PhoJaN7FoWux7kketBt/+11g2Gjc+6P8Arz8e16/teIz8jyIY/f6Ju99LJmqCqGv2rq85w2GpiAOfQV41BK8DdsWpg6ciXlbSuit1y/UTFbrYQquW4X58Ww/00x0Ug/8xCYZZmP7B3rdi1ctfCrnV2rbtrvV3rg2r1AqFCqbJZD/GODfGODdFLQtdV4V2nF+RdXai2VG08qY0ntfGktjNW7W6/HnR1t9/uNGxMeLCv1xE6qDgelsfDcDzsHA+7h1fwPJXIz/KOh/3j4crxcBW/QsrfhCRM0DBJw4CGOTTMpWEeDfNpWOUC3Ndii22azfeIxqH13GqbllUQr1YSOSByDpFziZxH5HwiR101VQL3uEqy1UbiBJGTRA6InEPkXCLnETmfyFWIXPVVpmZoxcjQipGhFSNDK0aGVowMrRgZWjEytGJkaMXIEIuRYRUjQyxGhliMDLEYGWIxMsRiZIjFyBCLkSEWI0MsRoZYjAyxGBliMTLEYmSIxcgQi5EhFiNDLEaGWIwMpRgd/t5EwgQNkzQMaJhDw1wa5tEwn4a9YpnssaIYkThB5CSRAyLnEDmXyHlEzidy1HmvErhXlpMDThA5SeSAyDlEziVyHpHziVyFyOF6AUV4v4BCCRIlSRSQKIdEuSTKI1E+iaq8ovgcFhEKJmiYpGFAwxwa5tIwj4b5NIw43dXXY0XpoGCChkkaBjTMoWEuDfNomE/DKjQMV8n0/7Dx+NebE7iz2Y52v+rnn1EI8fsK2bsGDIXiPYhnCtULIQVMfk/hvwXGivXqHI8XTF4yeWDyDpN3mbzH5H0mX2HyeBtOyHxR3HkCgisguQLAFXC4Ai5XwOMK+FyBClegSl/JwHRCYDohMJ0QmE4ITCcEphMC0wmB6YTAdEJgOiFwnRC4TghcJwSuEwLXCYHrhMB1QuA6IXCdEEonjBXn3w9YuODhkocDD3d4uMvDPR7u8/AKD39V/T3+IMjiBZOXTB6YvMPkXSbvMXmfyVeYPN31gOd6wHM94Lke8FwPeK4HPNcDnusBz/WA53rAcz1guh4wXQ+YrgdM1wOm6wHT9YDpesB0PWC6Xvms59iK+8+ZbAnBl5B8CeBLOHwJly/h8SX8TOJfLMtiPg==###1268:XlxV32DM 3fdb 4dceNqtmUFvGjEQRn9Sd76xvYsacY16aC+V2qO1EEKjQqAEpOTflw1ZEU4wMx8SF+T3zNrwvIL7fr2oy12//fM0r8vF82LX7582z/Xw/LT/8n2+WW/7XX35V2f9alWPr8/X27paNMdHnb/dddPUfL0PKSbTJBHF6rC/a6apizvqtp//rYi/HSFcEggOJTgSwZEJjkJwtARHR3BMQt8aGb41TVwhcQXiCo0rUlyR44oSV7RxRRdXxIIsY5DDDiE4QHAowZEIjkxwFIKjJTg6giNWUcQrinhFEa8o4hVFvKKIVxTxiiJeUcQrinhFQagoCBXFWNEu7hhvr2mqFL8yJaxOIjgywVEIjpbg6AiOWNs13naNt13jbdd42zXedo23XeNt13jbNd52jbddCW1XQtuVcIeshIoqoaJKqKgSKqqEiiqhojpW9EbHa31YrPZ93S2W75Llfvx5riE4hOAAwaEERyI4MsFRCI6W4OgIjuPnFCHHR1DjEmFIwJAoQ5IYksyQFIakZUg6hiRYViGUVQhlFUJZhVBWIZRVCGUVQlmFUFYhlFUIZRVGWYVRVmGUVRhlFUZZhVFWYZRVGGUVRlmFUVYQygpCWUEoKwhlBaGsIJQVhLKCUFYQygpCWcEoKxhlBaOsYJQVjLKCUVYwygpGWcEoKxhlVUJZlVBWJZRVCWVVQlmVUFYllFUJZVVCWZVQVmWUVRllVUZZlVFWZZRVGWVVRlmVUVZllHX8nRWLK5L14bXuNus6e9pX1MeMmQFQ0+iE/sroYeTwfOj3/VX158GCuWW0cTiuruLF8OMqKkzA+7/GanpTsF1DEm1Mw+vP342KGREHA1UzM6yxZabTEl9fhJfD7PSnxfFje7qvMCNiR2BH1I4kO5LtSLEjrR3pple3/wI5nZra2pn67cev2thng2M2uGdLjvXIDqY4mNbBePZ3MtVsZ24K3QX3utkNXTAz4mDgYNTBJAeTHUxxMK2D6RzMxJChtzqzHg8fiNgR2BG1I8mOZDtS7EhrRyzbPyDW4+HMWIM9knDMBvdsybEe2cEUB9M6GM/+Wo6HM2M7HgbOejyMjDgYOBh1MMnBZAdTHEzrYDoHc/PxsIP5eDgjYkdgR9SOJDuS7UixI60duXn7PxDT8XDBmIL9iYRjNrhnS471yA6mOJjWwXj2d2JkTJn/xIiDgYNRB5McTHYwxcG0DqZzMJMpHm9jzpW3EmImYCbUTCQzkc1EGYj/QEv3DA==###1744:XlxV32DM 3ff9 6b8eNqlmktPJEcQhH8SXZn1lNEcjXwwK60l+1jqGWYxMgxodli5/717ln4Au3JFJEek+DIjq5qeqFJf9Q/7envsn/6+29Xb/WF/7E93j4f6fLg7Xfz+9Xlbt/2xDnVbd8Nl2siXX64YIm+0I4j759Ol22ikkfrb9Z+1o3sJ30usvTy/FIFHIo8kHjFsa+GQfx+Plx2POB4RHlEe8TwSeCTySOKRzCPj7guAHB8f6u7xftpK51RJSEbI0a1GSqQx0rULkpqS+tTv/qnaLhbbxSJaTFJbkpsSn5qWfHqx5NrFcrtYfikmrvGbcp0lthSTr2ap0ixV5hEbws/7r8/3p/Ed1Rp0ETpYKbBSQZsOtelgmw626WCbgtoU2KbANgW2qahNhW0qbFNhmx616WGbHrbpYZsBtRlgmwG2GWCbEbUZYZsRthlhmwm1mWCbCbaZYJsZtZlhmxm2mWGbBbVZYJsFtnkOTfn/ldv+foww9ZyVYanDpYJLFZd6XBpwacSl7XPsK+mcB9DiGS+ep+ICFx8fnh6T7m/P8cAR4nnQG4Y5nghDjjHklsXBGwjTQAwNlGmg85IaGCW2Qclt8MwQ3rBKgWkQDKsUllXCTUXGVDSYioaVSoypZJg6Mw2yYYLCNCjLBAVlakdoHaEVQsv49YQ2ENpIaBOhzYS2QD9UQ92ieeQsdbhUcKniUo9LAy6NuDTh0oxLwawwMFlheJsVDAz2wzaQ+WJg8sXwJl84AyOEKWFMiaGBMg3UMLUatk7JrfPMEN6wSoFpwOWLgckXgyFfvGXEwDCDJGaQZDCVDKYyYyobVjcbnqnCmOKyz0Bkn4HIPgORfQYi+wxE9hmI7DMQ2Wcgss9AZJ9hyj7NY/1R6vjH7uGp3u+7rhOaAO57RgLNV5PU4VLBpYpLPS4NuDRuoOV9kcKXOBOR8OIJv8SZiIwXz7RzJPV9l6Kp75V4HvSGYZAYsOgdY8jhvyMLI0yDOY15ooEyDYg09gMjBiYQW6fk1nlmcG8Y3BsG94YdDMwgS1I0MIEwFRlT6/sOb5CYBsmwfQkPWAuTGVPZ0KAwDYgENzNIglu0jtAKoWX8ekIbCG0ktInQZkJbWp8TvdL2h5sxj3X17vCt9Yngz6nzd0jBAorTYuPqH3913tnZ+uv0aeP2AzWuPuDefaCzu/jk5SP0/EbbGYtMPwPe9IjZnjG9+KQ3RnAe1/SMatLews0/S19g+PB4Glnid2YmXKfCM5F4eldoziWZZ+dt4L36wC+KJJ7R3Pra80fG8218It7OCzP+A/QWio4vMxwKvxqGRR9/qpRnLKtR1neB7Jvwu/sNEtDWhy/TJ8PAV5Wz0sFKgZUKKz2sDLAybpBlfXutAZZOcOk1rIOlM1w6s67LBsh464VGh2vnGXcEMp6JYTeOcOOIw8V6l9Hh2vkgDJdXovx6KUEjAV9+5ZbfEwOsFxI0IjxC7EIghgj8EOtdBOwoEo7WVxRcPhHlEz/w+maDHWXCUebLF6L8egWRQaR2uNThUsGlhFePSwMujbg04dKMSwsQ739yyAoG6Hzt4A2cOM0m7PulQ2dGlzuH3l7iym7d2fueLxzcB+D5zbW11ZiuG9TyYJmerPPpYmfj5lEtD6YmLQaMONK8Pe12JOA6TTSCHz/fkRppg+OZn0U0Azc070/8bJMg+FtxQcZnsBggNiXMbPT0QvCrnT29ENkbFuIMrQvxH+Y/5DU=###4608:XlxV32DM 3ff5 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###4160:XlxV32DM 3fff 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###4116:XlxV32DM 3fff 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###4000:XlxV32DM 3fff f88eNq1W9uy3KgO/Zn5AHMxl+7K+/mKVAHGVftl8pDHVP79AEJY7d1Km/Seqcq4A5bAZq2FsJQQbstNlD/Lr3+0Sjcd9/s/Ovub9vcfrrTff0cd8ummtPSbwrhJalt+aHqTuP+rtbztvtystptVqlvF+0e9+f7RbH9oBX/TMaAvd/Yluy979pVe+VqLl5uivhT4kjZ3Z8J3Z9v9QzY/H9I3dwafbhXnGTn0EsGL2QN4WeXJy4cMJ2fhPKXYH8+dHm9dz4+3oo949pG6D9NNzXPTn0ps5Yf89a36KK337+sSW3vC9gDtet9qu8zYLm4y3L/b1qokafWlNbXWlbS60lo9w9tTpbUsbFmZe+s27t6mYNsYY+wFvOnmTZDW6k01bwt6s+ht6d5C8wb4kX08Ze/fzdKaLTEsza2/z8K1G8xxQ/dXRl1D6QMSFJ/1hwNT29oDtgdot7X9d1QiF86Uttv+qy2IsfePtSNhaQtjFVw0XFa4GLhEuCRYvH1r17JS9Rp1lBd4G/UV3nqOt+s8bwPHWzXP2/qE61PedkExOTC87Yz7kPFEPHUmzWCxPWnBJxYTL4mjr75O342jr3xFX7kgKbZH+g6aJkpf6cb9ihI1kNaDqAoJEJBaqlNrOxO1vLVY7MwjUSVIxPooBpKS2pHWg9QSR/Y4suwjp05qnHGdGyG1J4ZAaiBimbEbYtBv6P4GqRtDKnnLDwemjdRRYruEdt9JLWUjtbnlM6kZNlMaf9gNLpkhtXlNaqkjx1cxzddVn30Njq2nXY3jGKHFeibsIJdEZ+7PW+RnwmaOast1qu0M1fL+kmoaobo/UE2OvS8/Um3QYAVy5Ab4TFqP/TOS1oOWO2k9aLkercCJHThxcFtT3gXSevBuI60H7zSyIyLvdB8jd97hROsdjWBldDcY2W27xcEsgwwywKAIDBLBFYibgjp/MEjlP2yLlEHFnAszwzT861Seb1d+n92uRBRMmBnk5ShTNNl5tj8FhLvYXmxPP5Xt61y9DWDJ3EOb+tBlDVsn4kug3NXOBoLaYlzzFtCbOLAk84reLHgTB6TgVUjo7N4EeIM3jgMNDYdFRYsKsdpfDdx6dBT/KgOEwmcI/TGyOkGIi3j8Ng8hLuLxcR5CioGQz6fV/xOENAMhn65DCHWseiMQcrjoARZdUwhpXKmAi64RQjt6UxRCFr158KYohBR68+hNIYQCGYhAyBMLgFC4nTDnUZ7qwqX3MMTtwt7PYyhxGLLzGFo5DIUJDBkOQ24CQ7ggxRvBUMBVT7DqhmLI4EolXHXTMTSEIK4UQx69RfC2UgwN7YjobUUMJTIQwVAkFoChdDuBLlIM5fcwtHEYWucxlDkMqXkMWQ5DZgJDjsOQnsBQxlV3FEMJVz3DqjuKocH2jKvuEEO46uUBCYYietvAm6UYGhvTht4sYiiTgQiGNmIBGMq3E+g2gqG4vIehncPQfDgUFwZD7i/CIc9hSE5gKHAYmgiHPG4lxRvBUO6rXh66rXqgGBoxz4KrHjqG/NgZPcXQhhjawZunGBo7047efMdQXMhABEM7sWgYKv0n0O0EQ8K/FVILx2AozuuQ8AyG4rwOSclgKJrrIbXkPvlEffWLz881ISAk/YQicPcREMFI8iUFxpXQCasuewSzJtzmJP0sIjC6EhCgS/J1ROCBs3Z2bz1AhzeOAx0YEo5YNAwJ/xhSC0dCahHfkiEROAjNy5CIDITCvAxJzUFo4lQmVw5CywSEkLmSHvcFbj4CAhi5UgiNlcIARq4IIdxLJD3hCwyuBAToUlMIYYAuMECXGiEUyUAEQoFYAITgOznBXKAytL2HocSd7OePZWLjMDR/LJOGO9nnCQxZ7mSfrmNow81HWooh3HwEBDDSUgxhACMwgJE9gFk33HykoRjC4EpAgC4NxRAql8AAXRrE0EYGIhhKxAIwtN1OoEsUQ/t7GMochuaPZWLnMDR/LJOOw1CYwJDnMOQmMIT7kvQEQ3LBVYcARnqKocF2DGCkRwzhviQdxRAGVwICdOkohsbGhAG6dIihnQxEMJSJBWBov51Alw8MKWHfwpBcOAzNh0NScBj6i3AocBiaCYcih6GJcGjDjal4IxjqX5LrQ7dVjxRDyPbS2Vc9IoZwY5KBYEhK9AYBugwUQyMewwBdYoAuLBnowJBciEXDUOl/BJ1cDgwZV3XI38JNLOeY+mOFlMW6PYMUYEknTO2YfgVwaSNep3iM4qIpMY1Ao7hoSkwj0Oi2Us/SRdsoXJBnCA7smfgpo2vAXGOyacPk53K8ZXihps8pWvp+q1N1dmq7U41OdXcqLjv16/lB3f1/Wueee4L/cPdX4kyY8cjaqHMGHotE9P2Hxde6MkkucTmfbLRhklziVT7Z2C7IBj6xfqu+alKrdhnskr1rxa7Y44TaVV7cvZnXH9/r/37/1KbTuiKw8K2+ippOMr5vGfUlD30wvotHvbsxunbjbMBOHdMsK1Zzcam1L8cce3tNSVcGQ7smUbDRCsfpUXDtxkdr42Bers1kCAhwEg2rgNT+Pr8mYyh/dR5rhPdRrt/X+jYMbrCt1/feInblT31XmG42ovlUkIZuH877mH2K48RmvHmiUIjv8NcKZRQXhgsxLz5cGD59kjPef9ael5R8qkKaUyH1hgqtnArJN1Qof5UKrWcVwj1eDRXSxl+RKstJ1XJdqhyXj3+pVAmpjtrghlIF7EI6289KpVGp1kelQlu1gVJ5KAIxqH4qQXtXCI/3lwUiCrYhWTdUsDxmCXZk+g8KZo+5UwXzOL4nnxONdzhOwnH8eGRQsO14HqpgiRiCgm23Pr+TgumuYCtRMBUP8z4aJvuJuumubutQtwrk/T+SKu60t80rFXfYm/5eYIL8KqUynFKJN5TKckq1/L1ShS+Ll+wVpYpXlMozSpWuC1VghCq8Eio3YhLbqRmGUGXsMr3LfxYqg0JlGaHaQZDiWagytHchCBgyBRpqhRWJ3I/ztQVnCXb6mD4VqrAcc38QKgy1AvlmbYLAcTKOI8cjg1Dtx/NQocrEEIRqv/X5nYTKdKGyVKi2w7yP9kSoTBcqS4Uq/EcxleY+KUx/lTKa+6Jg54XKfZVQOUao1P6GUHlGqFR+Q6jiVwmVuyJU6YpQRUao3HWhSoxQmZdCNZiOMURCNXJ4ToP8+Tej42ehcihU/rlQaQGClE5CpRdo75ERFu/U9SFChRGHFiggccwS7MIx/QehssfcqVAFHD84KlQYUekFxzkeuY2jxfE8RKj0QgybUGk4v+l0FirXhcpTodoP8z7aE6FyXaj8g1DV6qUStj2UIT/RqcsCJRmBmv/gFPJXqUrgVGV7Q1Uipyrp71UFCh45VVFX5GR7LSdGb4xSTHwmyoxSqJdKMb4SIQfzUIrxlSj0ru2zUgRUivioFBgOtR3sW30RwGwMDSL5d0QGK0sNFJS2SbTb43LM7kEItmNqD0KAR7GQqRBg4FHfKQhBHk8EQiCP6XbG5zPVQ6d6JFTX4vDc/T2heuhUj4TqIqa3ijsiV6jo5rOqkStUdPNZ1cQVKrqJrGriChXd9WJXuWNGLJFCRWVGgRDkQRMtVBwVHBHzoKnnQWXGdEIihYrKYI42Qp4/0ULFNGobMc+fsFAxJjLQkc2IkVhAgVB6LO6IkRR3xPfqFCNXp+jmk6qRq1N080nVxNUpuola18TVKTo3ASHMaSZSp6gsJlUjpEETrVNMaBIxDZp6GlTuiK5kKYR29AZp/kTrFBNmvSKm+RPWKcZMBiIQ2ogFQKjXKR6Yo3WK6b06xcjVKbr5pGpi6xTnk6qJq1N0E7WuiatTdHoCQ7hOKVAMYRo0QRo00TrFUZaWMA2aAmIIFzGROkVlBWII0vyJ1immUbCNaf6EdYppIQMRDO3EomEo9TrFA3S0TjHJtzCUBIeh+SKzJLlj9HyRWYochiZqXVPiMDRR67pgKr14IxjCf6yTJKx6Ghj6P40XlUU=###3984:XlxV32DM 3fff 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###4016:XlxV32DM 3fff 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###4188:XlxV32DM 3fff 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###4648:XlxV32DM 3fff 1210eNrdW8/y3CgOfpm97YX/4P5V7vsUXQXYrsplc8gxlXdfQBKW3abbTmUuO1MzToQRktD3gQU9P8RD/fqmjXm48PVtzg+j7dfvn2qaqEVDS8IWbQ20zOqh0tfTL004b8JYhHMVKr0JpyLMTZiLUP/6lqeH/2pNoTSl0lT+TC0mxdaGNvnWcd1sNcl/Pa0AhWmzFOWuyg2ZJMHOJrR5E1Y7m0dKbcJqp2nCiNaEZqcEO3W1U/YWsFNShKqdGQNXvdDFGieqMGxdirA2gmehNFploLV6YNNX87A8n7ZGMfveFQYpZtjYfIms24Tdiv3lv9+/k9byIR/LIzyk/vUvs0wP57++22pdeUR41BB9/XCi/c1reBh4WHg4eCR4ZHjM8Fha9xJvfLbWlKvyqkSUcXXJqCy//muMekgTvopkfnitv5pJJhUtTVdoKkpw2t9KXOvzd8rpqEuRLnfUlT/omqt/luvSoEsFD7rcIlHX/PVdNTXfFURMQcRaspWIBVCpjT3a59C+VaLOGXVasYUfounQ0ORJmztq86RNkDb01spP2mZzdDeQu9PBXauuuTvXeddcZaLZ8IfZsPY4GxZ1LEcdmXRY7OrOu/5U0RLUHUBuIbqKklostMwvdGXO6Mqc0ZVhdNXGrvBLjQYMoyvTW4AGDNnU6KplH9rK6UqbzdJTutJndKXP6EozutJESrHZqRld6d4CdmqKUKMrZM/qxUZXcevS6Co9wLMDXVmkK8foaupdYZATurJIV25HV4WoHrnSlfzLdPVKUHlIUOY2Qc1DgpK3CcoOCSr9MUH5EUEt6x8QVBgR1LLcJyh3ZIJAoVMYOhkGBLVR0jqkJH2VkhYxpCTxkZJmgnNosCq6iJL6DsoD4FaiJIOYKwFgREOYmxuAHSMa11sAwI5GAqLJmwU7omHjnxKNPSMae0Y0lhMNbWVys9MyorG9Bey05HcjGhy2erERTd66NKKpfFE9OxCNR6IJjGhS7wqDnBCNR6IJO6KZC9GUzedjec8zR4J5TynLiFL0cpdSZn9MR0261gMuXmiAAX8aAn/+A+DHIfDzfeCHEfB1vgz8RY6Ar+fLwFcj4Ov0Cfip70UiwFER8FPfi0zQIvteBCFZAsCAv27CDvnQZai7Qd2Ibcwd1JdtxB3UiWg8B/CMwFkagD0DsO8tAGBP9jcA4yC1tWK1GHVA6YQojQyl22Cg6QSlE6I0MpSqxRaUurIdSBtK9XK2/sOCZ9YZAdn+nsxU9Us+t8jlJn79wBxSyo5gq/xd2CrlRlsBZe9uBdTauHdPAv8xZgGNK/zTqeVlV9BhouCz+ewzYOmbHXUEW+9upvUYxglf1l8/EM+qLTWnWFTuKhYVLIBnYFTmExgXB7lZlVSsFQCkJrckn0Be0qTIzYTLXU2AksrVy5riatUkXzcwKrVAqtYZbsCozRUZdbjWb0EM12nrcII51DAK9FsV9pugnyK7q+a+KkJaUseKtdqO44VmP/JDzfIAzVVfYP1wmGJMWYEaovwromDBu4ioaA6pkFZMu6kjysRwBXZ+CDt9H3ZhCLvbO3DVPqPU2RZ80Qe0nIFtTa9g+4jaE9gpnYaIUtcRlYeI+rivXQIhJ+8R5UmeOKLUSu+XEGzIWRMlZCAEJERARsR15LIKm9KC+nnspyX2S4gcGk+FHXI86wjICQ8cL3TE4Quoj5YkE9GWmsQBuvomVyQ3IPdVXiE1ta1k2G0l45uP1JMq2gnO8gWcJXEFZ9MIZ3K9j7M4wpmc7+NMDfa4KgjaAk6f9rhK66NJtLZ52uOu8X2JiykbFrfkch1yw+qWzB8hFwkKyx5yE8nnPeRmkuutiqXWiUlpk6nWhUk7PLUmMESCmUaYLQjPTgNq20KqNTPpBtq+3E2kjZa7GUFLHqq4A+3EOgJoYVnTC4I2bC+gvg206FlFRICuANpIoM0gnwi0qeAm1UKTuIjaj5XwVwyHeAHDIV/BcBpiON3HcB5ieLqPYTMsV1FpaQ33ylVK2yGiDemcLiN6WBuS8TKizbA41L9Rx4gmnBixR3Qi/Kw7RIe+XbWsLi0kk/bPRmGYdEN03wFmwiBUYaoJfs8EZqv/MESbrQDE0G/YB6QQTLqh39DIiUY2iH7cXKu+6c479CfWEdAPiDUC0R+3F1BfR39AnfUPAbo29AdCf8ANciL0zw397rEewf/H51+v4F8+g1+peYjr+5+e2o2g6OkYal3vQtGPzo+iPcD76vmRMsOijbz+oWiGVRv58QRpoew3ao9IWkuN3H0oqo5Ivx0fMbR4vvIGJt1wGpl0w6nfpABPKPEwCnCsPLuB3bH6rPBMegJPx+HZ970zwdPhyBLhSSFQM+LQKARg3vpijw2AtPyGBYA2A9Bs8O08x/C9MRRwvjdePsfbDw/z5iGb/DRecwluVr98AFLyp0iJmt8nf89Qq1+KOAaVSTy8NomULcUWBSbFc2Da1/XMkrp4VLd+QLnVcbQ4pnRw9NPi+NMGnO6qteewpelsUsphSyXKJqUcBnOa1D18yagqcK0kSEcP1f3C835qQrcJq472ZvCbsK0JgEer/aa7yb1vr3dDwoYDOwkmJRxYvTAp4QCSBaU1/ZvxqrYYy0wpmfPUaxMrZkkTt3JK9bHAoPBQfMnvt4l9kspFWRqmcL6fwtMwhac/SeE8zLr5ftb1zMg86yKTnmRd5lmXSTph1uWXrJt2OZNInFjOhMykJzmTeM4wDZAzE+WMYkOy5BBNT0kOunpjSmThyOjfptlZJl0cK7MinNWJXKaVW1clCadnxumR/qhlwulxeIZhTHkfzqloeslEvkkREfpp1W+uOFRgu9XFZ4HV0DpyUVvCIer3aolh/V95QRJ2i4PFzi94U7fJECtrK1B/mnpSUd0QFyIm5V+JWBhGLL6LmBTDiKm3EZOCIhYwYlLsI0akVBzEiAWKmJSsbRcxdSVi+q9ELA4jNr2NmBpGTL+PmKKIRYqY2keMGENqiljsEdOsbRcxeyVi7q9ELA0jFt5GzA4jZt5HzFLEEkXM7iNGJCYdRSz1iDnWxiOGh0flX4xY2ZQbfYiYFkdfF/K1nzR7tNmdOa2OZ0xiJacdKaDKiOdOt47VaS1gy1ol3Oml7wxEi0qRg2Ozu+DYHEeOeXHJsTbKuWPhrWN05aOaAI7NbufYTPk/x4Nj/opjaThj6zXH/NCx6b1jnhxL5JgfOJYOjk1XHMtDx5Zrjk1Dx+J7xyZyLJNj08CxvHPM5fzZMQe3Z04dy1ccg1HOHUvvHHN0RcfhxZ1vrl3W6Y452tK1F/iM6SsLlP4rCxRcqjylW/+ObvV4gfJv6ZZq3XVkoFu9X6Dommd1EOi2vgl0qzVr2y1Q85UFavkrC9Q6jJh7u0DNw4jZ9wvUTAvUSgvUvIuYIq6WCy1Qa1+gFta2W6DgDmGJPUZMV6M9r/oUpzFy6nCxSnfTkfXMQouz5Go8V0ODstjpxPXU7uo1dD+psmPbmWb1O4HfXC589a35TIcllu4GwCUiuG9D78Oi51pEWzzknXioUTzme/GQo3jMl+Kh9vEQTM7jQahRchcPubL3IR6yxyO06whLyVhJdahCjwq+vhWUcRV8tSuoSmk4AmoXAcoDPo41fNFr+KLHOAJHf7d4KwnPJvGDFwuf4LPDi8v45goFATxrgoFMBivMqvFp8ImTtjp8YvTXCZ8Rn1gNI49Z9Rn5QbcLB6Kiru4l0/LQSHKmn9OE/+fglJyjEkGJUhHWMm8tKoTAxbGKa3GzF6eauGboWjM0OC6uibi2yzeGi10V19pt0FzcKss1bYPiYlPFtQIRJBfrKq4liCCYOC9FnJd2D4iZUvakTy+a1G7SsqF7urVJmX1lN/R0oIGZV7brTwf3o5h1ZYPxdLlJmXGuBM+lJmW2lQ/op4O68MqkJXRu4pCv5spirtwRWpHaYpithlnLpqpsKJ51U1GkbEpqmR4owDK9JbefuhVzpplJSyh1K+ZMkUlVkbZCzsT1Fst0s4yHTJfwatFGY64Vxn+qGl7PhcUJBU4wEwoZPlUrd9nEpCW6Criq7RBjIeR8qaJ442gq2ZRHB0QznTYJfe+AyKY4qjPOL/cdL9QZUxqdN830FbhMt86bygRSxhbl/fjG5cikWxHSM2kvQibDpFSENLrrjVCETAmLkH2bn/CKAxwk6UxILTOxnetkw6T9XCdrJqXapMuSSak2abTapK02WS1Sux1oirw2qds1/X8mzWQ7AjtNs9QLPvlemsnVD9JsWe5nmWwrw2mWJSqwLP5elukZM6cq71km15VJKcuk5dJ+PUc4JqUsk3SVp4agZlkVtCyDOcQWlmVyTSQPLMtmx6SUZXKNTEpZJu3CpJRlko426x9qljWLVNMdmCVblplG6v9Mlikx+iGnFlRWWW/+TkoJO8gyLeL9NFNi9ONLLeiijRA3yYx+WVyVs7NoyaQ9zehEpUm3W2CGSftZtKBLzyUGNc1qa0szo8zWwtLMEpnVrtsdkn4JWrBfK8owMel2g0wzaT+kFmqTtrPpalEjsxyZJeygpa38ZUpO0uz8Vzz23YGyg8XSxdFp3ExX5sV09TTOheEqqf5glXQvNyjwZz2KKhY2s1/1nP8Axzo8IvwfXtyTxA==###3900:XlxV32DM 3fff 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###3812:XlxV32DM 3fff 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###6780:XlxV32DM 3fff 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###5244:XlxV32DM 3fff 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###4160:XlxV32DM 3fff 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###948:XlxV32DM 634 39ceNqdlDty5DAMRC/jA5DgD2wlPoAD+wj8hq5yPDV3X6g5wQbrZBVQr0Si0SJBfMc94a7v5Dri9Xw+H3FuOERkOIGP4myIy4YybOjBhu2ut+QCkt5vD3HXR3IO6fERKsLjJ+j1/Zewu57/nvC/TchvE+G3iZf55cx8QqD5JNmGlI7buMfLbrne4t6IvR/f8h++7Xl//3x8FTh3fSmcv376zT+DLCl2NL7H673h9YbkkQYhoGVCgu3pDQU+RFKDz51k+14ryY5hlJuyncpeJIEEyuYEORG5QE5ErpBXhG3qTqSJIOGm4hAiZ4sgZEaUiKBUKRmhTZIijE2yE9j8uzIR/flmOxm5Tj2i0r3adnf602wbzwhVJM+82pACveiA/TNpIRU6qLY9lQ6qlVinck1Ik9lqQT77Wyvy+Y86kCP16kJW6jWHPKjXBMVRr0WU47QVFGVEqyjtRHSUV8REWSdiQx0jukCFDnqEJjroGVrooCu0Uq83aKden9BJvW4qm3rDo3rqjYAaqDcSaqbeUFSl3mio/XwbqIvKY6N5fpseLTJ2WgUp9WZCG8wxC7pj3tnQw5kd6OlELHSlil2V3phtCfpgjpXQF92vguFYt6tiCOt1dYx01k2MQr3tMBpPegvGZBXviLGFlDE9K2IrZmCx746ZWLF2oWY93zbmvNfZ2WJ5IQWs5EgZi6eanWLRaXbNrkwgDezoSQubrrL32D2TAvZUkl2y3Uh2y5w/C6sh70D2ds9cYWpvF8015r77nxuLaC3FO2aXaBi5VkzMF2YQE/OVKcSahGftZpmGLN4s1gKE1ZuDiQnLNwcTE9ZvDtawJNNOUEOtROsF0jrRxGRQN5hYYEHk6A0TF0Rr0KFRLCbDRYWobOXExoZOHGzrxMXmfmNyp8XfGNhEiem0Uut6fwAEbqTJ \ No newline at end of file Index: github/pong-master/top.bld =================================================================== --- github/pong-master/top.bld (revision 428) +++ github/pong-master/top.bld (nonexistent) @@ -1,36 +0,0 @@ -Release 12.3 ngdbuild M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Command Line: /opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/ngdbuild -intstyle -ise -dd _ngo -nt timestamp -uc top.ucf -p xc3s200a-vq100-4 top.ngc top.ngd - -Reading NGO file -"/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/top.ngc" ... -Gathering constraint information from source properties... -Done. - -Annotating constraints to design from ucf file "top.ucf" ... -Resolving constraint associations... -Checking Constraint Associations... -Done... - -Checking expanded design ... - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -NGDBUILD Design Results Summary: - Number of errors: 0 - Number of warnings: 0 - -Total memory usage is 88068 kilobytes - -Writing NGD file "top.ngd" ... -Total REAL time to NGDBUILD completion: 2 sec -Total CPU time to NGDBUILD completion: 2 sec - -Writing NGDBUILD log file "top.bld"... Index: github/pong-master/top.ptwx =================================================================== --- github/pong-master/top.ptwx (revision 428) +++ github/pong-master/top.ptwx (nonexistent) @@ -1,332 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -]> -Autotimespec constraint for clock net clklent/CLKlentAutotimespec constraint for clock net clk_IBUFAutotimespec constraint for clock net vga_sync_unit/on_off0INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. Index: github/pong-master/top_pad.txt =================================================================== --- github/pong-master/top_pad.txt (revision 428) +++ github/pong-master/top_pad.txt (nonexistent) @@ -1,130 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 18:51:20 2014 - - -INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are: -1. The _pad.txt file (this file) designed to provide information on IO usage in a human readable ASCII text format viewable through common text editors. -2. The _pad.csv file for use with spreadsheet programs such as MS Excel. This file can also be read by PACE to communicate post PAR IO information. -3. The .pad file designed for parsing by customers. It uses the "|" as a data field separator. - -INPUT FILE: top_map.ncd -OUTPUT FILE: top_pad.txt -PART TYPE: xc3s200a -SPEED GRADE: -4 -PACKAGE: vq100 - -Pinout by Pin Number: - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -|Pin Number|Signal Name|Pin Usage |Pin Name |Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage |Constraint|IO Register|Signal Integrity| -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -|P1 | | |TMS | | | | | | | | | | | | -|P2 | | |TDI | | | | | | | | | | | | -|P3 | |DIFFMLR |IO_L01P_3 |UNUSED | |3 | | | | | | | | | -|P4 | |DIFFSLR |IO_L01N_3 |UNUSED | |3 | | | | | | | | | -|P5 | |DIFFMLR |IO_L02P_3 |UNUSED | |3 | | | | | | | | | -|P6 | |DIFFSLR |IO_L02N_3 |UNUSED | |3 | | | | | | | | | -|P7 | |DIFFSI_NDT|IP_3/VREF_3 |UNUSED | |3 | | | | | | | | | -|P8 | | |GND | | | | | | | | | | | | -|P9 | |DIFFMLR |IO_L03P_3/LHCLK0 |UNUSED | |3 | | | | | | | | | -|P10 | |DIFFSLR |IO_L03N_3/LHCLK1 |UNUSED | |3 | | | | | | | | | -|P11 | | |VCCO_3 | | |3 | | | | |2.50 | | | | -|P12 |vsync |IOB |IO_L04P_3/LHCLK2 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P13 |rgb<0> |IOB |IO_L04N_3/IRDY2/LHCLK3|OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P14 | | |GND | | | | | | | | | | | | -|P15 |hsync |IOB |IO_L05P_3/TRDY2/LHCLK6|OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P16 |rgb<1> |IOB |IO_L05N_3/LHCLK7 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P17 | | |VCCINT | | | | | | | |1.2 | | | | -|P18 | | |GND | | | | | | | | | | | | -|P19 | |DIFFMLR |IO_L06P_3 |UNUSED | |3 | | | | | | | | | -|P20 |rgb<2> |IOB |IO_L06N_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P21 | |DIFFMI_NDT|IP_3 |UNUSED | |3 | | | | | | | | | -|P22 | | |VCCAUX | | | | | | | |2.5 | | | | -|P23 | |DIFFMTB |IO_L01P_2/M1 |UNUSED | |2 | | | | | | | | | -|P24 | |DIFFMTB |IO_L02P_2/M2 |UNUSED | |2 | | | | | | | | | -|P25 | |DIFFSTB |IO_L01N_2/M0 |UNUSED | |2 | | | | | | | | | -|P26 | | |VCCO_2 | | |2 | | | | |any******| | | | -|P27 | |DIFFSTB |IO_L02N_2/CSO_B |UNUSED | |2 | | | | | | | | | -|P28 | |DIFFMTB |IO_L03P_2/RDWR_B |UNUSED | |2 | | | | | | | | | -|P29 | |DIFFSTB |IO_L03N_2/VS2 |UNUSED | |2 | | | | | | | | | -|P30 | |DIFFMTB |IO_L04P_2/VS1 |UNUSED | |2 | | | | | | | | | -|P31 | |DIFFSTB |IO_L04N_2/VS0 |UNUSED | |2 | | | | | | | | | -|P32 | |DIFFMTB |IO_L05P_2 |UNUSED | |2 | | | | | | | | | -|P33 | |DIFFSTB |IO_L05N_2 |UNUSED | |2 | | | | | | | | | -|P34 | |DIFFMTB |IO_L06P_2/D7 |UNUSED | |2 | | | | | | | | | -|P35 | |DIFFSTB |IO_L06N_2/D6 |UNUSED | |2 | | | | | | | | | -|P36 | |DIFFMTB |IO_L07P_2/D5 |UNUSED | |2 | | | | | | | | | -|P37 |btn<2> |IBUF |IO_L07N_2/D4 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P38 | | |VCCINT | | | | | | | |1.2 | | | | -|P39 |btn<0> |IBUF |IP_2/VREF_2 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P40 | |DIFFMTB |IO_L08P_2/GCLK14 |UNUSED | |2 | | | | | | | | | -|P41 | |DIFFSTB |IO_L08N_2/GCLK15 |UNUSED | |2 | | | | | | | | | -|P42 | | |GND | | | | | | | | | | | | -|P43 |clk |IBUF |IO_L09P_2/GCLK0 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P44 | |DIFFSTB |IO_L09N_2/GCLK1 |UNUSED | |2 | | | | | | | | | -|P45 | | |VCCO_2 | | |2 | | | | |any******| | | | -|P46 | |DIFFSTB |IO_2/MOSI/CSI_B |UNUSED | |2 | | | | | | | | | -|P47 | | |GND | | | | | | | | | | | | -|P48 | |DIFFMTB |IO_L10P_2/INIT_B |UNUSED | |2 | | | | | | | | | -|P49 |btn<3> |IBUF |IO_L10N_2/D3 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P50 |btn<1> |IBUF |IO_L11P_2/D2 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P51 | |DIFFMTB |IO_L12P_2/D0/DIN/MISO |UNUSED | |2 | | | | | | | | | -|P52 | |DIFFSTB |IO_L11N_2/D1 |UNUSED | |2 | | | | | | | | | -|P53 | |DIFFSTB |IO_L12N_2/CCLK |UNUSED | |2 | | | | | | | | | -|P54 | | |DONE | | | | | | | | | | | | -|P55 | | |VCCAUX | | | | | | | |2.5 | | | | -|P56 | |DIFFMLR |IO_L01P_1 |UNUSED | |1 | | | | | | | | | -|P57 | |DIFFSLR |IO_L01N_1 |UNUSED | |1 | | | | | | | | | -|P58 | | |GND | | | | | | | | | | | | -|P59 | |DIFFMLR |IO_L02P_1/RHCLK0 |UNUSED | |1 | | | | | | | | | -|P60 | |DIFFSLR |IO_L02N_1/RHCLK1 |UNUSED | |1 | | | | | | | | | -|P61 | |DIFFMLR |IO_L03P_1/RHCLK2 |UNUSED | |1 | | | | | | | | | -|P62 | |DIFFSLR |IO_L03N_1/TRDY1/RHCLK3|UNUSED | |1 | | | | | | | | | -|P63 | | |GND | | | | | | | | | | | | -|P64 | |DIFFMLR |IO_L04P_1/IRDY1/RHCLK6|UNUSED | |1 | | | | | | | | | -|P65 | |DIFFSLR |IO_L04N_1/RHCLK7 |UNUSED | |1 | | | | | | | | | -|P66 | | |VCCINT | | | | | | | |1.2 | | | | -|P67 | | |VCCO_1 | | |1 | | | | |any******| | | | -|P68 | |DIFFMI_NDT|IP_1/VREF_1 |UNUSED | |1 | | | | | | | | | -|P69 | | |GND | | | | | | | | | | | | -|P70 | |DIFFMLR |IO_L05P_1 |UNUSED | |1 | | | | | | | | | -|P71 | |DIFFSLR |IO_L05N_1 |UNUSED | |1 | | | | | | | | | -|P72 | |DIFFMLR |IO_L06P_1 |UNUSED | |1 | | | | | | | | | -|P73 | |DIFFSLR |IO_L06N_1 |UNUSED | |1 | | | | | | | | | -|P74 | | |GND | | | | | | | | | | | | -|P75 | | |TDO | | | | | | | | | | | | -|P76 | | |TCK | | | | | | | | | | | | -|P77 | |DIFFMTB |IO_L01P_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P78 | |DIFFSTB |IO_L01N_0 |UNUSED | |0 | | | | | | | | | -|P79 | | |VCCO_0 | | |0 | | | | |any******| | | | -|P80 | | |GND | | | | | | | | | | | | -|P81 | | |VCCINT | | | | | | | |1.2 | | | | -|P82 | |IBUF |IP_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P83 | |DIFFMTB |IO_L02P_0/GCLK4 |UNUSED | |0 | | | | | | | | | -|P84 | |DIFFSTB |IO_L02N_0/GCLK5 |UNUSED | |0 | | | | | | | | | -|P85 |levier |IBUF |IO_L03P_0/GCLK6 |INPUT |LVCMOS25* |0 | | | |IBUF | |LOCATED |NO |NONE | -|P86 | |DIFFSTB |IO_L03N_0/GCLK7 |UNUSED | |0 | | | | | | | | | -|P87 | | |GND | | | | | | | | | | | | -|P88 | |DIFFMTB |IO_L04P_0/GCLK8 |UNUSED | |0 | | | | | | | | | -|P89 | |DIFFSTB |IO_L04N_0/GCLK9 |UNUSED | |0 | | | | | | | | | -|P90 | |DIFFSTB |IO_0/GCLK11 |UNUSED | |0 | | | | | | | | | -|P91 | | |GND | | | | | | | | | | | | -|P92 | | |VCCAUX | | | | | | | |2.5 | | | | -|P93 | |DIFFMTB |IO_L05P_0 |UNUSED | |0 | | | | | | | | | -|P94 | |DIFFSTB |IO_L05N_0 |UNUSED | |0 | | | | | | | | | -|P95 | | |GND | | | | | | | | | | | | -|P96 | | |VCCO_0 | | |0 | | | | |any******| | | | -|P97 | |IBUF |IP_0 |UNUSED | |0 | | | | | | | | | -|P98 | |DIFFMTB |IO_L06P_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P99 | |DIFFSTB |IO_L06N_0/PUDC_B |UNUSED | |0 | | | | | | | | | -|P100 | | |PROG_B | | | | | | | | | | | | -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -* Default value. -** This default Pullup/Pulldown value can be overridden in Bitgen. -****** Special VCCO requirements may apply. Please consult the device - family datasheet for specific guideline on VCCO requirements. - - Index: github/pong-master/top.cmd_log =================================================================== --- github/pong-master/top.cmd_log (revision 428) +++ github/pong-master/top.cmd_log (nonexistent) @@ -1,8 +0,0 @@ -xst -intstyle ise -ifn "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/top.xst" -ofn "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/top.syr" -ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc top.ucf -p xc3s200a-vq100-4 top.ngc top.ngd -map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o top_map.ncd top.ngd top.pcf -ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc top.ucf -p xc3s200a-vq100-4 top.ngc top.ngd -map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o top_map.ncd top.ngd top.pcf -par -w -intstyle ise -ol high -t 1 top_map.ncd top.ncd top.pcf -trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml top.twx top.ncd -o top.twr top.pcf -ucf top.ucf -bitgen -intstyle ise -f top.ut top.ncd Index: github/pong-master/iseconfig/top.xreport =================================================================== --- github/pong-master/iseconfig/top.xreport (revision 428) +++ github/pong-master/iseconfig/top.xreport (nonexistent) @@ -1,217 +0,0 @@ - - -
- 2014-05-08T17:34:59 - top - Unknown - /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/iseconfig/top.xreport - /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master - 2014-05-08T17:34:59 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: github/pong-master/iseconfig/pong.projectmgr =================================================================== --- github/pong-master/iseconfig/pong.projectmgr (revision 428) +++ github/pong-master/iseconfig/pong.projectmgr (nonexistent) @@ -1,92 +0,0 @@ - - - - - - - - - 2 - /top - RTL |home|lguanuco|opencores|phr|trunk|codigo|demos|github|pong-master|top.vhd/logo_unit - pong_text - arch - - - top.ucf (/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/top.ucf) - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000016f000000020000000000000000000000000000000064ffffffff0000008100000000000000020000016f0000000100000000000000000000000100000000 - true - top.ucf (/home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/top.ucf) - - - - 1 - Design Utilities - - - - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - - - - - 1 - - - 0 - 0 - 000000ff0000000000000001000000000000000001000000000000000000000000000000000000039f000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004f0000000100000000000000290000000100000000000000840000000100000000000002a30000000100000000 - false - CLK25MHZ.vhd - - - - 1 - work - - - 0 - 0 - 000000ff00000000000000010000000000000000010000000000000000000000000000000000000124000000010001000100000000000000000000000064ffffffff000000810000000000000001000001240000000100000000 - false - work - - - - 1 - Configure Target Device - Design Utilities - Implement Design - Synthesize - XST - User Constraints - - - Generate Programming File - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - Generate Programming File - - - - 1 - User Constraints - - - - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - - - 000000ff0000000000000002000001440000011d01000000060100000002 - Implementation - Index: github/pong-master/webtalk.log =================================================================== --- github/pong-master/webtalk.log (revision 428) +++ github/pong-master/webtalk.log (nonexistent) @@ -1,16 +0,0 @@ -Release 12.3 - WebTalk (M.70d) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Project Information --------------------- -ProjectID=CA4EA5D2EDB57A5C8E6B6F79BE1F81A8 -ProjectIteration=1 - -WebTalk Summary ----------------- -INFO:WebTalk:2 - WebTalk is enabled. - -INFO:WebTalk:8 - WebTalk Install setting is ON. -INFO:WebTalk:6 - WebTalk User setting is ON. - -INFO:WebTalk:5 - /home/lguanuco/opencores/phr/trunk/codigo/demos/github/pong-master/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/12.3/ISE_DS/ISE/data/reports/webtalk_introduction.html Index: github/pong-master/top.drc =================================================================== --- github/pong-master/top.drc (revision 428) +++ github/pong-master/top.drc (nonexistent) @@ -1,25 +0,0 @@ -Release 12.3 Drc M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 18:51:25 2014 - -drc -z top.ncd top.pcf - -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -DRC detected 0 errors and 8 warnings. Please see the previously displayed -individual error or warning messages for more details. Index: github/pong-master/top_map.map =================================================================== --- github/pong-master/top_map.map (revision 428) +++ github/pong-master/top_map.map (nonexistent) @@ -1,88 +0,0 @@ -Release 12.3 Map M.70d (lin) -Xilinx Map Application Log File for Design 'top' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off --c 100 -o top_map.ncd top.ngd top.pcf -Target Device : xc3s200a -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3a -- $Revision: 1.52 $ -Mapped Date : Thu May 8 18:51:04 2014 - -Mapping design into LUTs... -Running directed packing... -Running delay-based LUT packing... -Running related packing... -Updating timing models... -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. -WARNING:PhysDesignRules:812 - Dangling pin on - block::. - -Design Summary --------------- - -Design Summary: -Number of errors: 0 -Number of warnings: 8 -Logic Utilization: - Total Number Slice Registers: 82 out of 3,584 2% - Number used as Flip Flops: 69 - Number used as Latches: 13 - Number of 4 input LUTs: 351 out of 3,584 9% -Logic Distribution: - Number of occupied Slices: 202 out of 1,792 11% - Number of Slices containing only related logic: 202 out of 202 100% - Number of Slices containing unrelated logic: 0 out of 202 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 383 out of 3,584 10% - Number used as logic: 351 - Number used as a route-thru: 32 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 11 out of 68 16% - Number of BUFGMUXs: 2 out of 24 8% - Number of RAMB16BWEs: 1 out of 16 6% - -Average Fanout of Non-Clock Nets: 3.38 - -Peak Memory Usage: 162 MB -Total REAL time to MAP completion: 2 secs -Total CPU time to MAP completion: 2 secs - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Mapping completed. -See MAP report file "top_map.mrp" for details. Index: github/VHDL-Pong-master-2/xlnx_auto_0_xdb/cst.xbcd =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/xlnx_auto_0_xdb/cst.xbcd =================================================================== --- github/VHDL-Pong-master-2/xlnx_auto_0_xdb/cst.xbcd (revision 428) +++ github/VHDL-Pong-master-2/xlnx_auto_0_xdb/cst.xbcd (nonexistent)

github/VHDL-Pong-master-2/xlnx_auto_0_xdb/cst.xbcd Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/Pong.prj =================================================================== --- github/VHDL-Pong-master-2/Pong.prj (revision 428) +++ github/VHDL-Pong-master-2/Pong.prj (nonexistent) @@ -1,5 +0,0 @@ -vhdl work "pong_types.vhd" -vhdl work "VGA.vhd" -vhdl work "paddle.vhd" -vhdl work "Ball.vhd" -vhdl work "Pong.vhd" Index: github/VHDL-Pong-master-2/VGA.vhd =================================================================== --- github/VHDL-Pong-master-2/VGA.vhd (revision 428) +++ github/VHDL-Pong-master-2/VGA.vhd (nonexistent) @@ -1,65 +0,0 @@ -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use pongConstants.ALL; - -entity VGA is port ( - clk25: in STD_LOGIC; - hcnt: inout cnt_t; - vcnt: inout cnt_t; - hSync: out STD_LOGIC; - vSync: out STD_LOGIC; - blanking: out boolean -); -end VGA; - -architecture Behavioral of VGA is -begin - -genSync: process(clk25,hcnt,vcnt) -begin - -- Advance the horizontal - if rising_edge(clk25) then - if hcnt < H_TOTAL then - hcnt <= hcnt + 1; - else - hcnt <= 0; - end if; - end if; - - -- Generate the HSYNC pulse - if hcnt < H_SYNC_BEGIN theN - -- Pixels and front porch - hSync <= '1'; - elsif hcnt < H_SYNC_END then - -- HSYNC pulse - hSync <= '0'; - else - -- Back porch - hSync <= '1'; - end if; - - -- Advance the vertical - if rising_edge(clk25) and hcnt = 0 then - if vcnt < V_TOTAL then - vcnt <= vcnt + 1; - else - vcnt <= 0; - end if; - end if; - - -- Generate the VSYNC pulse - if vcnt < V_SYNC_BEGIN then - -- Lines and front porch - vSync <= '1'; - elsif vcnt < V_SYNC_END then - -- VSYNC pulse - vSync <= '0'; - else - -- Back porch - vSync <= '1'; - end if; - - -- Generate blanking - blanking <= (vcnt >= V_LINES) or (hcnt >= H_PIXELS); -end process; -end Behavioral; \ No newline at end of file
github/VHDL-Pong-master-2/VGA.vhd Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: github/VHDL-Pong-master-2/Pong_par.xrpt =================================================================== --- github/VHDL-Pong-master-2/Pong_par.xrpt (revision 428) +++ github/VHDL-Pong-master-2/Pong_par.xrpt (nonexistent) @@ -1,805 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
- -
- - - - -
-
- -
-
- -
- - - - - - -
-
- -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - -
-
-
- - - -
- - - - Index: github/VHDL-Pong-master-2/Pong.xpi =================================================================== --- github/VHDL-Pong-master-2/Pong.xpi (revision 428) +++ github/VHDL-Pong-master-2/Pong.xpi (nonexistent) @@ -1,3 +0,0 @@ -PROGRAM=PAR -STATE=ROUTED -TIMESPECS_MET=OFF Index: github/VHDL-Pong-master-2/Pong.ptwx =================================================================== --- github/VHDL-Pong-master-2/Pong.ptwx (revision 428) +++ github/VHDL-Pong-master-2/Pong.ptwx (nonexistent) @@ -1,332 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -]> -Autotimespec constraint for clock net clk25Autotimespec constraint for clock net clk50_BUFGP0INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. Index: github/VHDL-Pong-master-2/Pong.ncd =================================================================== --- github/VHDL-Pong-master-2/Pong.ncd (revision 428) +++ github/VHDL-Pong-master-2/Pong.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###4292:XlxV32DM 3fdc 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###2992:XlxV32DM 3ffd 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###4748:XlxV32DM 3fff 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###4184:XlxV32DM 3fff 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###4396:XlxV32DM 3fff 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###3844:XlxV32DM 3fff eeceNq1m82S4ygMx19mHsAGY5uk9lWmCpCo6svOYY5T8+6LAYGcRN0J6T2lG4JI7N9f6MPx4fqPWsMlmvS6rRcXr39/q3W5TBf155/jj3mx15+IeVinYX0M6zyc5/10rNyO17+/tVv7W8rMerz+hDT712tvL/Nlv6SxPz9mxEtcrj/mJV72eP1Al5Zef6XR9PIRt/TyYzZpQViu6f+9/78e/4fyNujDeL3+mvP7rr/UZI5Xr9bj9fg605+80xym67+z2S7ztKa9zXQxsF3zh4Fw/XAxm/VTtpK+ZP539nm3v8ncemtuJnPLrTl4wtzxZTU3p6o5t1VzPlZzeP0I/aLAcVFCvyiQLsqvaMhuvLXryK69sYuq2j2bc9zctt+Yg/atzc23RnP/rTcyY2/N0LeddF29Sqt/a+8qlMlMGr3+TPc4j1sa38t4YiCj6AnieAn79WeEY9RuBGiGvcymRTFkwmOfTXepTBdt2KKNQng2i8Vs3sw27k01i8Xsls1in61msZrdSXLN7HqSnGEri+TWKixbJFfEWt9SZvYsOXRFcv6x5Oz0UHJvKG0TlaZGlLaLSpsGlLZNotK8pLRPBLbNksC8GRGYEwU2vyIwLwgsxif0FUhH/qwv0lH6kA/1la4F0xcQjXsRwjHb9FUuW5ktQkgjRQj+Vl/pjjF9eVq4VbMT01e5uWW2mp2qWUf62klf+0lfG1tZ9LVXFXnS19rfUmbcSV8g6Gt+RV/1/3B9RmhWEFrEEZ05QWfpjg3ITEkyC2ZEZlqSWdgkmVU7+/UzvQVBbwniF+QGktz8E3JD4hzOcgMaD4LcNJOba4JyVReay0332aoLXXUBd3JTXG7tHLTVrOJyU322mlXVbCC50WF97MzkZtnKIjdXRQUkt72/pcyEk9zSZb5sl3TK3shNkdwe6czc6AxudOYf6sxLOnMDOtsWURn2OWWYE8FGUgbCF8qwN8rYTnZRUoZ9RRlRUsb+tTLCREjGszIijaOgDMOVodloV4Tpo4XYeCeEhQnBNdR9FcLChbD02SqEpZpFEkKLQn0lPu1YSXd9dSEdGekqHLnSmgC0Z9KVv37A9GyupL84YZIS0la7RPpIjhSsdKKMpEggnSjx1QxJc85BOlmiefFgsd1scpzEFzAvbRZyp6G6U+BeGojUQO4Uspc+zFEiDsw7m4VirFBjLODeOV8wVWarOVXMlTtDO3WvHHa2IjMaanKRws8+1fN4FbzAZngljzdPsekkNkeyiuAlNkeSCpB8etQvsnny7SD59ji/xeZGMDE/aQxlmKF6OOD+EojnQB4ODLFJDhOYwzSGHGaoAQlwhwnEc6CABBZi07OdGJuOrShsUmDeaXYnvwkCm/AKm8/5zSCwiSOReACBTRyJxGEV2MT4lt/cBDa/DDs+Z5Oi3mSfsUlZX4AK08bZJJ6PO15g2ohNgildBcYmhZYhVHMrZ5N4TrPV3EpsAtuJsRnYisImRbGd5nBiMwps4nf6TZ/ZRInNkeg1RInNfYTNXWLTv+U3rcSmfZHNjbPp6AxM9jubK2VeIVaYLGez+aZIMFlik8LYdBUYm1QiDFjN7ZzNdkIjmduJzch2YmwiW1HYpLiz08zjTb1MApvxs0LGEyTmYPUhiSPRJcwSiUPRpZNI3L5Iyjh3XuLOvMUdxYXJPuOOKlbpQhRQPOeuOb6ZQPGVO0dxYfrOnbtWPU53qZhznDs6fdNsNeequWViO3XuYGIrMndpvnIX+xTjbtoec6fdK3nO+oRP1JPUDPIDJB4f/DGJfoBEPUsFav9OJ0jPUqHav8Ommat7Oez3nHuppdLj0hww5Vlis3wUVWYzTMdIhsnMgczxou+ykDlTzbGib7lgqsxWc1Nlc9rYTo3NcvtpxcHmMX/Oc44p1q+cbK42LbfVJu2/OZb8iHiq+qatpZzcqxFWpZzczyOsSjm512/ElnoWq72tDhEUg3W/qWnZh9Xe30bRfU8bhERDzDQslo02hLUmEHKqTuiy0cpaTdGNUmSGF1CXjdDdK7qKo0trpp3MKULXsp0YujtbUdCtKTqD/dT3m44U3ad7jjfoJlryGfrFqV7ueXTPnPGF3vSSuUl7Szm7n0bYlXJ2F0fYXW6tUR22VX7CzOAtlyMUM8GdTn49H3+Yh8TSGeDhaff6eJcEU3O2KTV3CaKYwfNstFPt2Gh3zJGNdofc/KEnDA1RTbyly5W3dGfJLGVLm0eBjTYF6IWMuyKkQj4brVsuRL5nn4aR79iKQr4n8m2fIvLTxuxbHRvDXPRwlAVsuvPhRg/wmR6e9OgoaYJrJp6rB3qSqgcORlQiVQ9cGFGJVD1w+JaH30S96C/04iS9cD25x+UFtTQnvTEF6ZmNNgUZPtoUZBY22hXUfC8QzhspqIl25apoEVKo58LKz4WVzAUyt5I6gO3E1BHYiqIOIHX4PsXUsXc7XB1CYULj0INZcjs7bSUVJpwbwV4qTLh9BHupMOH8W0G4VJhwdryLnfgl+mbLI5hAiMWKmOXENp8ZCbFamDDNY887J9aROazmdk5swwnJ3E7ERrYTIxbZikJsJGKhT7GiGQgF3W0aaoQ9qOOCWMcd6TGAWMcd6TGgWMd9J9ZWKNZx5+eeXzqVbxeqnSIv385U04JavkVevsXWjqDyLVL5dqE2AfLy7RzIXC3fIi/fYmtHUPkWqXwLwHZipYrAVpRSBdy2vSDwthcIXnKbh4KHSuIBoOQTYaSRAJJPhJFGAko+Ed5qJKDkEwG+AJBzR2VW5KVZRS0tqKVZ5KVZpDVApVmk0uxCSCIvzSpqaUEtzSIvzSKVZoFKs0ilWYhsJ8YdshWFOyrNdlJPHhBngTs11Dbo3KFUmoWRJgFKpVkYaRKgVJqFt5oEKBVrwb7AHd1Z5KVZRe0qrKVZ5KVZpNIsUmkWqTRLGdTxnRl31K7CWppFXppFKs0ilWaxlmbLfaCdOnc4sRWZO6TSbCMVpxN3WuBOf2dUmJsEqCQSR5oEqCUSR5oEGCQS33pIH0Ei8a22gWmHJzA2NbWr0qUpMAFnswGoCSaobJoGU+Bs0tGe7lsxFzibdLKm2WouEJua7cTYVGxFYVNXNjvN6tSuknzi8s5ZzKNCXCQkR6JCNBKSQ1Gh9MMReC8qlH43AiNRoaGjESMnkRpYWH8qgfwXGNg8Ef1UAiOR2IJM9suLkrVkc0s1x395gXTWptlqDsnpzmwnRuLCVhQSDZGo+xTzklbIT9blOx/UO3JnZaVHUp0ZQNKKJSM9gKQTS0brO0g6MXde3sidl51us7MPGljHpckwOR45OoocLWUsrkaOy04wufVBA+u4b8Ucz1jaE6eWnq1ylLG0x2HsKWNpj7VYepjU3mUs1vOMxcbHDazVvNXUrwX/ZF8s5swjQIrFnBEf6aQA0qnne/tOChdnO3/Rk+oVfYYdRW3J7n0rKo/etaKOy9JaUao97WwpfXGeIKT0xbkHrajjXhUIeRjp6ANZSl8chZHtQRV7Sl8sshUFQkpfOran9MXNj1tR6/q/t6KUkzIcGwcAdVKGY3EE0CC1ouz0aitKOZBK6x3372tFHdu1Qvqy89G7VlQevWtF5dHuWokcR8mRA6Kagsl0ue5bUXn0rhWVR+9aUQcMrRVVrn4drVtSkNqe+HKnBMpNbEUm31EC1bTipgetqON9vdiunBb0sD2vB55buU9y/NsHC+7aUMpJmZeFEYVImZcdqT05lBSyuy8U0jOuU1voVAvI2z/UzG4lzTzcxX3afGr4RaaZ9kuBY7Q3nxQbvWs+5dGumcYcJW2uhsqt33VcwKaZxU5sNGvmPwChQDI=###4448:XlxV32DM 3fff 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###3832:XlxV32DM 3fff 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###4064:XlxV32DM 3fff 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###6816:XlxV32DM 3fff 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###4364:XlxV32DM 3fff 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###4412:XlxV32DM 3fff 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###4412:XlxV32DM 3fff 1124eNqNW0uW7SgO3BIfY8OrdfQCbIOHNetRndp7+1oKPnFxZufkvUhkEEgKhCCN2Uzx1/2TjTnN/bNYUz7/brGcn98DZ8WbNfGDgylH3w75kKT9/tmf35O8Dfd/759knH1+z/LmKk8/xq0fzPJntNvz3ZWf/k5rj0fOFDvTj+V5PB+vdD2KyTxckvGWz/8efOVPczEuzPRjee4f6+ONOWS8XMbxivvAGGX9WZ83+aDywLDfb/J7yssHG9UH2L3ox/KLlXna6Pzn3xJHjPlive55XT3m9WL5f//9227n+cf88f/8bcP2J5W//mvD+sf99R8bwh/r/vHW75d2G015zAO8K5Zu8t1Nlm68Gbpxh//HL977/fj41sfBvBo8iiJpE4djjAVY9fdv2ES3/ISdYh+u7QkkI44Kh8sRATnKY8Fd7U8XMIpD1gVX3NplZVq7YARQ0gA20eYesz4IgFwdEO0yXmsfx9/UgTD+Rg4YFV8mhx6j/SagJIEn7cBw8DVK4GUzYrRfxiYZVwgP+AhX0IBOP80nRrfN5E8retSANxLA5ZB5h3Ctjz7Glpk898/yN3NEIb5rIFzYj8dj+UMJMB0lTu39izzi4/7qmtmT/ZflL3M949jkzpk8z5/lF5sf7Gt8jPYFYQULQhnl2V5f8lHkTdZ4J3/Zo2wkIc/luX+W32M2lzB0mfk79/cmn5XZOB6MzmfPYi+WzwlxI3qxPPsjyzM/Mt/w/FlemLjcTFyEiV0emTg9TGwbEzsPpsXWKZ5R1DOupJFkhAGDF8ZifG8pTlIQN8Vg1k2/g2fcdh88bY+q1wvezOiJ+D4dZ5EURCKLMSxVjKw4f4/229OPfqXvSHnWpwRYTjydMffH34Ppjijr8oa97jRsn6ipzh0p6wzDfkEj9Q2/zY/HA1PsxMRV/mV+b/6zGmGiHKyfYXx/mnHnw/jcH2NnhMmvo2ySYo34nrfsAJtEIuPm/6X0Ox38DTsdMMtjpwVmf8NOCsz+hp0YGOPf/rX3Oykw+8vNYwNme0O/1v9oL6w38Js9gLGzZcpkcs1kkGrbNJsPmNtle46pucizfVme+aqtv2DmG2RywGx/no8w6dWlxvtPqfH9WelT401PFk832bTU2O0vhLxmydV34yRANTWIhwYc4XjpGSD6Ka6psHl+XQ24Gi8BSOMxXvKlgSTjMoaDhPs00m+tKZil31rXfBkJZMIaALdjnz/holsvHABbNY/H+NDxKiETRv9BA/oNbzV1kPm31GHUhzEc+gAB0/d1AzC0IRD2cFjVq84XBE+ExHilWgNjpEY4K57RlZm+PD7O+usm9vzCGlCXps7cP/rj/t/Ge5v/pUT/hk9N2RmDMIBZXxBa03/091absFN/AaHz0avQ0arUo5X0v0fvxg1QMNsr1kP5F6GPG4Ji9kdsaEc9uo32edMH/PHlL6hhqDz0a/qPfARCBv6tP2FS26W220uRwWoqdvOgeCSlgutLauhj2R+GoO8rM0RiCsJZPfTte1SNkDodOlOrqWTULekLU3+MYdlTx783NNmCrRuKBrZ6whw7xZuVyEdqia3yHm2b4e6QekpqKjtRMLozKW6p64iXQ3A9dBMuOLzqIYpxrUoqA7/hRVMznp/3gjEeY6TGq1e7Ea7ME4mJCN9b9D7TB0yz1NRTiyKUegGj3USJRLQDsz3BBI6Y4KTU9jRf/nz2TAbM/h5a+/A94gntwLweYArgL39qRaxp/LytR1SmYnm2P683xw/Lc/8sX+en7XV+isGEgZgQ2CoTbtGvs/54fJbn+OPxkEmWwx8zee6P5YWJXZcarz+lxocRs9ZUWbF04ztCX36pGp9eFEKV+NzUAQhjwom2HsaeUmXGQXE96xpdENKHMQxmo19GLIQChwAGISAVASEAw0FXSj2AuwBYiBAWSh1OSh0GQnF0lgTurj0GwuCAR5W6EgZdg5xEGEw4jggDmNf/bb6HYpZne7T198vM3jUgFVu1Q95Gwq/281JjuO0l124knzI2DvFPlmf9WX7XAER/7C88HsvHWnU2aeYP/D3Ld7WqdeYvqDJvlFBAHlXmJfk0k+f5s3ytHVBtpG5Alxz9MtUKIY8qbNZ1ZXm2x7e8JIwumjzz9/9XPrzFwy/yp5X2TavEHC88Psu/2Qf8IUy8dExsRybebib2Pt2ptV4Qn+SZYF7GiKyLtj7Gu249SC138nSkBqgKOb2vQJXPHSLPmPtj3A7FYyRm9UQeDyvts6S+xosnIVVkjKJHCnpfwBipkhktif6rp3zdB4p+uL9KWrRhjPsG3M8w/poP4Zoq6lEHF+74vjLJi3441G2VuRmrJxKT43v2N8asz5d/1J1CPJ/XEzvVGc1UHjuhoaoq5lt30shFlnGnB2Z719RYcaffuFPS/epJh/jWPl/PtdpDixiRihiKOd6QiTT86p90yzDutCvttIh37LTA7B/cX7svHlN36I9bBRPGIhvk2T9YXpgwdKntmJOGO7UNLbU1mvljmheZHfjeoWVjj6IO41NPqiVojYowzO7MuAEC43IAWKaxtmmEPMvQN7XuZ5SH/RRviqWbretm+6mbPeqrIJxn+1J6jl039qdu8Mqkdtu/Msmp68bMbBOjk6pJfXKgb5+MXWY4eBv6agPj+tTkkromY4QMP/EoVEcElmnsbRrLaJvFfU49e40M7Fi4Z0TkEU7HqDZjqOX1ooIx1AQWNY9OzeMno+H+o7rQcP/RvTBaxvsP7z6Zxd6KrRowaqSo/MsY2u9UvN3pBAUsauROjeWn2eDIWmc3HFlL1806c0HTPpOCVhZXS6o9493oM7Awx1mf0yE/ZAw68EQPnujBD/Twuds6ZRp2nIYzT77n7mDSkwBOQsBHXI4ZbkX4IN6FE8pltvHkoJptki8V5WfkRyHYZYprZix8b7UE6Iz0z/0xxsoU8wuONkz11f0SeE9iqK3e4l6mx/VBn5amkG8At0sJlG5HzPpgv2/66UlITzL1ZK645Rso8SDfGB8Qwl5t4wrHbL2h/9v6sz5Yj0s9j+XXqOt3J6wzf+HvWf7wks+2Uv5oj9/k06F5lPoH+zvrw/LXJictS/kl7Mv+y/LcP/sD+sMrEbbn2/iQbyd9n3v/Aub5svzDFKW7vrZ+ZIr41OiM35f60klOVtDsgCcQ7jLHwVPAaavR+3QrlmPM4zGOesZP2j/j7mXbMRsfZ+li9Ek24XbdNv/eWzmJRWPtDN/ut8r3/ppivOzzq5/h9hR8HTLxw4yRetD1ZNb0pdXoBLM9upNHGp8uj0wCzOuJPQe4e48yMN0a2dO97fs/yDMx33opUOev/lFPdmKPSCe7tifivYu+rCT74KSz5nHnSXipSOv9m3w7OfoyRKJi2PcmjmMm/+YfkGf/5PVo18/umq13a1fmIvnVaE0puDyT5/mzPOYDvdkf8J7tpHbI49/Ly3sxlv+KL5LvmHGd+fPb/FieMeJHmNJ2TElv4Jcnp1rvw+Mmmlq1JGpS5+aPGe7OwOUnnF8wPA34xEOYIC+pziwzv3CLQbi77kvj9SLlRPQQIVGNARieeVKknuSp1ow5kzWUMxGTnN/X8YmuJ4f54I8grvoyb2TCQExaHzrV8UcmOomJ8UcaGB8Y8110pzgRuZgHYV7Pdr28hpk+8J+wjTsF5N/8D/I8Pssjp4nZrzN7FSOnkxpJJO+09nlF/DHKKM/rw/Itss068wfWn+XxEAxv0tlerA/Lv30P/+H4Yn/i+XzH49z+9Y+G8D0xPccT1o/lhalcx1TpJafL+kAnn8q5+jAC1VDG6yEYexbj+lpdL5IZtzedftD8zqmG04VVj8VMM60M5N++R06DPdPH8XRq6Y2spdOfpZwm0ekJ+Gs8M2KeD9qB2z0P5VyKa45BpzNmWks5LuufqZrN97aHoZysVmv1jb4ZT6fAbJ8z6Xrr6Y/X/01ffM/y0N/FsM/s0XLmsM7kozKbM/IugOVZX5aPGrlndmW2XhEPkLSd5b/7G+XbaXqsTtT1Vf9OmvOwPK8fy0OfhCet7G96ZsKbcpbn/lgezOY5J4a/0vcsjyeln4vMmb8yP7E898/yzDdgXD+cfj8vVHYt91Hher+Z0n1yOmSfwZjhnqLWhVSTtbbrH4pSnYexwx/OUv/txYlZZ7iulN7wwzIGj8jrY2y7zDAstdccCDka6rPjfBjzeIzr331pHetrfnraMYhMxjrf4kOYYcx/0Uhn/cEkqCczBhM5zJe+Z32/10uYcq8vdEZ7gimBeT3QDsz2rExNj7kxX7QDv80HmP0VO91GO0V7ITNirH/w4+Pd2v5iP8hLpC1dRfoccxL7nJ6833dfs9Ghp/VwZYa7Z8R2hjETYGRfMeI5Pv7Cbnz8CbxtqAjK7xm37PR/mlox/Q==###4600:XlxV32DM 3fff 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###4340:XlxV32DM 3fff 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###4516:XlxV32DM 3fff 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###4476:XlxV32DM 3fff 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###1540:XlxV32DM 1300 5eceNrVl0luJDcQRS/jA3AeojYNe9sL9xHIJLkUoIVXBd3d8X+lbQopbQyjXRIg6ikjk4zgEPF5f7Exi63r9oeNSdzt7cXaPMWIvT9MzeymlnaT3U3D7ia3mVzqu+nYTfndV3031byb2m463G4q70xlN+XdNMduSrtp+d0UN5O37zoM70zvJsrvJr9/VfeQfdy/qntcvh17h2MzhVn/Mc30znKIVQufWDxJaRvhqNu7Sad7f1d/vn377W67s5LnasdaVZ/8erfhbiOXRqwXW9pEFAd2SseemJiKigmOaBqahaUIaIo206FBGPCvLYMGX6CDbvS9rtOnI5vbq43+9qZjcn3EeQwz0OBLg46MRaM+V+NBGNDCYGGw6NdiVIdhLDxxCS7CO+1WG3QV4V1EBxFRRPSS0EHGyxnPCjoo+GywwRcD7w2MMRD3RAeTMaLnlf4OYGH51CsnTdRPDdJEUa+N7mTdD7qC+lzPgr6moeoiLTHW6K/OtH5krL/9YteUbtHbQG9BdAq7+CFxSbHSmqhPxuHFIbPgb5febt/tapLu33WR/P316LcXu3TM24uDD7e3jw32M4P7zOA/M4TPDBH7TMMZDCcJltbpXEed0YLo4jWe9Ign/r/xwO0ZT7exHlgcp3vMBScuXdfhcM/jdzp3jyt6+FsRdzRxeha91Y/9uvrun38PzcyggvhUxFd9c5jr5lmPQPx/GQhHL+dW8HrQg28ScpHQk4T1wVaIz7MV6ul3dEWiloCoRy5qMk6aVi5+m+fxu51+Jy0wqR+S9PjlYCQXd/X7iVJGP49e7k2ypo1ijBSn+Vurbikf7NjxBY7eoxpFKSpSyjGlaEmv7oNaVB7BhJ8SDCvLPPdJ1fmtKkFqd1KnEeiUi3v5afbJWKffzUdpqtVartJql9av57L359nf5tzfTSPoKs26i9JVXHW9Odhw5Kvz8wtscHuuRm9Gut4w+gyaDZMW9XIN6Hie1XDnahyhaRY8VPEbOfqSY+pqpJCuztcvsBqeUXkZKrdG6Nd8Hx5BuH8RBAcIZz4benEZzco4dLBlrwPZn5vP9P71+/2HZltz+6FpwOrtwuKfV94Q9A8ua9YQ3F+go3VCVklEqFrhCV2vDYShlweCxhsA3qkWJwQoclKCLicVqHNSg0YnDahg0oIWBgULRUzy0MWkCHVMKtCfpAYVSjqgRUkTihQUDXQpyUGdkiJkHilD7JEqJB/pgAwjTYgxUDKQZCQHYUYKkGekDAFEqpBBpA4xRMINi5GnBWEEyg7SgxQgQEgJMoRUIEZIDZKENFD0SQulH6S3tsL168VDBpAixAApQxKQGmoriRWWxDoLqqy2JI9CR4ood6SMokeqKH2kjgJImigxIE1tWmhIDuWGFFB0SAmlh1SR3EkdKZ40kOhJC+ke1C2SPskz9ROZQ0kFmZTUkE9JB7IqaSK3gg6L7EXyyGGkiExGyshnpIqsRurMbcSJJAEaTBUkj3NNijjdpIwzTqo46Tc9aX8Cik1rng== \ No newline at end of file Index: github/VHDL-Pong-master-2/Pong.pad =================================================================== --- github/VHDL-Pong-master-2/Pong.pad (revision 428) +++ github/VHDL-Pong-master-2/Pong.pad (nonexistent) @@ -1,130 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 17:21:04 2014 - - -# NOTE: This file is designed to be imported into a spreadsheet program -# such as Microsoft Excel for viewing, printing and sorting. The | -# character is used as the data field separator. This file is also designed -# to support parsing. -# -INPUT FILE: Pong_map.ncd -OUTPUT FILE: Pong.pad -PART TYPE: xc3s200a -SPEED GRADE: -4 -PACKAGE: vq100 - -Pinout by Pin Number: - ------|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| -Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity| -P1|||TMS|||||||||||| -P2|||TDI|||||||||||| -P3||DIFFMLR|IO_L01P_3|UNUSED||3||||||||| -P4||DIFFSLR|IO_L01N_3|UNUSED||3||||||||| -P5||DIFFMLR|IO_L02P_3|UNUSED||3||||||||| -P6||DIFFSLR|IO_L02N_3|UNUSED||3||||||||| -P7||DIFFSI_NDT|IP_3/VREF_3|UNUSED||3||||||||| -P8|||GND|||||||||||| -P9||DIFFMLR|IO_L03P_3/LHCLK0|UNUSED||3||||||||| -P10||DIFFSLR|IO_L03N_3/LHCLK1|UNUSED||3||||||||| -P11|||VCCO_3|||3|||||2.50|||| -P12|vgaVS|IOB|IO_L04P_3/LHCLK2|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P13|vgaR|IOB|IO_L04N_3/IRDY2/LHCLK3|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P14|||GND|||||||||||| -P15|vgaHS|IOB|IO_L05P_3/TRDY2/LHCLK6|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P16|vgaG|IOB|IO_L05N_3/LHCLK7|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P17|||VCCINT||||||||1.2|||| -P18|||GND|||||||||||| -P19||DIFFMLR|IO_L06P_3|UNUSED||3||||||||| -P20|vgaB|IOB|IO_L06N_3|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P21||DIFFMI_NDT|IP_3|UNUSED||3||||||||| -P22|||VCCAUX||||||||2.5|||| -P23||DIFFMTB|IO_L01P_2/M1|UNUSED||2||||||||| -P24||DIFFMTB|IO_L02P_2/M2|UNUSED||2||||||||| -P25||DIFFSTB|IO_L01N_2/M0|UNUSED||2||||||||| -P26|||VCCO_2|||2|||||any******|||| -P27||DIFFSTB|IO_L02N_2/CSO_B|UNUSED||2||||||||| -P28||DIFFMTB|IO_L03P_2/RDWR_B|UNUSED||2||||||||| -P29||DIFFSTB|IO_L03N_2/VS2|UNUSED||2||||||||| -P30||DIFFMTB|IO_L04P_2/VS1|UNUSED||2||||||||| -P31||DIFFSTB|IO_L04N_2/VS0|UNUSED||2||||||||| -P32||DIFFMTB|IO_L05P_2|UNUSED||2||||||||| -P33||DIFFSTB|IO_L05N_2|UNUSED||2||||||||| -P34||DIFFMTB|IO_L06P_2/D7|UNUSED||2||||||||| -P35||DIFFSTB|IO_L06N_2/D6|UNUSED||2||||||||| -P36||DIFFMTB|IO_L07P_2/D5|UNUSED||2||||||||| -P37||DIFFSTB|IO_L07N_2/D4|UNUSED||2||||||||| -P38|||VCCINT||||||||1.2|||| -P39|rightBtn|IBUF|IP_2/VREF_2|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE| -P40||DIFFMTB|IO_L08P_2/GCLK14|UNUSED||2||||||||| -P41||DIFFSTB|IO_L08N_2/GCLK15|UNUSED||2||||||||| -P42|||GND|||||||||||| -P43|clk50|IBUF|IO_L09P_2/GCLK0|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE| -P44||DIFFSTB|IO_L09N_2/GCLK1|UNUSED||2||||||||| -P45|||VCCO_2|||2|||||any******|||| -P46||DIFFSTB|IO_2/MOSI/CSI_B|UNUSED||2||||||||| -P47|||GND|||||||||||| -P48||DIFFMTB|IO_L10P_2/INIT_B|UNUSED||2||||||||| -P49||DIFFSTB|IO_L10N_2/D3|UNUSED||2||||||||| -P50|leftBtn|IBUF|IO_L11P_2/D2|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE| -P51||DIFFMTB|IO_L12P_2/D0/DIN/MISO|UNUSED||2||||||||| -P52||DIFFSTB|IO_L11N_2/D1|UNUSED||2||||||||| -P53||DIFFSTB|IO_L12N_2/CCLK|UNUSED||2||||||||| -P54|||DONE|||||||||||| -P55|||VCCAUX||||||||2.5|||| -P56||DIFFMLR|IO_L01P_1|UNUSED||1||||||||| -P57||DIFFSLR|IO_L01N_1|UNUSED||1||||||||| -P58|||GND|||||||||||| -P59||DIFFMLR|IO_L02P_1/RHCLK0|UNUSED||1||||||||| -P60||DIFFSLR|IO_L02N_1/RHCLK1|UNUSED||1||||||||| -P61||DIFFMLR|IO_L03P_1/RHCLK2|UNUSED||1||||||||| -P62||DIFFSLR|IO_L03N_1/TRDY1/RHCLK3|UNUSED||1||||||||| -P63|||GND|||||||||||| -P64||DIFFMLR|IO_L04P_1/IRDY1/RHCLK6|UNUSED||1||||||||| -P65||DIFFSLR|IO_L04N_1/RHCLK7|UNUSED||1||||||||| -P66|||VCCINT||||||||1.2|||| -P67|||VCCO_1|||1|||||any******|||| -P68||DIFFMI_NDT|IP_1/VREF_1|UNUSED||1||||||||| -P69|||GND|||||||||||| -P70||DIFFMLR|IO_L05P_1|UNUSED||1||||||||| -P71||DIFFSLR|IO_L05N_1|UNUSED||1||||||||| -P72||DIFFMLR|IO_L06P_1|UNUSED||1||||||||| -P73||DIFFSLR|IO_L06N_1|UNUSED||1||||||||| -P74|||GND|||||||||||| -P75|||TDO|||||||||||| -P76|||TCK|||||||||||| -P77||DIFFMTB|IO_L01P_0/VREF_0|UNUSED||0||||||||| -P78||DIFFSTB|IO_L01N_0|UNUSED||0||||||||| -P79|||VCCO_0|||0|||||any******|||| -P80|||GND|||||||||||| -P81|||VCCINT||||||||1.2|||| -P82||IBUF|IP_0/VREF_0|UNUSED||0||||||||| -P83||DIFFMTB|IO_L02P_0/GCLK4|UNUSED||0||||||||| -P84||DIFFSTB|IO_L02N_0/GCLK5|UNUSED||0||||||||| -P85||DIFFMTB|IO_L03P_0/GCLK6|UNUSED||0||||||||| -P86||DIFFSTB|IO_L03N_0/GCLK7|UNUSED||0||||||||| -P87|||GND|||||||||||| -P88||DIFFMTB|IO_L04P_0/GCLK8|UNUSED||0||||||||| -P89||DIFFSTB|IO_L04N_0/GCLK9|UNUSED||0||||||||| -P90||DIFFSTB|IO_0/GCLK11|UNUSED||0||||||||| -P91|||GND|||||||||||| -P92|||VCCAUX||||||||2.5|||| -P93||DIFFMTB|IO_L05P_0|UNUSED||0||||||||| -P94||DIFFSTB|IO_L05N_0|UNUSED||0||||||||| -P95|||GND|||||||||||| -P96|||VCCO_0|||0|||||any******|||| -P97||IBUF|IP_0|UNUSED||0||||||||| -P98||DIFFMTB|IO_L06P_0/VREF_0|UNUSED||0||||||||| -P99||DIFFSTB|IO_L06N_0/PUDC_B|UNUSED||0||||||||| -P100|||PROG_B|||||||||||| - ------|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| - -* Default value. -** This default Pullup/Pulldown value can be overridden in Bitgen. -****** Special VCCO requirements may apply. Please consult the device - family datasheet for specific guideline on VCCO requirements. - - Index: github/VHDL-Pong-master-2/pong_types.vhd =================================================================== --- github/VHDL-Pong-master-2/pong_types.vhd (revision 428) +++ github/VHDL-Pong-master-2/pong_types.vhd (nonexistent) @@ -1,33 +0,0 @@ -library IEEE; -use IEEE.STD_LOGIC_1164.all; - -package pongConstants is -subtype cnt_t is integer range 0 to 1023; - --- 640 x 480 @ 60hz screen, pixel frequency: 25.175MHz --- Timing specs can be found at: http://tinyvga.com/vga-timing/640x480@60Hz/ --- Note we have a 25MHz clock on the dev board, therefore --- the timing of all signals will be 0.7% off. -constant H_PIXELS: integer := 640; -constant H_SYNC_BEGIN: integer := H_PIXELS + 16; -constant H_SYNC_END: integer := H_SYNC_BEGIN + 96; -constant H_TOTAL: integer := H_SYNC_END + 48; - -constant V_LINES: integer := 480; -constant V_SYNC_BEGIN: integer := V_LINES + 10; -constant V_SYNC_END: integer := V_SYNC_BEGIN + 2; -constant V_TOTAL: integer := V_SYNC_END + 33; - -constant BORDER_WIDTH: integer := 10; -constant PADDLE_WIDTH: integer := 64; -constant PADDLE_Y_BEGIN: integer := V_LINES-80; -constant PADDLE_Y_END: integer := V_LINES-76; -constant PADDLE_SPEED: integer := 2; - -constant GOAL_Y_BEGIN: integer := V_LINES-60; -constant GOAL_Y_END: integer := V_LINES-58; - -constant BALL_WIDTH: integer := 8; -constant BALL_HEIGHT: integer := 8; - -end pongConstants;
github/VHDL-Pong-master-2/pong_types.vhd Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: github/VHDL-Pong-master-2/Pong_ngdbuild.xrpt =================================================================== --- github/VHDL-Pong-master-2/Pong_ngdbuild.xrpt (revision 428) +++ github/VHDL-Pong-master-2/Pong_ngdbuild.xrpt (nonexistent) @@ -1,110 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
- -
- - - - -
-
- -
- - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - Index: github/VHDL-Pong-master-2/Pong.bgn =================================================================== --- github/VHDL-Pong-master-2/Pong.bgn (revision 428) +++ github/VHDL-Pong-master-2/Pong.bgn (nonexistent) @@ -1,120 +0,0 @@ -Release 12.3 - Bitgen M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -Loading device for application Rf_Device from file '3s200a.nph' in environment -/opt/Xilinx/12.3/ISE_DS/ISE/. - "Pong" is an NCD, version 3.2, device xc3s200a, package vq100, speed -4 -Opened constraints file Pong.pcf. - -Thu May 8 17:21:08 2014 - -/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/bitgen -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:25 -g ProgPin:PullUp -g DonePin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g en_sw_gsr:No -g en_porb:Yes -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 Pong.ncd - -Summary of Bitgen Options: -+----------------------+----------------------+ -| Option Name | Current Setting | -+----------------------+----------------------+ -| Compress | (Not Specified)* | -+----------------------+----------------------+ -| Readback | (Not Specified)* | -+----------------------+----------------------+ -| CRC | Enable** | -+----------------------+----------------------+ -| DebugBitstream | No** | -+----------------------+----------------------+ -| ConfigRate | 25 | -+----------------------+----------------------+ -| StartupClk | Cclk** | -+----------------------+----------------------+ -| DonePin | Pullup** | -+----------------------+----------------------+ -| ProgPin | Pullup** | -+----------------------+----------------------+ -| TckPin | Pullup** | -+----------------------+----------------------+ -| TdiPin | Pullup** | -+----------------------+----------------------+ -| TdoPin | Pullup** | -+----------------------+----------------------+ -| TmsPin | Pullup** | -+----------------------+----------------------+ -| UnusedPin | Pulldown** | -+----------------------+----------------------+ -| GWE_cycle | 6** | -+----------------------+----------------------+ -| GTS_cycle | 5** | -+----------------------+----------------------+ -| LCK_cycle | NoWait** | -+----------------------+----------------------+ -| DONE_cycle | 4** | -+----------------------+----------------------+ -| Persist | No* | -+----------------------+----------------------+ -| DriveDone | No** | -+----------------------+----------------------+ -| DonePipe | No** | -+----------------------+----------------------+ -| Security | None** | -+----------------------+----------------------+ -| UserID | 0xFFFFFFFF** | -+----------------------+----------------------+ -| ActivateGclk | No* | -+----------------------+----------------------+ -| ActiveReconfig | No* | -+----------------------+----------------------+ -| PartialMask0 | (Not Specified)* | -+----------------------+----------------------+ -| PartialMask1 | (Not Specified)* | -+----------------------+----------------------+ -| PartialMask2 | (Not Specified)* | -+----------------------+----------------------+ -| PartialGclk | (Not Specified)* | -+----------------------+----------------------+ -| PartialLeft | (Not Specified)* | -+----------------------+----------------------+ -| PartialRight | (Not Specified)* | -+----------------------+----------------------+ -| drive_awake | No** | -+----------------------+----------------------+ -| Reset_on_err | No** | -+----------------------+----------------------+ -| suspend_filter | Yes* | -+----------------------+----------------------+ -| en_sw_gsr | No** | -+----------------------+----------------------+ -| en_suspend | No* | -+----------------------+----------------------+ -| en_porb | Yes** | -+----------------------+----------------------+ -| sw_clk | Startupclk** | -+----------------------+----------------------+ -| sw_gwe_cycle | 5** | -+----------------------+----------------------+ -| sw_gts_cycle | 4** | -+----------------------+----------------------+ -| glutmask | Yes* | -+----------------------+----------------------+ -| next_config_addr | 0x00000000* | -+----------------------+----------------------+ -| next_config_new_mode | No* | -+----------------------+----------------------+ -| next_config_boot_mode | 001* | -+----------------------+----------------------+ -| next_config_register_write | Enable* | -+----------------------+----------------------+ -| ICAP_Enable | Auto* | -+----------------------+----------------------+ -| IEEE1532 | No* | -+----------------------+----------------------+ -| Binary | No** | -+----------------------+----------------------+ - * Default setting. - ** The specified setting matches the default setting. - -There were 0 CONFIG constraint(s) processed from Pong.pcf. - - -Running DRC. -DRC detected 0 errors and 0 warnings. -Creating bit map... -Saving bit stream in "Pong.bit". -Bitstream generation is complete. Index: github/VHDL-Pong-master-2/Pong.ngc =================================================================== --- github/VHDL-Pong-master-2/Pong.ngc (revision 428) +++ github/VHDL-Pong-master-2/Pong.ngc (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$2a34=7<2:;<<:400230>66=<8063:2:386>77G685567<2;8N>?4239061=4;89?7>==359701633=>?O?5:4596152330>0:4O:2:4B0>0FIH80:N:479D36>1A<2=MJK?4839;41=?89:975?;;93254=>:23=86799808E327<2KJM5:4ACC;5>D33K_MK85MUGES5>E33J9=5?5L859@G3E53JO?7NK?A39@M<=DGDGBXYKK7:AQADRBL81O>6J72:FE6>BN<2OJ<=:4E@CA0>CD@?:2LI86HMFG18BAC43ONY86HKRD08B@2@AJO>0JKM74:DE@02JR\8>0@XZ=6:NVP7YK<2F^X>84LTV0[A0JR\=UO:6BZT5]O3>K)?9nT@85BUYAZ1>KRPO?97C><;O226>H6;2D:8>5A1618J4?43G8;?6@=229M615<<;O177>H4=:1E?:=4N2:1?K243G>;?6@;129M06587C:93:L736=I=990B8<<;O707>H2=:1E9:=4N4:0?K3>:2D=?6@9029M24587C8:3:L526=I>>90B;6<;O4:7>H08:1E;<=4N610?K12;2D<;>5A7818J=743G28?6@7529M<25H><>1EIYY@RJ38K1=HJ\L:7]:4P@PW0>Vhfn;0]<5]1:Q5?VIRZJO?7YW_Eb9VW@TX^@YBNAK<;WA@=>PNM^U_U]K>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG:;YMQG\0ekc;?:m6mck37\FP@@=2igg8>m;bnh15YE]OM[:6g|B`no<>otXhno`h94lddwFqhd3eomxOza_H@VBc=hz9:;Pos2345753fx;<=>P0^mq4567989:>6a}0123[5Yhz9:;<<<7179lv5678V:Tc>?0131?01]3[jt789::?8?>;np3456X8Vey<=>?3332?jt789:TP0^mq4567;?UX[=?>;np3456X8Vey<=>?7932?jt789:TP0^mq45670;UX[=?0^2\eabt}k:;<5:47XAG\T?_1]b`aurj9:;=Rv`r=5=54413fxi#@okd^cm`5678V:Tmij|uc2344Yg{632?01]3[lhcmq:;<=Qfnw0a?jte'DkohRg|B`no[5Yflmy~n=>?0^zlv95998UBB[Q?249lvg)JimnTe~Lnlm]3[dbc{|h;<=>Pxnp?0;76:<1d~o!Baef\mvDfdeU;Sljkst`3456Xpfx793?>249lvg)JimnTe~Lnlm]3[dbc{|h;<=>Pxnp?2;76:<1d~o!Baef\mvDfdeU;Sljkst`3456Xpfx753?>2c9lvg)JimnTe~Lnlm]3[dbc{|h;<=?Pxnp?7;76W@D]S=<:;npa+HgclVcxNlbc_1]b`aurj9:;=Rv`r=6=54423fxi#@okd^kpFdjkW9Ujhi}zb1235Z~hz5?5=209lvg)JimnTe~Lnlm]3[lhcmq:;<_RU15a=hzk%FmijPir@bhiY7W`doiu>?000265=hzk%FmijPir@bhiY7W`doiu>?00]a`a6582eyn"Cnde]jwGgkdV:Tecjjx1235Zdcl;;m7b|m/Lcg`ZotJhfgS=Qfneg{4566W`d}>?5`rc-Neabt}kUsNlbc_`fgwpd789:Tot2?>308kwd(EhnoxlPxCcohZgclzi<=>?_b{?5;453fxi#@okdrwa[}DfdeUjhi}zb1234Ze~4;49>6a}b.Ob`aurjVrImabPaefpqg6789Uhu1=1239lvg)JimnxyoQwB`no[dbc{|h;<=>Pcx>7:742:75318kwd(EhnoxlPxCcohZgclzi<=>?_mww8185;2eyn"CndeqvfZ~EiefTmij|uc2345Yk}}6>2?=4os`,Idbc{|hTtOocl^cg`vse89:;Sa{{<7<17>iuj&Gjhi}zb^zAeijXimnxyo>?01]oqq:06;90cl M`fgwpdXpKkg`Rokdrwa4567We050=3:mqf*Kflmy~nRvMamn\eabt}k:;<=Qcuu>::75>318kwd(EhnoxlPxCcohZgclzi<=>?_ymq8785;2eyn"CndeqvfZ~EiefTmij|uc2345Yg{682?=4os`,Idbc{|hTtOocl^cg`vse89:;Sua}<5<17>iuj&Gjhi}zb^zAeijXimnxyo>?01]{kw:26;90cl M`fgwpdXpKkg`Rokdrwa4567Wqey0;0=3:mqf*Kflmy~nRvMamn\eabt}k:;<=Qwos>4:75308kwd(EhnoxlPyCcohZgclzi<=>?_b{?4;453fxi#@okdrwa[|DfdeUjhi}zb1234Ze~4849>6a}b.Ob`aurjVsImabPaefpqg6789Uhu1<1239lvg)JimnxyoQvB`no[dbc{|h;<=>Pcx>0:74Pltv?7;443fxi#@okdrwa[|DfdeUjhi}zb1234Zjr|5>5>>5`rc-Neabt}kUrNlbc_`fgwpd789:T`xz35?00?jte'Dkoh~{m_x@bhiYflmy~n=>?0^nvp909::1d~o!BaefpqgY~JhfgSljkst`3456Xd|~7;3<<;npa+HgclziStLnlm]b`aurj9:;1229lvg)JimnxyoQvB`no[dbc{|h;<=>Pxnp?5;443fxi#@okdrwa[|DfdeUjhi}zb1234Z~hz585>>5`rc-Neabt}kUrNlbc_`fgwpd789:Ttb|33?00?jte'Dkoh~{m_x@bhiYflmy~n=>?0^zlv929::1d~o!BaefpqgY~JhfgSljkst`3456Xpfx793<<;npa+HgclziStLnlm]b`aurj9:;Pxnp?=;473fxi#@m`mqcq[ZejxVmn<=>?<1<\g|:76;90cl MbmntdtXWjg{Sjk?012?4;Ydq5:5Sz=0:mqf*Kdgdzj~RQlmq]da56785:5Snw31?03?jte'Dida}o}_^antZab89:;0=0Pcx>1:7530?]`}949W{~9<6a}b.O`khvfzVUha}Qhe1234969Wjs7?3<<;npa+HeheykySRmbp^ef4567494Tot2<>^pw65=hzk%Fobcas]\ghvXol:;<=2?>^az818582eyn"ClolrbvZYdeyUli=>?0=2=[f;=78;7b|m/LaliuguWVif|Rij0123858Xkp6=2?>4os`,IfijxhxTSnc_fg3456;87Uhu191209lvg)Jkfg{mQPclr\c`67896;2Rbzt=3=62=hzk%Fobcas]\ghvXol:;<=2?>^nvp979W@D]S=<>;npa+HeheykySRmbp^ef4567494T`xz34?02?jte'Dida}o}_^antZab89:;0=0Pltv?1;463fxi#@m`mqcq[ZejxVmn<=>?<1<\hpr;?7;=7b|m/LaliuguWVcehhv?0122=>iuj&Ghc`~nr^]jjac89:;>?6>9:mqf*Kdgdzj~RQfneg{4567:=>:h6a}b.O`khvfzVUbbikw0123612X[^:::6a}b.O`khvfzVUbbikw012252=hzk%Fobcas]\mkbbp9:;=??m;npa+HeheykySRgaddz34575WZ];=;5`rc-Ngjkwi{UTecjjx123670?0^az8469:?1d~o!BcnosewYffm:;<=Q?_bos[bc789:Tot2>>348kwd(Ejef|l|Paof3456X8Vif|Rij0123[f;:78=7b|m/LaliuguWhdo<=>?_1]`iuY`m9:;iuj&Ghc`~nr^cm`5678V:To`~Pgd2345Ydq5>5>;5`rc-Ngjkwi{Ujbi>?01]3[fkwWno;<=>Pcx>6:70?0^az8285>2eyn"ClolrbvZgil9:;Pclr\c`6789Uhu161279lvg)Jkfg{mQnne2345Y7Wjg{Sjk?012\g|:>6;=0cl MbmntdtXign;<=>P0^antZab89:;Sa{{<1<1<>iuj&Ghc`~nr^cm`5678V:To`~Pgd2345Yk}}6:<3?0^nvp9776VY\?_mww8485?2eyn"ClolrbvZgil9:;Pclr\c`6789Ugyy2=>358kwd(Ejef|l|Paof3456X8Vif|Rij0123[iss4:49;6a}b.O`khvfzVkeh=>?0^2\ghvXol:;<=Qcuu>7:71?01]oqq:16;=0cl MbmntdtXign;<=>P0^antZab89:;Sa{{<6<13>iuj&Ghc`~nr^cm`5678V:To`~Pgd2345Yk}}632?l4os`,IfijxhxTmcj?012\4ZejxVmn<=>?_mww8=8X[^:9;6a}b.O`khvfzVkeh=>?0^2\ghvXol:;<=Qcuu>::70?0^az8469:?1d~o!BcnosewYffm:;<=Q?_bos[ic789:Tot2>>348kwd(Ejef|l|Paof3456X8Vif|Rbj0123[f;:78=7b|m/LaliuguWhdo<=>?_1]`iuYkm9:;iuj&Ghc`~nr^cm`5678V:To`~Pld2345Ydq5>5>;5`rc-Ngjkwi{Ujbi>?01]3[fkwWeo;<=>Pcx>6:70?0^az8285>2eyn"ClolrbvZgil9:;Pclr\h`6789Uhu161279lvg)Jkfg{mQnne2345Y7Wjg{Sak?012\g|:>6;=0cl MbmntdtXign;<=>P0^antZjb89:;Sa{{<1<13>iuj&Ghc`~nr^cm`5678V:To`~Pld2345Yk}}6:2?94os`,IfijxhxTmcj?012\4ZejxVfn<=>?_mww8785?2eyn"ClolrbvZgil9:;Pclr\h`6789Ugyy2<>358kwd(Ejef|l|Paof3456X8Vif|Rbj0123[iss4=49;6a}b.O`khvfzVkeh=>?0^2\ghvXdl:;<=Qcuu>6:71?01]oqq:06;=0cl MbmntdtXign;<=>P0^antZjb89:;Sa{{<9<13>iuj&Ghc`~nr^cm`5678V:To`~Pld2345Yk}}622?;4os`,IfijxhxTe~Lnlm]3[fkwWno;<=>Pcx>3:73Pcx>1:73Pcx>7:732?;4os`,IfijxhxTe~Lnlm]3[fkwWno;<=>Pcx>5:73Pcx>;:73Pltv?4;413fxi#@m`mqcq[luEiefT?0^nvp949:?1d~o!BcnosewYn{Kkg`R>Pclr\c`6789Ugyy2<>348kwd(Ejef|l|Pir@bhiY7Wjg{Sjk?012\hpr;<78=7b|m/LaliuguW`yImabP0^antZab89:;Sa{{<4<12>iuj&Ghc`~nr^kpFdjkW9Uha}Qhe1234Zjr|5<5>;5`rc-Ngjkwi{UbOocl^2\ghvXol:;<=Qcuu>4:70?_mww8<85=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir0=0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8485=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir0?0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8685=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir090=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8085=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir0;0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8285=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir050=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8<85>2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vf~x1>1279lvg)Jkfg{mQfsCcohZ6XkdzTkh>?00]oqq:66;<0cl MbmntdtXazHj`aQ?_bos[bc789;T`xz32?05?jte'Dida}o}_hqAeijX8Vif|Rij0122[iss4:49:6a}b.O`khvfzVcxNlbc_1]`iuY`m9:;=Rbzt=6=63=hzk%Fobcas]jwGgkdV:To`~Pgd2344Yk}}6>2?84os`,IfijxhxTe~Lnlm]3[fkwWno;<=?Pltv?2;413fxi#@m`mqcq[luEiefT?1^nvp9>9:?1d~o!BcnosewYn{Kkg`R>Pclr\c`6788Ugyy26>378kwd(Ejef|l|Pir@bhiY7Wjg{Sak?012\g|:76;<0cl MbmntdtXazHj`aQ?_bos[ic789:Tot2>0?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;978>7b|m/LaliuguW`yImabP0^antZjb89:;Snw32?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;;78>7b|m/LaliuguW`yImabP0^antZjb89:;Snw34?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;=78>7b|m/LaliuguW`yImabP0^antZjb89:;Snw36?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;?78>7b|m/LaliuguW`yImabP0^antZjb89:;Snw38?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;178=7b|m/LaliuguW`yImabP0^antZjb89:;Sa{{<1<12>iuj&Ghc`~nr^kpFdjkW9Uha}Qce1234Zjr|5;5>;5`rc-Ngjkwi{UbOocl^2\ghvXdl:;<=Qcuu>1:70?_mww8185>2eyn"ClolrbvZotJhfgS=Qlmq]oa5678Vf~x1;1279lvg)Jkfg{mQfsCcohZ6XkdzT`h>?01]oqq:16;<0cl MbmntdtXazHj`aQ?_bos[ic789:T`xz37?05?jte'Dida}o}_hqAeijX8Vif|Rbj0123[iss4149:6a}b.O`khvfzVcxNlbc_1]`iuYkm9:;;5`rc-Ngjkwi{UbOocl^2\ghvXdl:;<1:737:732?;4os`,IfijxhxTe~Lnlm]3[fkwWeo;<=?Pcx>5:73;:73?1^nvp949:?1d~o!BcnosewYn{Kkg`R>Pclr\h`6788Ugyy2<>348kwd(Ejef|l|Pir@bhiY7Wjg{Sak?013\hpr;<78=7b|m/LaliuguW`yImabP0^antZjb89::Sa{{<4<12>iuj&Ghc`~nr^kpFdjkW9Uha}Qce1235Zjr|5<5>;5`rc-Ngjkwi{UbOocl^2\ghvXdl:;<4:70>_mww8<803fxi#C?>7:mqf*H5811d~o!A2032f>iuj&keh=>?0^2\eabt}k:;<<29>0`8kwd(ign;<=>P0^cg`vse89::0:0>b:mqf*gil9:;Paefpqg6788632<;4os`,ekb789:T?199lvg)ffm:;<=Q?_bos[ic789::m6a}b.kpFdjkW9Ujhi}zb12349599h1d~o!fsCcohZ6Ximnxyo>?01>7:4g35?3b?jte'`yImabP0^cg`vse89:;0;0>a:mqf*otJhfgS=Qndeqvf56785=5=n5`rc-jwGgkdV:Tmij|uc2345:068;:n6a}b.kpFdjkW9Ujhi}zb1234919:8l0cl ir@bhiY7Whnoxl?012?3;Yelm::m6a}b.kpFdjkW9Ujhi}zb12349>99k1d~o!fsCcohZ6Ximnxyo>?01>;:47f3fxi#d}Mamn\4Zgclzi<=>?<8<2e>iuj&cxNlbc_1]b`aurj9:;=1=11`9lvg)n{Kkg`R>Paefpqg67886?2?1=4=54g1`9lvg)n{Kkg`R>Paefpqg6788632?00>::47a3fxi#d}Mamn\4Zgclzi<=>><8<2[VQ79<1d~o!fsCcohZ6Xign;<=>>169lvg)n{Kkg`R>Pclr\c`6789;<7b|m/hqAeijX8Vif|Rij012252=hzk%bOocl^2\ghvXdl:;<=?8;npa+luEiefTiuj&rImab32?a8kwd(pKkg`1=1c:mqf*~Eief783m4os`,|Ggkd5?5o6a}b.zAeij;>7i0cl xCcoh919k2eyn"vMamn?<;eiuj&rImabKis37?jte'qHj`aJfr^llp56798?0cl xCcohAouWge<=>>10g8kwd(pKkg`Ig}_omw4566:V}lSnw30?3f?jte'qHj`aJfr^llp5679;U|kRmv<0<2a>iuj&rImabKis]mkq67888T{jQly=0=5`=hzk%sNlbcDhp\jjr789;9SziPcx>0:4cRyh_b{?0;7a3fxi#uLnlmFjvZhh|9:;=?Qxg^nvp9699o1d~o!wB`no@ltXff~;<=?=_ve\hpr;97;m7b|m/y@bhiBnzVddx=>?13]tcZjr|585=k5`rc-{FdjkL`xTbbz?0131[raXd|~7?3?i;npa+}DfdeNb~R``t12357YpoVf~x1:1b:mqf*~EiefTiuj&rImabP3^3a?jte'qHj`aQ;b:mqf*~EiefT9o5`rc-{FdjkW?h0cl xCcohZ1e3fxi#uLnlm];f>iuj&rImabP9058kwd(pKkg`Rokdrwa4567494:;6a}b.zAeijXimnxyo>?01>2:417:mqf*~EiefTmij|uc2345:468=0cl xCcohZgclzi<=>?<5<23>iuj&rImabPaefpqg67896>2<94os`,|GgkdVkoh~{m01238386?2eyn"vMamn\eabt}k:;<=28>058kwd(pKkg`Rokdrwa4567414:;6a}b.zAeijXimnxyo>?01>::42<<1<251=hzk%sNlbc_lw{4564484:96a}b.zAeijXe|r;<==31?320>iuj&rImabPmtz3455;:7;>7b|m/y@bhiYj}q:;<>2=>037?jte'qHj`aQbuy2346:468?0cl xCcohZkrp9:;?1=11068kwd(pKkg`Rczx12379299<1d~o!wB`no[hs89:8090>159lvg)JhfgS`{w01208086=2eyn"vMamn\ip~7899793?>4:mqf*~EiefTaxv?011?2;723fxi#uLnlm]nq}678:6=2?02>4:43?3=:=50=hzk%sNlbc_lw{4564414:=95`rc-{FdjkWds<=><<8<21>iuj&rImabPmtz3455;17;:=6a}b.zAeijXe|r;<=:>8:mqf*~EiefTaxv?0161[LHQW9;>7b|m/y@bhiYig}:;<1c:mqf*Eief7=3m4os`,}Ggkd585o6a}b.{Aeij;;7i0cl yCcoh929k2eyn"wMamn?1;eiuj&sImab37?a8kwd(qKkg`161c:mqf*Eief753m4os`,}GgkdMcy=95`rc-zFdjkL`xTaxv?01221>iuj&sImabKis]nq}6789;:86a}b.{AeijCa{Uecy>?0036?jte'pHj`aJfr^llp56798h0cl yCcohZ6e3fxi#tLnlm]2f>iuj&sImabP2c9lvg)~JhfgS>l4os`,}GgkdV>i7b|m/x@bhiY2j2eyn"wMamn\2g=hzk%rNlbc_6`8kwd(qKkg`R6m;npa+|DfdeU2=:5`rc-zFdjkWhnoxl?012?4;703fxi#tLnlm]b`aurj9:;<1?1169lvg)~JhfgSljkst`3456;:7;<7b|m/x@bhiYflmy~n=>?0=1=52=hzk%rNlbc_`fgwpd789:783?8;npa+|DfdeUjhi}zb12349399>1d~o!vB`no[dbc{|h;<=>36?34?jte'pHj`aQndeqvf56785=5=:5`rc-zFdjkWhnoxl?012?<;703fxi#tLnlm]b`aurj9:;<171159lvg)~JhfgS`{w01278586=2eyn"wMamn\ip~789>7<3?>7:mqf*EiefTaxv?016?4;4698h0cl yCcohZkrp9:;81>1231\WR76l2eyn"wMamn\ip~789>7<3<=3^QT5Z@6j2eyn"wMamn\ip~789>7<3<;6^QT44d98PSV32`>iuj&sImabPmtz3452;878?:R]X1^D2`>iuj&sImabPmtz3452;878?:R]X1^E2f>iuj&sImabPmtz3452;878?:R]X30f8kwd(qKkg`Rczx1230969:=b:mqf*EiefTaxv?016?4;43>VY\9<:4os`,}GgkdVg~t=>?4=3=50=hzk%rNlbc_lw{4563484:=;5`rc-zFdjkWds<=>;<0<2540?05>2:77698k0cl yCcohZkrp9:;81?120]PS57f3fxi#tLnlm]nq}678=6:2??PSV32f>iuj&sImabPmtz3452;978:S^Y>00f8kwd(qKkg`Rczx1230979:8UX[<>PG0`8kwd(qKkg`Rczx1230979:8UX[b:mqf*EiefTaxv?016?5;46WZ]:>?4=3=64YT_88TJ?4=3=64YT_88TK?4=3=64YT_;;j7b|m/x@bhiYj}q:;<92>>33\WR56i2eyn"wMamn\ip~789>7=3<>_RU75f=hzk%rNlbc_lw{45634849=R]X4^D2g>iuj&sImabPmtz3452;978:S^Y;_F3b?jte'pHj`aQbuy2341:66;;T_Z;>a:mqf*EiefTaxv?016?5;46WZ]==n5`rc-zFdjkWds<=>;<0<15ZUP>VL:o6a}b.{AeijXe|r;<=:31?02[VQ1WN;j7b|m/x@bhiYj}q:;<92>>33\WR16j2eyn"wMamn\ip~789>7=3<>_RU;54e159lvg)~JhfgS`{w01278686=2eyn"wMamn\ip~789>7?3?>4:mqf*EiefTaxv?016?0;723fxi#tLnlm]nq}678=6?2?05>6:43?4=4=50=hzk%rNlbc_lw{45634?4:=;5`rc-zFdjkWds<=>;<7<2542;<6<251=hzk%rNlbc_lw{4563414:96a}b.{AeijXe|r;<=:38?320>iuj&sImabPmtz3452;17;>7b|m/x@bhiYj}q:;<926>032?jte'pHj`aQbuy23407?3fxi#tLnlm]nq}678<8TECXP099qmbnsJ}do7ghhu@wjZOE]O;i7x}jr.ObgfsjWykohak_or]`}9699k1~h| M`a`qhYwimngi]a|_b{?5;7e3|yn~"Cncbwn[ugcleo[c~Qly=0=5g=r{lx$Almlul]seabkmYexSnw33?3a?pubz&Gjon{b_qcg`icWgzUhu1:11c9vw`t(Ehihy`QaefoaUitWjs793?m;tqfv*KfkjfS}okdmgSkvYdq5<5=o5zsdp,Ided}dU{mijceQmp[f;?7;i7x}jr.ObgfsjWykohak_or]`}9>99j1~h| M`a`qhYwimngi]a|_mww8586k2xi!BabaviZvflmfn\b}Pltv?5;7d3|yn~"Cncbwn[ugcleo[c~Qcuu>1:4e<}zoy#@olcto\tdbcdlZdRbzt=1=5f=r{lx$Almlul]seabkmYexSa{{<5<2g>stm{%Fmnmzm^rb`ajbXfyT`xz35?3`?pubz&Gjon{b_qcg`icWgzUgyy29>0a8qvcu'DkhoxcPp`fgh`Vh{Vf~x1911b9vw`t(Ehihy`QaefoaUitWe050>c:wpaw)Jiji~aR~ndenfTjuXd|~753?l;tqfv*KfkjfS}okdmgSkvYg{6;2;:4e<}zoy#@olcto\tdbcdlZdRv`r=;=6a=r{lx$Aljk_`lg4566W9Ujhi}zb1234Z~hz5<5=?00]3[dbc{|h;<=>Pxnp?<;76:>1~h| M`fg[dhc89::S=Qndeqvf5678Vrd~1711002?pubz&GjhiQnne2344Y7W`doiu>?01]jjs403|yn~"ClolrbvZgil9:;=R>Pclr\hq6789Uhu1>12`9vw`t(Ejef|l|Paof3457X8Vif|Rb{0123[f;87Uyx?94urgq+HeheykySl`k0122[5YdeyUgx=>?0^az8485?2xi!BcnosewYffm:;<3c8qvcu'Dida}o}_`lg4566W9Uha}Qct1234Ze~4;4T~y<8;tqfv*Kdgdzj~Road1235Z6XkdzT`y>?01]`}959:>1~h| MbmntdtXign;<=?P0^antZjs89:;Snw34?04?pubz&Ghc`~nr^cm`5679V:To`~Plu2345Ydq5?5>:5zsdp,IfijxhxTmcj?013\4ZejxVf<=>?_b{?2;403|yn~"ClolrbvZgil9:;=R>Pclr\hq6789Uhu191299vw`t(Ejef|l|Paof3457X8Vif|Rb{0123[iss4849i6{|es-Ngjkwi{Ujbi>?00]3[fkwWe~;<=>Pltv?5;YNF_U;>55zsdp,IfijxhxTmcj?013\4ZejxVf<=>?_mww8685m2xi!BcnosewYffm:;<stm{%Fobcas]bja6788U;Snc_mv3456Xd|~793<7;tqfv*Kdgdzj~Road1235Z6XkdzT`y>?01]oqq:06;20y~k}/LaliuguW`y[mijce^2\ghvXol:;<0:7><}zoy#@m`mqcq[luWimngiR>Pclr\c`6788Uhu1:1299vw`t(Ejef|l|PirRb`ajbW9Uha}Qhe1235Ze~4<4946{|es-Ngjkwi{Ub]okdmg\4ZejxVmn<=>>_b{?2;4?3|yn~"ClolrbvZotXhno`hQ?_bos[bc789;Tot28>3:8qvcu'Dida}o}_hqSeabkmV:To`~Pgd2344Ydq525>55zsdp,IfijxhxTe~^ndenf[5YdeyUli=>?1^az8<8512xi!BcnosewYn{YkohakP0^antZab89::Sa{{<1<1=>stm{%Fobcas]jwUgcleoT>_mww868512xi!BcnosewYn{YkohakP0^antZab89::Sa{{<5<1=>stm{%Fobcas]jwUgcleoT>_mww828512xi!BcnosewYn{YkohakP0^antZab89::Sa{{<9<1=>stm{%Fobcas]jwUgcleoT24;4?3|yn~"ClolrbvZotXhno`hQ?_bos[ic789;Tot2>>3:8qvcu'Dida}o}_hqSeabkmV:To`~Pld2344Ydq585>55zsdp,IfijxhxTe~^ndenf[5YdeyUgi=>?1^az868502xi!BcnosewYn{YkohakP0^antZjb89::Snw34?0;?pubz&Ghc`~nr^kpTdbcdlU;Snc_mg3457Xkp6>2?64urgq+HeheykySd}_aefoaZ6XkdzT`h>?00]`}909:11~h| MbmntdtXazZjhibj_1]`iuYkm9:;=Rmv<6<1<>stm{%Fobcas]jwUgcleoT6;30y~k}/LaliuguW`y[mijce^2\ghvXdl:;<3:7?<}zoy#@m`mqcq[luWimngiR>Pclr\h`6788Ugyy2>>3;8qvcu'Dida}o}_hqSeabkmV:To`~Pld2344Yk}}692?74urgq+HeheykySd}_aefoaZ6XkdzT`h>?00]oqq:46;30y~k}/LaliuguW`y[mijce^2\ghvXdl:;<7:7?<}zoy#@m`mqcq[luWimngiR>Pclr\h`6788Ugyy2:>3;8qvcu'Dida}o}_hqSeabkmV:To`~Pld2344Yk}}6=2?74urgq+HeheykySd}_aefoaZ6XkdzT`h>?00]oqq:06;30y~k}/LaliuguW`y[mijce^2\ghvXdl:;<;:7?<}zoy#@m`mqcq[luWimngiR>Pclr\h`6788Ugyy26>99vw`t(F9;<7x}jr.L5a>stm{%Yi~{ct=2=a>stm{%Yi~{ct=3=a>stm{%Yi~{ct=0=a>stm{%Yi~{ct=1=a>stm{%Yi~{ct=6=a>stm{%Yi~{ct=7=a>stm{%Yi~{ct=4=a>stm{%Yi~{ct=5=a>stm{%Yi~{ct=:=a>stm{%Yi~{ct=;=52=r{lx$mcj?012\4Zgil9:;<Paof3456Xag|:Sd`y1b9vw`t(ign;<=?P0^cg`vse89:;0;0>c:wpaw)ffm:;<Paefpqg6789632?01355<=r{lx$e~^ndenf[5Yffm:;<==91`9vw`t(azZjhibj_1]`iuY`m9:;=Pclr\h`6788;:7x}jr.rb`ajbXfy7<3?>;tqfv*vflmfn\b}31?32?pubz&zjhibjPnq?6;763|yn~"~ndenfTju;;7;:7x}jr.rb`ajbXfy783?>;tqfv*vflmfn\b}35?32?pubz&zjhibjPnq?2;763|yn~"~ndenfTju;?7;:7x}jr.rb`ajbXfy743?>;tqfv*vflmfn\b}39?33?pubz&zjhibjPnq\446<}zoy#}okdmgSkvY6991~h| p`fgh`Vh{V8:<6{|es-seabkmYexS>??;tqfv*vflmfn\b}P4028qvcu'ykohak_or]655=r{lx$|ljkldRlwZ0682xi!aefoaUitW>;;7x}jr.rb`ajbXfyT4<>4urgq+ugcleo[c~Q6179vw`t(xhno`h^`s^llp56798=0y~k}/qcg`icWgzUecy>?0032=>stm{%{mijceQmp[kis89::=<8>9:wpaw)wimngi]a|_omw4566982:h6{|es-seabkmYexSca{012254>X[^::h6{|es-seabkmYexSca{012254>X[^;:46{|es-seabkmYexSca{012250703|yn~"~ndenfTjuXff~;<=?=169vw`t(xhno`h^`s^llp5679:;37x}jr.rb`ajbXfyTbbz?013054><}zoy#}okdmgSkvYig}:;<<:>199vw`t(xhno`h^`s^llp5679<;:46{|es-seabkmYexSca{0122247a3|yn~"~ndenfTjuXff~;<=?Pwf]`}9699o1~h| p`fgh`Vh{Vddx=>?1^ud[f;97;m7x}jr.rb`ajbXfyTbbz?013\sbYdq585=k5zsdp,tdbcdlZdR``t1235Zq`Wjs7?3348qvcu'ykohak_or]mkq6788U|kRbzt=2=[LHQW98;7x}jr.rb`ajbXfyTbbz?013\sbYk}}6:2?>4urgq+ugcleo[c~Qaou2344YpoVf~x1<1219vw`t(xhno`h^`s^llp5679V}lSa{{<2<7?safJ<1}klL>9:tdeGYHJ\L?7{inG49ucdA7>2|lmJ?>7:tdeB72=k1}klI>54]PS50<~nkL>=;4vfcD23=qohM=8l5yg`E50ZUP8?1}klI61`9ucdA>9VY\<45yg`E\KGSA=2|lmE]n;webLVYHJ\L?7{inR49ucdT612|lm_Q@BTD6?saf^Zk0zjoYS^MAQC703coib!BcnwmpZndf}Uhu1>1169umach'DidyczPhblw[f;97;j7{gken-Ngjsi|VbhbyQly=3=[wr6?2|bhha MbmvjqYokg~Tot2=>0c8rlbbg&Ghcx`{_iampZe~4;4T~y?8;wkgaj)JkfexRflnu]`}9599h1}eik`/LalqkrX`jdSnw33?]qp41<~`nnc"Clotlw[mei|Vir090>a:tj``i(Eje~byQgcov\g|:36Vx=:5yiegl+Heh}g~Tdn`{_b{?1;7f3coib!BcnwmpZndf}Uhu1;1_sv23>pnlle$Anaznu]kgkrXkp6=2;:Zts911}eik`/LalqkrX`jdSa{{<1<2a>pnlle$Anaznu]kgkrXd|~7<3QFNW]35==qamod#@m`uov\lfhsWqey0=0>8:tj``i(Eje~byQgcov\|jt;97;37{gken-Ngjsi|VbhbyQwos>1:4><~`nnc"Clotlw[mei|Vrd~1=1199umach'DidyczPhblw[}iu4=4:46xfddm,Ifirf}UcoczPxnp?1;7?3coib!BcnwmpZndf}Usc29>0:8rlbbg&Ghcx`{_iampZ~hz5=5=55yiegl+Heh}g~Tdn`{_ymq8=8602|bhha MbmvjqYokg~Ttb|39?3a?socmf%Fob{at^j`jqYg{622R|{169umach'DidyczPvblw[f;87;<7{gken-Ngjsi|V|hbyQly=3=5d=qamod#@m`uov\rfhsWjs7=3Q}t058rlbbg&Ghcx`{_wampZe~4;4:m6xfddm,Ifirf}U}oczPcx>1:Zts9>1}eik`/LalqkrX~jdSnw33?3b?socmf%Fob{at^t`jqYdq595Sz>7:tj``i(Eje~byQycov\g|:368k0zdjjo.O`kphsWiexRmv<5<\vq703coib!BcnwmpZpdf}Uhu1;11`9umach'DidyczPvblw[f;=7Uyx<94vhffk*Kdg|dS{mat^az8386i2|bhha MbmvjqYqkg~Tot29>^pw52=qamod#@m`uov\rfhsWjs7;3?n;wkgaj)JkfexRxlnu]`}919W{~:;6xfddm,Ifirf}U}oczPcx>;:4g<~`nnc"Clotlw[sei|Vir050Pru3;?socmf%Fob{at^t`jqYk}}6;28:tj``i(Eje~byQycov\|jt;;7;37{gken-Ngjsi|V|hbyQwos>7:4><~`nnc"Clotlw[sei|Vrd~1;1199umach'DidyczPvblw[}iu4?4:46xfddm,Ifirf}U}oczPxnp?3;7?3coib!BcnwmpZpdf}Usc27>0:8rlbbg&Ghcx`{_wampZ~hz535=o5yiegl+Heh}g~Tzn`{_ymq8<8Xz}l0zdjjo.Pfwpjs494:<6xfddm,V`urd}6;20:tj``i(Zly~`y2>>0d8rlbbg&Xnxb{<3<24>pnlle$^h}zlu>1:4`<~`nnc"\jstnw868682|bhha Rdqvhq:468l0zdjjo.Pfwpjs4=4:<6xfddm,V`urd}6?20:tj``i(Zly~`y2:>0d8rlbbg&Xnxb{<7<24>pnlle$^h}zlu>5:4`<~`nnc"\jstnw828682|bhha Rdqvhq:068l0zdjjo.Pfwpjs414:<6xfddm,V`urd}6320:tj``i(Zly~`y26>03:?socmf%i`l`dioe\4Ziu89:;=>e9umach'aiex1<1d:tj``i(`jd0>0k;wkgaj)okg~783j4vhffk*ndf}6>2i5yiegl+mei|5<5h6xfddm,lfhs4>4o7{gken-kgkr;07n0zdjjo.j`jq:>6j1}eik`/iampZ6d3coib!gcov\5f=qamod#emat^0`?socmf%coczP3b9umach'aiexR:l;wkgaj)okg~T9n5yiegl+mei|Vd3coib!gcov\=44<~`nnc"flnu]mkq6788;87{gken-kgkrXff~;<=?>149umach'aiexR``t1235Z`2l2|bhha vR{mg73b3coib!ySxl`607682|bhha vR{mg73Xnl2|bhha vR{mg<7a3coib!ySxl`=Z`2l2|bhha vblw858c3coib!ycov?5;b<~`nnc"xlnu>1:a=qamod#{mat=1=`>pnlle$zn`{<5e9umach'iex191d:tj``i(~jd050k;wkgaj)qkg~753m4vhffk*pdf}U;o6xfddm,rfhsW8i0zdjjo.t`jqY5k2|bhha vblw[6e<~`nnc"xlnu]7g>pnlle$zn`{_4a8rlbbg&|hbyQ9c:tj``i(~jdS:m4vhffk*pdf}U3o6xfddm,rfhsW0;97{gken-ugkrXign;<=>>4:tj``i(~jdSl`k0123547?3coib!ycov\ekb789::=R]X0018rlbbg&|hbyQnne234546=2|bhha vblw[dhc89:;><<>5:tj``i(~jdSl`k01236616=2|bhha vblw[dhc89:;>>7>4:tj``i(~jdSl`k012376713coib!ycov\jjr789;Tecx>0:z`7v478h'?!{>01CDu`082JKtlo5F;095~U4?3hi6;6512165ae=;883ov`m9;38jgg=>2.i47l<;|Q02?de2?21=>=:1ea9744e02njm7>51;3xW61=jk0=47?<343gg?56:1i0zYoj:182>4<5454=8nh6>?=8b9'f7<2m2.j57=mf:`be?6=038?<7<;bzJa4>"fn3kj7b==b;29?j5513:17d<8b;29?le32900en>50;9l77c=831d?5}#io0>?6Fm5:Ja4>i2:3:17plm7;295?6=8r.jj7l>;I`6?Md73fk36=44}c`g>5<3290;w)oi:578Lg3<@k:0e?h50;9j7=<722cjo7>5;nca>5<53;294~"fn3h?7El:;I`3?l522900e8m50;9le3<722wi?;750;194?6|,hl1n95Gb49Kf5=n;<0;66g:c;29?jg12900qo=:d;290?6=8r.jj786;I`6?Md73`9>6=44i2`94?=nim0;66an6;29?xd4>10;6>4?:1y'ec1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn>89:180>5<7s-km6o:4Hc78Lg6>if>3:17pl<5683>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e;??1<7=50;2x d`=j=1Cn85Gb19j70<722c>o7>5;nc5>5<97>54;294~"fn3<27El:;I`3?l522900e>l50;9jea<722ej:7>5;|`021<72:0;6=u+ag8a0>Ne=2Bi<6g<5;29?l3d2900cl850;9~f634290?6=4?{%ce>3?<@k?0Do>4i2794?=n;k0;66gnd;29?jg12900qo=93;297?6=8r.jj7l;;I`6?Md73`9>6=44i4a94?=hi?0;66sm34394?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj:<96=4<:183!ga2k>0Do;4Hc28m63=831b9n4?::mb2?6=3th88k4?:583>5}#io0=56Fm5:Ja4>o4=3:17d=m:188mdb=831dm;4?::a737=8391<7>t$`d9f1=Oj<1Cn=5f3483>>o2k3:17bo9:188yg53m3:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl<4b83>6<729q/mk4m4:Ja1>Ne82c897>5;h7`>5<5<4290;w)oi:c68Lg3<@k:0e>;50;9j1f<722ej:7>5;|`07c<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi?9o50;194?6|,hl1n95Gb49Kf5=n;<0;66g:c;29?jg12900qo=6=44i2`94?=nim0;66an6;29?xd4<00;6>4?:1y'ec1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn>:8:180>5<7s-km6o:4Hc78Lg6>if>3:17pl<3683>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e;=<1<7=50;2x d`=j=1Cn85Gb19j70<722c>o7>5;nc5>5<54;294~"fn3<27El:;I`3?l522900e>l50;9jea<722ej:7>5;|`000<72:0;6=u+ag8a0>Ne=2Bi<6g<5;29?l3d2900cl850;9~f654290?6=4?{%ce>3?<@k?0Do>4i2794?=n;k0;66gnd;29?jg12900qo=;4;297?6=8r.jj7l;;I`6?Md73`9>6=44i4a94?=hi?0;66sm32394?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj:>86=4<:183!ga2k>0Do;4Hc28m63=831b9n4?::mb2?6=3th8?=4?:583>5}#io0=56Fm5:Ja4>o4=3:17d=m:188mdb=831dm;4?::a7g3=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7g2=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7g5=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7g4=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7g7=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7g6=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7d`=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7dc=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7db=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7de=83?1<7>t$`d903=Oj<1Cn=5f2g83>>o4;3:17d=7:188mde=831dmo4?::a7<>=83>1<7>t$`d900=Oj<1Cn=5f2g83>>o403:17dol:188kdd=831vn>78:187>5<7s-km69;4Hc78Lg6>ofk3:17bom:188yg5>>3:187>50z&bb?223Ah>7El?;h0e>5<>ifj3:17pl<9483>1<729q/mk4;5:Ja1>Ne82c9j7>5;h1;>5<>{e;0>1<7:50;2x d`=<<1Cn85Gb19j6c<722c847>5;hc`>5<5<3290;w)oi:578Lg3<@k:0e?h50;9j7=<722cjo7>5;nca>5<7>54;294~"fn3>>7El:;I`3?l4a2900e>650;9jef<722ejn7>5;|`0=4<72=0;6=u+ag871>Ne=2Bi<6g=f;29?l5?2900elm50;9leg<722wi?4>50;694?6|,hl1885Gb49Kf5=n:o0;66g<8;29?lgd2900cll50;9~f6>a290?6=4?{%ce>13<@k?0Do>4i3d94?=n;10;66gnc;29?jge2900qo6=44i2`94?=nim0;66an6;29?xd5kl0;694?:1y'ec<112Bi96Fm0:k01?6=3`9i6=44i`f94?=hi?0;66sm2e:94?5=83:p(lh5619Kf0=Oj91/9l4=3:k61?6=3`?=6=44o`494?=zj;io6=4;:183!ga2?30Do;4Hc28m63=831b?o4?::kb`?6=3fk=6=44}c0`g?6=<3:15}#io0=56Fm5:Ja4>o4=3:17d=m:188mdb=831dm;4?::a6fg=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn?j;:180>5<7s-km6;>4Hc78Lg6<,>5f5483>>o2>3:17bo9:188yg4d13:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl=d283>6<729q/mk490:Ja1>Ne82.>m7<<;h76>5<>{e:j21<7:50;2x d`=>01Cn85Gb19j70<722c8n7>5;hcg>5<5<4290;w)oi:728Lg3<@k:0(8o5229j10<722c>:7>5;nc5>5<54;294~"fn3<27El:;I`3?l522900e>l50;9jea<722ej:7>5;|`1`4<72:0;6=u+ag854>Ne=2Bi<6*:a;00?l322900e8850;9le3<722wi>n850;694?6|,hl1:45Gb49Kf5=n;<0;66g36<@k?0Do>4$4c966=n=<0;66g:6;29?jg12900qo6=44i2`94?=nim0;66an6;29?xd5ko0;6>4?:1y'ec<182Bi96Fm0:&6e?443`?>6=44i4494?=hi?0;66sm2`:94?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj;k<6=4;:183!ga2?30Do;4Hc28m63=831b?o4?::kb`?6=3fk=6=44}c0b2?6=<3:15}#io0=56Fm5:Ja4>o4=3:17d=m:188mdb=831dm;4?::a6d2=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn?o=:187>5<7s-km6;74Hc78Lg6>ofl3:17bo9:188yg4f93:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl=9g83>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e:031<7=50;2x d`=j=1Cn85Gb19j70<722c>o7>5;nc5>5<53;294~"fn3h?7El:;I`3?l522900e8m50;9le3<722wi>5;50;694?6|,hl1:45Gb49Kf5=n;<0;66gg2<@k?0Do>4i2794?=n=j0;66an6;29?xd50=0;694?:1y'ec<112Bi96Fm0:k01?6=3`9i6=44i`f94?=hi?0;66sm28494?5=83:p(lh5b59Kf0=Oj91b?84?::k6g?6=3fk=6=44}c0;7?6=<3:15}#io0i86Fm5:Ja4>o4=3:17d;l:188kd0=831vn?6=:187>5<7s-km6;74Hc78Lg6>ofl3:17bo9:188yg4><3:1?7>50z&bb?d33Ah>7El?;h16>5<>{e:1;1<7:50;2x d`=>01Cn85Gb19j70<722c8n7>5;hcg>5<5<4290;w)oi:c68Lg3<@k:0e>;50;9j1f<722ej:7>5;|`1<5<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi>4<50;194?6|,hl1n95Gb49Kf5=n;<0;66g:c;29?jg12900qo<8f;290?6=8r.jj786;I`6?Md73`9>6=44i2`94?=nim0;66an6;29?xd5180;6>4?:1y'ec1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn?l6:187>5<7s-km6;74Hc78Lg6>ofl3:17bo9:188yg4d;3:1?7>50z&bb?073Ah>7El?;%7b>75>if>3:17pl=b983>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e:j81<7=50;2x d`=>91Cn85Gb19'1d<5;2c>97>5;h75>5<5<3290;w)oi:7;8Lg3<@k:0e>;50;9j7g<722cjh7>5;nc5>5<53;294~"fn3<;7El:;I`3?!3f2;90e8;50;9j13<722ej:7>5;|`1f3<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi>n>50;194?6|,hl1:=5Gb49Kf5=#=h09?6g:5;29?l312900cl850;9~f7d2290?6=4?{%ce>3?<@k?0Do>4i2794?=n;k0;66gnd;29?jg12900qo5}#io0=56Fm5:Ja4>o4=3:17d=m:188mdb=831dm;4?::a6ge=8391<7>t$`d925=Oj<1Cn=5+5`817>o2=3:17d;9:188kd0=831vn?l>:187>5<7s-km6;74Hc78Lg6>ofl3:17bo9:188yg4ej3:1?7>50z&bb?073Ah>7El?;%7b>75>if>3:17pl=b183>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e:kk1<7=50;2x d`=>91Cn85Gb19'1d<5;2c>97>5;h75>5<5<3290;w)oi:568Lg3<@k:0e?h50;9j76<722c847>5;nca>5<7>54;294~"fn3>?7El:;I`3?l4a2900e>=50;9j7=<722ejn7>5;|`044<72=0;6=u+ag870>Ne=2Bi<6g=f;29?l542900e>650;9leg<722wi?=>50;694?6|,hl1895Gb49Kf5=n:o0;66g<3;29?l5?2900cll50;9~f7`a290?6=4?{%ce>12<@k?0Do>4i3d94?=n;:0;66g<8;29?jge2900qok4?::k07?6=3`936=44o``94?=zj;li6=4;:183!ga2=>0Do;4Hc28m7`=831b?>4?::k05}#io0=56Fm5:Ja4>o4=3:17d=m:188mdb=831dm;4?::a50>=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn<8=:180>5<7s-km6;>4Hc78Lg6<,>5f5483>>o2>3:17bo9:188yg72?3:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl>5783>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e901Cn85Gb19j70<722c8n7>5;hcg>5<5<3290;w)oi:7;8Lg3<@k:0e>;50;9j7g<722cjh7>5;nc5>5<i7>53;294~"fn3<;7El:;I`3?!3f2;90e8;50;9j13<722ej:7>5;|`216<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi=8j50;194?6|,hl1:=5Gb49Kf5=#=h09?6g:5;29?l312900cl850;9~f435290?6=4?{%ce>3?<@k?0Do>4i2794?=n;k0;66gnd;29?jg12900qo?:c;297?6=8r.jj78?;I`6?Md73-?j6?=4i4794?=n=?0;66an6;29?xd6=80;694?:1y'ec<112Bi96Fm0:k01?6=3`9i6=44i`f94?=hi?0;66sm14`94?5=83:p(lh5619Kf0=Oj91/9l4=3:k61?6=3`?=6=44o`494?=zj8?;6=4;:183!ga2?30Do;4Hc28m63=831b?o4?::kb`?6=3fk=6=44}c36e?6=;3:15}#io0=56Fm5:Ja4>o4=3:17d=m:188mdb=831dm;4?::a50?=8391<7>t$`d925=Oj<1Cn=5+5`817>o2=3:17d;9:188kd0=831vn<=8:187>5<7s-km6;74Hc78Lg6>ofl3:17bo9:188yg7383:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl>3g83>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e9:o1<7:50;2x d`=>01Cn85Gb19j70<722c8n7>5;hcg>5<5<3290;w)oi:7;8Lg3<@k:0e>;50;9j7g<722cjh7>5;nc5>5<54;294~"fn3<27El:;I`3?l522900e>l50;9jea<722ej:7>5;|`27g<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi=>o50;694?6|,hl1:45Gb49Kf5=n;<0;66g290?6=4?{%ce>3?<@k?0Do>4i2794?=n;k0;66gnd;29?jg12900qo?;5;297?6=8r.jj78?;I`6?Md73-?j6?=4i4794?=n=?0;66an6;29?xd6;10;694?:1y'ec<112Bi96Fm0:k01?6=3`9i6=44i`f94?=hi?0;66sm15694?5=83:p(lh5619Kf0=Oj91/9l4=3:k61?6=3`?=6=44o`494?=zj89=6=4;:183!ga2?30Do;4Hc28m63=831b?o4?::kb`?6=3fk=6=44}c375?6=;3:15}#io0=56Fm5:Ja4>o4=3:17d=m:188mdb=831dm;4?::a5dg=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn5<7s-km6;>4Hc78Lg6<,>5f5483>>o2>3:17bo9:188yg7f13:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl>b283>6<729q/mk490:Ja1>Ne82.>m7<<;h76>5<>{e9h21<7:50;2x d`=>01Cn85Gb19j70<722c8n7>5;hcg>5<5<3290;w)oi:7;8Lg3<@k:0e>;50;9j7g<722cjh7>5;nc5>5<53;294~"fn3<;7El:;I`3?!3f2;90e8;50;9j13<722ej:7>5;|`2e3<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi=l;50;694?6|,hl1:45Gb49Kf5=n;<0;66g3?<@k?0Do>4i2794?=n;k0;66gnd;29?jg12900qo?n3;290?6=8r.jj786;I`6?Md73`9>6=44i2`94?=nim0;66an6;29?xd6im0;6>4?:1y'ec<182Bi96Fm0:&6e?443`?>6=44i4494?=hi?0;66sm1`094?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj8kh6=4<:183!ga2?:0Do;4Hc28 0g=::1b984?::k62?6=3fk=6=44}c3b4?6=<3:15}#io0=<6Fm5:Ja4>"2i3887d;::188m00=831dm;4?::a5=e=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn<7::187>5<7s-km6;74Hc78Lg6>ofl3:17bo9:188yg7>n3:1?7>50z&bb?073Ah>7El?;%7b>75>if>3:17pl>9583>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e9091<7:50;2x d`=>01Cn85Gb19j70<722c8n7>5;hcg>5<5<3290;w)oi:7;8Lg3<@k:0e>;50;9j7g<722cjh7>5;nc5>5<53;294~"fn3<;7El:;I`3?!3f2;90e8;50;9j13<722ej:7>5;|`2=4<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi=4>50;694?6|,hl1:45Gb49Kf5=n;<0;66ga290?6=4?{%ce>3?<@k?0Do>4i2794?=n;k0;66gnd;29?jg12900qo?7e;290?6=8r.jj786;I`6?Md73`9>6=44i2`94?=nim0;66an6;29?xd6110;6>4?:1y'ec<182Bi96Fm0:&6e?443`?>6=44i4494?=hi?0;66sm19f94?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj83<6=4<:183!ga2?:0Do;4Hc28 0g=::1b984?::k62?6=3fk=6=44}c3;f?6=<3:15}#io0=<6Fm5:Ja4>"2i3887d;::188m00=831dm;4?::a57>=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn<<8:187>5<7s-km6;74Hc78Lg6>ofl3:17bo9:188yg75>3:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl>2483>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e9;91<7:50;2x d`=>01Cn85Gb19j70<722c8n7>5;hcg>5<5<3290;w)oi:7;8Lg3<@k:0e>;50;9j7g<722cjh7>5;nc5>5<54;294~"fn3<27El:;I`3?l522900e>l50;9jea<722ej:7>5;|`25`<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi=5>50;694?6|,hl1:45Gb49Kf5=n;<0;66gf29086=4?{%ce>36<@k?0Do>4$4c966=n=<0;66g:6;29?jg12900qo?8f;290?6=8r.jj786;I`6?Md73`9>6=44i2`94?=nim0;66an6;29?xd6000;6>4?:1y'ec<182Bi96Fm0:&6e?443`?>6=44i4494?=hi?0;66sm16g94?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj8236=4<:183!ga2?:0Do;4Hc28 0g=::1b984?::k62?6=3fk=6=44}c34`?6=<3:15}#io0=<6Fm5:Ja4>"2i3887d;::188m00=831dm;4?::a52e=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn<69:180>5<7s-km6;>4Hc78Lg6<,>5f5483>>o2>3:17bo9:188yg70j3:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl>8483>6<729q/mk490:Ja1>Ne82.>m7<<;h76>5<>{e9>k1<7:50;2x d`=>01Cn85Gb19j70<722c8n7>5;hcg>5<5<4290;w)oi:728Lg3<@k:0(8o5229j10<722c>:7>5;nc5>5<54;294~"fn3<27El:;I`3?l522900e>l50;9jea<722ej:7>5;|`2<6<72:0;6=u+ag854>Ne=2Bi<6*:a;00?l322900e8850;9le3<722wi=:650;694?6|,hl1:45Gb49Kf5=n;<0;66g529086=4?{%ce>36<@k?0Do>4$4c966=n=<0;66g:6;29?jg12900qo?87;290?6=8r.jj786;I`6?Md73`9>6=44i2`94?=nim0;66an6;29?xd6080;6>4?:1y'ec<182Bi96Fm0:&6e?443`?>6=44i4494?=hi?0;66sm17a94?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj8==6=4<:183!ga2?:0Do;4Hc28 0g=::1b984?::k62?6=3fk=6=44}c35f?6=<3:15}#io0=<6Fm5:Ja4>"2i3887d;::188m00=831dm;4?::a53g=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn<9;:180>5<7s-km6;>4Hc78Lg6<,>5f5483>>o2>3:17bo9:188yg7113:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl>7283>6<729q/mk490:Ja1>Ne82.>m7<<;h76>5<>{e9?21<7:50;2x d`=>01Cn85Gb19j70<722c8n7>5;hcg>5<5<4290;w)oi:728Lg3<@k:0(8o5229j10<722c>:7>5;nc5>5<54;294~"fn3<27El:;I`3?l522900e>l50;9jea<722ej:7>5;|`234<72:0;6=u+ag854>Ne=2Bi<6*:a;00?l322900e8850;9le3<722wi=;850;694?6|,hl1:45Gb49Kf5=n;<0;66g36<@k?0Do>4$4c966=n=<0;66g:6;29?jg12900qo?95;290?6=8r.jj786;I`6?Md73`9>6=44i2`94?=nim0;66an6;29?xd6>o0;6>4?:1y'ec<182Bi96Fm0:&6e?443`?>6=44i4494?=hi?0;66sm17694?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj85}#io0=<6Fm5:Ja4>"2i3887d;::188m00=831dm;4?::a54b=8391<7>t$`d9f1=Oj<1Cn=5f3483>>o2k3:17bo9:188yg76k3:1?7>50z&bb?d33Ah>7El?;h16>5<>{e9931<7:50;2x d`=>01Cn85Gb19j70<722c8n7>5;hcg>5<5<4290;w)oi:c68Lg3<@k:0e>;50;9j1f<722ej:7>5;|`24=<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi=6=44i2`94?=nim0;66an6;29?xd6900;6>4?:1y'ec1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn5<7s-km6o:4Hc78Lg6>if>3:17pl>0583>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e98<1<7=50;2x d`=j=1Cn85Gb19j70<722c>o7>5;nc5>5<54;294~"fn3<27El:;I`3?l522900e>l50;9jea<722ej:7>5;|`250<72:0;6=u+ag8a0>Ne=2Bi<6g<5;29?l3d2900cl850;9~f465290?6=4?{%ce>3?<@k?0Do>4i2794?=n;k0;66gnd;29?jg12900qo?>4;297?6=8r.jj7l;;I`6?Md73`9>6=44i4a94?=hi?0;66sm11394?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj8:;6=4<:183!ga2k>0Do;4Hc28m63=831b9n4?::mb2?6=3thmj7>53;294~"fn3h?7El:;I`3?l522900e8m50;9le3<722wiin4?:583>5}#io0=56Fm5:Ja4>o4=3:17d=m:188mdb=831dm;4?::ab`<72:0;6=u+ag8a0>Ne=2Bi<6g<5;29?l3d2900cl850;9~f`d=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vnkj50;194?6|,hl1n95Gb49Kf5=n;<0;66g:c;29?jg12900qokn:187>5<7s-km6;74Hc78Lg6>ofl3:17bo9:188yg`d29086=4?{%ce>g2<@k?0Do>4i2794?=n=j0;66an6;29?xdb13:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17plib;297?6=8r.jj7l;;I`6?Md73`9>6=44i4a94?=hi?0;66sme983>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{enh0;6>4?:1y'ec01Cn85Gb19j70<722c8n7>5;hcg>5<5<3290;w)oi:7;8Lg3<@k:0e>;50;9j7g<722cjh7>5;nc5>5<0Do;4Hc28m63=831b9n4?::mb2?6=3thn97>54;294~"fn3<27El:;I`3?l522900e>l50;9jea<722ej:7>5;|`e3?6=;3:1Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi=h:50;694?6|,hl1895Gb49Kf5=#=h0;7d5}#io0?86Fm5:Ja4>"2i3:0e?h50;9j76<722c847>5;nca>5<54;294~"fn3>?7El:;I`3?!3f291b>k4?::k07?6=3`936=44o``94?=zj;986=4;:183!ga2=>0Do;4Hc28 0g=82c9j7>5;h10>5<>{e::81<7:50;2x d`=<=1Cn85Gb19'1d<73`8m6=44i2194?=n;10;66anb;29?xd5;80;694?:1y'ec<3<2Bi96Fm0:&6e?6>o403:17bom:188yg4483:187>50z&bb?233Ah>7El?;%7b>5=n:o0;66g<3;29?l5?2900cll50;9~f74a290?6=4?{%ce>12<@k?0Do>4$4c94>o5n3:17d=<:188m6>=831dmo4?::a67c=83>1<7>t$`d901=Oj<1Cn=5+5`83?l4a2900e>=50;9j7=<722ejn7>5;|`16a<72=0;6=u+ag870>Ne=2Bi<6*:a;28m7`=831b?>4?::k05;h1;>5<5<3290;w)oi:568Lg3<@k:0(8o50:k1b?6=3`986=44i2:94?=hik0;66sm1gc94?2=83:p(lh5459Kf0=Oj91/9l4?;h0e>5<>ifj3:17pl>f883>1<729q/mk4;4:Ja1>Ne82.>m7>4i3d94?=n;:0;66g<8;29?jge2900qo?i8;290?6=8r.jj7:;;I`6?Md73-?j6=5f2g83>>o4;3:17d=7:188kdd=831vn5<7s-km69:4Hc78Lg6<,650;9leg<722wi=k850;694?6|,hl1895Gb49Kf5=#=h0;7d5}#io0?86Fm5:Ja4>"2i3:0e?h50;9j76<722c847>5;nca>5<54;294~"fn3>?7El:;I`3?!3f291b>k4?::k07?6=3`936=44o``94?=zj8l96=4;:183!ga2=>0Do;4Hc28 0g=82c9j7>5;h10>5<>{e9o;1<7:50;2x d`=<=1Cn85Gb19'1d<73`8m6=44i2194?=n;10;66anb;29?xd5:>0;694?:1y'ec<3<2Bi96Fm0:&6e?6>o403:17bom:188yg5693:187>50z&bb?0>3Ah>7El?;h16>5<>if>3:17pl<1683>0<729q/mk495:Ja1>Ne82.>m7?4i4794?=n=?0;66g:7;29?l3?2900cl850;9~f675290?6=4?{%ce>3?<@k?0Do>4i2794?=n;k0;66gnd;29?jg12900qo=>8;291?6=8r.jj78:;I`6?Md73-?j6<5f5483>>o2>3:17d;8:188m0>=831dm;4?::a745=83>1<7>t$`d92<=Oj<1Cn=5f3483>>o4j3:17dok:188kd0=831vn>?6:186>5<7s-km6;;4Hc78Lg6<,5;|`051<72=0;6=u+ag85=>Ne=2Bi<6g<5;29?l5e2900elj50;9le3<722wi=hm50;794?6|,hl1:85Gb49Kf5=#=h0:7d;::188m00=831b9:4?::k65}#io0=96Fm5:Ja4>"2i3;0e8;50;9j13<722c>;7>5;h7;>5<5<3290;w)oi:7;8Lg3<@k:0e>;50;9j7g<722cjh7>5;nc5>5<55;294~"fn3<>7El:;I`3?!3f281b984?::k62?6=3`?<6=44i4:94?=hi?0;66sm1d;94?2=83:p(lh5689Kf0=Oj91b?84?::k0f?6=3`ko6=44o`494?=zj8om6=4::183!ga2??0Do;4Hc28 0g=92c>97>5;h75>5<>if>3:17pl>e`83>1<729q/mk499:Ja1>Ne82c897>5;h1a>5<>{e9o:1<7;50;2x d`=><1Cn85Gb19'1d<63`?>6=44i4494?=n=>0;66g:8;29?jg12900qo?jb;290?6=8r.jj786;I`6?Md73`9>6=44i2`94?=nim0;66an6;29?xd40=0;694?:1y'ec<1:2Bi96Fm0:&6e?5f3`?>6=44i4494?=n=>0;66an6;29?xd40:0;684?:1y'ec<1=2Bi96Fm0:&6e?5c3`?>6=44i4494?=n=>0;66g:8;29?jg12900qo=72;291?6=8r.jj78:;I`6?Md73-?j6?>4i4794?=n=?0;66g:7;29?l3?2900cl850;9~f6>7290?6=4?{%ce>34<@k?0Do>4$4c974=n=<0;66g:6;29?l302900cl850;9~f6>6290?6=4?{%ce>34<@k?0Do>4$4c902=n=<0;66g:6;29?l302900cl850;9~f71529086=4?{%ce>36<@k?0Do>4$4c96f=n=<0;66g:6;29?jg12900qo<=9;297?6=8r.jj78?;I`6?Md73-?j6>64i4794?=n=?0;66an6;29?xd48l0;684?:1y'ec<1=2Bi96Fm0:&6e?4>o2?3:17d;7:188kd0=831vn<5<7s-km6;;4Hc78Lg6<,5;|`0fd<72<0;6=u+ag851>Ne=2Bi<6*:a;38m03=831b9;4?::k63?6=3`?36=44o`494?=zj:hi6=4::183!ga2??0Do;4Hc28 0g=92c>97>5;h75>5<>if>3:17pl1<729q/mk492:Ja1>Ne82.>m7:8;h76>5<>if>3:17pl0<729q/mk495:Ja1>Ne82.>m7<8;h76>5<>o203:17bo9:188yg74<3:197>50z&bb?023Ah>7El?;%7b>6e>o2?3:17d;7:188kd0=831vn<=<:186>5<7s-km6;;4Hc78Lg6<,6g:5;29?l312900e8950;9j1=<722ej:7>5;|``a?6==3:1:7>5;h74>5<>{ek>0;694?:1y'ec<1:2Bi96Fm0:&6e?7a3`?>6=44i4494?=n=>0;66an6;29?xddi3:187>50z&bb?053Ah>7El?;%7b>11>o2?3:17bo9:188yg56j3:187>50z&bb?053Ah>7El?;%7b>47>o2?3:17bo9:188yg4bj3:197>50z&bb?023Ah>7El?;%7b>46>o2?3:17d;7:188kd0=831vn?kl:187>5<7s-km6;<4Hc78Lg6<,>o2>3:17d;8:188kd0=831vn?kk:186>5<7s-km6;;4Hc78Lg6<,=5f5483>>o2>3:17d;8:188m0>=831dm;4?::a`=<72<0;6=u+ag851>Ne=2Bi<6*:a;3:?l322900e8850;9j12<722c>47>5;nc5>5<6<729q/mk490:Ja1>Ne82.>m7?;;h76>5<>{e:831<7:50;2x d`=>;1Cn85Gb19'1d<4;2c>97>5;h75>5<>{e:8=1<7:50;2x d`=>;1Cn85Gb19'1d<4;2c>97>5;h75>5<>{e:8?1<7:50;2x d`=>;1Cn85Gb19'1d6=44i4494?=n=>0;66an6;29?xd59:0;694?:1y'ec<1:2Bi96Fm0:&6e?203`?>6=44i4494?=n=>0;66an6;29?xd5980;694?:1y'ec<1:2Bi96Fm0:&6e?2>o2?3:17bo9:188yg47n3:187>50z&bb?053Ah>7El?;%7b>f=n=<0;66g:6;29?l302900cl850;9~f76c290?6=4?{%ce>34<@k?0Do>4$4c9g>o2=3:17d;9:188m01=831dm;4?::a65d=83>1<7>t$`d927=Oj<1Cn=5+5`85?l322900e8850;9j12<722ej:7>5;|`14<<72=0;6=u+ag856>Ne=2Bi<6*:a;48m03=831b9;4?::k63?6=3fk=6=44}c033?6=<3:15<2290;w)oi:778Lg3<@k:0(8o5339j10<722c>:7>5;h74>5<>{e9j=1<7:50;2x d`=>;1Cn85Gb19'1d<5>2c>97>5;h75>5<>{elj0;694?:1y'ec<1:2Bi96Fm0:&6e?7?3`?>6=44i4494?=n=>0;66an6;29?xd6k=0;6>4?:1y'ec<182Bi96Fm0:&6e?443`?>6=44i4494?=hi?0;66sm1b194?3=83:p(lh5649Kf0=Oj91/9l4>d:k61?6=3`?=6=44i4594?=n=10;66an6;29?xdcj3:1?7>50z&bb?073Ah>7El?;%7b>42>if>3:17pl=6883>0<729q/mk495:Ja1>Ne82.>m7?=;h76>5<>o203:17bo9:188yg43n3:197>50z&bb?023Ah>7El?;%7b>44>o2?3:17d;7:188kd0=831vn?k::180>5<7s-km6;>4Hc78Lg6<,>o2>3:17bo9:188yg57>3:197>50z&bb?023Ah>7El?;%7b>7?>o2?3:17d;7:188kd0=831vn?7l:187>5<7s-km6;<4Hc78Lg6<,>o2>3:17d;8:188kd0=831vni=50;694?6|,hl1:?5Gb49Kf5=#=h0:m6g:5;29?l312900e8950;9le3<722wi>4l50;194?6|,hl1:=5Gb49Kf5=#=h0:86g:5;29?l312900cl850;9~f677290?6=4?{%ce>34<@k?0Do>4$4c95c=n=<0;66g:6;29?l302900cl850;9~fa4=8391<7>t$`d925=Oj<1Cn=5+5`820>o2=3:17d;9:188kd0=831vn>5<7s-km6;;4Hc78Lg6<,>o2>3:17d;8:188m0>=831dm;4?::a5a7=83?1<7>t$`d920=Oj<1Cn=5+5`82=>o2=3:17d;9:188m01=831b954?::mb2?6=3th:ok4?:583>5}#io0=>6Fm5:Ja4>"2i3;j7d;::188m00=831b9:4?::mb2?6=3th:h84?:483>5}#io0=96Fm5:Ja4>"2i38;7d;::188m00=831b9:4?::k6;1Cn85Gb19'1d<6n2c>97>5;h75>5<>{e:=81<7;50;2x d`=><1Cn85Gb19'1d<6;2c>97>5;h75>5<>if>3:17pl=7b83>7<729q/mk4:9:Ja1>Ne82c>87>5;nc5>5<6=4=:183!ga2<30Do;4Hc28m02=831dm;4?::a77b=8381<7>t$`d9e2=Oj<1Cn=5f5583>>if>3:17pl<2`83>7<729q/mk4n7:Ja1>Ne82c>87>5;nc5>5<52;294~"fn3k<7El:;I`3?l332900cl850;9~f67d29096=4?{%ce>d1<@k?0Do>4i4694?=hi?0;66sm33:94?4=83:p(lh5a69Kf0=Oj91b994?::mb2?6=3th89h4?:383>5}#io0>j6Fm5:Ja4>"2i3h0e8;50;9le3<722wi?8m50;094?6|,hl19k5Gb49Kf5=#=h0i7d;::188kd0=831vn>;n:181>5<7s-km68h4Hc78Lg6<,>if>3:17pl<5783>7<729q/mk4:f:Ja1>Ne82.>m7l4i4794?=hi?0;66sm34694?4=83:p(lh55g9Kf0=Oj91/9l4m;h76>5<5<5290;w)oi:4d8Lg3<@k:0(8o5b:k61?6=3fk=6=44}c164?6=:3:15;|`005<72;0;6=u+ag86b>Ne=2Bi<6*:a;`8m03=831dm;4?::a76c=8381<7>t$`d91c=Oj<1Cn=5+5`8a?l322900cl850;9~f65d29096=4?{%ce>0`<@k?0Do>4$4c9f>o2=3:17bo9:188yg54i3:1>7>50z&bb?3a3Ah>7El?;%7b>g=n=<0;66an6;29?xd4;10;6?4?:1y'ec<2n2Bi96Fm0:&6e?d>{e;:<1<7<50;2x d`==o1Cn85Gb19'1d6=44o`494?=zj:9?6=4=:183!ga297>5;nc5>5<7>52;294~"fn3?m7El:;I`3?!3f2k1b984?::mb2?6=3th9m>4?:383>5}#io0>j6Fm5:Ja4>"2i3h0e8;50;9le3<722wi>l>50;094?6|,hl19k5Gb49Kf5=#=h0i7d;::188kd0=831vn<<=:181>5<7s-km68h4Hc78Lg6<,f;296?6=8r.jj7;i;I`6?Md73-?j6o5f5483>>if>3:17pl<6c83>7<729q/mk4:f:Ja1>Ne82.>m7l4i4794?=hi?0;66sm35f94?4=83:p(lh55g9Kf0=Oj91/9l4m;h76>5<5<2290;w)oi:778Lg3<@k:0(8o5169j10<722c>:7>5;h74>5<>{e9=31<7:50;2x d`=>;1Cn85Gb19'1d<6>2c>97>5;h75>5<>{e9?;1<7;50;2x d`=><1Cn85Gb19'1d<6?2c>97>5;h75>5<>if>3:17pl>6183>1<729q/mk492:Ja1>Ne82.>m7?9;h76>5<>if>3:17pl>5g83>6<729q/mk490:Ja1>Ne82.>m7?;;h76>5<>{el=0;684?:1y'ec<1=2Bi96Fm0:&6e?7c3`?>6=44i4494?=n=>0;66g:8;29?jg12900qo?ld;291?6=8r.jj78:;I`6?Md73-?j634<@k?0Do>4$4c953=n=<0;66g:6;29?l302900cl850;9~f42129086=4?{%ce>36<@k?0Do>4$4c951=n=<0;66g:6;29?jg12900qoji:187>5<7s-km6;<4Hc78Lg6<,>o2>3:17d;8:188kd0=831vn<:k:186>5<7s-km6;;4Hc78Lg6<,85f5483>>o2>3:17d;8:188m0>=831dm;4?::a751=83?1<7>t$`d920=Oj<1Cn=5+5`865>o2=3:17d;9:188m01=831b954?::mb2?6=3th:>i4?:583>5}#io0=>6Fm5:Ja4>"2i3;h7d;::188m00=831b9:4?::mb2?6=3th94;4?:583>5}#io0=>6Fm5:Ja4>"2i3;j7d;::188m00=831b9:4?::mb2?6=3th9454?:583>5}#io0=>6Fm5:Ja4>"2i3;j7d;::188m00=831b9:4?::mb2?6=3th9444?:583>5}#io0=>6Fm5:Ja4>"2i3;j7d;::188m00=831b9:4?::mb2?6=3th94l4?:583>5}#io0=>6Fm5:Ja4>"2i3;j7d;::188m00=831b9:4?::mb2?6=3th94o4?:583>5}#io0=>6Fm5:Ja4>"2i3;j7d;::188m00=831b9:4?::mb2?6=3th94n4?:583>5}#io0=>6Fm5:Ja4>"2i3;j7d;::188m00=831b9:4?::mb2?6=3th94i4?:583>5}#io0=>6Fm5:Ja4>"2i3;j7d;::188m00=831b9:4?::mb2?6=3th94h4?:583>5}#io0=>6Fm5:Ja4>"2i3;j7d;::188m00=831b9:4?::mb2?6=3th9mk4?:483>5}#io0=96Fm5:Ja4>"2i3;o7d;::188m00=831b9:4?::k6:7>5;h74>5<5<2290;w)oi:778Lg3<@k:0(8o5239j10<722c>:7>5;h74>5<>{e9=21<7;50;2x d`=><1Cn85Gb19'1d<6?2c>97>5;h75>5<>if>3:17pl>0`83>6<729q/mk490:Ja1>Ne82.>m7?;;h76>5<>{emm0;6>4?:1y'ec<182Bi96Fm0:&6e?733`?>6=44i4494?=hi?0;66sm11`94?5=83:p(lh5619Kf0=Oj91/9l4=3:k61?6=3`?=6=44o`494?=zjlo1<7=50;2x d`=>91Cn85Gb19'1d<5;2c>97>5;h75>5<5<4290;w)oi:728Lg3<@k:0(8o5229j10<722c>:7>5;nc5>5<53;294~"fn3<;7El:;I`3?!3f2;90e8;50;9j13<722ej:7>5;|`24`<72:0;6=u+ag854>Ne=2Bi<6*:a;00?l322900e8850;9le3<722wij<4?:283>5}#io0=<6Fm5:Ja4>"2i3887d;::188m00=831dm;4?::a60d=83?1<7>t$`d920=Oj<1Cn=5+5`81=>o2=3:17d;9:188m01=831b954?::mb2?6=3th9>o4?:483>5}#io0=96Fm5:Ja4>"2i3>;7d;::188m00=831b9:4?::k6d:k61?6=3`?=6=44i4594?=n=10;66an6;29?xd66=44i4494?=n=>0;66g:8;29?jg12900qo?;3;291?6=8r.jj78:;I`6?Md73-?j6?>4i4794?=n=?0;66g:7;29?l3?2900cl850;9~f425290>6=4?{%ce>33<@k?0Do>4$4c95a=n=<0;66g:6;29?l302900e8650;9le3<722wi==h50;194?6|,hl1:=5Gb49Kf5=#=h09?6g:5;29?l312900cl850;9~fc4=8391<7>t$`d925=Oj<1Cn=5+5`817>o2=3:17d;9:188kd0=831vn>>7:186>5<7s-km6;;4Hc78Lg6<,55f5483>>o2>3:17d;8:188m0>=831dm;4?::a75?=8391<7>t$`d925=Oj<1Cn=5+5`817>o2=3:17d;9:188kd0=831vn?68:186>5<7s-km6;;4Hc78Lg6<,o5f5483>>o2>3:17d;8:188m0>=831dm;4?::a62>=83?1<7>t$`d920=Oj<1Cn=5+5`80a>o2=3:17d;9:188m01=831b954?::mb2?6=3th9;;4?:483>5}#io0=96Fm5:Ja4>"2i39n7d;::188m00=831b9:4?::k6:7>5;h74>5<>{e:>;1<7;50;2x d`=><1Cn85Gb19'1d<4m2c>97>5;h75>5<>if>3:17pl=6g83>0<729q/mk495:Ja1>Ne82.>m7o4i4794?=n=?0;66g:7;29?l3?2900cl850;9~f726290>6=4?{%ce>33<@k?0Do>4$4c91>o2=3:17d;9:188m01=831b954?::mb2?6=3th9:o4?:483>5}#io0=96Fm5:Ja4>"2i3?0e8;50;9j13<722c>;7>5;h7;>5<5<2290;w)oi:778Lg3<@k:0(8o54e9j10<722c>:7>5;h74>5<>{e:?n1<7;50;2x d`=><1Cn85Gb19'1d6=44i4494?=n=>0;66g:8;29?jg12900qo<7f;290?6=8r.jj78=;I`6?Md73-?j636<@k?0Do>4$4c966=n=<0;66g:6;29?jg12900qoh<:180>5<7s-km6;>4Hc78Lg6<,>5f5483>>o2>3:17bo9:188yg7693:1?7>50z&bb?073Ah>7El?;%7b>75>if>3:17pli4;297?6=8r.jj78?;I`6?Md73-?j6?=4i4794?=n=?0;66an6;29?xd69;0;6>4?:1y'ec<182Bi96Fm0:&6e?443`?>6=44i4494?=hi?0;66smf483>6<729q/mk490:Ja1>Ne82.>m7<<;h76>5<>{e:=91<7:50;2x d`=>h1Cn85Gb19j10<722c>:7>5;hcg>5<5<2290;w)oi:778Lg3<@k:0(8o5e:k61?6=3`?=6=44i4594?=n=10;66an6;29?xd5=m0;694?:1y'ec<1i2Bi96Fm0:k61?6=3`?=6=44i`f94?=hi?0;66sm24g94?2=83:p(lh5639Kf0=Oj91/9l4;1:k61?6=3`?=6=44i4594?=hi?0;66sm24d94?3=83:p(lh5649Kf0=Oj91/9l4;f:k61?6=3`?=6=44i4594?=n=10;66an6;29?xd5>80;694?:1y'ec<1i2Bi96Fm0:k61?6=3`?=6=44i`f94?=hi?0;66sm27094?2=83:p(lh5639Kf0=Oj91/9l4;1:k61?6=3`?=6=44i4594?=hi?0;66sm27194?3=83:p(lh5649Kf0=Oj91/9l4;f:k61?6=3`?=6=44i4594?=n=10;66an6;29?xd5>?0;684?:1y'ec<1=2Bi96Fm0:&6e?2>3`?>6=44i4494?=n=>0;66g:8;29?jg12900qo<:5;290?6=8r.jj78n;I`6?Md73`?>6=44i4494?=nim0;66an6;29?xd5=?0;684?:1y'ec<1=2Bi96Fm0:&6e?2>3`?>6=44i4494?=n=>0;66g:8;29?jg12900qo<:8;290?6=8r.jj78n;I`6?Md73`?>6=44i4494?=nim0;66an6;29?xd5=00;684?:1y'ec<1=2Bi96Fm0:&6e?2a3`?>6=44i4494?=n=>0;66g:8;29?jg12900qo<:a;290?6=8r.jj78=;I`6?Md73-?j69?4i4794?=n=?0;66g:7;29?jg12900qo<;6;290?6=8r.jj78n;I`6?Md73`?>6=44i4494?=nim0;66an6;29?xd5<>0;694?:1y'ec<1:2Bi96Fm0:&6e?253`?>6=44i4494?=n=>0;66an6;29?xd5<10;694?:1y'ec<1:2Bi96Fm0:&6e?253`?>6=44i4494?=n=>0;66an6;29?xd5<00;694?:1y'ec<1i2Bi96Fm0:k61?6=3`?=6=44i`f94?=hi?0;66sm25c94?2=83:p(lh5639Kf0=Oj91/9l4;2:k61?6=3`?=6=44i4594?=hi?0;66sm25`94?2=83:p(lh5639Kf0=Oj91/9l4;2:k61?6=3`?=6=44i4594?=hi?0;66sm3c594?3=83:p(lh5649Kf0=Oj91/9l4:0:k61?6=3`?=6=44i4594?=n=10;66an6;29?xd6;80;684?:1y'ec<1=2Bi96Fm0:&6e?4>3`?>6=44i4494?=n=>0;66g:8;29?jg12900qo?<0;291?6=8r.jj78:;I`6?Md73-?j6?5f5483>>o2>3:17d;8:188m0>=831dm;4?::a6t$`d920=Oj<1Cn=5+5`82`>o2=3:17d;9:188m01=831b954?::mb2?6=3thn?7>54;294~"fn3<97El:;I`3?!3f28i0e8;50;9j13<722c>;7>5;nc5>5<1<729q/mk492:Ja1>Ne82.>m7=>;h76>5<>if>3:17pllf;291?6=8r.jj78:;I`6?Md73-?j6?5f5483>>o2>3:17d;8:188m0>=831dm;4?::ag<<72<0;6=u+ag851>Ne=2Bi<6*:a;0:?l322900e8850;9j12<722c>47>5;nc5>5<>o2?3:17d;7:188kd0=831vn><8:187>5<7s-km6;<4Hc78Lg6<,>o2>3:17d;8:188kd0=831vn><9:186>5<7s-km6;;4Hc78Lg6<,5;|`256<72:0;6=u+ag854>Ne=2Bi<6*:a;00?l322900e8850;9le3<722wij;4?:283>5}#io0=<6Fm5:Ja4>"2i3887d;::188m00=831dm;4?::a7ge=83?1<7>t$`d920=Oj<1Cn=5+5`8:?l322900e8850;9j12<722c>47>5;nc5>5<55;294~"fn3<>7El:;I`3?!3f28=0e8;50;9j13<722c>;7>5;h7;>5<5<3290;w)oi:708Lg3<@k:0(8o5179j10<722c>:7>5;h74>5<5<4290;w)oi:728Lg3<@k:0(8o5159j10<722c>:7>5;nc5>5<7>55;294~"fn3<>7El:;I`3?!3f28=0e8;50;9j13<722c>;7>5;h7;>5<5<2290;w)oi:778Lg3<@k:0(8o5169j10<722c>:7>5;h74>5<>{e9hl1<7:50;2x d`=>;1Cn85Gb19'1d<6>2c>97>5;h75>5<>{e9ho1<7=50;2x d`=>91Cn85Gb19'1d<6<2c>97>5;h75>5<5<2290;w)oi:778Lg3<@k:0(8o5319j10<722c>:7>5;h74>5<>{e90n1<7:50;2x d`=>;1Cn85Gb19'1d<5<2c>97>5;h75>5<>{e90h1<7;50;2x d`=><1Cn85Gb19'1d<6?2c>97>5;h75>5<>if>3:17pl>9`83>1<729q/mk492:Ja1>Ne82.>m7?9;h76>5<>if>3:17pl>9883>6<729q/mk490:Ja1>Ne82.>m7?;;h76>5<>{ekm0;694?:1y'ec<1:2Bi96Fm0:&6e?563`?>6=44i4494?=n=>0;66an6;29?xddk3:197>50z&bb?023Ah>7El?;%7b>7=n=<0;66g:6;29?l302900e8650;9le3<722wi?=6g:5;29?l312900e8950;9j1=<722ej:7>5;|`064<72<0;6=u+ag851>Ne=2Bi<6*:a;f8m03=831b9;4?::k63?6=3`?36=44o`494?=zj:8;6=4::183!ga2??0Do;4Hc28 0g=92c>97>5;h75>5<>if>3:17pl>2583>7<729q/mk4:f:Ja1>Ne82.>m7l4i4794?=hi?0;66sm27694?3=83:p(lh5649Kf0=Oj91/9l4;8:k61?6=3`?=6=44i4594?=n=10;66an6;29?xd6n<0;694?:1y'ec<3<2Bi96Fm0:&6e?6>o403:17bom:188ygda29096=4?{%ce>7b<@k?0Do>4i4694?=hi?0;66smc083>7<729q/mk4=e:Ja1>Ne82c>87>5;nc5>5<52;294~"fn3?i7El:;I`3?l332900cl850;9~f62529096=4?{%ce>0d<@k?0Do>4i4694?=hi?0;66sm2`a94?4=83:p(lh55c9Kf0=Oj91b994?::mb2?6=3th9ml4?:383>5}#io0>n6Fm5:Ja4>o2<3:17bo9:188yg75i3:1>7>50z&bb?3e3Ah>7El?;h77>5<5<5290;w)oi:4`8Lg3<@k:0e8:50;9le3<722wi>:o50;094?6|,hl19o5Gb49Kf5=n==0;66an6;29?xd59k0;6?4?:1y'ec<2j2Bi96Fm0:k60?6=3fk=6=44}cf6>5<5290;w)oi:4`8Lg3<@k:0e8:50;9le3<722wihl4?:383>5}#io0>n6Fm5:Ja4>o2<3:17bo9:188yg4>i3:1>7>50z&bb?3e3Ah>7El?;h77>5<5}#io0=96Fm5:Ja4>"2i3>87d;::188m00=831b9:4?::k65}#io0=96Fm5:Ja4>"2i3;o7d;::188m00=831b9:4?::k65}#io0=96Fm5:Ja4>"2i38j7d;::188m00=831b9:4?::k65}#io0=:6Fm5:Ja4>"2i3;0e8;50;9j13<722c>;7>5;h7;>5<>{e;9n1<7850;2x d`=>?1Cn85Gb19'1d<63`?>6=44i4494?=n=>0;66g:8;29?j3c2900cl850;9~f737290=6=4?{%ce>30<@k?0Do>4$4c95a=n=<0;66g:6;29?l302900e8650;9l1a<722ej:7>5;|`04d<72?0;6=u+ag852>Ne=2Bi<6*:a;72?l322900e8850;9j12<722c>47>5;n7g>5<5<2290;w)oi:718Lg3<@k:0(8o51g9j10<722c>:7>5;h74>5<>{e:<;1<7850;2x d`=>?1Cn85Gb19'1d<582c>97>5;h75>5<>i2l3:17bo9:188ygc7290>6=4?{%ce>35<@k?0Do>4$4c95c=n=<0;66g:6;29?l302900c8j50;9le3<722wi?=h50;794?6|,hl1::5Gb49Kf5=#=h0886g:5;29?l312900e8950;9j1=<722e>h7>5;|`111<72:0;6=u+ag855>Ne=2Bi<6*:a;3f?l322900e8850;9l1a<722wi>;>50;694?6|,hl1:95Gb49Kf5=#=h08j6g:5;29?l312900e8950;9l1a<722wi>;950;694?6|,hl1:95Gb49Kf5=#=h08j6g:5;29?l312900e8950;9l1a<722wi>9;50;794?6|,hl1:>5Gb49Kf5=#=h0?>6g:5;29?l312900e8950;9l1a<722ej:7>5;|`10a<72<0;6=u+ag853>Ne=2Bi<6*:a;6g?l322900e8850;9j12<722c>47>5;n7g>5<54;294~"fn3;7>5;n7g>5<o7>55;294~"fn3<<7El:;I`3?!3f2=k0e8;50;9j13<722c>;7>5;h7;>5<5<3290;w)oi:768Lg3<@k:0(8o5389j10<722c>:7>5;h74>5<5<2290;w)oi:758Lg3<@k:0(8o5499j10<722c>:7>5;h74>5<>{t>k0;6?9t=c4917=:;::1?852351970=:;:;1?o5232197g=:;:?1?o5232597g=:;:31?o5232`97g=:;:n1?o5232d97g=:;=o1?852373970=:;=l1?o5234397g=:;<91?o5234797g=:;<=1?o5234;97g=:;d0<5:2868;4}r4g>5<58r7i;7o7;<`g>6><5:9;6>l4=26f>6d<5;h;6>;4=3;e>63<5;k:6>l4=3c7>6d<5;k=6>l4=3c;>6d<5;i?6>;4=3a6>6d<58<86>;4=054>63<58;n6>;4=003>6d<58886>l4=005>6d<58836>l4=0:a>63<582h6>l4=0c3>63<58k:6>l4=015>63<589<6>l4=06f>63<58>m6>l4=232>63<58o<6>;4=360>00<5:k:6884=2;f>00d0<58>o6894}r4e>5<3s48??7o9;<0752z?16g<20279994:d:p34<72;q6>8l5a79>67d==>1v:<50;0x94b32h<014386<>{t?<0;6?u231:9e3=::1=1955rs6494?4|5::26l84=3:4>03o7;k;|q42wx;44?:3y>620==?16>;>55e9~w2g=838p1?99:4:897062h<0q~9m:181840<3?=70<94;c5?xu0k3:1>v3=7586<>;5><0>h6s|7e83>7}:9:>1m;52121912=z{>o1<700<5;<<68j4}r5e>5<5s48<=7;7;<061?g13ty3<7>52z?12c<2>2799:4:d:p<4<72;q6>;h5599>60>=i?1v5<50;0x970e2<=01?:::`48yv>42909w0<;6;c5?84393?37p}74;296~;5<00j:63=6c86<>{t0<0;6?u225a9e3=::?n1955rs9494?4|5;0b60`=i?16>8j5579~w=g=838p1?8=:`4897062v3=628b2>;5>80>:6s|8e83>7}::?<1m;52277912=z{1o1<7d0<5;?>6884}r:e>5<5s48>57o9;<0652z?11d279954:6:p=4<72;q6>995a79>610==<1v4<50;0x972?2h<01?:9:448yv?42909w0<;a;c5?84313?>7p}64;296~;5{t1<0;6?u23c5912=:;k219i5rs8494?4|589:6l84=013>01d0<5jl19:5rs8:94?4|5j31m;52c986<>{t100;6?u23379e3=:;;>19;5rs8c94?4|5:8<6l84=205>00d0<5ji19:5rs8a94?4|5:8:6l84=203>0>n7;9;|q:a?6=:r78606==m16>:<5579~wd6=838p1>>n:4f896602<20q~o>:181842;3?o70<96;74?xuf:3:1>v3=5086`>;5>00>46s|a283>7}:m90>h63>d086<>{ti=0;6?u225791a=::=;19:5rsca94?5|5:2:6l84=23a>03<5:8h68;4}r`g>5<4>r784k4=f:?0=5<5n2785<4=f:?0=7<5n2785>4=f:?0=1<5n278584=f:?0=3<5n2785:4=f:?0==<5n278mn4=f:?0ea<5n278mh4=f:?0ec<5n278n=4=f:?0f4<5n278n?4=f:?0f6<5n278n94=f:?0f0<5n279jl4=f:?1bg<5n279jn4=f:?1ba<5n279jh4=f:?1bc<5n278<=4=f:?044<5n278:4=f:?2b4<5n27:j?4=f:?2b6<5n27:j94=f:?2b3<5n27:j:4=f:?2b=<5n27:j44=f:?2bd<5n27:jo4=f:?16f<5n279>i4=f:?16`<5n279>k4=f:?175<5n279?<4=f:?177<5n279?>4=f:?171<5n279?84=f:?2a1<5n27:j84=f:?ab?g13tyii7>53z?a`?ge34hm68:4=cf9ef=z{j:1<75a3=i?16???5599~wf5=83>p1>?m:44897cc2h<01>68:4}ra6>5d0<5;2=68;4=3:;>03<5;2268;4=3:b>03<5;2i68;4=3:`>03<5;2o68;4=3:f>03<5::36864=22:>00<5;2m68;4=22e>00d0<5:;i6894=20`>0>d0<5j31985rsb:94?5|5jk19:52c98b2>;49l0>46s|cc83>6}:;kh1m;523ca91==:kj0>46s|cb83>6}:kh0>963lc;c5?856m3?=7p}le;296~;dm3k=70mi:4:8yvea2908w0mn:4489f`=i?16?d2hn01ij5a79~w`7=839p1ik5a79>57b==>16i>4:7:pa7<721q6=nh5569>``<2?27:8l4:8:?2f7<2027:844:7:?20a<2027:>n4:8:?f4?g13tyn?7>52z?2e463<5o21?85rsd794?5|5l?1m;52e7801>;a139>7p}j6;297~;b>3k=70k8:2789cg=;<1vh950;1x9`1=i?16i54<5:?ef?523tyn47>53z?f;4=ga970=z{l31<7=t=d;9e3=:mh08963id;16?xubi3:1?v3ja;c5?8ce2:?01kk5349~w`d=839p1hl5a79>af<4=27mj7=:;|qfg?6=:r7no7o9;<334?523tynh7>53z?f0?gc34l<68m4=df9e3=z{lo1<7=t=d79ea=:n10>o63je;c5?xubn3:1?v3j6;cg?8`>2bd<2k27m<7o9;|qe5?6=;r7n47ok;0e<5o;1m;5rsg094?5|5l31mi52fb86g>;a:3k=7p}i3;297~;bi3ko70hk:4a89c5=i?1vk:50;1x9`d=im16jh4:c:?e0?g13tym97>53z?fg?gc34lm68m4=g79e3=z{o<1<70e<5o<1m;5rs022>5<4s4;;=7o9;<336?5234;:97=:;|q247<72:q6==<5a79>555=;<16=<85349~w4642908w0??3;c5?877<39>70?>7;16?xu68=0;6>u21169e3=:99?1?85210:970=z{8:>6=4<{<331?g134;;:7=:;<32=?523ty:<;4?:2y>550=i?16==95349>54g=;<1v<>8:180877?3k=70??8;16?876j39>7p}>0983>6}:9921m;5211;970=:98i1?85rs02:>5<5s4;;57o9;<32`?523ty:557=im16=<:55b9>55g=i?1v<>m:180877:3ko70?>5;7`?877j3k=7p}>0b83>6}:9991mi5210491f=:99i1m;5rs02g>5<4s4;;87ok;<323?3d34;;h7o9;|q24`<72:q6==;5ae9>54>==j16==k5a79~w46a2908w0??6;cg?87613?h70??f;c5?xu6990;6>u21159ea=:98k19n521029e3=z{8;:6=4<{<3355?=im16=544=i?1v3;c5?xu69l0;6?u210g9e3=:9;:1?85rs03e>5<5s4;:j7o9;<32a?gc3ty:>=4?:3y>576=i?16=??5349~w4462909w0?=1;c5?875;39>7p}>2383>7}:9;81m;521339ea=z{8886=4={<317?g134;997=:;|q261<72;q6=?:5a79>575=im1v<<::181875=3k=70?=6;16?xu6:?0;6?u21349e3=:9;=1?85rs004>5<5s4;9;7o9;<3144?:3y>576=im16=?o5a79~w44e2909w0?=5;cg?875j3k=7p}>2b83>7}:9;<1mi5213a9e3=z{88o6=4={<31645==<16>651==<16=h85549>566=i?16><75579>641==?16><;5579>65`==?16>=j5579>65d==<16>=75549~w44a2909w0?=f;c5?87483?37p}>3383>4e|5;826884=010>d0<5;<268;4=36e>03<5;?i6864=37e>0><5;<86864=345>0><5;?>68;4=375>0><5;?26864=347>0><5;>h6864=37f>01<5;<96894=37b>01<5;><6894=36;>01<5;>j6894=36a>01<5;??6884=343>01<5;<<6894=366>01<5;>o6864=37`>0><5;<>6884=374>0>5cz?26=279=>4:7:?2a3<2?279=44:7:?152<2?279=84:7:?154<2?27938801>{t9:31<7d0<589j6>;4}r30e?6=:r7:?l4n6:?27g<4=2wx=>l50;0x945e2h<01<=l:278yv74k3:1>v3>3b8b2>;6;m0896s|12f94?4|589o6l84=01f>6352z?27`27:?k4<5:p56`=838p1<=i:`4894272:?0q~?;0;296~;6<90j:63>36801>{t9=;1<7d0<589=6lj4}r376?6=:r7:?:4nd:?2072wx=9:50;0x94232h<01<=7:`f8yv73=3:1>v3>448b2>;6;00jh6s|15494?4|589j6lj4=065>d052z?27g=838p1<=l:`f8942?2h<0q~?;9;296~;6;m0jh63>488b2>{t9=k1<7db<58>j6l84}r37f?6=:r7:?k4nd:?20g2wx=9j50;0x94272hn01<:k:`48yv73m3:1>v3>4d8b2>;6=90896s|14294?4|58?;6l84=072>63=7>52z?21427:9?4<5:p504=838p1<;=:`4894342:?0q~?:3;296~;6=:0j:63>55801>{t9<>1<7d0<58?>6>;4}r361?6=:r7:984n6:?213<4=2wx=8850;0x94312h<01<;8:278yv72?3:1>v3>568b2>;6=10896s|14:94?4|58?36l84=06e>6357>52z?21<27:8h4nd:p50g=838p1<;n:`4894372hn0q~?:b;296~;6=k0j:63>508b`>{t9d0<58?96lj4}r36`?6=:r7:9i4n6:?216v3>548b`>;6=o0j:6s|17294?4|58?=6lj4=043>d052z?212:0j:63>65801>{t9?>1<7d0<58<>6>;4}r351?6=:r7::84n6:?223<4=2wx=;850;0x94012h<01<88:278yv71?3:1>v3>668b2>;6>10896s|17:94?4|58<36l84=04:>6352z?22<27::l4<5:p53g=838p1<8n:`48940e2:?0q~?9b;296~;6>k0j:63>6b801>{t9?n1<7d0<58<86lj4}r35a?6=:r7::h4n6:?221v3>718b2>;6>?0jh6s|16394?4|58=:6l84=044>db7>52z?23727::54nd:p525=838p1<9<:`48940>2hn0q~?84;296~;6?=0j:63>6`8b`>{t9>?1<7d0<58v3>798b2>;6?00896s|16;94?4|58=26l84=05b>6352z?23d27:;o4<5:p52d=838p1<9m:`48941d2:?0q~?8c;296~;6?j0j:63>7e801>{t9>n1<7d0<58=n6>;4}r34a?6=:r7:;h4n6:?23c<4=2wx=:h50;0x941a2h<01<6?:278yv7?93:1>v3>808b2>;6?>0jh6s|19094?4|58296l84=05;>db52z?2<627:;44nd:p5=2=838p1<6;:`48941f2hn0q~?75;296~;60<0j:63>7c8b`>{t91<1<7d0<58=h6lj4}r3;3?6=:r7:4:4n6:?23a?2h<01<9j:`f8yv7?13:1>v3>888b2>;6?o0jh6s|19c94?4|582j6l84=0:3>db52z?227:4i4<5:p5=b=838p1<6k:`4894>b2:?0q~?7e;296~;60l0j:63>8g801>{t91l1<7d0<583;6>;4}r3:4?6=:r7:5=4n6:?2=4<4=2wx=4?50;0x94?62h<01<7=:278yv7>:3:1>v3>938b2>;61:0896s|18194?4|58386l84=0;7>6352z?2=127:584<5:p5<3=838p1<7::`4894>d2:?0q~?66;296~;61?0j:63>8c8b`>{t90=1<7d0<582o6lj4}r3:a2hn01<76:`48yv7>i3:1>v3>918b`>;61h0j:6s|18`94?4|583:6lj4=0;a>d052z?2=f27:5?4nd:p59d8b2>{t90l1<7d0<583>6lj4}r3b4?6=:r7:m=4n6:?2e7<4=2wx=l<50;0x94g52h<01v3>a28b2>;6i=0896s|1`694?4|58k?6l84=0c6>6352z?2e027:m;4<5:p5d0=838p10j:63>a9801>{t9h21<7d0<58k26>;4}r3b=?6=:r7:m44n6:?2ed<4=2wx=lo50;0x94gf2h<01:278yv7fj3:1>v3>ac8b2>;6i90jh6s|1`a94?4|58kh6l84=0c1>db52z?2ea27:m>4nd:p5dc=838p1ag8b2>{t9k:1<7db<58h;6l84}r3a5?6=:r7:n<4n6:?2e2v3>b28b2>;6i00jh6s|1c694?4|58h?6l84=0cb>db5az?g=?3?34no6864=364>00<5;>j6884=347>00<5;>h6884=373>d0<5;>>6884=37`>00<5;?<6884}r3a2?6=jr7:?>4:8:?11c<2>279:>4:6:?123<2>2799;4:6:?11<<2>2799h4:5:?127<2=2799l4:5:?1172799<4:5:p5g1=83kp1?9=:`48970>2<=01?:i:458973a22l4=e19e3=z{8hi6=4={<07b?3?348>=7o9;|q2ff<72kq6=>95a79>62>==<16>:85549>622==>16>:?5549>63`==>16>9?5579>63d==?16>?l5579>63b==?16>8?5599~w4dc290iw0?;f;c5?84003?<70<86;74?840<3?>70<81;74?841n3?>70<9d;76?843>3ko70<;9;cg?845j3?>70<:1;74?xu6jl0;6?u219d97g=:l<0j:6s|1cd94?4|583;6>l4=e49e3=z{8i;6=4={<3:5?5e34n<6l84}r3`5?6=;r7:5n4:5:?2=7<4j27o47o9;|q2g7<72;q6=4=53c9>5f2=i?1vl3?=7p}>c783>7}:90>1?o521b59e3=z{8i36=4<{<3:b?3234;297=m;d053z?2e1<4j27:?l45d3=;k16=>l53c9>`g2wx=nl50;1x94g12:h01<=l:2`89ae=i?1v70?n7;1a?874l39i70?ld;c5?xu6kl0;6>u21`:97g=:9:o1?o521bd9e3=z{8n;6=4;{<3a7?3234;j57=m;<30b?5e34;o=7o9;|q2`7<725g2==<16=lo53c9>516=;k16=i=5a79~w4b12909w0?9c;c5?87c=3?>7p}>d683>7}:91:1m;521e7912=z{8n36=4={<3;g?g134;o97;9;|q2`<<72;q6=l?5a79>5a3==11v:63i4;75?8`22<<01k85579>64d===1v0;6?u21d59e3=:9l21?85rs0g;>5<5s4;n47o9;<3f=?523ty:i44?:3y>5`?=i?16=ho5349~w4cf2909w0?ja;c5?87bj39>7p}>eb83>7}:9li1m;521d59ea=z{8oo6=4={<3f`?g134;n47ok;|q2a`<72;q6=hk5a79>5`?=im1v56d<582:6884=0ca>03<58k;6>l4=062>03<589=6>l4=07:>00<58;m68;4=df910=:9:;19;5rs0fa>57om;6d<58296884=0c`>03<58k96>l4=067>03<58936>l4=07b>00<5lo19852123912=:9;k1995rs0f`>56d<58286884=0cg>03<58k86>l4=066>03<58926>l4=07a>00<588968;4=dd910=:9:;1955rs0fg>5<6;r7:j94nb:?2<1<2>27:9n4:6:?gf?3134;?;7;9;<372?3234;?47;9;<376?3034;9n7;9;<305?3234;i<7;9;<3bb?3134;ji7;:;<310?3234nj68:4=ea912=:9jn195521e691==:9=i1955rs0d6>5<3s4;m97om;6d<5o:19852e1863>{t9mo1<7?={<3e2?ge34o36>l4=0:6>00<58?o6884=00e>0><5mh19852155910=:9=219552f0861>;6l:0>;63>43862>;6:k0>963>b186<>;6io0>963kc;75?87dl3?=70?;c;75?8c72<<0q~?kf;29b~;6n>0jn63j9;1a?87?>3?=70?:e;75?875n3?<70?;8;76?87c;3?>70?;b;76?873:3?>70h=:478944e2<=01a5<2=2wx=h>50;3787a03ki70kn:2`894>02<<01<a6<2=27:n?4:6:?2ga<2?27oj7;8;<31g?3034;o87;8;<37g?3034;??7;7;|q2a4<728:p157`==<16=i?5569>5f`==?16hh4:5:?20d<2=27::=4:7:?26f<2>27m87;:;<3a6?3234nm6884=0f7>00<58>h68;4=060>017>5dz?2bd00<58<:6894=00`>03<58>i6884=g7910=:9::19852dg861>;6l=0>963>42862>{t9l91<7ot=0da>dd<582j6884=00g>00<58n86884=013>00<5l919;52f7861>;6>;0>963>4e862>;6<:0>96s|1ga94?4|5o=1m;5220;910=z{8lo6=4={d0<5;;<68;4}r3ea?6=:r7m57o9;<021?323ty:jk4?:3y>bd279=>4:6:p656=838p1kl5a79>647==<1v?>>:1818`d2h<01?>i:478yv47:3:1>v3id;c5?847l3?>7p}=0283>7}:nl0j:63=0c862>{t:9>1<75<5s4;;<7o9;<033?313ty9<;4?:3y>5cd=;116>=95a79~w76?2909w0?ia;1;?84713k=7p}=0`83>7}:9o31?55221`9e3=z{;:h6=4={<3e65`=i?1v???:18187a>39370<>1;c5?xu59;0;6>u21g697==::891m;521g797==z{;;?6=4={<3e7?5?348:97o9;|q153<72;q6=k<5399>641=i?1v??7:18187a939370<>9;c5?xu59h0;6>u2e5801>;a?39>70<>b;c5?xu59j0;6<7t=0d2>65<58l96>=4=0d0>65<58l?6>=4=0d5>65<58l<6>=4=0d;>65<58l26>=4=0db>65<58li6>=4=30`>65<5;8o6>=4=30f>65<5;8m6>=4=313>65<5;9:6>=4=311>65<5;986>=4=317>65<5;9>6>=4=0ga>d0<58o=6884=37a>03<58l>6>=4=377>035dz?16244:5:?24d<2>27:27:27:27:=<4:6:?257<2>27:=>4:6:?13d<2<2wx>?650;0x97402:201?<6:`48yv45i3:1>v3=26807>;5:k0j:6s|20f94?1|5;8h6ll4=022>6d<5803<582i6>l4=02b>03<589?6894}r02a?6=?r79>i4nb:?247<4j27::h4:6:?2=2<2=27:4i41?o52162913=:l10>;63k7;74?8b12<<01i75569>5f5==<16==j5549>612==>16hi4:5:?2=g<2027:5l4:6:?2=<<2=27o97;;;<300?3?348>?7;:;|q164<72lq6>>>5ac9>553=;k16=:?5579>`=<2>27o;7;:;03<5m3198521b1913=:99o19852256910=:lm0>;63>9c862>;61h0>963=53863>{t:;81<7mt=312>dd<58:=6>l4=051>00<5m219552d6862>;6k:0>;63=43863>;68o0>963=4586<>;61k0>963=53862>;5=90>;6s|23194?g|5;996ll4=024>6d<58=86884=e:910=:9j919552250913=:98:19852256913=::<819852242910=z{;8?6=4l{<007?ge34;;47=m;<340?3134;8?7;9;<3`3?3134;h87;:;<076?3234;:=7;:;<3:a?3234;2h7;:;<064?3?348>?7;8;|q160<72hq6>>:5ac9>55?=;k16=:;5579>565==<16=n95549>614==116=<<5549>58>5579>605==?1v?<9:1825~;5;<0jn63>77862>;c13?=70<;c;76?8bc2<<01;5=j0>;6s|22594?5|58;>6l84=342>db<5;<;68;4}r00198b2>;5=10jh63=56861>{t::h1<7=t=03:>d0<5;>h6894=36g>0152z?25d279:o4:5:p66b=838p12<<0q~<{t::l1<7d0<5;>:68;4}r074?6=:r79?84<8:?1042wx>9<50;0x97252h<01?:<:`f8yv43m3:1>v3=3580<>;564=34:>d052z?177<40279:o4n6:p63e=838p1?=>:2:8970c2h<0q~<9e;296~;5;908463=6g8b2>{t:>:1<76><5;=:6l84}r047?6=:r79>h4<8:?1312wx>:;50;0x974c2:201?99:`48yv40?3:1>v3=2b80<>;5?10j:6s|26;94?5|58::6>;4=037>63<5;=j6l84}r04f?6=:rT9;o5226a911=z{;=h6=4={<04g?g1349;j7;:;|q13a<72:q6>:j5a79>62c=;<16>4?5349~w71b2908w0<8e;c5?840n39>70<62;16?xu5?o0;6>u226d9e3=::1:1?852281970=z{;2;6=4<{<0;4?g13483=7=:;<0:0?523ty94<4?:2y>6=7=i?16>5<5349>6<3=;<1v?6=:18084?:3k=70<73;16?84>>39>7p}=8283>6}::191m;52296970=::0=1?85rs3:7>5<4s48387o9;<0;1?52348247=:;|q1<0<72;q6>5;5a79>63k=7p}=8683>6}::>o1mi5228391f=::1=1m;5rs3:;>5<4s485>5ae9>6<5==j16>575a79~w7>f2908w0<71;cg?84><3?h70<7a;c5?xu50k0;6>u22909ea=::0?19n5229`9e3=z{;2h6=4<{<0;7?gc3482:7;l;<0;g?g13ty94i4?:2y>6=2=im16>4955b9>6=b=i?1v?6j:18084?=3ko70<68;7`?84?m3k=7p}=8g83>7}::0319n5229d9e3=z{;3n6=4={<0`1?gc3482i7o9;|q1=c<72;q6>4h5a79>6d7=;<1v?o?:18184f83k=70<6f;cg?xu5i80;6?u22`39e3=::h81?85rs3c1>5<5s48j>7o9;<0b0?523ty9m>4?:3y>6d5=i?16>l<5ae9~w7g32909w07p}=a483>7}::h?1m;522`4970=z{;k=6=4={<0b2?g1348j;7=:;|q1e2<72;q6>l95a79>6d>=;<1v?o7:18184f03k=70=?f;74?xu5i00;6?u22`39ea=::hk1m;5rs3ca>5<5s48j87ok;<0bg?g13ty9mi4?:3y>6d3=im16>lj5a79~w7gb2909w07}::h21mi522`d9e3=z{;h;6=4={<0a4?g1348i=7=:;|q1f4<72;q6>o?5a79>6g4=;<1v?l=:18184e:3k=701?85rs3`7>5<5s48i87o9;<0a1?523ty9n84?:3y>6g3=i?16>o85349~w7d12909w07p}=b683>7}::k=1m;522c:970=z{;h36=4={<0aoo5a79>6g6=im1v?lm:18184ej3k=705<5s48ih7o9;<0a7?gc3ty9nh4?:3y>6gc=i?16>o:5ae9~w7da2909w07}::j:1m;522c49ea=z{;i:6=4={<0`5?g1348i;7ok;|q1g7<72;q6>n<5a79>6g>=im1v?m<:18184d;3k=705<5s48h:7o9;<0`3?523ty9o:4?:3y>6f1=i?16>n65349~w7e?2909w07p}=c883>7}::j31m;522bc970=z{;ij6=4={<0`e?g1348hn7=:;|q1gg<72;q6>nl5a79>6fe=;<1v?ml:18184dk3k=705<5s48hi7o9;<0`1?523ty9ok4?:3y>6f`=i?16>n:5ae9~w7b72909w03ko7p}=d083>7}::m;1m;522b59ea=z{;n96=4={<0g6?g1348h47ok;|q1`6<72;q6>i=5a79>6f?=im1v?j;:18184c<3k=705<5s48ho7ok;<0g2?g13ty9h:4?:3y>6fb=im16>i95a79~w7b?2909w0f}::l?19;523159e3=::1<19:5229:912=::1319:5229c912=::1h19:5229a912=::1n19:5229g912=::1l19:5231d91==z{;nj6=4={<0ff?31349;h7o9;|q1`g<72;q6>ko5399>6<6=i?1v?jl:18184aj39370<61;c5?xu5lm0;6?u22ga97==::081m;5rs3ff>5<5s48mh7=7;<0:7?g13ty9hk4?:3y>6cc=;116>4:5a79~w7c72909w0=3k=7p}=e083>7}:;9:1?5522849e3=z{;o96=4={<135?5?3482;7o9;|q1a6<72;q6?=<5399>6<>=i?1v?k;:181857;39370<69;c5?xu5m?0;6>u22d79e3=::>n1?852282970=z{;o<6=4={<0`f?5e3482m7o9;|q1a=<72;q6>nm53c9>65<5s48nn7o9;<0f`?303ty9in4?:3y>6`e=i?16>hj5599~w7cb2909w07}::j?1m;522df910=z{;l;6=4m{<0ee?ge348im7;9;<04`?5e348hj7;:;<0`0?5e34;?=7;9;<36=?3234;?i7=m;<132?31348j<7;:;<0;2?313ty9j<4?:by>6cd=ik16>ol5579>62c=;k16>i>5549>6f0=;k16=9:5579>50g==<16=8>53c9>750==>16?=65549>75?==<16>lo5559~w7`5290iw07070<78;75?xu5n:0;6ou22gf9eg=::kn19;5229297g=::m8198522b:97g=:9h7;:;<367?5e34;?;7;8;<0;e?31348jh7;:;<13e?313ty9j84?:cy>6c`=ik16>oh5579>6=4=;k16>i:5549>6fg=;k16=8k5549>502=;k16>5l5579>6db==?16=965569>75g==<1v?h9:182=~;4890jn63=c1862>;50:08n63=9b861>;c;3?>70<6b;75?8b52<<01<8>:44894072<<01<;i:4789a2==?16>5m5579>6db==>16?=65569>6i95579>6a0==?16>i;5549>6279mk4:8:?1e`<2?2wx>k950;3785793ki70537==116=;>5549>`1<2?2794i4:6:?1ec<2?278<54:6:?1=`<2?279h:4:8:?1`3<2=2795i4:7:?042<2=279mh4:6:p6c>=83lp1>>=:``897e52<<01?6::2`897?d2<<01i=5579>537==<16h94:8:?1<`<2>27:8o4:7:?1=`<20279h:4:5:?1=a<2>279mk4:6:?1e`<2=278><:``897e42<<01?7k:4789a2==<16>lh5549>6=`==?16>4k5549>51b==<16?=o5569~w663290iw00;c5?xu48<0;6?u23069e3=:;8:1985rs225>5<4s49;:7o9;<0;3?30349;;7;8;|q04d<72;q6>595579>75g=i?1v>>m:181857m3k=70=>0;75?xu48j0;6?u2302912=:;9l19i5rs232>5<5s49:=7o9;<126?523ty8=?4?:3y>744=i?16?<=5349~w6742909w0=>3;c5?856<39>7p}<1483>7}:;8;1mi523049e3=z{:;<6=4={<123?g1349:>7ok;|q05=<72;q6?<65a79>745=im1v>?6:18185613k=70=>4;cg?xu49h0;6?uQ30c8967d2h<0q~=>c;296~;49k0j:63<1b860>{t;8n1<77}:;8o1m;52333913=z{:;m6=4={<12b?g13499>7;9;|q067<72;q6??<5a79>777==<1v><<:181855;3k=70==2;74?xu4:=0;6?u23369e3=:;;=19;5rs205>5<5s499:7o9;<115?303ty8>54?:3y>77>===16??>5a79~w64>2909wS==9:?06d2wx??o50;0x96>42h<01>vP<2c9>77b=i?1v>863<9d8b2>{t;::1<7=t=213>d0<5:9:6>;4=267>6353z?074278?>4<5:?000<4=2wx?><50;1x96552h<01>=>:`f896232;4db<5:>>68m4}r101?6=;r78?84n6:?072<4=2788:4<5:p760=839p1>=9:`4896522hn01>:9:4a8yv54?3:1?v3<368b2>;4;008963<49801>{t;:21<7=t=21;>d0<5:9<6lj4=264>0e53z?07<278?o4<5:?00<<4=2wx?>o50;1x965f2h<01>=6:`f8962?2;4db<5:>268m4}r10`?6=;r78?i4n6:?07c<4=2788o4<5:p76c=839p1>=j:`48965c2hn01>:n:4a8yv54n3:1>v3<3g8b2>;4;6l84=21e>db<5:>i68m4}r175?6=;r78?=4nd:?006<2k2788?4n6:p71b=838p1>:k:`48962d2;4>;0896s|35d94?5|5:>m6l84=272>63<5:<86>;4}r164?6=;r789=4n6:?00c;>:`4896342:?01>8;:278yv52:3:1?v3<538b2>;4=80jh63<6286g>{t;<91<7=t=270>d0<5:?>6>;4=246>6387>53z?0112789>4nd:?021<2k2wx?8;50;1x96322h<01>;8:27896012:?0q~=:6;297~;4=?0j:63<548b`>;4><0>o6s|34594?5|5:?<6l84=27:>63<5:<<6>;4}r16;6:`48963e2:?01>87:278yv52i3:1?v3<5`8b2>;4=00jh63<6686g>{t;d0<5:?o6>;4=24:>63o7>53z?01f2789o4nd:?02=<2k2wx?8j50;0x963c2h<01>8n:278yv52m3:1?v3<5d8b2>;4=m0jh63<6886g>{t;db<5:<:68m4=243>d052z?02g278:l4:c:p73e=838p1>6i:2:896242h<0q~=9d;296~;4ij08463<608b2>{t;?o1<76><5:>?6l84}r15b?6=:r78mi4<8:?0272wx?:>50;0x96?62:201>:::`48yv5093:1>v3;4>:0j:6s|36094?4|5:396>64=265>d052z?0ec<40278:94n6:p722=838p1>7<:2:896202h<0q~=85;296~;4j908463<648b2>{t;><1<76><5:>36l84}r143?6=:r78n<4<8:?0232wx?:650;0x96?22:201>:6:`48yv5013:1>v3;4>>0j:6s|36c94?4|5:3=6>64=26b>d052z?0f6<40278:54n6:p72e=838p1>78:2:8962e2h<0q~=8d;296~;4j=08463<688b2>{t;>o1<76><5:>h6l84}r14b?6=:r78n84<8:?02d2wx?5>50;1x96>72h<01>6>:45896472;4:90>:6s|39794?e|5:2m6ll4=3`b>03<5;h;6>l4=3ae>00<582:68;4=054>6d<58ki6884=23;>01<58on68;4=205>01<5:>968:4=2`b>035ez?0=5278=:4:7:?077<2=27h57;9;<112?3?34io68;4=0gf>01<5:hj6864}r1;3?6=lr785<4nb:?1ff<2=279n?427:4>4:5:?23<<4j27:mi4:6:?05<<2?278nl4:6:?071<2=27h57;8;01<58oo6884}r1;427:494:5:?23d<4j278?;4:5:?`=?3?34;ji7;9;00<5:;=68:4=0gf>00<5:hj6894}r1;=?6=mr785>4nb:?1f`<2=279n9427:484:5:?23g<4j278=:4:5:?2ac<2=2784>4:6:?`3?32349847;:;<1ag?3234;jj7;8;035fz?0=127:ik4:8:?0<6<2?27h;7;9;<10e?32349io7;9;<3a4?3034ih6884=2;b>005ez?0=06f7==<16>o953c9>5=>==<16=:k53c9>74>==<16=hh5579>7=2==<16?5>5549>7gd==116?>k5549>g=<2>279h;4:7:?2f7<2?2785l4:8:p7=b=83lp1>78:``897e52>2?7:44894`72<<01>6;:44896>72<=01>lm:458962726a1==>16?4o5549~w6>b290mw0=68;ca?84d;3?>707m:`f8yv5>13:1nv3<8g8bg>;4190jo63<908bg>;41;0jo63<928bg>;41=0jo63<948bg>;41?0jo63<968bg>;4110jo63<9c8b2>{t;0k1<7d0<5:3i6884}r1:g?6=:r785i4n6:?0=`<2=2wx?4h50;0x96g62h<01>7j:`f8yv5f83:1>v3;4i80>96s|3`094?g|5:kh6ll4=04g>03<58<86>l4=0;5>00<5:;268;4=3ga>0><5:8>68;4=243>02<58oo68;4=2`;>035cz?0ea279io4:7:?015<2=27o<7;:;<111?313492h7;:;<3f`?30349i47;8;|q0e1<72mq6?lk5ac9>53`==<16=;;53c9>5<>==?16?<75599>6`d==<16??=5579>704==<16?o95579>`5<2?278>84:7:?0e5<2?27:in4:8:p7d3=83ip1>oi:``894172;;:4789a6==?16??:5569>55`e==<16?=j5569>7g>==?1v>o9:18g85e83ki70?81;76?871?39i70=?e;75?85e13?<70<::4:894?f2<=01>o?:47894cd2<<0q~=n7;29`~;4j80jn63>73861>;6>108n63>eb863>;40;0>:63;4::0>963<59861>;dn3?=70==4;7;?87>j3?<70=6d;74?857l3?37p}a}:;k81mo52161910=:9?31?o5218a913=:9o:19:52390910=:kl0>963<23861>;4=h0>963;4:=0>963;48m0>96s|3`;94?c|5:h86ll4=057>03<58l4=2:1>0><5::n68;4=bg913=::li19;5230d910=:;7;8;<13a?30349i57;:;01<5;oh68;4=23e>00<5:?n68;4=204>01<583n6894=2;g>0><58on6864}r1bf?6=mr78n84nb:?233<2=27::n427:ik4:7:?0<4<2=27hi7;7;<116?3?349=n7;:;<112?323499<7;8;<1b5?gc349ih7;:;<13`?313ty8n;4?:cy>7de=ij16?lj5ab9>7dc=ij16?lh5ab9>7g6=ij16?o?5ab9>7g4=ij16?o=5ab9>7g2=ij16?o;5ab9>7gb=i?1v>l8:18185e?3k=70=md;74?xu4j00;6?u23c;9e3=:;kn19;5rs2`b>5<5s49im7o9;<1ag?303ty8nh4?:by>7de=;:16?lj5329>7dc=;:16?lh5329>7g6=;:16?o?5329>7g4=;:16?o=5329>7g2=;:16?o;5329>7ge=i?16?oj5599~ykgci3:1=vFm0:mead=83;pDo>4}ocgg?6=9rBi<6saaef94?7|@k:0qcoke;295~Ne82wemih50;3xLg651zJa4>{iil;1<7?tHc28ykgb:3:1=vFm0:me`5=83;pDo>4}ocf0?6=9rBi<6saad794?7|@k:0qcoj6;295~Ne82wemh950;3xLg651zJa4>{iil31<7?tHc28ykgbi3:1=vFm0:me`d=83;pDo>4}ocfg?6=9rBi<6saadf94?7|@k:0qcoje;295~Ne82wemhh50;3xLg651zJa4>{iio;1<7?tHc28ykga:3:1=vFm0:mec5=83;pDo>4}oce0?6=9rBi<6saag794?7|@k:0qcoi6;295~Ne82wemk950;3xLg651zJa4>{iio31<7?tHc28ykgai3:1=vFm0:mecd=83;pDo>4}oceg?6=9rBi<6saagf94?7|@k:0qcoie;295~Ne82wemkh50;3xLg651zJa4>{ij9;1<7?tHc28ykd7:3:1=vFm0:mf55=83;pDo>4}o`30?6=9rBi<6sab1794?7|@k:0qcl?6;295~Ne82wen=950;3xLg651zJa4>{ij931<7?tHc28ykd7i3:1=vFm0:mf5d=83;pDo>4}o`3g?6=9rBi<6sab1f94?7|@k:0qcl?e;295~Ne82wen=h50;3xLg651zJa4>{ij8;1<7?tHc28ykd6:3:1=vFm0:mf45=83;pDo>4}o`20?6=9rBi<6sab0794?7|@k:0qcl>6;295~Ne82wen<950;3xLg651zJa4>{ij831<7?tHc28ykd6i3:1=vFm0:mf4d=83;pDo>4}o`2g?6=9rBi<6sab0f94?7|@k:0qcl>e;295~Ne82wen51zJa4>{ij;;1<7?tHc28ykd5:3:1=vFm0:mf75=83;pDo>4}o`10?6=9rBi<6sab3794?7|@k:0qcl=6;295~Ne82wen?950;3xLg651zJa4>{ij;31<7?tHc28ykd5i3:1=vFm0:mf7d=83;pDo>4}o`1g?6=9rBi<6sab3f94?7|@k:0qcl=e;295~Ne82wen?h50;3xLg651zJa4>{ij:;1<7?tHc28ykd4:3:1=vFm0:mf65=83;pDo>4}o`00?6=9rBi<6sab2794?7|@k:0qcl<6;295~Ne82wen>950;3xLg651zJa4>{ij:31<7?tHc28ykd4i3:1=vFm0:mf6d=83;pDo>4}o`0g?6=9rBi<6sab2f94?7|@k:0qclh50;3xLg651zJa4>{ij=;1<7?tHc28ykd3:3:1=vFm0:mf15=83;pDo>4}o`70?6=9rBi<6sab5794?7|@k:0qcl;6;295~Ne82wen9950;3xLg651zJa4>{ij=31<7?tHc28ykd3i3:1=vFm0:mf1d=83;pDo>4}o`7g?6=9rBi<6sab5f94?7|@k:0qcl;e;295~Ne82wen9h50;3xLg6<7>51zJa4>{ij<;1<7?tHc28ykd2:3:1=vFm0:mf05=83;pDo>4}o`60?6=9rBi<6sab4794?7|@k:0qcl:6;295~Ne82wen8950;3xLg647>51zJa4>{ij<31<7?tHc28ykd2i3:1=vFm0:mf0d=83;pDo>4}o`6g?6=9rBi<6sab4f94?7|@k:0qcl:e;295~Ne82wen8h50;3xLg651zJa4>{ij?;1<7?tHc28ykd1:3:1=vFm0:mf35=83;pDo>4}o`50?6=9rBi<6sab7794?7|@k:0qcl96;295~Ne82wen;950;3xLg651zJa4>{ij?31<7?tHc28ykd1i3:1=vFm0:mf3d=83;pDo>4}o`5g?6=9rBi<6sab7f94?7|@k:0qcl9e;295~Ne82wen;h50;3xLg651zJa4>{ij>;1<7?tHc28ykd0:3:1=vFm0:mf25=83;pDo>4}o`40?6=9rBi<6sab6794?7|@k:0qcl86;295~Ne82wen:950;3xLg651zJa4>{ij>31<7?tHc28ykd0i3:1=vFm0:mf2d=83;pDo>4}o`4g?6=9rBi<6sab6f94?7|@k:0qcl8e;295~Ne82wen:h50;3xLg651zJa4>{ij1;1<7?tHc28ykd?:3:1=vFm0:mf=5=83;pDo>4}o`;0?6=9rBi<6sab9794?7|@k:0qcl76;295~Ne82wen5950;3xLg651zJa4>{ij131<7?tHc28ykd?i3:1=vFm0:mf=d=83;pDo>4}o`;g?6=9rBi<6sab9f94?7|@k:0qcl7e;295~Ne82wen5h50;3xLg651zJa4>{ij0;1<7?tHc28ykd>:3:1=vFm0:mf<5=83;pDo>4}o`:0?6=9rBi<6sab8794?7|@k:0qcl66;295~Ne82wen4950;3xLg651zJa4>{ij031<7?tHc28ykd>i3:1=vFm0:mf4}o`:g?6=9rBi<6sab8f94?7|@k:0qcl6e;295~Ne82wen4h50;3xLg651zJa4>{ijh;1<7?tHc28ykdf:3:1=vFm0:mfd5=83;pDo>4}o`b0?6=9rBi<6sab`794?7|@k:0qcln6;295~Ne82wenl950;3xLg651zJa4>{ijh31<7?tHc28ykdfi3:1=vFm0:mfdd=83;pDo>4}o`bg?6=9rBi<6sab`f94?7|@k:0qclne;295~Ne82wenlh50;3xLg651zJa4>{ijk;1<7?tHc28ykde:3:1=vFm0:mfg5=83;pDo>4}o`a0?6=9rBi<6sabc794?7|@k:0qclm6;295~Ne82weno950;3xLg651zJa4>{ijk31<7?tHc28ykdei3:1=vFm0:mfgd=83;pDo>4}o`ag?6=9rBi<6sabcf94?7|@k:0qclme;295~Ne82wenoh50;3xLg651zJa4>{ijj;1<7?tHc28ykdd:3:1=vFm0:mff5=83;pDo>4}o``0?6=9rBi<6sabb794?7|@k:0qcll6;295~Ne82wenn950;3xLg651zJa4>{ijj31<7?tHc28ykddi3:1=vFm0:mffd=83;pDo>4}o``g?6=9rBi<6sabbf94?7|@k:0qclle;295~Ne82wennh50;3xLg651zJa4>{ijm;1<7?tHc28ykdc:3:1=vFm0:mfa5=83;pDo>4}o`g0?6=9rBi<6sabe794?7|@k:0qclk6;295~Ne82weni950;3xLg651zJa4>{ijm31<7?tHc28ykdci3:1=vFm0:mfad=83;pDo>4}o`gg?6=9rBi<6sabef94?7|@k:0qclke;295~Ne82wenih50;3xLg651zJa4>{ijl;1<7?tHc28ykdb:3:1=vFm0:mf`5=83;pDo>4}o`f0?6=9rBi<6sabd794?7|@k:0qclj6;295~Ne82wenh950;3xLg651zJa4>{ijl31<7?tHc28ykdbi3:1=vFm0:mf`d=83;pDo>4}o`fg?6=9rBi<6sabdf94?7|@k:0qclje;295~Ne82wenhh50;3xLg651zJa4>{ijo;1<7?tHc28ykda:3:1=vFm0:mfc5=83;pDo>4}o`e0?6=9rBi<6sabg794?7|@k:0qcli6;295~Ne82wenk950;3xLg651zJa4>{ijo31<7?tHc28ykdai3:1=vFm0:mfcd=83;pDo>4}o`eg?6=9rBi<6sabgf94?7|@k:0qclie;295~Ne82wenkh50;3xLg651zJa4>{ik9;1<7?tHc28yke7:3:1=vFm0:mg55=83;pDo>4}oa30?6=9rBi<6sac1794?7|@k:0qcm?6;295~Ne82weo=950;3xLg651zJa4>{ik931<7?tHc28yke7i3:1=vFm0:mg5d=83;pDo>4}oa3g?6=9rBi<6sac1f94?7|@k:0qcm?e;295~Ne82weo=h50;3xLg651zJa4>{ik8;1<7?tHc28yke6:3:1=vFm0:mg45=83;pDo>4}oa20?6=9rBi<6sac0794?7|@k:0qcm>6;295~Ne82weo<950;3xLg651zJa4>{ik831<7?tHc28yke6i3:1=vFm0:mg4d=83;pDo>4}oa2g?6=9rBi<6sac0f94?7|@k:0qcm>e;295~Ne82weo51zJa4>{ik;;1<7?tHc28yke5:3:1=vFm0:mg75=83;pDo>4}oa10?6=9rBi<6sac3794?7|@k:0qcm=6;295~Ne82weo?950;3xLg651zJa4>{ik;31<7?tHc28yke5i3:1=vFm0:mg7d=83;pDo>4}oa1g?6=9rBi<6sac3f94?7|@k:0qcm=e;295~Ne82weo?h50;3xLg651zJa4>{ik:;1<7?tHc28yke4:3:1=vFm0:mg65=83;pDo>4}oa00?6=9rBi<6sac2794?7|@k:0qcm<6;295~Ne82weo>950;3xLg651zJa4>{ik:31<7?tHc28yke4i3:1=vFm0:mg6d=83;pDo>4}oa0g?6=9rBi<6sac2f94?7|@k:0qcmh50;3xLg651zJa4>{ik=;1<7?tHc28yke3:3:1=vFm0:mg15=83;pDo>4}oa70?6=9rBi<6sac5794?7|@k:0qcm;6;295~Ne82weo9950;3xLg651zJa4>{ik=31<7?tHc28yke3i3:1=vFm0:mg1d=83;pDo>4}oa7g?6=9rBi<6sac5f94?7|@k:0qcm;e;295~Ne82weo9h50;3xLg6<7>51zJa4>{ik<;1<7?tHc28yke2:3:1=vFm0:mg05=83;pDo>4}oa60?6=9rBi<6sac4794?7|@k:0qcm:6;295~Ne82weo8950;3xLg647>51zJa4>{ik<31<7?tHc28yke2i3:1=vFm0:mg0d=83;pDo>4}oa6g?6=9rBi<6sac4f94?7|@k:0qcm:e;295~Ne82weo8h50;3xLg651zJa4>{ik?;1<7?tHc28yke1:3:1=vFm0:mg35=83;pDo>4}oa50?6=9rBi<6sac7794?7|@k:0qcm96;295~Ne82weo;950;3xLg651zJa4>{ik?31<7?tHc28yke1i3:1=vFm0:mg3d=83;pDo>4}oa5g?6=9rBi<6sac7f94?7|@k:0qcm9e;295~Ne82weo;h50;3xLg651zJa4>{ik>;1<7?tHc28yke0:3:1=vFm0:mg25=83;pDo>4}oa40?6=9rBi<6sac6794?7|@k:0qcm86;295~Ne82weo:950;3xLg651zJa4>{ik>31<7?tHc28yke0i3:1=vFm0:mg2d=83;pDo>4}oa4g?6=9rBi<6sac6f94?7|@k:0qcm8e;295~Ne82weo:h50;3xLg651zJa4>{ik1;1<7?tHc28yke?:3:1=vFm0:mg=5=83;pDo>4}oa;0?6=9rBi<6sac9794?7|@k:0qcm76;295~Ne82weo5950;3xLg651zJa4>{ik131<7?tHc28yke?i3:1=vFm0:mg=d=83;pDo>4}oa;g?6=9rBi<6sac9f94?7|@k:0qcm7e;295~Ne82weo5h50;3xLg651zJa4>{ik0;1<7?tHc28yke>:3:1=vFm0:mg<5=83;pDo>4}oa:0?6=9rBi<6sac8794?7|@k:0qcm66;295~Ne82weo4950;3xLg651zJa4>{ik031<7?tHc28yke>i3:1=vFm0:mg4}oa:g?6=9rBi<6sac8f94?7|@k:0qcm6e;295~Ne82weo4h50;3xLg651zJa4>{ikh;1<7?tHc28ykef:3:1=vFm0:mgd5=83;pDo>4}oab0?6=9rBi<6sac`794?7|@k:0qcmn6;295~Ne82weol950;3xLg651zJa4>{ikh31<7?tHc28ykefi3:1=vFm0:mgdd=83;pDo>4}oabg?6=9rBi<6sac`f94?7|@k:0qcmne;295~Ne82weolh50;3xLg651zJa4>{ikk;1<7?tHc28ykee:3:1=vFm0:mgg5=83;pDo>4}oaa0?6=9rBi<6sacc794?7|@k:0qcmm6;295~Ne82weoo950;3xLg651zJa4>{ikk31<7?tHc28ykeei3:1=vFm0:mggd=83;pDo>4}oaag?6=9rBi<6saccf94?7|@k:0qcmme;295~Ne82weooh50;3xLg651zJa4>{ikj;1<7?tHc28yked:3:1=vFm0:mgf5=83;pDo>4}oa`0?6=9rBi<6sacb794?7|@k:0qcml6;295~Ne82weon950;3xLg651zJa4>{ikj31<7?tHc28ykedi3:1=vFm0:mgfd=83;pDo>4}oa`g?6=9rBi<6sacbf94?7|@k:0qcmle;295~Ne82weonh50;3xLg651zJa4>{ikm;1<7?tHc28ykec:3:1=vFm0:mga5=83;pDo>4}oag0?6=9rBi<6sace794?7|@k:0qcmk6;295~Ne82weoi950;3xLg651zJa4>{ikm31<7?tHc28ykeci3:1=vFm0:mgad=83;pDo>4}oagg?6=9rBi<6sacef94?7|@k:0qcmke;295~Ne82weoih50;3xLg651zJa4>{ikl;1<7?tHc28ykeb:3:1=vFm0:mg`5=83;pDo>4}oaf0?6=9rBi<6sacd794?7|@k:0qcmj6;295~Ne82weoh950;3xLg651zJa4>{ikl31<7?tHc28ykebi3:1=vFm0:mg`d=83;pDo>4}oafg?6=9rBi<6sacdf94?7|@k:0qcmje;295~Ne82weohh50;3xLg651zJa4>{iko;1<7?tHc28ykea:3:1=vFm0:mgc5=83;pDo>4}oae0?6=9rBi<6sacg794?7|@k:0qcmi6;295~Ne82weok950;3xLg651zJa4>{iko31<7?tHc28ykeai3:1=vFm0:mgcd=83;pDo>4}oaeg?6=9rBi<6sacgf94?7|@k:0qcmie;295~Ne82weokh50;3xLg651zJa4>{il9;1<7?tHc28ykb7:3:1=vFm0:m`55=83;pDo>4}of30?6=9rBi<6sad1794?7|@k:0qcj?6;295~Ne82weh=950;3xLg651zJa4>{il931<7?tHc28ykb7i3:1=vFm0:m`5d=83;pDo>4}of3g?6=9rBi<6sad1f94?7|@k:0qcj?e;295~Ne82weh=h50;3xLg651zJa4>{il8;1<7?tHc28ykb6:3:1=vFm0:m`45=83;pDo>4}of20?6=9rBi<6sad0794?7|@k:0qcj>6;295~Ne82weh<950;3xLg651zJa4>{il831<7?tHc28ykb6i3:1=vFm0:m`4d=83;pDo>4}of2g?6=9rBi<6sad0f94?7|@k:0qcj>e;295~Ne82weh51zJa4>{il;;1<7?tHc28ykb5:3:1=vFm0:m`75=83;pDo>4}of10?6=9rBi<6sr}|BCG~`083i39;8n3gCDG}7uIJ[wpNO \ No newline at end of file Index: github/VHDL-Pong-master-2/paddle.vhd =================================================================== --- github/VHDL-Pong-master-2/paddle.vhd (revision 428) +++ github/VHDL-Pong-master-2/paddle.vhd (nonexistent) @@ -1,49 +0,0 @@ -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use pongConstants.ALL; - -entity Paddle is port ( - clk25: in STD_LOGIC; - hcnt: in cnt_t; - vcnt: in cnt_t; - leftBtn: in STD_LOGIC; - rightBtn: in STD_LOGIC; - paddlePos: inout cnt_t; - isPaddle: out boolean -); -end paddle; - -architecture Behavioral of Paddle is -begin -paddle: process(clk25, vcnt, hcnt, leftBtn, rightBtn, paddlePos) - impure function moveLeft return boolean is - begin - return leftBtn = '1' and - paddlePos > BORDER_WIDTH; - end moveLeft; - - impure function moveRight return boolean is - begin - return rightBtn = '1' and - paddlePos+PADDLE_WIDTH < H_PIXELS-BORDER_WIDTH-1; - end moveRight; - -begin - if rising_edge(clk25) and (vcnt = 0) and (hcnt = 0)then - if moveLeft then - paddlePos <= paddlePos - PADDLE_SPEED; - elsif moveRight then - paddlePos <= paddlePos + PADDLE_SPEED; - end if; - end if; -end process; - -drawPaddle: process(hcnt, vcnt, paddlePos) -begin - isPaddle <= vcnt >= PADDLE_Y_BEGIN and -- top - vcnt <= PADDLE_Y_END and -- bottom - hcnt >= paddlePos and -- left - hcnt <= paddlePos + PADDLE_WIDTH; -- right -end process; -end Behavioral; -
github/VHDL-Pong-master-2/paddle.vhd Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: github/VHDL-Pong-master-2/Pong.ngd =================================================================== --- github/VHDL-Pong-master-2/Pong.ngd (revision 428) +++ github/VHDL-Pong-master-2/Pong.ngd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5355=6>2.Yi{g|inl9$4(5<8$9"9.+1:27?5679=1;==>;;13617=7:=1;>5O=;161?5>33920127?45E;;18>95<3017?655;m18?>?aws245+3<2>?8=:4456@6>33<2?><9:45EDE5>033?:;181J>6O94:C;45286ON8168EDG?<2KIM5=4AEP2?G5E?<2IH:N<4CD68G@6F:2IB96MDBTD0?FJL;2IG^45LOLOJPQCC?2IYILZJD09G6>B?:2NM>6JFe:FQGZURKJOX_K[C4:GB452AIL81B>6G?2:K26>O5:2C886GMUG78MGSAO;1BB>5FN@18MKD?3@DMI_\JD89JJLRT\H^N?6GAVd9JJZH@LVKEHRLLD79JKFIJX?1BCYW_E39OM2=KAKXJ^T84LNCGAA5JR\;<0@XZ=_M68HPR4>2F^X>QK6:NVP6YK<2F^X984LTV7[A05A1818J7643G89?6@=429M635:<;O167>H4?:1E?5<4N518J1643G>:?6@;329M015H2?:1E95=4N4;1?K043G<;?6@9129M215190B;7<;O537>H09:1E;>=4N670?K10;2D<5>5A8018J=543G2>?6@7729M<=5HB\^EYG<5@4:MAQC55_1418T4043Y8;?6^<929S065T682XN^H]@LWG\TDTFEZ90^BC<;SQW5>U43ZOG?6]JT29PBC2<[[Y_86]\EU48PLCHNO>0XT^J6:WMQVCCk2_XI_QYIRKAH@5<^JI27[GJW^VZT@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML57UA]CX48\VRKAK=0T^ZPGOF4?]USW_IH:6Vkb^Kgf>^c`VZye`Gkxc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us<2PN^B64bmcmolh`=2igg?;9;bnh607f3jf`>8QMUGE6?fjl=9h0oae:0^@VBBV13`yImab7;hqSeabkm>1gikzMtoa8h``sJ}dTEO[I8:ld`gsndml0c>?01]3[jt789::>6a}0123[5Yhz9:;<?0131<40?12725>iu89:;S=Q`r123464692ey<=>?_1]lv5678:<:96a}0123[5Yhz9:;<>8PSV225>iu89:;S=Q`r12342>692ey<=>?_1]lv567818:96a}0123[5Yhz9:;<5iuj&GjhiQnne2345Y7Whnoxl?013\|jt;>7;:SD@Y_105?jte'DkohRoad1234Z6Ximnxyo>?00]{kw:068;9:6a}b.Ob`aYffm:;<=Q?_`fgwpd789;Ttb|38?3265=hzk%FmijPaof3456X8Vcehhv?012\mkp5j2eyn"Cnde]jwGgkdV:Tmij|uc2345Yg{682iuj&GjhiQfsCcohZ6Ximnxyo>?00]{kw:368;996a}b.Ob`aYn{Kkg`R>Paefpqg6788Usc2:>032b>iuj&GjhiQfsCcohZ6Xagnnt=>?0^kmr4bQK_CWE65=hzk%FmijPir@bhiY7W`doiu>?00]a`a6582eyn"Cnde]jwGgkdV:Tecjjx1235Zdcl;;m7b|m/Lcg`ZotJhfgS=Qfneg{4566W`d}>?5`rc-Neabt}kUsNlbc_`fgwpd789:Tot2?>308kwd(EhnoxlPxCcohZgclzi<=>?_b{?5;453fxi#@okdrwa[}DfdeUjhi}zb1234Ze~4;49>6a}b.Ob`aurjVrImabPaefpqg6789Uhu1=1239lvg)JimnxyoQwB`no[dbc{|h;<=>Pcx>7:742:75318kwd(EhnoxlPxCcohZgclzi<=>?_mww8185;2eyn"CndeqvfZ~EiefTmij|uc2345Yk}}6>2?=4os`,Idbc{|hTtOocl^cg`vse89:;Sa{{<7<17>iuj&Gjhi}zb^zAeijXimnxyo>?01]oqq:06;90cl M`fgwpdXpKkg`Rokdrwa4567We050=3:mqf*Kflmy~nRvMamn\eabt}k:;<=Qcuu>::75>318kwd(EhnoxlPxCcohZgclzi<=>?_ymq8785;2eyn"CndeqvfZ~EiefTmij|uc2345Yg{682?=4os`,Idbc{|hTtOocl^cg`vse89:;Sua}<5<17>iuj&Gjhi}zb^zAeijXimnxyo>?01]{kw:26;90cl M`fgwpdXpKkg`Rokdrwa4567Wqey0;0=3:mqf*Kflmy~nRvMamn\eabt}k:;<=Qwos>4:75308kwd(EhnoxlPyCcohZgclzi<=>?_b{?4;453fxi#@okdrwa[|DfdeUjhi}zb1234Ze~4849>6a}b.Ob`aurjVsImabPaefpqg6789Uhu1<1239lvg)JimnxyoQvB`no[dbc{|h;<=>Pcx>0:74Pltv?7;443fxi#@okdrwa[|DfdeUjhi}zb1234Zjr|5>5>>5`rc-Neabt}kUrNlbc_`fgwpd789:T`xz35?00?jte'Dkoh~{m_x@bhiYflmy~n=>?0^nvp909::1d~o!BaefpqgY~JhfgSljkst`3456Xd|~7;3<<;npa+HgclziStLnlm]b`aurj9:;1229lvg)JimnxyoQvB`no[dbc{|h;<=>Pxnp?5;443fxi#@okdrwa[|DfdeUjhi}zb1234Z~hz585>>5`rc-Neabt}kUrNlbc_`fgwpd789:Ttb|33?00?jte'Dkoh~{m_x@bhiYflmy~n=>?0^zlv929::1d~o!BaefpqgY~JhfgSljkst`3456Xpfx793<<;npa+HgclziStLnlm]b`aurj9:;Pxnp?=;473fxi#@m`mqcq[ZejxVmn<=>?<1<\g|:76;90cl MbmntdtXWjg{Sjk?012?4;Ydq5:5Sz=0:mqf*Kdgdzj~RQlmq]da56785:5Snw31?03?jte'Dida}o}_^antZab89:;0=0Pcx>1:7530?]`}949W{~9<6a}b.O`khvfzVUha}Qhe1234969Wjs7?3<<;npa+HeheykySRmbp^ef4567494Tot2<>^pw65=hzk%Fobcas]\ghvXol:;<=2?>^az818582eyn"ClolrbvZYdeyUli=>?0=2=[f;=78;7b|m/LaliuguWVif|Rij0123858Xkp6=2?>4os`,IfijxhxTSnc_fg3456;87Uhu191209lvg)Jkfg{mQPclr\c`67896;2Rbzt=3=62=hzk%Fobcas]\ghvXol:;<=2?>^nvp979W@D]S=<>;npa+HeheykySRmbp^ef4567494T`xz34?02?jte'Dida}o}_^antZab89:;0=0Pltv?1;463fxi#@m`mqcq[ZejxVmn<=>?<1<\hpr;?7;=7b|m/LaliuguWVcehhv?0122=>iuj&Ghc`~nr^]jjac89:;>?6>9:mqf*Kdgdzj~RQfneg{4567:=>:h6a}b.O`khvfzVUbbikw0123612X[^:::6a}b.O`khvfzVUbbikw012252=hzk%Fobcas]\mkbbp9:;=??m;npa+HeheykySRgaddz34575WZ];=;5`rc-Ngjkwi{UTecjjx123670?0^az8469:?1d~o!BcnosewYffm:;<=Q?_bos[bc789:Tot2>>348kwd(Ejef|l|Paof3456X8Vif|Rij0123[f;:78=7b|m/LaliuguWhdo<=>?_1]`iuY`m9:;iuj&Ghc`~nr^cm`5678V:To`~Pgd2345Ydq5>5>;5`rc-Ngjkwi{Ujbi>?01]3[fkwWno;<=>Pcx>6:70?0^az8285>2eyn"ClolrbvZgil9:;Pclr\c`6789Uhu161279lvg)Jkfg{mQnne2345Y7Wjg{Sjk?012\g|:>6;=0cl MbmntdtXign;<=>P0^antZab89:;Sa{{<1<1<>iuj&Ghc`~nr^cm`5678V:To`~Pgd2345Yk}}6:<3?0^nvp9776VY\?_mww8485?2eyn"ClolrbvZgil9:;Pclr\c`6789Ugyy2=>358kwd(Ejef|l|Paof3456X8Vif|Rij0123[iss4:49;6a}b.O`khvfzVkeh=>?0^2\ghvXol:;<=Qcuu>7:71?01]oqq:16;=0cl MbmntdtXign;<=>P0^antZab89:;Sa{{<6<13>iuj&Ghc`~nr^cm`5678V:To`~Pgd2345Yk}}632?l4os`,IfijxhxTmcj?012\4ZejxVmn<=>?_mww8=8X[^:9;6a}b.O`khvfzVkeh=>?0^2\ghvXol:;<=Qcuu>::70?0^az8469:?1d~o!BcnosewYffm:;<=Q?_bos[ic789:Tot2>>348kwd(Ejef|l|Paof3456X8Vif|Rbj0123[f;:78=7b|m/LaliuguWhdo<=>?_1]`iuYkm9:;iuj&Ghc`~nr^cm`5678V:To`~Pld2345Ydq5>5>;5`rc-Ngjkwi{Ujbi>?01]3[fkwWeo;<=>Pcx>6:70?0^az8285>2eyn"ClolrbvZgil9:;Pclr\h`6789Uhu161279lvg)Jkfg{mQnne2345Y7Wjg{Sak?012\g|:>6;=0cl MbmntdtXign;<=>P0^antZjb89:;Sa{{<1<13>iuj&Ghc`~nr^cm`5678V:To`~Pld2345Yk}}6:2?94os`,IfijxhxTmcj?012\4ZejxVfn<=>?_mww8785?2eyn"ClolrbvZgil9:;Pclr\h`6789Ugyy2<>358kwd(Ejef|l|Paof3456X8Vif|Rbj0123[iss4=49;6a}b.O`khvfzVkeh=>?0^2\ghvXdl:;<=Qcuu>6:71?01]oqq:06;=0cl MbmntdtXign;<=>P0^antZjb89:;Sa{{<9<13>iuj&Ghc`~nr^cm`5678V:To`~Pld2345Yk}}622?;4os`,IfijxhxTe~Lnlm]3[fkwWno;<=>Pcx>3:73Pcx>1:73Pcx>7:732?;4os`,IfijxhxTe~Lnlm]3[fkwWno;<=>Pcx>5:73Pcx>;:73Pltv?4;413fxi#@m`mqcq[luEiefT?0^nvp949:?1d~o!BcnosewYn{Kkg`R>Pclr\c`6789Ugyy2<>348kwd(Ejef|l|Pir@bhiY7Wjg{Sjk?012\hpr;<78=7b|m/LaliuguW`yImabP0^antZab89:;Sa{{<4<12>iuj&Ghc`~nr^kpFdjkW9Uha}Qhe1234Zjr|5<5>;5`rc-Ngjkwi{UbOocl^2\ghvXol:;<=Qcuu>4:70?_mww8<85=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir0=0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8485=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir0?0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8685=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir090=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8085=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir0;0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8285=2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vir050=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?1^az8<85>2eyn"ClolrbvZotJhfgS=Qlmq]da5679Vf~x1>1279lvg)Jkfg{mQfsCcohZ6XkdzTkh>?00]oqq:66;<0cl MbmntdtXazHj`aQ?_bos[bc789;T`xz32?05?jte'Dida}o}_hqAeijX8Vif|Rij0122[iss4:49:6a}b.O`khvfzVcxNlbc_1]`iuY`m9:;=Rbzt=6=63=hzk%Fobcas]jwGgkdV:To`~Pgd2344Yk}}6>2?84os`,IfijxhxTe~Lnlm]3[fkwWno;<=?Pltv?2;413fxi#@m`mqcq[luEiefT?1^nvp9>9:?1d~o!BcnosewYn{Kkg`R>Pclr\c`6788Ugyy26>378kwd(Ejef|l|Pir@bhiY7Wjg{Sak?012\g|:76;<0cl MbmntdtXazHj`aQ?_bos[ic789:Tot2>0?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;978>7b|m/LaliuguW`yImabP0^antZjb89:;Snw32?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;;78>7b|m/LaliuguW`yImabP0^antZjb89:;Snw34?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;=78>7b|m/LaliuguW`yImabP0^antZjb89:;Snw36?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;?78>7b|m/LaliuguW`yImabP0^antZjb89:;Snw38?06?jte'Dida}o}_hqAeijX8Vif|Rbj0123[f;178=7b|m/LaliuguW`yImabP0^antZjb89:;Sa{{<1<12>iuj&Ghc`~nr^kpFdjkW9Uha}Qce1234Zjr|5;5>;5`rc-Ngjkwi{UbOocl^2\ghvXdl:;<=Qcuu>1:70?_mww8185>2eyn"ClolrbvZotJhfgS=Qlmq]oa5678Vf~x1;1279lvg)Jkfg{mQfsCcohZ6XkdzT`h>?01]oqq:16;<0cl MbmntdtXazHj`aQ?_bos[ic789:T`xz37?05?jte'Dida}o}_hqAeijX8Vif|Rbj0123[iss4149:6a}b.O`khvfzVcxNlbc_1]`iuYkm9:;;5`rc-Ngjkwi{UbOocl^2\ghvXdl:;<1:737:732?;4os`,IfijxhxTe~Lnlm]3[fkwWeo;<=?Pcx>5:73;:73?1^nvp949:?1d~o!BcnosewYn{Kkg`R>Pclr\h`6788Ugyy2<>348kwd(Ejef|l|Pir@bhiY7Wjg{Sak?013\hpr;<78=7b|m/LaliuguW`yImabP0^antZjb89::Sa{{<4<12>iuj&Ghc`~nr^kpFdjkW9Uha}Qce1235Zjr|5<5>;5`rc-Ngjkwi{UbOocl^2\ghvXdl:;<4:70>_mww8<803fxi#C?>7:mqf*H5811d~o!A2032f>iuj&keh=>?0^2\eabt}k:;<<29>0`8kwd(ign;<=>P0^cg`vse89::0:0>b:mqf*gil9:;Paefpqg6788632<;4os`,ekb789:T?199lvg)ffm:;<=Q?_bos[ic789::m6a}b.kpFdjkW9Ujhi}zb12349599h1d~o!fsCcohZ6Ximnxyo>?01>7:4g35?3b?jte'`yImabP0^cg`vse89:;0;0>a:mqf*otJhfgS=Qndeqvf56785=5=n5`rc-jwGgkdV:Tmij|uc2345:068;:n6a}b.kpFdjkW9Ujhi}zb1234919:8l0cl ir@bhiY7Whnoxl?012?3;Yelm::m6a}b.kpFdjkW9Ujhi}zb12349>99k1d~o!fsCcohZ6Ximnxyo>?01>;:47f3fxi#d}Mamn\4Zgclzi<=>?<8<2e>iuj&cxNlbc_1]b`aurj9:;=1=11`9lvg)n{Kkg`R>Paefpqg67886?2?1=4=54g1`9lvg)n{Kkg`R>Paefpqg6788632?00>::47a3fxi#d}Mamn\4Zgclzi<=>><8<2[VQ79<1d~o!fsCcohZ6Xign;<=>>169lvg)n{Kkg`R>Pclr\c`6789;<7b|m/hqAeijX8Vif|Rij012252=hzk%bOocl^2\ghvXdl:;<=?8;npa+luEiefTiuj&rImab32?a8kwd(pKkg`1=1c:mqf*~Eief783m4os`,|Ggkd5?5o6a}b.zAeij;>7i0cl xCcoh919k2eyn"vMamn?<;eiuj&rImabKis37?jte'qHj`aJfr^llp56798?0cl xCcohAouWge<=>>10g8kwd(pKkg`Ig}_omw4566:V}lSnw30?3f?jte'qHj`aJfr^llp5679;U|kRmv<0<2a>iuj&rImabKis]mkq67888T{jQly=0=5`=hzk%sNlbcDhp\jjr789;9SziPcx>0:4cRyh_b{?0;7a3fxi#uLnlmFjvZhh|9:;=?Qxg^nvp9699o1d~o!wB`no@ltXff~;<=?=_ve\hpr;97;m7b|m/y@bhiBnzVddx=>?13]tcZjr|585=k5`rc-{FdjkL`xTbbz?0131[raXd|~7?3?i;npa+}DfdeNb~R``t12357YpoVf~x1:1b:mqf*~EiefTiuj&rImabP3^3a?jte'qHj`aQ;b:mqf*~EiefT9o5`rc-{FdjkW?h0cl xCcohZ1e3fxi#uLnlm];f>iuj&rImabP9058kwd(pKkg`Rokdrwa4567494:;6a}b.zAeijXimnxyo>?01>2:417:mqf*~EiefTmij|uc2345:468=0cl xCcohZgclzi<=>?<5<23>iuj&rImabPaefpqg67896>2<94os`,|GgkdVkoh~{m01238386?2eyn"vMamn\eabt}k:;<=28>058kwd(pKkg`Rokdrwa4567414:;6a}b.zAeijXimnxyo>?01>::42<<1<251=hzk%sNlbc_lw{4564484:96a}b.zAeijXe|r;<==31?320>iuj&rImabPmtz3455;:7;>7b|m/y@bhiYj}q:;<>2=>037?jte'qHj`aQbuy2346:468?0cl xCcohZkrp9:;?1=11068kwd(pKkg`Rczx12379299<1d~o!wB`no[hs89:8090>159lvg)JhfgS`{w01208086=2eyn"vMamn\ip~7899793?>4:mqf*~EiefTaxv?011?2;723fxi#uLnlm]nq}678:6=2?02>4:43?3=:=50=hzk%sNlbc_lw{4564414:=95`rc-{FdjkWds<=><<8<21>iuj&rImabPmtz3455;17;:=6a}b.zAeijXe|r;<=:>8:mqf*~EiefTaxv?0161[LHQW9;>7b|m/y@bhiYig}:;<1c:mqf*Eief7=3m4os`,}Ggkd585o6a}b.{Aeij;;7i0cl yCcoh929k2eyn"wMamn?1;eiuj&sImab37?a8kwd(qKkg`161c:mqf*Eief753m4os`,}GgkdMcy=95`rc-zFdjkL`xTaxv?01221>iuj&sImabKis]nq}6789;:86a}b.{AeijCa{Uecy>?0036?jte'pHj`aJfr^llp56798h0cl yCcohZ6e3fxi#tLnlm]2f>iuj&sImabP2c9lvg)~JhfgS>l4os`,}GgkdV>i7b|m/x@bhiY2j2eyn"wMamn\2g=hzk%rNlbc_6`8kwd(qKkg`R6m;npa+|DfdeU2=:5`rc-zFdjkWhnoxl?012?4;703fxi#tLnlm]b`aurj9:;<1?1169lvg)~JhfgSljkst`3456;:7;<7b|m/x@bhiYflmy~n=>?0=1=52=hzk%rNlbc_`fgwpd789:783?8;npa+|DfdeUjhi}zb12349399>1d~o!vB`no[dbc{|h;<=>36?34?jte'pHj`aQndeqvf56785=5=:5`rc-zFdjkWhnoxl?012?<;703fxi#tLnlm]b`aurj9:;<171159lvg)~JhfgS`{w01278586=2eyn"wMamn\ip~789>7<3?>7:mqf*EiefTaxv?016?4;4698h0cl yCcohZkrp9:;81>1231\WR76l2eyn"wMamn\ip~789>7<3<=3^QT5Z@6j2eyn"wMamn\ip~789>7<3<;6^QT47098PSV2,HPR4WMUIYK?m;npa+|DfdeUfyu>?05>3:721WZ]:=i5`rc-zFdjkWds<=>;<1<103YT_8UM=i5`rc-zFdjkWds<=>;<1<103YT_8UL=o5`rc-zFdjkWds<=>;<1<103YT_:;o7b|m/x@bhiYj}q:;<92?>365[VQ4WO;o7b|m/x@bhiYj}q:;<92?>365[VQ4WN;i7b|m/x@bhiYj}q:;<92?>365[VQ39k1d~o!vB`no[hs89:?0=0=47]PS0413fxi#tLnlm]nq}678=6;2?:9_RU6+ISSd:mqf*EiefTaxv?016?4;43>VY\9"A>4:mqf*EiefTaxv?016?5;723fxi#tLnlm]nq}678=6:2?05>2:47592eyn"wMamn\ip~789>7=3?>/MWW0ZBXJ\L::6a}b.{AeijXe|r;<=:31?0264=hzk%rNlbc_lw{45634849="BZT5]G[GSA911d~o!vB`no[hs89:?0<0=10317>iuj&sImabPmtz3452;978:=?05>2:77X[^;996a}b.{AeijXe|r;<=:31?02[VQ6'E__>RBPBTD2g>iuj&sImabPmtz3452;978:S^Y>/N3a?jte'pHj`aQbuy2341:66;;T_Z??1e9lvg)~JhfgS`{w012784859VY\==QH1c9lvg)~JhfgS`{w012784859VY\=<<9;npa+|DfdeUfyu>?05>2:77X[^;:#A[[4^N\FP@6l2eyn"wMamn\ip~789>7=3<>_RU25*I6j2eyn"wMamn\ip~789>7=3<>_RU264b7=3<>_RU0+J7f3fxi#tLnlm]nq}678=6:2??PSV62g>iuj&sImabPmtz3452;978:S^Y;_G3`?jte'pHj`aQbuy2341:66;;T_Z:PG0c8kwd(qKkg`Rczx1230979:8UX[8<:;npa+|DfdeUfyu>?05>2:77X[^?$@XZ<_M]AQC7d3fxi#tLnlm]nq}678=6:2??PSV7,K4g?4=3=64YT_1;9:6a}b.{AeijXe|r;<=:31?02[VQ?9&F^X>QC_CWE5a=hzk%rNlbc_lw{45634849=R]X80-L5f=hzk%rNlbc_lw{45634849=R]X8^D2e>iuj&sImabPmtz3452;978:S^Y6249lvg)~JhfgS`{w012784859VY\5"BZT2]O[GSA9j1d~o!vB`no[hs89:?0<0=1^QT=*I6<2eyn"wMamn\ip~789>7>3?:;npa+|DfdeUfyu>?05>1:4733fxi#tLnlm]nq}678=682<;4os`,}GgkdVg~t=>?4=1=5425=85`rc-zFdjkWds<=>;<5<251=hzk%rNlbc_lw{45634<4:96a}b.{AeijXe|r;<=:35?320>iuj&sImabPmtz3452;>7;>7b|m/x@bhiYj}q:;<929>035?jte'pHj`aQbuy2341:168;:86a}b.{AeijXe|r;<=:37?36?jte'pHj`aQbuy2341:068;?7b|m/x@bhiYj}q:;<927>078kwd(qKkg`Rczx12309>998>0cl yCcohZkrp9:;8171149lvg)~JhfgS`{w01278<86981d~o!vB`no[hs89:>=55`rc-zFdjkWds<=>:2^KMRZ6?3{cldyL{ne9qmbnsJ}dTEO[I9:qsewrff:k:n6{|es-NefereVzjhibjPnq\g|:768h0y~k}/Lc`gpkXxhno`h^`s^az8486j2xi!BabaviZvflmfn\b}Pcx>1:4d<}zoy#@olcto\tdbcdlZdRmv<2<2f>stm{%Fmnmzm^rb`ajbXfyTot2;>0`8qvcu'DkhoxcPp`fgh`Vh{Vir080>b:wpaw)Jiji~aR~ndenfTjuXkp6=24:n6{|es-NefereVzjhibjPnq\g|:?68i0y~k}/Lc`gpkXxhno`h^`s^nvp9699j1~h| M`a`qhYwimngi]a|_mww8486k2xi!BabaviZvflmfn\b}Pltv?6;7d3|yn~"Cncbwn[ugcleo[c~Qcuu>0:4e<}zoy#@olcto\tdbcdlZdRbzt=6=5f=r{lx$Almlul]seabkmYexSa{{<4<2g>stm{%Fmnmzm^rb`ajbXfyT`xz36?3`?pubz&Gjon{b_qcg`icWgzUgyy28>0a8qvcu'DkhoxcPp`fgh`Vh{Vf~x1611b9vw`t(Ehihy`QaefoaUitWe040>c:wpaw)Jiji~aR~ndenfTjuXpfx7<3?l;tqfv*KfkjfS}okdmgSkvYg{6:2::7b<}zoy#@okd^cm`5679V:Tmij|uc2345Yg{6=2?_ymq8=869;=0y~k}/Lcg`Zgil9:;=R>Paefpqg6789Usc26>0315>stm{%FmijPaof3457X8Vcehhv?012\mkp5?2xi!BcnosewYffm:;<3c8qvcu'Dida}o}_`lg4566W9Uha}Qct1234Ze~494T~y<8;tqfv*Kdgdzj~Road1235Z6XkdzT`y>?01]`}979:>1~h| MbmntdtXign;<=?P0^antZjs89:;Snw32?0b?pubz&Ghc`~nr^cm`5679V:To`~Plu2345Ydq585Sz=7:wpaw)Jkfg{mQnne2344Y7Wjg{Saz?012\g|:46;=0y~k}/LaliuguWhdo<=>>_1]`iuYk|9:;stm{%Fobcas]bja6788U;Snc_mv3456Xkp6>2?94urgq+HeheykySl`k0122[5YdeyUgx=>?0^az8385?2xi!BcnosewYffm:;<3:8qvcu'Dida}o}_`lg4566W9Uha}Qct1234Zjr|5;5>h5zsdp,IfijxhxTmcj?013\4ZejxVf<=>?_mww848XAG\T?0^nvp959:l1~h| MbmntdtXign;<=?P0^antZjs89:;Sa{{<2<\MKPX8;20y~k}/LaliuguWhdo<=>>_1]`iuYk|9:;3:7><}zoy#@m`mqcq[luWimngiR>Pclr\c`6788Uhu1?1299vw`t(Ejef|l|PirRb`ajbW9Uha}Qhe1235Ze~4;4946{|es-Ngjkwi{Ub]okdmg\4ZejxVmn<=>>_b{?7;4?3|yn~"ClolrbvZotXhno`hQ?_bos[bc789;Tot2;>3:8qvcu'Dida}o}_hqSeabkmV:To`~Pgd2344Ydq5?5>55zsdp,IfijxhxTe~^ndenf[5YdeyUli=>?1^az838502xi!BcnosewYn{YkohakP0^antZab89::Snw37?0;?pubz&Ghc`~nr^kpTdbcdlU;Snc_fg3457Xkp632?64urgq+HeheykySd}_aefoaZ6XkdzTkh>?00]`}9?9:01~h| MbmntdtXazZjhibj_1]`iuY`m9:;=Rbzt=2=6<=r{lx$Anabp`p\mvVflmfnS=Qlmq]da5679Vf~x1?1289vw`t(Ejef|l|PirRb`ajbW9Uha}Qhe1235Zjr|585>45zsdp,IfijxhxTe~^ndenf[5YdeyUli=>?1^nvp959:01~h| MbmntdtXazZjhibj_1]`iuY`m9:;=Rbzt=6=6<=r{lx$Anabp`p\mvVflmfnS=Qlmq]da5679Vf~x1;1289vw`t(Ejef|l|PirRb`ajbW9Uha}Qhe1235Zjr|5<5>45zsdp,IfijxhxTe~^ndenf[5YdeyUli=>?1^nvp919:01~h| MbmntdtXazZjhibj_1]`iuY`m9:;=Rbzt=:=6<=r{lx$Anabp`p\mvVflmfnS=Qlmq]da5679Vf~x171299vw`t(Ejef|l|PirRb`ajbW9Uha}Qce1235Ze~494956{|es-Ngjkwi{Ub]okdmg\4ZejxVfn<=>>_b{?558502xi!BcnosewYn{YkohakP0^antZjb89::Snw31?0;?pubz&Ghc`~nr^kpTdbcdlU;Snc_mg3457Xkp692?64urgq+HeheykySd}_aefoaZ6XkdzT`h>?00]`}959:11~h| MbmntdtXazZjhibj_1]`iuYkm9:;=Rmv<5<1<>stm{%Fobcas]jwUgcleoT3|yn~"ClolrbvZotXhno`hQ?_bos[ic789;T`xz31?0:?pubz&Ghc`~nr^kpTdbcdlU;Snc_mg3457Xd|~7>3<6;tqfv*Kdgdzj~Rg|P`fgh`Y7Wjg{Sak?013\hpr;;7827x}jr.O`khvfzVcx\ljkld]3[fkwWeo;<=?Pltv?0;4>3|yn~"ClolrbvZotXhno`hQ?_bos[ic789;T`xz35?0:?pubz&Ghc`~nr^kpTdbcdlU;Snc_mg3457Xd|~7:3<6;tqfv*Kdgdzj~Rg|P`fgh`Y7Wjg{Sak?013\hpr;?7827x}jr.O`khvfzVcx\ljkld]3[fkwWeo;<=?Pltv?<;4>3|yn~"ClolrbvZotXhno`hQ?_bos[ic789;T`xz39?:8qvcu'G::;6{|es-M2`=r{lx$^h}zlu>3:`=r{lx$^h}zlu>2:`=r{lx$^h}zlu>1:`=r{lx$^h}zlu>0:`=r{lx$^h}zlu>7:`=r{lx$^h}zlu>6:`=r{lx$^h}zlu>5:`=r{lx$^h}zlu>4:`=r{lx$^h}zlu>;:`=r{lx$^h}zlu>::41<}zoy#l`k0123[5Yffm:;<=?>f:wpaw)ffm:;<=Q?_`lg4567W`d}=Rgav0a8qvcu'hdo<=>>_1]b`aurj9:;<1811b9vw`t(ign;<=?P0^cg`vse89:;0:0>c:wpaw)ffm:;<Paefpqg6789622<74urgq+luWimngiR>Paof34566;830y~k}/hqSeabkmV:Tmcj?012224?<}zoy#d}_aefoaZ6Xign;<=><60c8qvcu'`y[mijce^2\ghvXol:;<1:wpaw)wimngi]a|<0<25>stm{%{mijceQmp878692xi!aefoaUit4:4:=6{|es-seabkmYex090>1:wpaw)wimngi]a|<4<25>stm{%{mijceQmp838692xi!aefoaUit4>4:=6{|es-seabkmYex050>1:wpaw)wimngi]a|<8<24>stm{%{mijceQmp[5773|yn~"~ndenfTjuX98:0y~k}/qcg`icWgzU9==5zsdp,tdbcdlZdR=>0:wpaw)wimngi]a|_533?pubz&zjhibjPnq\146<}zoy#}okdmgSkvY1991~h| p`fgh`Vh{V=:<6{|es-seabkmYexS5??;tqfv*vflmfn\b}P9048qvcu'ykohak_or]mkq6788;<7x}jr.rb`ajbXfyTbbz?01325<=r{lx$|ljkldRlwZhh|9:;=>14-OQQ2XLVH^J<94urgq+ugcleo[c~Qaou234446?2xi!aefoaUitWge<=>>30:8qvcu'ykohak_or]mkq67889:>>5zsdp,tdbcdlZdR``t123567(D\^?SIQMUG3;?pubz&zjhibjPnq\jjr789;?=<64urgq+ugcleo[c~Qaou234436::1~h| p`fgh`Vh{Vddx=>?143,HPR3WEUIYK?n;tqfv*vflmfn\b}Pnnv345729&E:46{|es-seabkmYexSca{0122247a3|yn~"~ndenfTjuXff~;<=?Pwf]`}9699o1~h| p`fgh`Vh{Vddx=>?1^ud[f;97;m7x}jr.rb`ajbXfyTbbz?013\sbYdq585=k5zsdp,tdbcdlZdR``t1235Zq`Wjs7?3348qvcu'ykohak_or]mkq6788U|kRbzt=2=[LHQW98;7x}jr.rb`ajbXfyTbbz?013\sbYk}}6:2?>4urgq+ugcleo[c~Qaou2344YpoVf~x1<1219vw`t(xhno`h^`s^llp5679V}lSa{{<2<7?safJ<1}klL>9:tdeGYHJ\L?7{inG49ucdA7>2|lmJ?>7:tdeB72=k1}klI>54]PS50<~nkL>=;4vfcD23=qohM=8l5yg`E50ZUP8?1}klI61`9ucdA>9VY\<45yg`E\KGSA=2|lmE]n;webLVYHJ\L?7{inR49ucdT612|lm_Q@BTD6?saf^Zk0zjoYS^MAQC703coib!BcnwmpZndf}Uhu1>1169umach'DidyczPhblw[f;97;j7{gken-Ngjsi|VbhbyQly=3=[wr6?2|bhha MbmvjqYokg~Tot2=>0c8rlbbg&Ghcx`{_iampZe~4;4T~y?8;wkgaj)JkfexRflnu]`}9599h1}eik`/LalqkrX`jdSnw33?]qp41<~`nnc"Clotlw[mei|Vir090>a:tj``i(Eje~byQgcov\g|:36Vx=:5yiegl+Heh}g~Tdn`{_b{?1;7f3coib!BcnwmpZndf}Uhu1;1_sv23>pnlle$Anaznu]kgkrXkp6=2;:Zts911}eik`/LalqkrX`jdSa{{<1<2a>pnlle$Anaznu]kgkrXd|~7<3QFNW]35==qamod#@m`uov\lfhsWqey0=0>8:tj``i(Eje~byQgcov\|jt;97;37{gken-Ngjsi|VbhbyQwos>1:4><~`nnc"Clotlw[mei|Vrd~1=1199umach'DidyczPhblw[}iu4=4:46xfddm,Ifirf}UcoczPxnp?1;7?3coib!BcnwmpZndf}Usc29>0:8rlbbg&Ghcx`{_iampZ~hz5=5=55yiegl+Heh}g~Tdn`{_ymq8=8602|bhha MbmvjqYokg~Ttb|39?3a?socmf%Fob{at^j`jqYg{622R|{169umach'DidyczPvblw[f;87;<7{gken-Ngjsi|V|hbyQly=3=5d=qamod#@m`uov\rfhsWjs7=3Q}t058rlbbg&Ghcx`{_wampZe~4;4:m6xfddm,Ifirf}U}oczPcx>1:Zts9>1}eik`/LalqkrX~jdSnw33?3b?socmf%Fob{at^t`jqYdq595Sz>7:tj``i(Eje~byQycov\g|:368k0zdjjo.O`kphsWiexRmv<5<\vq703coib!BcnwmpZpdf}Uhu1;11`9umach'DidyczPvblw[f;=7Uyx<94vhffk*Kdg|dS{mat^az8386i2|bhha MbmvjqYqkg~Tot29>^pw52=qamod#@m`uov\rfhsWjs7;3?n;wkgaj)JkfexRxlnu]`}919W{~:;6xfddm,Ifirf}U}oczPcx>;:4g<~`nnc"Clotlw[sei|Vir050Pru3;?socmf%Fob{at^t`jqYk}}6;28:tj``i(Eje~byQycov\|jt;;7;37{gken-Ngjsi|V|hbyQwos>7:4><~`nnc"Clotlw[sei|Vrd~1;1199umach'DidyczPvblw[}iu4?4:46xfddm,Ifirf}U}oczPxnp?3;7?3coib!BcnwmpZpdf}Usc27>0:8rlbbg&Ghcx`{_wampZ~hz535=o5yiegl+Heh}g~Tzn`{_ymq8<8Xz}l0zdjjo.Pfwpjs494:<6xfddm,V`urd}6;20:tj``i(Zly~`y2>>0d8rlbbg&Xnxb{<3<24>pnlle$^h}zlu>1:4`<~`nnc"\jstnw868682|bhha Rdqvhq:468l0zdjjo.Pfwpjs4=4:<6xfddm,V`urd}6?20:tj``i(Zly~`y2:>0d8rlbbg&Xnxb{<7<24>pnlle$^h}zlu>5:4`<~`nnc"\jstnw828682|bhha Rdqvhq:068l0zdjjo.Pfwpjs414:<6xfddm,V`urd}6320:tj``i(Zly~`y26>03:?socmf%i`l`dioe\4Ziu89:;=>e9umach'aiex1<1d:tj``i(`jd0>0k;wkgaj)okg~783j4vhffk*ndf}6>2i5yiegl+mei|5<5h6xfddm,lfhs4>4o7{gken-kgkr;07n0zdjjo.j`jq:>6j1}eik`/iampZ6d3coib!gcov\5f=qamod#emat^0`?socmf%coczP3b9umach'aiexR:l;wkgaj)okg~T9n5yiegl+mei|Vd3coib!gcov\=44<~`nnc"flnu]mkq6788;87{gken-kgkrXff~;<=?>149umach'aiexR``t1235Z`2l2|bhha vR{mg73b3coib!ySxl`607682|bhha vR{mg73Xnl2|bhha vR{mg<7a3coib!ySxl`=Z`2l2|bhha vblw858c3coib!ycov?5;b<~`nnc"xlnu>1:a=qamod#{mat=1=`>pnlle$zn`{<5e9umach'iex191d:tj``i(~jd050k;wkgaj)qkg~753m4vhffk*pdf}U;o6xfddm,rfhsW8i0zdjjo.t`jqY5k2|bhha vblw[6e<~`nnc"xlnu]7g>pnlle$zn`{_4a8rlbbg&|hbyQ9c:tj``i(~jdS:m4vhffk*pdf}U3o6xfddm,rfhsW0;97{gken-ugkrXign;<=>>4:tj``i(~jdSl`k0123547?3coib!ycov\ekb789::=R]X0318rlbbg&|hbyQnne234576WZ];#A[[3^N\FP@6i2|bhha vblw[dhc89:;=7{gken-ugkrXign;<=>=1336?socmf%}oczPaof34565;>;>7{gken-ugkrXign;<=>=3837?socmf%}oczPaof34564;8<0zdjjo.t`jqYig}:;<5f28;7?74;<;oo7=>29axjc7=92dm>784$da9a3=z[:l1j>473;30707ck39:>o64S649bc<628989473;30707ck39:>5m4$2696fd<@=?0zY:6:182>4<5=454=8nh6>?=8b9'a0<012\m<7s?03:0q)j=:028 1e=82.?m7:>5:&7f?163kn?6=47:37:>733sAo87)k>:e68k61b2900c>9l:188m7e>2900ekj50;9jb<<722e84<4?::m02a<722e8;=4?::`fe?6=93:13Ao87)ki:g68 23=<8>0c;<50;&fb?e032wiio4?:083>5}#m80n86Fj9:Jf7>"bn3i=7)9::537?je?290/ik4l7:9~fc0=8321<7>t$d3916=Om01Ci>5+eg8g`>"1n380Di94$679042o393:1(hh56c98ma0=83.nj7jj;:mg1?6=,ll1o:54i2;94?"bn39276gkc;29 ``=lj1Ci?54ie;94?"bn3n27Ek=;:kge?6=,ll1hk54}c1a`?6=;3:13Ao87)ki:d58 23=<8>0e>l50;&fb?0e32c<87>5$dd92g=1<7*jf;a4?>{e;ki1<7=50;2x `7=m11Ci45Ge29'ac4:k0f?6=,ll1:o54i6694?"bn310qo=m0;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c1af?6=;3:13Ao87)ki:d58 23=<8>0e>l50;&fb?0e32c<87>5$dd92g=1<7*jf;a4?>{e;ho1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3o4j3:1(hh56c98m22=83.nj78m;:m`0?6=,ll1o:54}c1bg?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg5e13:1?7>50z&f5?c?3Ao27Ek<;%ge>`1<,>?18<:4i2`94?"bn3k10cn:50;&fb?e032wi?lo50;694?6|,l;1485Ge89Ka6=#mo0386*85;620>o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm3c:94?5=83:p(h?5e99Ka<=Om:1/ik4j7:&41?26<2c8n7>5$dd92g=>1<7*jf;4a?>id<3:1(hh5c698yg5f03:187>50z&f5?>23Ao27Ek<;%ge>=2<,>?18<:4i2`94?"bn3j10eio50;&fb?be32eh87>5$dd9g2=53;294~"b93o37Ek6;Ig0?!ca2l=0(:;54068m6d=83.nj78m;:k40?6=,ll1:o54ob694?"bn3i<76sm3`494?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a7g0=8391<7>t$d39a==Om01Ci>5+eg8f3>"0=3>:86gk10e::50;&fb?0e32eh87>5$dd9g2=54;294~"b932>7Ek6;Ig0?!ca21>0(:;54068m6d=83.nj78k;:k70?6=,ll1:n54iec94?"bn3ni76al4;29 ``=k>10qo=m5;297?6=8r.n=7k7;Ig:?Mc43-om6h94$679042o0<3:1(hh56c98kf2=83.nj7m8;:a7d4=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e;k>1<7=50;2x `7=m11Ci45Ge29'ac4:k0f?6=,ll1:o54i6694?"bn310qo=n1;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c1:b?6=;3:13Ao87)ki:d58 23=<8>0e>l50;&fb?0e32c<87>5$dd92g=1<7*jf;a4?>{e;0o1<7=50;2x `7=m11Ci45Ge29'ac4:k0f?6=,ll1:o54i6694?"bn310qo=62;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c1:`?6=;3:13Ao87)ki:d58 23=<8>0e>l50;&fb?0e32c<87>5$dd92g=1<7*jf;a4?>{e;0:1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3o4j3:1(hh56c98m22=83.nj78m;:m`0?6=,ll1o:54}c1;a?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg5>j3:1?7>50z&f5?c?3Ao27Ek<;%ge>`1<,>?18<:4i2`94?"bn3k10cn:50;&fb?e032wi?5m50;694?6|,l;1485Ge89Ka6=#mo0386*85;620>o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm38c94?5=83:p(h?5e99Ka<=Om:1/ik4j7:&41?26<2c8n7>5$dd92g=>1<7*jf;4a?>id<3:1(hh5c698yg5?i3:187>50z&f5?>23Ao27Ek<;%ge>=2<,>?18<:4i2`94?"bn3j10eio50;&fb?be32eh87>5$dd9g2=53;294~"b93o37Ek6;Ig0?!ca2l=0(:;54068m6d=83.nj78m;:k40?6=,ll1:o54ob694?"bn3i<76sm39:94?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a7<>=8391<7>t$d39a==Om01Ci>5+eg8f3>"0=3>:86gk10e::50;&fb?0e32eh87>5$dd9g2=54;294~"b932>7Ek6;Ig0?!ca21>0(:;54068m6d=83.nj78k;:k70?6=,ll1:n54iec94?"bn3ni76al4;29 ``=k>10qo=67;297?6=8r.n=7k7;Ig:?Mc43-om6h94$679042o0<3:1(hh56c98kf2=83.nj7m8;:a7=2=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e;0<1<7=50;2x `7=m11Ci45Ge29'ac4:k0f?6=,ll1:o54i6694?"bn310qo=73;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c633Ao87)ki:ef8 3`=:2Bo;6*85;620>o4=3:1(hh53e98m6?=83.nj7=6;:k75?6=,ll1:o54ie494?"bn3nn76ak5;29 ``=k>10eim50;&fb?bd3Ao976gk9;29 ``=l01Ci?54iec94?"bn3nm76sm41594?>=83:p(h?5559Ka<=Om:1/ik4kd:&5b?4<@m=0(:;54068m63=83.nj7=k;:k0=?6=,ll1?454i5394?"bn35$dd9`f=Om;10ei750;&fb?b>3Ao976gka;29 ``=lo10qo:?6;29Nc?2.<97:>4:k01?6=,ll1?i54i2;94?"bn39276g;1;29 ``=>k10ei850;&fb?bb32eo97>5$dd9g2=5$dd9`<=Om;10eio50;&fb?ba32wi8=;50;:94?6|,l;1995Ge89Ka6=#mo0oh6*9f;08La1<,>?18<:4i2794?"bn39o76g<9;29 ``=;010e9?50;&fb?0e32co:7>5$dd9``=ock3:1(hh5db9Ka7=5$dd9`c=;87>58;294~"b93??7Ek6;Ig0?!ca2mn0(;h52:Jg3>"0=3>:86g<5;29 ``=;m10e>750;&fb?5>32c?=7>5$dd92g=ic=3:1(hh5c698mae=83.nj7jl;Ig1?>oc13:1(hh5d89Ka7={e<991<7650;2x `7===1Ci45Ge29'ac0e>;50;&fb?5c32c857>5$dd97<=oc>3:1(hh5dd98ka3=83.nj7m8;:kgg?6=,ll1hn5Ge398ma?=83.nj7j6;Ig1?>oci3:1(hh5dg98yg27:3:147>50z&f5?333Ao27Ek<;%ge>ab<,?l1>6Fk7:&41?26<2c897>5$dd97a=o393:1(hh56c98ma0=83.nj7jj;:mg1?6=,ll1o:54iea94?"bn3nh7Ek=;:kg=?6=,ll1h45Ge398mag=83.nj7ji;:a057=8321<7>t$d3911=Om01Ci>5+eg8g`>"1n380Di94$679042o413:1(hh53898m17=83.nj78m;:kg2?6=,ll1hh54oe794?"bn3i<76gkc;29 ``=lj1Ci?54ie;94?"bn3n27Ek=;:kge?6=,ll1hk54}c634?6=03:13Ao87)ki:ef8 3`=:2Bo;6*85;620>o4=3:1(hh53e98m6?=83.nj7=6;:k75?6=,ll1:o54ie494?"bn3nn76ak5;29 ``=k>10eim50;&fb?bd3Ao976gk9;29 ``=l01Ci?54iec94?"bn3nm76sm3gd94?>=83:p(h?5559Ka<=Om:1/ik4kd:&5b?4<@m=0(:;54068m63=83.nj7=k;:k0=?6=,ll1?454i5394?"bn35$dd9`f=Om;10ei750;&fb?b>3Ao976gka;29 ``=lo10qo=jb;29Nc?2.<97:>4:k01?6=,ll1?i54i5394?"bn35$dd97<=5$dd9`<=Om;10eio50;&fb?ba32wi?ho50;:94?6|,l;19>5Ge89Ka6=#mo0oh6*9f;08La1<,>?18<:4i2794?"bn39o76g;1;29 ``=>k10ei850;&fb?bb32eo97>5$dd9g2=ock3:1(hh5db9Ka7=5$dd9`c=58;294~"b93?87Ek6;Ig0?!ca2mn0(;h52:Jg3>"0=3>:86g<5;29 ``=;m10e9?50;&fb?0e32co:7>5$dd9``=o413:1(hh53898mae=83.nj7jl;Ig1?>oc13:1(hh5d89Ka7={e;l21<7650;2x `7==:1Ci45Ge29'ac0e>;50;&fb?5c32c?=7>5$dd92g=ic=3:1(hh5c698m6?=83.nj7=6;:kgg?6=,ll1hn5Ge398ma?=83.nj7j6;Ig1?>oci3:1(hh5dg98yg5b?3:147>50z&f5?343Ao27Ek<;%ge>ab<,?l1>6Fk7:&41?26<2c897>5$dd97a=oc>3:1(hh5dd98ka3=83.nj7m8;:k0=?6=,ll1?454iea94?"bn3nh7Ek=;:kg=?6=,ll1h45Ge398mag=83.nj7ji;:a7`0=8321<7>t$d3916=Om01Ci>5+eg8g`>"1n380Di94$679042o393:1(hh56c98ma0=83.nj7jj;:mg1?6=,ll1o:54i2;94?"bn39276gkc;29 ``=lj1Ci?54ie;94?"bn3n27Ek=;:kge?6=,ll1hk54}c1f1?6=03:13Ao87)ki:ef8 3`=:2Bo;6*85;620>o4=3:1(hh53e98m17=83.nj78m;:kg2?6=,ll1hh54oe794?"bn3i<76g<9;29 ``=;010eim50;&fb?bd3Ao976gk9;29 ``=l01Ci?54iec94?"bn3nm76sm3d694?>=83:p(h?5529Ka<=Om:1/ik4kd:&5b?4<@m=0(:;54068m63=83.nj7=k;:k75?6=,ll1:o54ie494?"bn3nn76ak5;29 ``=k>10e>750;&fb?5>32coo7>5$dd9`f=Om;10ei750;&fb?b>3Ao976gka;29 ``=lo10qo=j3;29Nc?2.<97:>4:k01?6=,ll1?i54i5394?"bn35$dd97<=5$dd9`<=Om;10eio50;&fb?ba32wi?h<50;:94?6|,l;19>5Ge89Ka6=#mo0oh6*9f;08La1<,>?18<:4i2794?"bn39o76g;1;29 ``=>k10ei850;&fb?bb32eo97>5$dd9g2=ock3:1(hh5db9Ka7=5$dd9`c=54;294~"b932>7Ek6;Ig0?!ca21>0(:;54068m6d=83.nj78k;:k70?6=,ll1:n54iec94?"bn3ni76al4;29 ``=k>10qo=>d;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c113?6=;3:13Ao87)ki:e:8 23=<8>0(;h5249j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921do94?:%ge>f1<3th8=n4?:583>5}#m80396Fj9:Jf7>"bn32?7)9::537?l5e290/ik49d:9j01<72-om6;m4;hfb>5<#mo0on65`c583>!ca2j=07pl<1c83>1<729q/i<475:Jf=>Nb;2.nj76;;%56>1733`9i6=4+eg85`>=n<=0;6)ki:7a8?lbf290/ik4kb:9lg1<72-om6n94;|`05d<72=0;6=u+e08;1>Nb12Bn?6*jf;:7?!122=;?7d=m:18'ac<1l21b894?:%ge>3e<3`nj6=4+eg8gf>=hk=0;6)ki:b58?xd4900;694?:1y'a433-=>69?;;h1a>5<#mo0=h65f4583>!ca2?i07djn:18'acf1<3th8>>4?:283>5}#m80"bn3n37)9::537?!0a2;?0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098kf2=83.nj7m8;:a74>=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e;;81<7=50;2x `7=?k1Ci45Ge29'ac4:&5b?423`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;na7>5<#mo0h;65rb234>5<3290;w)k>:978L`?<@l90(hh5859'30<39=1b?o4?:%ge>3b<3`>?6=4+eg85g>=nlh0;6)ki:e`8?je3290/ik4l7:9~f64629086=4?{%g2>2d<@l30Dh=4$dd9`==#?<0?=95+6g811>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76al4;29 ``=k>10qo=>6;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c114?6=;3:13Ao87)ki:e:8 23=<8>0(;h5249j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921do94?:%ge>f1<3th8=84?:583>5}#m80396Fj9:Jf7>"bn32?7)9::537?l5e290/ik49d:9j01<72-om6;m4;hfb>5<#mo0on65`c583>!ca2j=07pl<1g83>6<729q/i<48b:Jf=>Nb;2.nj7j7;%56>1733-4=1<7*jf;a4?>{e;891<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3"1n38>7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?je3290/ik4l7:9~f7`0290?6=4?{%g2>=3<@l30Dh=4$dd9<1=#?<0?=95f3c83>!ca2?n07d:;:18'ac<1k21bhl4?:%ge>ad<3fi?6=4+eg8`3>=zj;l=6=4;:183!c621?0Dh74Hd18 ``=0=1/;84;159j7g<72-om6;j4;h67>5<#mo0=o65fd`83>!ca2mh07bm;:18'ac5<7s-o:65;4Hd;8L`5<,ll1495+748751=n;k0;6)ki:7f8?l23290/ik49c:9j`d<72-om6il4;na7>5<#mo0h;65rb3d7>5<3290;w)k>:978L`?<@l90(hh5859'30<39=1b?o4?:%ge>3b<3`>?6=4+eg85g>=nlh0;6)ki:e`8?je3290/ik4l7:9~f7`4290?6=4?{%g2>=3<@l30Dh=4$dd9<1=#?<0?=95f3c83>!ca2?n07d:;:18'ac<1k21bhl4?:%ge>ad<3fi?6=4+eg8`3>=zj;l:6=4;:183!c621?0Dh74Hd18 ``=0=1/;84;159j7g<72-om6;j4;h67>5<#mo0=o65fd`83>!ca2mh07bm;:18'ac5<7s-o:65;4Hd;8L`5<,ll1495+748751=n;k0;6)ki:7f8?l23290/ik49c:9j`d<72-om6il4;na7>5<#mo0h;65rb3gf>5<3290;w)k>:978L`?<@l90(hh5859'30<39=1b?o4?:%ge>3b<3`>?6=4+eg85g>=nlh0;6)ki:e`8?je3290/ik4l7:9~f7c?29086=4?{%g2>`><@l30Dh=4$dd9a2=#?<0?=95f3c83>!ca2?h07d9;:18'ac<1j21do94?:%ge>f1<3th9i:4?:283>5}#m80n46Fj9:Jf7>"bn3o<7)9::537?l5e290/ik49b:9j31<72-om6;l4;na7>5<#mo0h;65rb3f7>5<3290;w)k>:978L`?<@l90(hh5859'30<39=1b?o4?:%ge>3b<3`>?6=4+eg85g>=nlh0;6)ki:e`8?je3290/ik4l7:9~f7c129086=4?{%g2>`><@l30Dh=4$dd9a2=#?<0?=95f3c83>!ca2?h07d9;:18'ac<1j21do94?:%ge>f1<3th9h>4?:583>5}#m80396Fj9:Jf7>"bn32?7)9::537?l5e290/ik49d:9j01<72-om6;m4;hfb>5<#mo0on65`c583>!ca2j=07pl=e483>6<729q/i<4j8:Jf=>Nb;2.nj7k8;%56>1733`9i6=4+eg85f>=n?=0;6)ki:7`8?je3290/ik4l7:9~f7b5290?6=4?{%g2>=3<@l30Dh=4$dd9<1=#?<0?=95f3c83>!ca2?n07d:;:18'ac<1k21bhl4?:%ge>ad<3fi?6=4+eg8`3>=zj;o?6=4<:183!c62l20Dh74Hd18 ``=m>1/;84;159j7g<72-om6;l4;h57>5<#mo0=n65`c583>!ca2j=07pl=d083>1<729q/i<475:Jf=>Nb;2.nj76;;%56>1733`9i6=4+eg85`>=n<=0;6)ki:7a8?lbf290/ik4kb:9lg1<72-om6n94;|`1a6<72:0;6=u+e08f<>Nb12Bn?6*jf;g4?!122=;?7d=m:18'ac<1j21b;94?:%ge>3d<3fi?6=4+eg8`3>=zj;n;6=4;:183!c621?0Dh74Hd18 ``=0=1/;84;159j7g<72-om6;j4;h67>5<#mo0=o65fd`83>!ca2mh07bm;:18'ac5<7s-o:6h64Hd;8L`5<,ll1i:5+748751=n;k0;6)ki:7`8?l13290/ik49b:9lg1<72-om6n94;|`1gc<72=0;6=u+e08;1>Nb12Bn?6*jf;:7?!122=;?7d=m:18'ac<1l21b894?:%ge>3e<3`nj6=4+eg8gf>=hk=0;6)ki:b58?xd5m80;6>4?:1y'a469?;;h1a>5<#mo0=n65f7583>!ca2?h07bm;:18'ac5<7s-o:65;4Hd;8L`5<,ll1495+748751=n;k0;6)ki:7f8?l23290/ik49c:9j`d<72-om6il4;na7>5<#mo0h;65rb3g3>5<4290;w)k>:d:8L`?<@l90(hh5e69'30<39=1b?o4?:%ge>3d<3`=?6=4+eg85f>=hk=0;6)ki:b58?xd5km0;694?:1y'a433-=>69?;;h1a>5<#mo0=h65f4583>!ca2?i07djn:18'acf1<3th9hk4?:283>5}#m80n46Fj9:Jf7>"bn3o<7)9::537?l5e290/ik49b:9j31<72-om6;l4;na7>5<#mo0h;65rb3a`>5<3290;w)k>:978L`?<@l90(hh5859'30<39=1b?o4?:%ge>3b<3`>?6=4+eg85g>=nlh0;6)ki:e`8?je3290/ik4l7:9~f66?290?6=4?{%g2>=3<@l30Dh=4$dd9<1=#?<0?=95f3c83>!ca2?n07d:;:18'ac<1k21bhl4?:%ge>ad<3fi?6=4+eg8`3>=zj:;96=4<:183!c62>h0Dh74Hd18 ``=l11/;84;159'2c<5=2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:m`0?6=,ll1o:54}c133?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg5693:1?7>50z&f5?1e3Ao27Ek<;%ge>a><,>?18<:4$7d960=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2j=07pl<0783>1<729q/i<475:Jf=>Nb;2.nj76;;%56>1733`9i6=4+eg85`>=n<=0;6)ki:7a8?lbf290/ik4kb:9lg1<72-om6n94;|`055<72:0;6=u+e084f>Nb12Bn?6*jf;f;?!122=;?7)8i:378m33=83.nj75=o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm31d94?5=83:p(h?57c9Ka<=Om:1/ik4k8:&41?26<2.=j7<:;h46>5<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3fi?6=4+eg8`3>=zj::?6=4;:183!c621?0Dh74Hd18 ``=0=1/;84;159j7g<72-om6;j4;h67>5<#mo0=o65fd`83>!ca2mh07bm;:18'ac>j:180>5<7s-o:6:l4Hd;8L`5<,ll1h55+748751=#>o0996g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>id<3:1(hh5c698yg57;3:187>50z&f5?>23Ao27Ek<;%ge>=2<,>?18<:4i2`94?"bn3j10eio50;&fb?be32eh87>5$dd9g2=53;294~"b93=i7Ek6;Ig0?!ca2m20(:;54068 3`=:<1b:84?:%ge>7`!ca2;l0bhj51:9lg1<72-om6n94;|`047<72=0;6=u+e08;1>Nb12Bn?6*jf;:7?!122=;?7d=m:18'ac<1l21b894?:%ge>3e<3`nj6=4+eg8gf>=hk=0;6)ki:b58?xd48j0;6>4?:1y'a4<0j2Bn56Fj3:&fb?b?3-=>69?;;%4e>735$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a75d=8391<7>t$d393g=Om01Ci>5+eg8g<>"0=3>:86*9f;06?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07bm;:18'ac>?:187>5<7s-o:65;4Hd;8L`5<,ll1495+748751=n;k0;6)ki:7f8?l23290/ik49c:9j`d<72-om6il4;na7>5<#mo0h;65rb22b>5<4290;w)k>:6`8L`?<@l90(hh5d99'30<39=1/:k4=5:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632eh87>5$dd9g2=54;294~"b932>7Ek6;Ig0?!ca21>0(:;54068m6d=83.nj78k;:k70?6=,ll1:n54iec94?"bn3ni76al4;29 ``=k>10qo=?9;297?6=8r.n=79m;Ig:?Mc43-om6i64$679042<,?l1>85f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=hk=0;6)ki:b58?xd4=;0;6;4?:1y'a4<2:2Bn56Fj3:&fb?313-6b<3`926=4+eg80=>=n<80;6)ki:7`8?jb2290/ik4l7:9j`6<72-om6im4;h1f>5<#mo0o565rb272>5<1290;w)k>:408L`?<@l90(hh5579'2c<53An<7)9::537?l52290/ik474;h62>5<#mo0=n65`d483>!ca2j=07dj<:18'aca?<3th89=4?:783>5}#m80>>6Fj9:Jf7>"bn3?=7)8i:39K`2=#?<0?=95f3483>!ca2:n07d=6:18'ac<4121b8<4?:%ge>3d<3fn>6=4+eg8`3>=nl:0;6)ki:ea8?l5b290/ik4k9:9~f62a290=6=4?{%g2>04<@l30Dh=4$dd913=#>o097Ej8;%56>1733`9>6=4+eg80`>=n;00;6)ki:2;8?l26290/ik49b:9l`0<72-om6n94;hf0>5<#mo0oo65f3d83>!ca2m307pl<4d83>3<729q/i<4:2:Jf=>Nb;2.nj7;9;%4e>7=Ol>1/;84;159j70<72-om6>j4;h1:>5<#mo08565f4083>!ca2?h07bj::18'ac4?:%ge>ae<3`9n6=4+eg8g=>=zj:>o6=49:183!c62<80Dh74Hd18 ``==?1/:k4=;If4?!122=;?7d=::18'ac<4l21b?44?:%ge>6?<3`>:6=4+eg85f>=hl<0;6)ki:b58?lb4290/ik4kc:9j7`<72-om6i74;|`00f<72?0;6=u+e0866>Nb12Bn?6*jf;75?!0a2;1Ch:5+748751=n;<0;6)ki:2f8?l5>290/ik4<9:9j04<72-om6;l4;nf6>5<#mo0h;65fd283>!ca2mi07d=j:18'ac:m:185>5<7s-o:68<4Hd;8L`5<,ll19;5+6g81?Mb03-=>69?;;h16>5<#mo08h65f3883>!ca2:307d:>:18'ac<1j21dh84?:%ge>f1<3`n86=4+eg8gg>=n;l0;6)ki:e;8?xd46b<3`926=4+eg80=>=n<80;6)ki:7`8?jb2290/ik4l7:9j`6<72-om6im4;h1f>5<#mo0o565rb26:>5<1290;w)k>:408L`?<@l90(hh5579'2c<53An<7)9::537?l52290/ik474;h62>5<#mo0=n65`d483>!ca2j=07dj<:18'aca?<3th:4l4?:583>5}#m80396Fj9:Jf7>"bn32?7)9::537?l5e290/ik49d:9j01<72-om6;m4;hfb>5<#mo0on65`c583>!ca2j=07pl>9283>1<729q/i<475:Jf=>Nb;2.nj76;;%56>1733`9i6=4+eg85`>=n<=0;6)ki:7a8?lbf290/ik4kb:9lg1<72-om6n94;|`2=a<72:0;6=u+e084f>Nb12Bn?6*jf;f;?!122=;?7)8i:378m33=83.nj75=o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm18394?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a5<6=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e91l1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3"1n38>7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?je3290/ik4l7:9~f4>b290?6=4?{%g2>=3<@l30Dh=4$dd9<1=#?<0?=95f3c83>!ca2?n07d:;:18'ac<1k21bhl4?:%ge>ad<3fi?6=4+eg8`3>=zj8336=4<:183!c62>h0Dh74Hd18 ``=l11/;84;159'2c<5=2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:m`0?6=,ll1o:54}c3;`?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg7>?3:1?7>50z&f5?1e3Ao27Ek<;%ge>a><,>?18<:4$7d960=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2j=07pl>8b83>1<729q/i<475:Jf=>Nb;2.nj76;;%56>1733`9i6=4+eg85`>=n<=0;6)ki:7a8?lbf290/ik4kb:9lg1<72-om6n94;|`2=3<72:0;6=u+e084f>Nb12Bn?6*jf;f;?!122=;?7)8i:378m33=83.nj75=o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm18794?5=83:p(h?57c9Ka<=Om:1/ik4k8:&41?26<2.=j7<:;h46>5<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3fi?6=4+eg8`3>=zj8226=4;:183!c621?0Dh74Hd18 ``=0=1/;84;159j7g<72-om6;j4;h67>5<#mo0=o65fd`83>!ca2mh07bm;:18'ac5<7s-o:6:l4Hd;8L`5<,ll1h55+748751=#>o0996g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>id<3:1(hh5c698yg70:3:187>50z&f5?>23Ao27Ek<;%ge>=2<,>?18<:4i2`94?"bn3j10eio50;&fb?be32eh87>5$dd9g2=54;294~"b932>7Ek6;Ig0?!ca21>0(:;54068m6d=83.nj78k;:k70?6=,ll1:n54iec94?"bn3ni76al4;29 ``=k>10qo?8a;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c34=?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg7003:187>50z&f5?>23Ao27Ek<;%ge>=2<,>?18<:4i2`94?"bn3j10eio50;&fb?be32eh87>5$dd9g2=54;294~"b932>7Ek6;Ig0?!ca21>0(:;54068m6d=83.nj78k;:k70?6=,ll1:n54iec94?"bn3ni76al4;29 ``=k>10qo?86;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c341?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg70<3:187>50z&f5?>23Ao27Ek<;%ge>=2<,>?18<:4i2`94?"bn3j10eio50;&fb?be32eh87>5$dd9g2=53;294~"b93=i7Ek6;Ig0?!ca2m20(:;54068 3`=:<1b:84?:%ge>7`!ca2;l0bhj51:9lg1<72-om6n94;|`236<72=0;6=u+e08;1>Nb12Bn?6*jf;:7?!122=;?7d=m:18'ac<1l21b894?:%ge>3e<3`nj6=4+eg8gf>=hk=0;6)ki:b58?xd6?o0;6>4?:1y'a4<0j2Bn56Fj3:&fb?b?3-=>69?;;%4e>735$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a52e=8391<7>t$d393g=Om01Ci>5+eg8g<>"0=3>:86*9f;06?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07bm;:18'ac5<7s-o:65;4Hd;8L`5<,ll1495+748751=n;k0;6)ki:7f8?l23290/ik49c:9j`d<72-om6il4;na7>5<#mo0h;65rb0g6>5<3290;w)k>:978L`?<@l90(hh5859'30<39=1b?o4?:%ge>3b<3`>?6=4+eg85g>=nlh0;6)ki:e`8?je3290/ik4l7:9~f4ca29086=4?{%g2>2d<@l30Dh=4$dd9`==#?<0?=95+6g811>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76al4;29 ``=k>10qo?j4;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c3fa?6=;3:13Ao87)ki:e:8 23=<8>0(;h5249j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921do94?:%ge>f1<3th:i>4?:583>5}#m80396Fj9:Jf7>"bn32?7)9::537?l5e290/ik49d:9j01<72-om6;m4;hfb>5<#mo0on65`c583>!ca2j=07pl>e383>1<729q/i<475:Jf=>Nb;2.nj76;;%56>1733`9i6=4+eg85`>=n<=0;6)ki:7a8?lbf290/ik4kb:9lg1<72-om6n94;|`2af<72:0;6=u+e084f>Nb12Bn?6*jf;f;?!122=;?7)8i:378m33=83.nj75=o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm1d294?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a5a`=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e9mo1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3"1n38>7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?je3290/ik4l7:9~f4bc290?6=4?{%g2>=3<@l30Dh=4$dd9<1=#?<0?=95f3c83>!ca2?n07d:;:18'ac<1k21bhl4?:%ge>ad<3fi?6=4+eg8`3>=zj8o<6=4<:183!c62>h0Dh74Hd18 ``=l11/;84;159'2c<5=2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:m`0?6=,ll1o:54}c3gf?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg7b>3:1?7>50z&f5?1e3Ao27Ek<;%ge>a><,>?18<:4$7d960=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2j=07pl>c683>1<729q/i<475:Jf=>Nb;2.nj76;;%56>1733`9i6=4+eg85`>=n<=0;6)ki:7a8?lbf290/ik4kb:9lg1<72-om6n94;|`2`5<72=0;6=u+e08;1>Nb12Bn?6*jf;:7?!122=;?7d=m:18'ac<1l21b894?:%ge>3e<3`nj6=4+eg8gf>=hk=0;6)ki:b58?xd6lh0;6>4?:1y'a4<0j2Bn56Fj3:&fb?b?3-=>69?;;%4e>735$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a5fc=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e9jn1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3"1n38>7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?je3290/ik4l7:9~f4ed290?6=4?{%g2>=3<@l30Dh=4$dd9<1=#?<0?=95f3c83>!ca2?n07d:;:18'ac<1k21bhl4?:%ge>ad<3fi?6=4+eg8`3>=zj8ii6=4;:183!c621?0Dh74Hd18 ``=0=1/;84;159j7g<72-om6;j4;h67>5<#mo0=o65fd`83>!ca2mh07bm;:18'ac5<7s-o:65;4Hd;8L`5<,ll1495+748751=n;k0;6)ki:7f8?l23290/ik49c:9j`d<72-om6il4;na7>5<#mo0h;65rb0a:>5<3290;w)k>:978L`?<@l90(hh5859'30<39=1b?o4?:%ge>3b<3`>?6=4+eg85g>=nlh0;6)ki:e`8?je3290/ik4l7:9~f4b429086=4?{%g2>2d<@l30Dh=4$dd9`==#?<0?=95+6g811>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76al4;29 ``=k>10qo?l8;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c3g6?6=;3:13Ao87)ki:e:8 23=<8>0(;h5249j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921do94?:%ge>f1<3th:o;4?:583>5}#m80396Fj9:Jf7>"bn32?7)9::537?l5e290/ik49d:9j01<72-om6;m4;hfb>5<#mo0on65`c583>!ca2j=07pl>d083>6<729q/i<48b:Jf=>Nb;2.nj7j7;%56>1733-4=1<7*jf;a4?>{e9?91<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm17394?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a536=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e94:k0f?6=,ll1:i54i5694?"bn3o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm14`94?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a50?=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e9kh1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3"1n38>7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?je3290/ik4l7:9~f4df290?6=4?{%g2>=3<@l30Dh=4$dd9<1=#?<0?=95f3c83>!ca2?n07d:;:18'ac<1k21bhl4?:%ge>ad<3fi?6=4+eg8`3>=zj8i?6=4<:183!c62>h0Dh74Hd18 ``=l11/;84;159'2c<5=2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:m`0?6=,ll1o:54}c3a=?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg7d;3:1?7>50z&f5?1e3Ao27Ek<;%ge>a><,>?18<:4$7d960=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2j=07pl>b983>1<729q/i<475:Jf=>Nb;2.nj76;;%56>1733`9i6=4+eg85`>=n<=0;6)ki:7a8?lbf290/ik4kb:9lg1<72-om6n94;|`2g7<72:0;6=u+e084f>Nb12Bn?6*jf;f;?!122=;?7)8i:378m33=83.nj75=o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm1b394?5=83:p(h?57c9Ka<=Om:1/ik4k8:&41?26<2.=j7<:;h46>5<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3fi?6=4+eg8`3>=zj8h=6=4;:183!c621?0Dh74Hd18 ``=0=1/;84;159j7g<72-om6;j4;h67>5<#mo0=o65fd`83>!ca2mh07bm;:18'ac5<7s-o:6:l4Hd;8L`5<,ll1h55+748751=#>o0996g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>id<3:1(hh5c698yg7e=3:187>50z&f5?>23Ao27Ek<;%ge>=2<,>?18<:4i2`94?"bn3j10eio50;&fb?be32eh87>5$dd9g2=53;294~"b93=i7Ek6;Ig0?!ca2m20(:;54068 3`=:<1b:84?:%ge>7`!ca2;l0bhj51:9lg1<72-om6n94;|`2f1<72=0;6=u+e08;1>Nb12Bn?6*jf;:7?!122=;?7d=m:18'ac<1l21b894?:%ge>3e<3`nj6=4+eg8gf>=hk=0;6)ki:b58?xd6jl0;6>4?:1y'a4<0j2Bn56Fj3:&fb?b?3-=>69?;;%4e>735$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a5gb=8391<7>t$d393g=Om01Ci>5+eg8g<>"0=3>:86*9f;06?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07bm;:18'ac5<7s-o:65;4Hd;8L`5<,ll1495+748751=n;k0;6)ki:7f8?l23290/ik49c:9j`d<72-om6il4;na7>5<#mo0h;65rb0``>5<4290;w)k>:6`8L`?<@l90(hh5d99'30<39=1/:k4=5:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632eh87>5$dd9g2=54;294~"b932>7Ek6;Ig0?!ca21>0(:;54068m6d=83.nj78k;:k70?6=,ll1:n54iec94?"bn3ni76al4;29 ``=k>10qo?m1;297?6=8r.n=79m;Ig:?Mc43-om6i64$679042<,?l1>85f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=hk=0;6)ki:b58?xd6i?0;694?:1y'a433-=>69?;;h1a>5<#mo0=h65f4583>!ca2?i07djn:18'acf1<3th:n=4?:283>5}#m80"bn3n37)9::537?!0a2;?0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098kf2=83.nj7m8;:a5d3=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e9hl1<7=50;2x `7=?k1Ci45Ge29'ac4:&5b?423`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;na7>5<#mo0h;65rb0c7>5<3290;w)k>:978L`?<@l90(hh5859'30<39=1b?o4?:%ge>3b<3`>?6=4+eg85g>=nlh0;6)ki:e`8?je3290/ik4l7:9~f4gb29086=4?{%g2>2d<@l30Dh=4$dd9`==#?<0?=95+6g811>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76al4;29 ``=k>10qo?n3;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c3b`?6=;3:13Ao87)ki:e:8 23=<8>0(;h5249j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921do94?:%ge>f1<3th:m?4?:583>5}#m80396Fj9:Jf7>"bn32?7)9::537?l5e290/ik49d:9j01<72-om6;m4;hfb>5<#mo0on65`c583>!ca2j=07pl>ab83>6<729q/i<48b:Jf=>Nb;2.nj7j7;%56>1733-4=1<7*jf;a4?>{e9h;1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3"1n38>7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?je3290/ik4l7:9~f4g7290?6=4?{%g2>=3<@l30Dh=4$dd9<1=#?<0?=95f3c83>!ca2?n07d:;:18'ac<1k21bhl4?:%ge>ad<3fi?6=4+eg8`3>=zj8kj6=4<:183!c62>h0Dh74Hd18 ``=l11/;84;159'2c<5=2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:m`0?6=,ll1o:54}c3:b?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg7f13:1?7>50z&f5?1e3Ao27Ek<;%ge>a><,>?18<:4$7d960=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2j=07pl>9d83>1<729q/i<475:Jf=>Nb;2.nj76;;%56>1733`9i6=4+eg85`>=n<=0;6)ki:7a8?lbf290/ik4kb:9lg1<72-om6n94;|`2e=<72:0;6=u+e084f>Nb12Bn?6*jf;f;?!122=;?7)8i:378m33=83.nj75=o4j3:1(hh56c98m22=83.nj78m;:m`0?6=,ll1o:54}c363?6=;3:13Ao87)ki:d58 23=<8>0e>l50;&fb?0e32c<87>5$dd92g=1<7*jf;a4?>{e9=>1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3o4j3:1(hh56c98m22=83.nj78m;:m`0?6=,ll1o:54}c377?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg72=3:1?7>50z&f5?c?3Ao27Ek<;%ge>`1<,>?18<:4i2`94?"bn3k10cn:50;&fb?e032wi=9<50;694?6|,l;1485Ge89Ka6=#mo0386*85;620>o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm14694?5=83:p(h?5e99Ka<=Om:1/ik4j7:&41?26<2c8n7>5$dd92g=>1<7*jf;4a?>id<3:1(hh5c698yg7393:187>50z&f5?>23Ao27Ek<;%ge>=2<,>?18<:4i2`94?"bn3j10eio50;&fb?be32eh87>5$dd9g2=?7>53;294~"b93o37Ek6;Ig0?!ca2l=0(:;54068m6d=83.nj78m;:k40?6=,ll1:o54ob694?"bn3i<76sm15294?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a504=8391<7>t$d39a==Om01Ci>5+eg8f3>"0=3>:86gk10e::50;&fb?0e32eh87>5$dd9g2=54;294~"b932>7Ek6;Ig0?!ca21>0(:;54068m6d=83.nj78k;:k70?6=,ll1:n54iec94?"bn3ni76al4;29 ``=k>10qo?:1;297?6=8r.n=7k7;Ig:?Mc43-om6h94$679042o0<3:1(hh56c98kf2=83.nj7m8;:a56c=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e9<:1<7=50;2x `7=m11Ci45Ge29'ac4:k0f?6=,ll1:o54i6694?"bn310qo?o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c37b?6=;3:13Ao87)ki:d58 23=<8>0e>l50;&fb?0e32c<87>5$dd92g=1<7*jf;a4?>{e9:i1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3l50;194?6|,l;1i55Ge89Ka6=#mo0n;6*85;620>o4j3:1(hh56c98m22=83.nj78m;:m`0?6=,ll1o:54}c30e?6=;3:13Ao87)ki:d58 23=<8>0e>l50;&fb?0e32c<87>5$dd92g=1<7*jf;a4?>{e9;=1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3750;194?6|,l;1i55Ge89Ka6=#mo0n;6*85;620>o4j3:1(hh56c98m22=83.nj78m;:m`0?6=,ll1o:54}c312?6=<3:13Ao87)ki:968 23=<8>0e>l50;&fb?0c32c?87>5$dd92f=id<3:1(hh5c698yg7403:1?7>50z&f5?c?3Ao27Ek<;%ge>`1<,>?18<:4i2`94?"bn3k10cn:50;&fb?e032wi=?;50;694?6|,l;1485Ge89Ka6=#mo0386*85;620>o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm12594?5=83:p(h?5e99Ka<=Om:1/ik4j7:&41?26<2c8n7>5$dd92g=>1<7*jf;4a?>id<3:1(hh5c698yg75<3:187>50z&f5?>23Ao27Ek<;%ge>=2<,>?18<:4i2`94?"bn3j10eio50;&fb?be32eh87>5$dd9g2=53;294~"b93o37Ek6;Ig0?!ca2l=0(:;54068m6d=83.nj78m;:k40?6=,ll1:o54ob694?"bn3i<76sm13194?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a563=8391<7>t$d39a==Om01Ci>5+eg8f3>"0=3>:86gk10e::50;&fb?0e32eh87>5$dd9g2=7>54;294~"b932>7Ek6;Ig0?!ca21>0(:;54068m6d=83.nj78k;:k70?6=,ll1:n54iec94?"bn3ni76al4;29 ``=k>10qo?<4;297?6=8r.n=7k7;Ig:?Mc43-om6h94$679042o0<3:1(hh56c98kf2=83.nj7m8;:a577=83>1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e9:91<7=50;2x `7=m11Ci45Ge29'ac4:k0f?6=,ll1:o54i6694?"bn310qo?=0;290?6=8r.n=76:;Ig:?Mc43-om65:4$679042o3<3:1(hh56b98mag=83.nj7jm;:m`0?6=,ll1o:54}c306?6=;3:13Ao87)ki:d58 23=<8>0e>l50;&fb?0e32c<87>5$dd92g=1<7*jf;a4?>{e98l1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3o097)ki:448La1<,>?18<:4i2794?"bn39o76g<9;29 ``=;010e9?50;&fb?0e32eo97>5$dd9g2=o4m3:1(hh5d898yg4083:1:7>50z&f5?353Ao27Ek<;%4e>7=#mo0>:6Fk7:&41?26<2c897>5$dd97a=o393:1(hh56c98ka3=83.nj7m8;:kg7?6=,ll1hn54i2g94?"bn3n276sm27d94?0=83:p(h?5539Ka<=Om:1/:k4=;%ge>00<@m=0(:;54068m63=83.nj7=k;:k0=?6=,ll1?454i5394?"bn310ei=50;&fb?bd32c8i7>5$dd9`<=56;294~"b93?97Ek6;Ig0?!0a2;1/ik4:6:Jg3>"0=3>:86g<5;29 ``=;m10e>750;&fb?5>32c?=7>5$dd92g=oc;3:1(hh5db98m6c=83.nj7j6;:a63b=83<1<7>t$d3917=Om01Ci>5+6g81?!ca2<<0Di94$679042o413:1(hh53898m17=83.nj78m;:mg1?6=,ll1o:54ie194?"bn3nh76gNc?2.<97:>4:k01?6=,ll1?i54i2;94?"bn39276g;1;29 ``=>k10ci;50;&fb?e032co?7>5$dd9`f={e:?h1<7850;2x `7==;1Ci45Ge29'2c<53-om6884He58 23=<8>0e>;50;&fb?5c32c857>5$dd97<=ic=3:1(hh5c698ma5=83.nj7jl;:k0a?6=,ll1h454}c05e?6=>3:13Ao87)8i:39'ac<2>2Bo;6*85;620>o4=3:1(hh53e98m6?=83.nj7=6;:k75?6=,ll1:o54oe794?"bn3i<76gk3;29 ``=lj10e>k50;&fb?b>32wi>;750;494?6|,l;19?5Ge89Ka6=#>o097)ki:448La1<,>?18<:4i2794?"bn39o76g<9;29 ``=;010e9?50;&fb?0e32eo97>5$dd9g2=o4m3:1(hh5d898yg4103:1:7>50z&f5?353Ao27Ek<;%4e>7=#mo0>:6Fk7:&41?26<2c897>5$dd97a=o393:1(hh56c98ka3=83.nj7m8;:kg7?6=,ll1hn54i2g94?"bn3n276sm27594?0=83:p(h?5539Ka<=Om:1/:k4=;%ge>00<@m=0(:;54068m63=83.nj7=k;:k0=?6=,ll1?454i5394?"bn310ei=50;&fb?bd32c8i7>5$dd9`<=56;294~"b93?97Ek6;Ig0?!0a2;1/ik4:6:Jg3>"0=3>:86g<5;29 ``=;m10e>750;&fb?5>32c?=7>5$dd92g=oc;3:1(hh5db98m6c=83.nj7j6;:a663=83<1<7>t$d3917=Om01Ci>5+6g81?!ca2<<0Di94$679042o413:1(hh53898m17=83.nj78m;:mg1?6=,ll1o:54ie194?"bn3nh76gNc?2.<97:>4:k01?6=,ll1?i54i2;94?"bn39276g;1;29 ``=>k10ci;50;&fb?e032co?7>5$dd9`f={e::91<7850;2x `7==;1Ci45Ge29'2c<53-om6884He58 23=<8>0e>;50;&fb?5c32c857>5$dd97<=ic=3:1(hh5c698ma5=83.nj7jl;:k0a?6=,ll1h454}c006?6=>3:13Ao87)8i:39'ac<2>2Bo;6*85;620>o4=3:1(hh53e98m6?=83.nj7=6;:k75?6=,ll1:o54oe794?"bn3i<76gk3;29 ``=lj10e>k50;&fb?b>32wi>>?50;494?6|,l;19?5Ge89Ka6=#>o097)ki:448La1<,>?18<:4i2794?"bn39o76g<9;29 ``=;010e9?50;&fb?0e32eo97>5$dd9g2=o4m3:1(hh5d898yg45n3:1:7>50z&f5?353Ao27Ek<;%4e>7=#mo0>:6Fk7:&41?26<2c897>5$dd97a=o393:1(hh56c98ka3=83.nj7m8;:kg7?6=,ll1hn54i2g94?"bn3n276sm23g94?0=83:p(h?5539Ka<=Om:1/:k4=;%ge>00<@m=0(:;54068m63=83.nj7=k;:k0=?6=,ll1?454i5394?"bn310ei=50;&fb?bd32c8i7>5$dd9`<=56;294~"b93?97Ek6;Ig0?!0a2;1/ik4:6:Jg3>"0=3>:86g<5;29 ``=;m10e>750;&fb?5>32c?=7>5$dd92g=oc;3:1(hh5db98m6c=83.nj7j6;:a67e=83<1<7>t$d3917=Om01Ci>5+6g81?!ca2<<0Di94$679042o413:1(hh53898m17=83.nj78m;:mg1?6=,ll1o:54ie194?"bn3nh76gNc?2.<97:>4:k01?6=,ll1?i54i2;94?"bn39276g;1;29 ``=>k10ci;50;&fb?e032co?7>5$dd9`f={e;?>1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3"1n390e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm37`94?3=83:p(h?5819Ka<=Om:1/ik4k8:&41?26<2.=j7=4i7794?"bn38m7ckk:198m30=83.nj74=54ob694?"bn3i<76sm37494?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a73e=83?1<7>t$d39<5=Om01Ci>5+eg8g<>"0=3>:86*9f;18m33=83.nj75=1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e:;=1<7;50;2x `7=091Ci45Ge29'ac4:&5b?5=83.nj76=1<7*jf;a4?>{e:;81<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3?650;794?6|,l;14=5Ge89Ka6=#mo0o46*85;620>"1n390e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=?=50;694?6|,l;1485Ge89Ka6=#mo0386*85;620>o4j3:1(hh56e98m12=83.nj78l;:kge?6=,ll1ho54ob694?"bn3i<76sm23;94?3=83:p(h?5819Ka<=Om:1/ik4k8:&41?26<2.=j7=4i7794?"bn38m7ckk:198m30=83.nj74=54ob694?"bn3i<76sm23694?2=83:p(h?5849Ka<=Om:1/ik474:&41?26<2c8n7>5$dd92a=1<7*jf;4`?>oci3:1(hh5dc98kf2=83.nj7m8;:a67g=83?1<7>t$d39<5=Om01Ci>5+eg8g<>"0=3>:86*9f;18m33=83.nj75=1<7>t$d39<0=Om01Ci>5+eg8;0>"0=3>:86gm10e9:50;&fb?0d32com7>5$dd9`g=1<7*jf;a4?>{e:;h1<7;50;2x `7=091Ci45Ge29'ac4:&5b?5=83.nj76=1<7*jf;a4?>{e:;<1<7:50;2x `7=0<1Ci45Ge29'ac4:k0f?6=,ll1:i54i5694?"bn3"1n3>87d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?l00290/ik4=f:lf`?4<3fi?6=4+eg8`3>=zj:n=6=4::183!c621:0Dh74Hd18 ``=l11/;84;159'2c<3?2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:k53?6=,ll1>k5aee81?>o103:1(hh52g9maa<432eh87>5$dd9g2=55;294~"b932;7Ek6;Ig0?!ca2m20(:;54068 3`=:;1b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=n>10;6)ki:3d8j`b=;21do94?:%ge>f1<3th8h>4?:583>5}#m80"bn3n37)9::537?!0a2:=0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=1<7*jf;a4?>{e;m>1<7:50;2x `7=?m1Ci45Ge29'ac4:&5b?323`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?je3290/ik4l7:9~f7e729086=4?{%g2>2d<@l30Dh=4$dd9`==#?<0?=95+6g804>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76al4;29 ``=k>10qo<94;297?6=8r.n=79m;Ig:?Mc43-om6i64$679042<,?l18<5f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=hk=0;6)ki:b58?xd4=o0;684?:1y'a469?;;%4e>1=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9j2=<72-om6?h4ndf97>=hk=0;6)ki:b58?xd6>h0;684?:1y'a469?;;%4e>6=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9j2=<72-om6?h4ndf97>=hk=0;6)ki:b58?xd38o0;684?:1y'a469?;;%4e>6=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9j2=<72-om6?h4ndf97>=hk=0;6)ki:b58?xd3990;684?:1y'a469?;;%4e>6=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9j2=<72-om6?h4ndf97>=hk=0;6)ki:b58?xd38l0;694?:1y'a4<0l2Bn56Fj3:&fb?b?3-=>69?;;%4e>03t$d39<5=Om01Ci>5+eg8g<>"0=3>:86*9f;0b?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;h4;>5<#mo09j6`jd;18?je3290/ik4l7:9~f40a290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g872>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg71m3:197>50z&f5?>73Ao27Ek<;%ge>a><,>?18<:4$7d90>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg7703:197>50z&f5?>73Ao27Ek<;%ge>a><,>?18<:4$7d97>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg7793:187>50z&f5?1c3Ao27Ek<;%ge>a><,>?18<:4$7d964=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9lg1<72-om6n94;|`241<72=0;6=u+e084`>Nb12Bn?6*jf;f;?!122=;?7)8i:478m33=83.nj75=5<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3`<<6=4+eg81b>hbl3807bm;:18'ac=n:186>5<7s-o:65>4Hd;8L`5<,ll1h55+748751=#>o0:>6g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>o1?3:1(hh52g9maa<532c=47>5$dd96c=imm0876al4;29 ``=k>10qo=<5f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=n>>0;6)ki:3d8j`b=:21do94?:%ge>f1<3th8?n4?:483>5}#m803<6Fj9:Jf7>"bn3n37)9::537?!0a2;80e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77="1n3;i7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?l00290/ik4=f:lf`?4<3`<36=4+eg81b>hbl3907bm;:18'ac:187>5<7s-o:6:j4Hd;8L`5<,ll1h55+748751=#>o0:o6g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>o1?3:1(hh52g9maa<532eh87>5$dd9g2=55;294~"b932;7Ek6;Ig0?!ca2m20(:;54068 3`=9k1b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=n>10;6)ki:3d8j`b=;21do94?:%ge>f1<3th:==4?:283>5}#m80"bn3n37)9::537?!0a28<0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098kf2=83.nj7m8;:a602=83>1<7>t$d393a=Om01Ci>5+eg8g<>"0=3>:86*9f;1:?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;na7>5<#mo0h;65rb371>5<3290;w)k>:6f8L`?<@l90(hh5d99'30<39=1/:k4<9:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632c=;7>5$dd96c=imm0976al4;29 ``=k>10qo<:0;290?6=8r.n=79k;Ig:?Mc43-om6i64$679042<,?l1h6g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>o1?3:1(hh52g9maa<532eh87>5$dd9g2=54;294~"b93=o7Ek6;Ig0?!ca2m20(:;54068 3`==<1b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=hk=0;6)ki:b58?xd569?;;%4e>3=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9lg1<72-om6n94;|`10d<72=0;6=u+e084`>Nb12Bn?6*jf;f;?!122=;?7)8i:e9j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921b::4?:%ge>7`65`c583>!ca2j=07pl=4983>1<729q/i<48d:Jf=>Nb;2.nj7j7;%56>1733-!ca2;l0bhj50:9j23<72-om6?h4ndf95>=n>>0;6)ki:3d8j`b=:21do94?:%ge>f1<3th98;4?:583>5}#m80"bn3n37)9::537?!0a211b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=hk=0;6)ki:b58?xd5<=0;694?:1y'a4<0l2Bn56Fj3:&fb?b?3-=>69?;;%4e>==n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9lg1<72-om6n94;|`107<72=0;6=u+e084`>Nb12Bn?6*jf;f;?!122=;?7)8i:018m33=83.nj75=5<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3`<<6=4+eg81b>hbl3807bm;:18'ac5<7s-o:65>4Hd;8L`5<,ll1h55+748751=#>o0846g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>o1?3:1(hh52g9maa<532c=47>5$dd96c=imm0876al4;29 ``=k>10qo45f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=n>>0;6)ki:3d8j`b=:21do94?:%ge>f1<3th:=;4?:583>5}#m80"bn3n37)9::537?!0a28k0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=1<7*jf;a4?>{e9ol1<7=50;2x `7=?k1Ci45Ge29'ac4:&5b?423`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;na7>5<#mo0h;65rb0df>5<2290;w)k>:928L`?<@l90(hh5d99'30<39=1/:k4>f:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632c=;7>5$dd96c=imm0976g98;29 ``=:o1eii4<;:m`0?6=,ll1o:54}c321?6=;3:13Ao87)ki:e:8 23=<8>0(;h5179j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921do94?:%ge>f1<3th9n:4?:483>5}#m803<6Fj9:Jf7>"bn3n37)9::537?!0a28>0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=5j50;794?6|,l;14=5Ge89Ka6=#mo0o46*85;620>"1n3;?7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?l00290/ik4=f:lf`?4<3`<36=4+eg81b>hbl3907bm;:18'ac=;:180>5<7s-o:6:l4Hd;8L`5<,ll1h55+748751=#>o09<6g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>id<3:1(hh5c698yg52=3:197>50z&f5?>73Ao27Ek<;%ge>a><,>?18<:4$7d96f=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9j2=<72-om6?h4ndf97>=hk=0;6)ki:b58?xd5mk0;694?:1y'a4<0l2Bn56Fj3:&fb?b?3-=>69?;;%4e>4e1<7>t$d393a=Om01Ci>5+eg8g<>"0=3>:86*9f;3`?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;na7>5<#mo0h;65rb3gb>5<4290;w)k>:6`8L`?<@l90(hh5d99'30<39=1/:k4>6:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632eh87>5$dd9g2=54;294~"b93=o7Ek6;Ig0?!ca2m20(:;54068 3`=:81b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=hk=0;6)ki:b58?xd68j0;6>4?:1y'a4<0j2Bn56Fj3:&fb?b?3-=>69?;;%4e>405<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3`<<6=4+eg81b>hbl3807d87:18'ac<5n2dnh7=4;na7>5<#mo0h;65rb32`>5<2290;w)k>:928L`?<@l90(hh5d99'30<39=1/:k4>b:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632c=;7>5$dd96c=imm0976g98;29 ``=:o1eii4<;:m`0?6=,ll1o:54}c03e?6=<3:13Ao87)ki:e:8 23=<8>0(;h51b9j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921b::4?:%ge>7`65`c583>!ca2j=07pl=1183>0<729q/i<470:Jf=>Nb;2.nj7j7;%56>1733-4=54ob694?"bn3i<76sm36494?3=83:p(h?5819Ka<=Om:1/ik4k8:&41?26<2.=j75<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3`<<6=4+eg81b>hbl3807d87:18'ac<5n2dnh7=4;na7>5<#mo0h;65rb251>5<4290;w)k>:6`8L`?<@l90(hh5d99'30<39=1/:k4=0:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632eh87>5$dd9g2=55;294~"b932;7Ek6;Ig0?!ca2m20(:;54068 3`==m1b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=n>10;6)ki:3d8j`b=;21do94?:%ge>f1<3th:=54?:583>5}#m80"bn3n37)9::537?!0a2;;0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=1<7*jf;a4?>{e:>n1<7;50;2x `7=091Ci45Ge29'ac4:&5b?723`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?l0?290/ik4=f:lf`?5<3fi?6=4+eg8`3>=zj;ij6=4=:183!c62?30Dh74Hd18 ``=;81C;?5+7285f>"0=3>:86g94;29 ``=>k10cn:50;&fb?e032wijh4?:383>5}#m80=56Fj9:Jf7>"bn39:7E9=;%50>3d<,>?18<:4i7694?"bn310qo=70;296?6=8r.n=7m:;Ig:?Mc43-om6>?4H608 25=k>1/;84;159j21<72-om6;l4;na7>5<#mo0h;65rb25g>5<5290;w)k>:b78L`?<@l90(hh5309K37=#?:0h;6*85;620>o1<3:1(hh56c98kf2=83.nj7m8;:a7=4=8381<7>t$d39g0=Om01Ci>5+eg805>N0:2.1733`=hk=0;6)ki:b58?xd4>o0;6?4?:1y'a40e;:50;&fb?0e32eh87>5$dd9g2=52;294~"b93i>7Ek6;Ig0?!ca2:;0D:<4$619g2=#?<0?=95f6583>!ca2?h07bm;:18'acl>:180>5<7s-o:6:o4Hd;8L`5<,ll1h55+748751=#>o0946g95;29 ``=:o1eii4?;:m`0?6=,ll1o:54i7494?"bn38m7ckk:09ma5<732wi?lh50;194?6|,l;1;l5Ge89Ka6=#mo0o46*85;620>"1n3837d8::18'ac<5n2dnh7>4;na7>5<#mo0h;65f6783>!ca2;l0bhj51:lf4?6<3th8mi4?:283>5}#m80"bn3n37)9::537?!0a2;20e;;50;&fb?4a3goo6=54ob694?"bn3i<76g96;29 ``=:o1eii4>;og3>5=53;294~"b93=j7Ek6;Ig0?!ca2m20(:;54068 3`=:11b:84?:%ge>7`!ca2j=07d89:18'ac<5n2dnh7?4nd294>=zj:k26=4<:183!c62>k0Dh74Hd18 ``=l11/;84;159'2c<502c=97>5$dd96c=imm0;76al4;29 ``=k>10e;850;&fb?4a3goo6<5ae183?>{e;h=1<7=50;2x `7=?h1Ci45Ge29'ac4:&5b?4?3`<>6=4+eg81b>hbl3:07bm;:18'ac7`4?:1y'a4<0i2Bn56Fj3:&fb?b?3-=>69?;;%4e>7>5$dd96c=imm0:7ck?:198yg5f;3:1?7>50z&f5?1f3Ao27Ek<;%ge>a><,>?18<:4$7d96==n><0;6)ki:3d8j`b=821do94?:%ge>f1<3`<=6=4+eg81b>hbl3;0bh>50:9~f6?429086=4?{%g2>2g<@l30Dh=4$dd9`==#?<0?=95+6g81<>o1=3:1(hh52g9maa<732eh87>5$dd9g2=t$d393d=Om01Ci>5+eg8g<>"0=3>:86*9f;0;?l02290/ik4=f:lf`?6<3fi?6=4+eg8`3>=n>?0;6)ki:3d8j`b=92dn<7>4;|`0Nb12Bn?6*jf;f;?!122=;?7)8i:3:8m33=83.nj75=1<7*jf;a4?>o1>3:1(hh52g9maa<63go;6=54}c1;`?6=;3:13Ao87)ki:e:8 23=<8>0(;h5299j20<72-om6?h4ndf94>=hk=0;6)ki:b58?l01290/ik4=f:lf`?75<4290;w)k>:6c8L`?<@l90(hh5d99'30<39=1/:k4=8:k51?6=,ll1>k5aee83?>id<3:1(hh5c698m30=83.nj74=im90;76sm39;94?5=83:p(h?57`9Ka<=Om:1/ik4k8:&41?26<2.=j7<7;h46>5<#mo09j6`jd;28?je3290/ik4l7:9j23<72-om6?h4ndf95>hb83:07pl<8683>6<729q/i<48a:Jf=>Nb;2.nj7j7;%56>1733-k5aee82?kc72910qo=75;297?6=8r.n=79n;Ig:?Mc43-om6i64$679042<,?l1>55f6483>!ca2;l0bhj50:9lg1<72-om6n94;h45>5<#mo09j6`jd;38j`6=821vn?h=:180>5<7s-o:6:o4Hd;8L`5<,ll1h55+748751=#>o0946g95;29 ``=:o1eii4?;:m`0?6=,ll1o:54i7494?"bn38m7ckk:09ma5<732wi>hh50;194?6|,l;1;l5Ge89Ka6=#mo0o46*85;620>"1n3837d8::18'ac<5n2dnh7>4;na7>5<#mo0h;65f6783>!ca2;l0bhj51:lf4?6<3th:9i4?:283>5}#m80"bn3n37)9::537?!0a2;20e;;50;&fb?4a3goo6=54ob694?"bn3i<76g96;29 ``=:o1eii4>;og3>5=m7>53;294~"b93=j7Ek6;Ig0?!ca2m20(:;54068 3`=:11b:84?:%ge>7`!ca2j=07d89:18'ac<5n2dnh7?4nd294>=zj:hn6=4<:183!c62>k0Dh74Hd18 ``=l11/;84;159'2c<502c=97>5$dd96c=imm0;76al4;29 ``=k>10e;850;&fb?4a3goo6<5ae183?>{e;h:1<7=50;2x `7=?h1Ci45Ge29'ac4:&5b?4?3`<>6=4+eg81b>hbl3:07bm;:18'ac7`69?;;%4e>4?=83.nj76=1<7*jf;a4?>{e91>1<7:50;2x `7=?m1Ci45Ge29'ac4:&5b?7?3`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?je3290/ik4l7:9~f4?d290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g82=>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg7>j3:187>50z&f5?1c3Ao27Ek<;%ge>a><,>?18<:4$7d95==n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9lg1<72-om6n94;|`2=d<72:0;6=u+e084f>Nb12Bn?6*jf;f;?!122=;?7)8i:048m33=83.nj75="1n3;m7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?l00290/ik4=f:lf`?4<3`<36=4+eg81b>hbl3907bm;:18'ac7:186>5<7s-o:65>4Hd;8L`5<,ll1h55+748751=#>o0:h6g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>o1?3:1(hh52g9maa<532c=47>5$dd96c=imm0876al4;29 ``=k>10qo?72;290?6=8r.n=79k;Ig:?Mc43-om6i64$679042<,?l1=55f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=n>>0;6)ki:3d8j`b=:21do94?:%ge>f1<3th:4<4?:283>5}#m80"bn3n37)9::537?!0a28<0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098kf2=83.nj7m8;:a54?=83>1<7>t$d393a=Om01Ci>5+eg8g<>"0=3>:86*9f;3f?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;na7>5<#mo0h;65rb0:;>5<2290;w)k>:928L`?<@l90(hh5d99'30<39=1/:k4=7:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632c=;7>5$dd96c=imm0976g98;29 ``=:o1eii4<;:m`0?6=,ll1o:54}c162?6==3:13Ao87)ki:e:8 23=<8>0(;h5619j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921b::4?:%ge>7`65f6983>!ca2;l0bhj53:9lg1<72-om6n94;|`22=<72=0;6=u+e084`>Nb12Bn?6*jf;f;?!122=;?7)8i:0g8m33=83.nj75=5<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3`<<6=4+eg81b>hbl3807bm;:18'ac5<7s-o:6:j4Hd;8L`5<,ll1h55+748751=#>o0:o6g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>o1?3:1(hh52g9maa<532eh87>5$dd9g2=54;294~"b93=o7Ek6;Ig0?!ca2m20(:;54068 3`=9j1b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=hk=0;6)ki:b58?xd5l00;694?:1y'a4<0l2Bn56Fj3:&fb?b?3-=>69?;;%4e>4e1<7>t$d393a=Om01Ci>5+eg8g<>"0=3>:86*9f;3`?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;na7>5<#mo0h;65rb3fa>5<3290;w)k>:6f8L`?<@l90(hh5d99'30<39=1/:k4>c:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632c=;7>5$dd96c=imm0976al4;29 ``=k>10qo!ca2;l0bhj50:9j23<72-om6?h4ndf95>=n>>0;6)ki:3d8j`b=:21do94?:%ge>f1<3th9hi4?:583>5}#m80"bn3n37)9::537?!0a28i0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=1<7*jf;a4?>{e:oo1<7;50;2x `7=091Ci45Ge29'ac4:&5b?7a3`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?l0?290/ik4=f:lf`?5<3fi?6=4+eg8`3>=zj;lo6=4;:183!c62>n0Dh74Hd18 ``=l11/;84;159'2c<5;2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:k53?6=,ll1>k5aee81?>id<3:1(hh5c698yg4ak3:187>50z&f5?1c3Ao27Ek<;%ge>a><,>?18<:4$7d9=>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:m`0?6=,ll1o:54}c353?6==3:13Ao87)ki:e:8 23=<8>0(;h5259j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921b::4?:%ge>7`65f6983>!ca2;l0bhj53:9lg1<72-om6n94;|`2<6<72<0;6=u+e08;4>Nb12Bn?6*jf;f;?!122=;?7)8i:0;8m33=83.nj75=t$d393g=Om01Ci>5+eg8g<>"0=3>:86*9f;35?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07bm;:18'ac5<7s-o:6:l4Hd;8L`5<,ll1h55+748751=#>o0::6g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>id<3:1(hh5c698yg73>3:1?7>50z&f5?1e3Ao27Ek<;%ge>a><,>?18<:4$7d960=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2j=07pl>2883>6<729q/i<48b:Jf=>Nb;2.nj7j7;%56>1733-4=1<7*jf;a4?>{e9==1<7=50;2x `7=?k1Ci45Ge29'ac4:&5b?423`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;na7>5<#mo0h;65rb00b>5<4290;w)k>:6`8L`?<@l90(hh5d99'30<39=1/:k4=5:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632eh87>5$dd9g2=53;294~"b93=i7Ek6;Ig0?!ca2m20(:;54068 3`=:<1b:84?:%ge>7`!ca2;l0bhj51:9lg1<72-om6n94;|`26g<72:0;6=u+e084f>Nb12Bn?6*jf;f;?!122=;?7)8i:378m33=83.nj75="1n38>7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?je3290/ik4l7:9~f44d29086=4?{%g2>2d<@l30Dh=4$dd9`==#?<0?=95+6g811>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76al4;29 ``=k>10qon5f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=n>>0;6)ki:3d8j`b=:21b:54?:%ge>7`!ca2j=07pl=6783>0<729q/i<470:Jf=>Nb;2.nj7j7;%56>1733-4=54ob694?"bn3i<76sm21d94?3=83:p(h?5819Ka<=Om:1/ik4k8:&41?26<2.=j7?i;h46>5<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3`<<6=4+eg81b>hbl3807d87:18'ac<5n2dnh7=4;na7>5<#mo0h;65rb32f>5<2290;w)k>:928L`?<@l90(hh5d99'30<39=1/:k4<8:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632c=;7>5$dd96c=imm0976g98;29 ``=:o1eii4<;:m`0?6=,ll1o:54}c3;3?6==3:13Ao87)ki:e:8 23=<8>0(;h51g9j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921b::4?:%ge>7`65f6983>!ca2;l0bhj53:9lg1<72-om6n94;|`2<3<72<0;6=u+e08;4>Nb12Bn?6*jf;f;?!122=;?7)8i:248m33=83.nj75=t$d39<5=Om01Ci>5+eg8g<>"0=3>:86*9f;01?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;h4;>5<#mo09j6`jd;18?je3290/ik4l7:9~f41c290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g82b>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg73i3:1?7>50z&f5?1e3Ao27Ek<;%ge>a><,>?18<:4$7d960=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2j=07pl>2e83>6<729q/i<48b:Jf=>Nb;2.nj7j7;%56>1733-4=1<7*jf;a4?>{e;<=1<7;50;2x `7=091Ci45Ge29'ac4:&5b?4e3`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?l0?290/ik4=f:lf`?5<3fi?6=4+eg8`3>=zj:?36=4<:183!c62>h0Dh74Hd18 ``=l11/;84;159'2c<5=2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:m`0?6=,ll1o:54}c0g2?6==3:13Ao87)ki:e:8 23=<8>0(;h52d9j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921b::4?:%ge>7`65f6983>!ca2;l0bhj53:9lg1<72-om6n94;|`1g3<72<0;6=u+e08;4>Nb12Bn?6*jf;f;?!122=;?7)8i:5:8m33=83.nj75=t$d39<5=Om01Ci>5+eg8g<>"0=3>:86*9f;6;?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;h4;>5<#mo09j6`jd;18?je3290/ik4l7:9~f7e5290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g8`?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;h4;>5<#mo09j6`jd;18?je3290/ik4l7:9~f7da290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g87<>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg4el3:197>50z&f5?>73Ao27Ek<;%ge>a><,>?18<:4$7d9g>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg40k3:197>50z&f5?>73Ao27Ek<;%ge>a><,>?18<:4$7d93>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg4e13:197>50z&f5?>73Ao27Ek<;%ge>a><,>?18<:4$7d93>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg4?03:197>50z&f5?>73Ao27Ek<;%ge>a><,>?18<:4$7d91f=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9j2=<72-om6?h4ndf97>=hk=0;6)ki:b58?xd5jk0;684?:1y'a469?;;%4e>d=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9j2=<72-om6?h4ndf97>=hk=0;6)ki:b58?xd5ll0;694?:1y'a4<0l2Bn56Fj3:&fb?b?3-=>69?;;%4e>4et$d39<5=Om01Ci>5+eg8g<>"0=3>:86*9f;34?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;h4;>5<#mo09j6`jd;18?je3290/ik4l7:9~f42e29086=4?{%g2>2d<@l30Dh=4$dd9`==#?<0?=95+6g811>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76al4;29 ``=k>10qo?=e;297?6=8r.n=79m;Ig:?Mc43-om6i64$679042<,?l1>85f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=hk=0;6)ki:b58?xd64?:1y'a4<0j2Bn56Fj3:&fb?b?3-=>69?;;%4e>735<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3fi?6=4+eg8`3>=zj8>o6=4<:183!c62>h0Dh74Hd18 ``=l11/;84;159'2c<5=2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:m`0?6=,ll1o:54}c304?6=;3:13Ao87)ki:e:8 23=<8>0(;h5249j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921do94?:%ge>f1<3th9;h4?:583>5}#m803:6Fj9:Jf7>"bn32?7)9::537?l02290/ik49c:9j23<72-om6;j4;hfb>5<#mo0on65`c583>!ca2j=07pl=7g83>0<729q/i<470:Jf=>Nb;2.nj7j7;%56>1733-!ca2;l0bhj50:9j23<72-om6?h4ndf95>=n>>0;6)ki:3d8j`b=:21b:54?:%ge>7`!ca2j=07pl=a483>1<729q/i<476:Jf=>Nb;2.nj76;;%56>1733`<>6=4+eg85g>=n>?0;6)ki:7f8?lbf290/ik4kb:9lg1<72-om6n94;|`1e3<72=0;6=u+e084`>Nb12Bn?6*jf;f;?!122=;?7)8i:5d8m33=83.nj75=5<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3`<<6=4+eg81b>hbl3807d87:18'ac<5n2dnh7=4;na7>5<#mo0h;65rb3ca>5<3290;w)k>:948L`?<@l90(hh5859'30<39=1b:84?:%ge>3e<3`<=6=4+eg85`>=nlh0;6)ki:e`8?je3290/ik4l7:9~f7gd290?6=4?{%g2>2b<@l30Dh=4$dd9`==#?<0?=95+6g87b>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:m`0?6=,ll1o:54}c0b`?6==3:13Ao87)ki:e:8 23=<8>0(;h55d9j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921b::4?:%ge>7`65f6983>!ca2;l0bhj53:9lg1<72-om6n94;|`1f7<72<0;6=u+e08;4>Nb12Bn?6*jf;f;?!122=;?7)8i:4:8m33=83.nj75=1<7>t$d39<3=Om01Ci>5+eg8;0>"0=3>:86g95;29 ``=>j10e;850;&fb?0c32com7>5$dd9`g=1<7*jf;a4?>{e:0k1<7;50;2x `7=091Ci45Ge29'ac4:&5b?3?3`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?l0?290/ik4=f:lf`?5<3fi?6=4+eg8`3>=zj;3n6=4;:183!c621<0Dh74Hd18 ``=0=1/;84;159j20<72-om6;m4;h45>5<#mo0=h65fd`83>!ca2mh07bm;:18'ac5<7s-o:65>4Hd;8L`5<,ll1h55+748751=#>o0>i6g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>o1?3:1(hh52g9maa<532c=47>5$dd96c=imm0876al4;29 ``=k>10qo!ca2;l0bhj50:9j23<72-om6?h4ndf95>=n>>0;6)ki:3d8j`b=:21do94?:%ge>f1<3th94?4?:583>5}#m803:6Fj9:Jf7>"bn32?7)9::537?l02290/ik49c:9j23<72-om6;j4;hfb>5<#mo0on65`c583>!ca2j=07pl=8283>1<729q/i<48d:Jf=>Nb;2.nj7j7;%56>1733-4i7794?"bn38m7ckk:198m30=83.nj74=5:50;694?6|,l;1;i5Ge89Ka6=#mo0o46*85;620>"1n3?;7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?l00290/ik4=f:lf`?4<3fi?6=4+eg8`3>=zj;2>6=4;:183!c621<0Dh74Hd18 ``=0=1/;84;159j20<72-om6;m4;h45>5<#mo0=h65fd`83>!ca2mh07bm;:18'ac5<7s-o:6:j4Hd;8L`5<,ll1h55+748751=#>o0><6g95;29 ``=:o1eii4?;:k52?6=,ll1>k5aee82?>o1?3:1(hh52g9maa<532eh87>5$dd9g2=54;294~"b93=o7Ek6;Ig0?!ca2m20(:;54068 3`==91b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=hk=0;6)ki:b58?xd38h0;684?:1y'a469?;;%4e>0`=83.nj76=1<7*jf;a4?>{e9?i1<7;50;2x `7=091Ci45Ge29'ac4:&5b?4d3`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?l0?290/ik4=f:lf`?5<3fi?6=4+eg8`3>=zj86=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?l0?290/ik4=f:lf`?5<3fi?6=4+eg8`3>=zj;oo6=4::183!c621:0Dh74Hd18 ``=l11/;84;159'2c<6n2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:k53?6=,ll1>k5aee81?>o103:1(hh52g9maa<432eh87>5$dd9g2=54;294~"b93=o7Ek6;Ig0?!ca2m20(:;54068 3`=9l1b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=hk=0;6)ki:b58?xd69>0;684?:1y'a469?;;%4e>0g=83.nj76=1<7*jf;a4?>{e99k1<7:50;2x `7=?m1Ci45Ge29'ac4:&5b?503`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?je3290/ik4l7:9~f46>290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g87?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;h4;>5<#mo09j6`jd;18?je3290/ik4l7:9~f464290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g81g>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg77:3:197>50z&f5?>73Ao27Ek<;%ge>a><,>?18<:4$7d96a=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9j2=<72-om6?h4ndf97>=hk=0;6)ki:b58?xd4?10;684?:1y'a469?;;%4e>0d=83.nj76=1<7*jf;a4?>{e;>=1<7;50;2x `7=091Ci45Ge29'ac4:&5b?3=83.nj76=1<7*jf;a4?>{e;>k1<7:50;2x `7=?m1Ci45Ge29'ac4:&5b?243`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?je3290/ik4l7:9~f61>290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g825>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg73m3:1?7>50z&f5?1e3Ao27Ek<;%ge>a><,>?18<:4$7d960=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2j=07pl>3083>6<729q/i<48b:Jf=>Nb;2.nj7j7;%56>1733-4=1<7*jf;a4?>{e<8;1<7;50;2x `7=091Ci45Ge29'ac4:&5b?d=83.nj76=1<7*jf;a4?>{e;;<1<7;50;2x `7=091Ci45Ge29'ac4:&5b?7>3`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?l0?290/ik4=f:lf`?5<3fi?6=4+eg8`3>=zj:8>6=4;:183!c62>n0Dh74Hd18 ``=l11/;84;159'2c<602c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:k53?6=,ll1>k5aee81?>id<3:1(hh5c698yg55<3:1?7>50z&f5?1e3Ao27Ek<;%ge>a><,>?18<:4$7d953=n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2j=07pl>ee83>0<729q/i<470:Jf=>Nb;2.nj7j7;%56>1733-4=54ob694?"bn3i<76sm1d`94?3=83:p(h?5819Ka<=Om:1/ik4k8:&41?26<2.=j7?6;h46>5<#mo09j6`jd;28?l01290/ik4=f:lf`?7<3`<<6=4+eg81b>hbl3807d87:18'ac<5n2dnh7=4;na7>5<#mo0h;65rb0gb>5<3290;w)k>:6f8L`?<@l90(hh5d99'30<39=1/:k4>8:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632c=;7>5$dd96c=imm0976al4;29 ``=k>10qo?j9;297?6=8r.n=79m;Ig:?Mc43-om6i64$679042<,?l1=;5f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=hk=0;6)ki:b58?xd6l00;684?:1y'a469?;;%4e>60=83.nj76=1<7*jf;a4?>{e9m21<7:50;2x `7=?m1Ci45Ge29'ac4:&5b?413`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?je3290/ik4l7:9~f4b1290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g82=>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg7c=3:187>50z&f5?1c3Ao27Ek<;%ge>a><,>?18<:4$7d95==n><0;6)ki:3d8j`b=821b:;4?:%ge>7`!ca2;l0bhj52:9lg1<72-om6n94;|`2`1<72:0;6=u+e084f>Nb12Bn?6*jf;f;?!122=;?7)8i:048m33=83.nj75="1n39<7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?l00290/ik4=f:lf`?4<3fi?6=4+eg8`3>=zj8:=6=4::183!c621:0Dh74Hd18 ``=l11/;84;159'2c<33`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?l0?290/ik4=f:lf`?5<3fi?6=4+eg8`3>=zj:=:6=4::183!c621:0Dh74Hd18 ``=l11/;84;159'2c<182c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:k53?6=,ll1>k5aee81?>o103:1(hh52g9maa<432eh87>5$dd9g2=55;294~"b932;7Ek6;Ig0?!ca2m20(:;54068 3`=m2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:k53?6=,ll1>k5aee81?>o103:1(hh52g9maa<432eh87>5$dd9g2=55;294~"b932;7Ek6;Ig0?!ca2m20(:;54068 3`=;2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:k53?6=,ll1>k5aee81?>o103:1(hh52g9maa<432eh87>5$dd9g2=j7>53;294~"b93=j7Ek6;Ig0?!ca2m20(:;54068 3`=:11b:84?:%ge>7`!ca2j=07d89:18'ac<5n2dnh7?4nd294>=zj;kn6=4::183!c621:0Dh74Hd18 ``=l11/;84;159'2c<2?2c=97>5$dd96c=imm0;76g96;29 ``=:o1eii4>;:k53?6=,ll1>k5aee81?>o103:1(hh52g9maa<432eh87>5$dd9g2=56;294~"b93?97Ek6;Ig0?!0a2;1/ik4:6:Jg3>"0=3>:86g<5;29 ``=;m10e>750;&fb?5>32c?=7>5$dd92g=oc;3:1(hh5db98m6c=83.nj7j6;:ab=<72;0;6=u+e0806>Nb12Bn?6*jf;1`?!122=;?7d8;:18'ac<1j21do94?:%ge>f1<3thmm7>52;097~"b93987Ek6;Ig0?!122=;?7d8;:188kf2=831i:l4?:383>5}#m80=m6*jf;1`?!142?h0D:<4$6790421<7*jf;4a?>id<3:1(hh5c698yg5529096=4?{%g2>64<,ll1?n5+748751=n>=0;6)ki:7`8?je3290/ik4l7:9~w32=838pR;:4=7c921=z{j>1<726<@l30Dh=4$dd974=#?<0?=95f6583>!ca2?h07bm;:18'ac5<5290;w)k>:628L`?<@l90(hh5309'30<39=1b:94?:%ge>3d<3fi?6=4+eg8`3>Nbm21vn?hm:181>5<7s-o:6:>4Hd;8L`5<,ll1?<5+748751=n>=0;6)ki:7`8?je3290/ik4l7:Jfa>=zj;l26=4=:183!c62>:0Dh74Hd18 ``=;81/;84;159j21<72-om6;l4;na7>5<#mo0h;6Fje:9~f40229096=4?{%g2>26<@l30Dh=4$dd974=#?<0?=95f6583>!ca2?h07bm;:18'ac5<5290;w)k>:628L`?<@l90(hh5309'30<39=1b:94?:%ge>3d<3fi?6=4+eg8`3>Nbm21vn?m7:181>5<7s-o:6:>4Hd;8L`5<,ll1?<5+748751=n>=0;6)ki:7`8?je3290/ik4l7:Jfa>=zj;?=6=4=:183!c62>:0Dh74Hd18 ``=;81/;84;159j21<72-om6;l4;na7>5<#mo0h;6Fje:9~f46a29096=4?{%g2>26<@l30Dh=4$dd974=#?<0?=95f6583>!ca2?h07bm;:18'ac5<5290;w)k>:628L`?<@l90(hh5309'30<39=1b:94?:%ge>3d<3fi?6=4+eg8`3>Nbm21vn?k6:181>5<7s-o:6:>4Hd;8L`5<,ll1?<5+748751=n>=0;6)ki:7`8?je3290/ik4l7:Jfa>=zj8:i6=4=:183!c62>:0Dh74Hd18 ``=;81/;84;159j21<72-om6;l4;na7>5<#mo0h;6Fje:9~f6`4290>6=4?{%g2>=6<@l30Dh=4$dd9`==#?<0?=95+6g865>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg5a<3:187>50z&f5?>13Ao27Ek<;%ge>=2<,>?18<:4i7794?"bn3m10eio50;&fb?be32eh87>5$dd9g2=55;294~"b932;7Ek6;Ig0?!ca2m20(:;54068 3`=9o1b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=n>10;6)ki:3d8j`b=;21do94?:%ge>f1<3th8j<4?:583>5}#m803:6Fj9:Jf7>"bn32?7)9::537?l02290/ik49c:9j23<72-om6;j4;hfb>5<#mo0on65`c583>!ca2j=07pl0<729q/i<470:Jf=>Nb;2.nj7j7;%56>1733-4=54ob694?"bn3i<76sm3dg94?2=83:p(h?5879Ka<=Om:1/ik474:&41?26<2c=97>5$dd92f=oci3:1(hh5dc98kf2=83.nj7m8;:a6<5=8381<7>t$dd974=#m80<46Fj3:k50?6=,ll1:o54ob694?"bn3i<76sm28094?3=83:p(hh5d99Ka6=Om01/;84;159'a44=54ob694?"bn3i<76sm34g94?4=83:p(hh5309'a4<002Bn?6g94;29 ``=>k10cn:50;&fb?e032wi?8j50;794?6|,ll1h55Ge29Ka<=#?<0?=95+e08;5>"1n390e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=5h50;094?6|,ll1?<5+e084<>Nb;2c=87>5$dd92g=1<7*jf;a4?>{e:1o1<7;50;2x ``=l11Ci>5Ge89'30<39=1/i<471:&5b?7a3`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?l0?290/ik4=f:lf`?5<3fi?6=4+eg8`3>=zj:?j6=4=:183!ca2:;0(h?5799Ka6=n>=0;6)ki:7`8?je3290/ik4l7:9~f63>290>6=4?{%ge>a><@l90Dh74$679042<,l;14<5+6g854>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:k5k5aee80?>id<3:1(hh5c698yg4>=3:1>7>50z&fb?563-o:6:64Hd18m32=83.nj78m;:m`0?6=,ll1o:54}c0:0?6=<3:1o0(;h5209j20<72-om6?h4ndf94>=n>?0;6)ki:3d8j`b=921b::4?:%ge>7`65`c583>!ca2j=07pl=9083>7<729q/ik4<1:&f5?1?3Ao87d8;:18'ac<1j21do94?:%ge>f1<3th95=4?:483>5}#mo0o46Fj3:Jf=>"0=3>:86*j1;:2?!0a2;80e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=Nb;2c=87>5$dd92g=1<7*jf;a4?>{e98k1<7:50;2x ``=l11Ci>5Ge89'30<39=1/i<48e:&5b?463`<>6=4+eg81b>hbl3:07d89:18'ac<5n2dnh7?4;h44>5<#mo09j6`jd;08?je3290/ik4l7:9~f60629096=4?{%ge>67<,l;1;55Ge29j21<72-om6;l4;na7>5<#mo0h;65rb243>5<2290;w)ki:e:8L`5<@l30(:;54068 `7=0;1/:k4k5aee83?>o1>3:1(hh52g9maa<632c=;7>5$dd96c=imm0976g98;29 ``=:o1eii4<;:m`0?6=,ll1o:54}c0:3?6=:3:120Dh=4i7694?"bn310qo<66;297?6=8r.nj7j7;Ig0?Mc>3-=>69?;;%g2>2e<,?l1>=5f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=hk=0;6)ki:b58?xd5i00;6?4?:1y'ac<492.n=797;Ig0?l03290/ik49b:9lg1<72-om6n94;|`1e=<72=0;6=u+eg8g<>Nb;2Bn56*85;620>"b93=m7)8i:5f8m33=83.nj75=k10cn:50;&fb?e032wi>o=50;694?6|,ll1h55Ge29Ka<=#?<0?=95+e084b>"1n3>o7d8::18'ac<5n2dnh7>4;h45>5<#mo09j6`jd;38?l00290/ik4=f:lf`?4<3fi?6=4+eg8`3>=zj;2:6=4=:183!ca2:;0(h?5799Ka6=n>=0;6)ki:7`8?je3290/ik4l7:9~f7>7290?6=4?{%ge>a><@l90Dh74$679042<,l;1;h5+6g864>o1=3:1(hh52g9maa<732c=:7>5$dd96c=imm0:76g97;29 ``=:o1eii4=;:m`0?6=,ll1o:54}c0;e?6=:3:120Dh=4i7694?"bn310qo<79;291?6=8r.nj7j7;Ig0?Mc>3-=>69?;;%g2>=4<,?l19n5f6483>!ca2;l0bhj50:9j23<72-om6?h4ndf95>=n>>0;6)ki:3d8j`b=:21b:54?:%ge>7`!ca2j=07pl;0b83>7<729q/ik4<1:&f5?1?3Ao87d8;:18'ac<1j21do94?:%ge>f1<3th?5}#mo0o46Fj3:Jf=>"0=3>:86*j1;5e?!0a2:=0e;;50;&fb?4a3goo6=54i7494?"bn38m7ckk:098m31=83.nj77=1<7*jf;a4?>{e:h91<7<50;2x ``=;81/i<488:Jf7>o1<3:1(hh56c98kf2=83.nj7m8;:a6d4=83?1<7>t$dd9`==Om:1Ci45+748751=#m803>6*9f;7:?l02290/ik4=f:lf`?6<3`<=6=4+eg81b>hbl3;07d88:18'ac<5n2dnh7<4;h4;>5<#mo09j6`jd;18?je3290/ik4l7:9~f7d729096=4?{%ge>67<,l;1;55Ge29j21<72-om6;l4;na7>5<#mo0h;65rb3ce>5<3290;w)ki:e:8L`5<@l30(:;54068 `7=?o1/:k4;2:k51?6=,ll1>k5aee83?>o1>3:1(hh52g9maa<632c=;7>5$dd96c=imm0976al4;29 ``=k>10qo<6c;296?6=8r.nj7=>;%g2>2><@l90e;:50;&fb?0e32eh87>5$dd9g2=55;294~"bn3n37Ek<;Ig:?!122=;?7)k>:908 3`==>1b:84?:%ge>7`!ca2;l0bhj51:9j22<72-om6?h4ndf96>=n>10;6)ki:3d8j`b=;21do94?:%ge>f1<3thm57>51;294~"bn3n:7Ek<;I4f?!142?h0(:95cg9j`4<72-om6i?4;|`e`?6=93:1a7<3th9o44?:083>5}#mo0o=6Fj3:J5a>"0;3;:a73b=83;1<7>t$dd9`4=Om:1C:h5+728`3>"0?3io7bj>:18'ac9?:182>5<7s-om6i?4Hd18L3c<,>91o:5+768`g>ic93:1(hh5d098yg50k3:1=7>50z&fb?b63Ao87E8j;%50>f1<,>=1oo5`d083>!ca2m;07pl<7d83>4<729q/ik4k1:Jf7>N1m2.fg{e;1;1<7?50;2x ``=l81Ci>5G6d9'365<#mo0o=65rs9;94?40s4oj6;<4=2:0>6d<5:3=6>l4=2:7>12<5:2=69:4=2:;>12<5:2j69:4=2:`>12<5:2n69:4=2;3>12<5:3969:4=2c2>6d<5:h?6>l4=2c1>12<5:k?69:4=2c5>12<5:k369:4=2cb>12<5:kh69:4=2cf>12<5:h;69:4=3gf>12<5;l:69:4=3d7>12<5;l=69:4=07:>12<58?h69:4=043>12<58<969:4=247>12<5:<>69:4=245>12<5:<<69:4=301>12<5;8869:4=307>12<5;8>69:4=305>12<5:on6;;4}r:b>5<5s49o;7m;;<1g2?023ty3n7>521y>ag;<1;7?23349j=7:;;<0eb?5e348ni7=m;<0e4?23348m?7:;;<0e1?23348m;7:;;<127?5e349:87:;;<3:a?5e34;i>7=m;<36=?5e34;>n7:;;<36a?2334;==7:;;<357?2334;h:7=m;<3`3?2334;on7=m;<3gg?2334;<=7=m;<346?2334;357=m;<3;e?23349=87=m;<016?5e34862c=k=16>5:5679>6=1=>?16>575679~w=c=838p1?89:7:897?02j>0q~6i:18184f93i?70<96;44?xu>83:1>v3=0g8`0>;58l0=46s|9083>7}:91=1o95219492==z{081<7f2<58=o6;64}r;0>5<5s49>;7m;;<0g2?0?3ty287>52z?01=n85679>6d5=k=1v4850;0x97e12?201?o::b68yv?02909w0{t100;6?u22b0923=::ho1o95rs8c94?4|5;i96;64=3`3>f26g`=>116>475c59~w0q~7i:18184el3<370<6e;a7?xuf83:1?v3=b8853>;5090h863=80850>{ti80;6?u22909g1=::>i1:55rs`094?4|5;2>6n:4=3`:>3>62`=k=16>:k5649~wd0=838p1?o9:b6897g22??0q~o8:18184f?3i?70v3=ab8`0>;5ik0=96s|a883>g}::h;1::522`5922=::hn1::5228c922=::0l1::522`4923=::hi1:;522`2923=::0>1o952287921=::0:1:;5rs`c94?4|5;ko6n:4=3ca>302wxmi4?:3y>6<`=k=16>4k5649~wdc=838p1?o?:b6897?b2?<0q~oi:18184?;3i?70<72;46?xue83:1>v3=858`0>;50;0=:6s|b083>7}::1<1o952297920=z{k81<7f2<5;2>6;84}r`0>5<5s4>;m788;<63g?e33tyi87>52z?22f55?=>>1vo850;0x94642j>01<>=:7:8yvd02909w0=88;a7?850?3<=7p}m8;296~;4?h0h863<78852>{tj00;6?u21159g1=:99<1::5rscc94?4|5:=?6n:4=250>3>6f6=>?16>5h5c59~wgc=838p1>;9:7:8963f2j>0q~li:18184e:3<<70<65;a7?xud83:1>v3=b685<>;5180h86s|c083>7}::9i1:55210`9g1=z{j81<731<5;2:6n:4}rd6>5<4s49o87m;;<15a?023497`5=;<16?h:5349>7`3=;<16?h85349>7`1=;<16?h65349>7`?=;<16?ho5349>7`d=;<16?kh5349>056=;<168=?5349>054=;<168==5349>052=;<168=;5349>050=;<168=95349>05>=;<16?975349>71g=;<16?9l5349>71e=;<16?9j5349>71c=;<16?9h5349>706=;<16?8?5349>704=;<16>;<5349>67e=;<16>?j5349>67c=;<16>?h5349>667=;<16>><5349>665=;<16>>:5349>663=;<16>>85349>631=;<16>;65349>63?=;<16>;o5349>63d=;<16>;m5349>63b=;<16>;k5349>63`=;<16>:>5349>64`=;<16>>>5349>b=b3a001k75d09~wcg=838p1k85349>bd72`=>?16><>5c59>722=>11vkm50;6x960b2?<01>=l:b68961a2?=01>9>:778yv`c2908wShk;32<5on1h<5rsgg94?c|5:9?6;;4=gg9g1=::m?1:8522e5920=::m21:8522e;920=::mk1:8522e`920=::mi1:8522ef920=:;<=1:55234:923=::mo1:852372923=z{8:;6=4<{<330?e3349=i788;<14b?0?3ty:<<4?:3y>557=k=16===5649~w4652908w0??4;44?877:3i?70=81;4;?xu68<0;6>u24029g1=:<8;1:55211492==z{8:=6=4<{<330?0234;;:7m;;<145?013ty:<54?:3y>55>=k=16==75699~w46>2908w0??4;45?87713i?70=81;44?xu68l0;6?u219c9`d=:99o1o95rs034>5<5s4;h;7jn;<323?e33ty:=n4?:2y>54>=k=16=;65669>54c=>>1v8;44?87?=3<370?jd;4;?87?<3<<70?78;4;?871?3<370?>a;a7?876j31d83>7}:9mi1hl5210g9g1=z{8;m6=4<{<32b?e334;9<7=m;<307?5e3ty:>=4?:2y>576=k=16=??53c9>562=;k1v<<>:18087593i?70?=2;1a?874=39i7p}>2383>6}:9;81o95213197g=:9:<1?o5rs000>5<4s4;9?7m;;<310?5e34;8;7=m;|q261<72:q6=?:5c59>573=;k16=>653c9~w4422908w0?=5;a7?875>39i70?<9;1a?xu6:?0;6>u21349g1=:9;=1?o5212c97g=z{88<6=4={<313?e334;8n7=m;|q26=<72:q6=564=?=16=?65c59~w44>2908w0?=0;fb?874;3=?70?=9;a7?xu6:h0;6>u21339`d=:9:>1;95213c9g1=z{88i6=4<{<316?bf34;8979;;<31f?e33ty:>n4?:2y>575=lh16=>85759>57e=k=1v<2d83>6}:9;?1hl5212:931=:9;o1o95rs00e>5<4s4;9:7jn;<30=?1334;9j7m;;|q275<72:q6=?95d`9>56g=?=16=>>5c59~w4562909w0?3b83>6}:9:i1o95212f97g=:9<:1?o5rs01g>5<4s4;8h7m;;<30a?5e34;>=7=m;|q27`<72:q6=>k5c59>56`=;k16=8<53c9~w45a2908w0?u21529g1=:9=;1?o5214697g=z{8>:6=4<{<375?e334;?>7=m;<361?5e3ty:8?4?:2y>514=k=16=9=53c9>500=;k1v<:<:180873;3i?70?;4;1a?872?39i7p}>4583>7}:9=>1o95214:97g=z{8>>6=4<{<30g?bf34;?j79;;<371?e33ty:8;4?:2y>56b=lh16=8>5759>510=k=1v<:8:180874m3nj70?:1;57?873?3i?7p}>4983>6}:9:l1hl52140931=:9=21o95rs06:>5<4s4;?<7jn;<367?1334;?57m;;|q20d<72:q6=9?5d`9>502=?=16=9o5c59~w42e2908w0?;2;fb?872=3=?70?;b;a7?xu6u21519`d=:9<<1;95215a9g1=z{8>o6=4<{<370?bf34;>;79;;<37`?e33ty:8h4?:3y>50>=?=16=9k5c59~w43>2909w0?:9;a7?872j39i7p}>5`83>7}:9o7=m;|q21f<72;q6=8m5c59>50c=;k1v<;k:181872l3i?70?:c;fb?xu6=l0;6?u214g9g1=:9?:1?o5rs07e>5<5s4;>j7m;;<36a?bf3ty::=4?:3y>536=k=16=;?53c9~w4062909w0?91;a7?871:39i7p}>6383>7}:9?81o95217197g=z{85d`9>530=k=1v<88:18187193nj70?97;a7?xu6>10;6?u21719`d=:9?21o95rs04:>5;<07a?02348?o789;<076?023489=78:;<35f?e3348>8789;<066?01348><789;<07e?01348?4789;<072?02348?878:;|q22d<72;q6=;o5c59>53d=>11v<8k:182g~;5>=0=:63>6d8`0>;5j>0=963=8e851>;5i80=463=a685<>;5im0=463=b385<>;5100=963=9`85<>;51o0=463=ad85<>;5010=463=a7853>;5ij0=;63=a1853>;50:0=;63=85853>;50?0=;63=86853>;51?0=:63=a9853>;5j:0=;63=81853>;5000=463=a385<>;5io0=:63=9c85<>{t9>:1<7mt=040>f2<5;>n6;94=302>31<5;??6;94=371>31<5;?;6;94=36`>31<5;>j6;94=36;>31<5;>=6;94=367>31<5;>96;94}r345?6=:r7:;<4l4:?236<4j2wx=:=50;0x94142j>01<9;:2`8yv70<3:1>v3>758`0>;6?<08n6s|16794?4|58=>6n:4=055>6d52z?2337880f>{t9>31<7f2<58=j6>l4}r34e?6=:r7:;l4l4:?23g<4j2wx=:l50;0x941e2j>01<9=:2`8yv70k3:1>v3>7b8`0>;6?80om6s|16f94?4|58=96io4=05g>f252z?23c4ka:p5=6=838p1<6?:b6894132mk0q~?71;296~;6?<0om63>808`0>{t9181<7ag<58296n:4}r3;7?6=:r7:;:4ka:?2<6v3>788ge>;60<0h86s|19494?4|58=j6io4=0:5>f252z?23ge2:h0q~?7b;296~;60k0h863>8b80f>{t91i1<7f2<582o6>l4}r3;`?6=:r7:4i4l4:?2<`<4j2wx=5k50;0x94>b2j>01<6i:2`8yv7?n3:1>v3>8g8`0>;61908n6s|18294?4|583;6n:4=0;2>6d52z?2=48`80f>{t90>1<7f2<58226io4}r3:1?6=:r7:584l4:?201<6l:ec8yv7>?3:1>v3>968`0>;60m0om6s|18:94?4|58336n:4=0:f>ag52z?2=<0q~?6b;296~;6180om63>9c8`0>{t90i1<7ag<583h6n:4}r3:`?6=:r7:5i4l4:?2=601<7i:2`8yv7>n3:1>v3>9g8`0>;6i908n6s|1`294?4|58k;6n:4=0c2>6d52z?2e4a580f>{t9h>1<7f2<58k>6>l4}r3b1?6=:r7:m84l4:?2e3<4j2wx=l850;0x94g12j>01v3>a98`0>;61l0om6s|1`;94?4|58k26n:4=0;e>ag52z?2eda38ge>{t9hn1<7f2<58k86io4}r3ba?6=:r7:mh4l4:?2e101v3>b18`0>;6i?0om6s|1c394?4|58h:6n:4=0c4>ag7>52z?2f74b480f>{t9k?1<7f2<58h=6>l4}r3a2?6=:r7:n;4l4:?2f2<4j2wx=o950;0x94d02j>01v3>b98`0>;6j008n6s|1c;94?4|58h26n:4=0`b>6d52z?2fdb28ge>{t9ko1<7f2<58h?6io4}r3ab?6=:r7:nk4l4:?2f050;0x94e72j>01v3>c08`0>;6j>0om6s|1b094?4|58i96n:4=0`;>ag52z?2g6bc8ge>{t9j<1<7f2<58i36>l4}r3`2j>01v3>c`8`0>;6kk08n6s|1b`94?4|58ii6n:4=0a`>6d52z?2gfcg80f>{t9jl1<7f2<58n;6>l4}r3g4?6=:r7:h=4l4:?2g2<4j2wx=i?50;0x94b62j>01v3>d38`0>;6k10om6s|1e194?4|58n86n:4=0a:>ag52z?2gd0q~?k6;296~;6kj0om63>d78`0>{t9m=1<7f2<58io6io4}r3gv3>d`8`0>;6l90om6s|1e`94?4|58ni6n:4=0fg>6d52z?2`ae180f>{t9l:1<7f2<58o:6>l4}r3f5?6=:r7:i<4l4:?2a7<4j2wx=h<50;0x94c52j>01v3>e28`0>;6m=08n6s|1d694?4|58o?6n:4=0g6>6d52z?2a00h863>de8ge>{t9l21<7f2<58nn6io4}r3f=?6=:r7:hk4ka:?2a<v3>e08ge>;6mk0h86s|1da94?4|58oh6n:4=0g1>ag52z?2a6e48ge>{t9o:1<7lt=030>3><58;<6;64=3:0>30<5;2=6;84=3cf>30<5;236;84=3:f>f2<5;2m6;:4=3:3>30<5;k96;84=3;a>305cz?22`<10279m:496:?1ea<1>279n?496:?1=d<1>2795k496:?1e3<1=279mn495:?1e5<1=2795?4l4:?1=6<1<2795=495:p5c4=83kp1?m?:b6897d02?=01?6k:75897g02??01?ok:77897d52??01?7n:77897?a2??01?o7:74897d42?<0q~?i3;296~;6190?863>0c8`0>{t9o>1<712<58:h6n:4}r3e1?6=:r7:5?4;4:?24ac2?201?7?:b6897?62?>0q~?i7;29f~;6?;0h863=c7851>;5k=0=963=c3853>;5jo0=963=be853>;5?j0=:63=b8852>;5>?0=:63=bc852>;5190=46s|1g:94?d|582j6n:4=3a5>31<5;i?6;94=3a1>33<5;hm6;94=3`g>33<5;hi6;;4=3:1>ag<5;2>6io4=345>33<5;3;6;94}r3e=?6=:r7:ol4;4:?24c01v3>cb870>;6980h86s|1ga94?5|58n<6;;4=0ag>12<58;96n:4}r3e`?6=:r7:oh4;4:?2bc=>50;7x97652?=0101{t:991<7=t=0fb>33<58n;69:4=030>f253z?2`c<3<27:;84;4:?251=;50;1x94c72=>01<99:56894722j>0q~76870>;69?0h86s|21594?2|58oh6;;4=0g1>12<58=369:4=32;>f253z?2a6<3<27:;44;4:?14d=l50;6x94cb2??0101?>l:b68yv47l3:19v3>638ge>;6mo0=963>e4870>;6?k0?863=0d8`0>{t:8;1<7f2<5;;;6;;4}r026?6=:r7:no4l4:?155<1?2wx><=50;0x94e02j>01???:748yv46<3:1>v3>db8`0>;5990=46s|20d94?e|5;;m6i;4=00;>30<58826;84=00b>30<588i6;84=00`>30<588o6;84=00f>30<588m6;84=013>30<589:6;84=375>3252z?15c<41279><4l4:p674=838p1?<=:b6897442:h0q~<=3;296~;5::0h863=2580f>{t:;>1<7f2<5;8>6>l4}r011?6=:r79>84l4:?163<4j2wx>?950;0x97402j>01?<=:ec8yv4503:1>v3=298`0>;5::0om6s|23;94?4|5;826n:4=307>ag52z?16d84ka:p67d=838p1?5;29f~;5:j0o963>1g870>;6jj0=:63>e7851>;6lk0?863>7b851>;6?80?863>95852>;6=h0=963>29851>;6>j0=:6s|20494?d|5;8o6i;4=003>12<58ho6;84=0g4>33<58no69:4=05e>33<58=869:4=0;6>30<58826;;4=04`>31<58<>6;:4}r023?6=jr79>h4k5:?264<3<27:nh496:?2a=<1=27:hh4;4:?2<5<1=27:;94;4:?2=3<1>27:9i495:?26d<1=27::n498:p64>=83;8w0<=f;f6?87en3<=70?67;45?876=3<=70?72;45?87?93<>70?73;45?870l3<<70?96;45?871k3<>70?jb;45?87bi3<=70?j9;46?872n3<>70?>4;47?876>3<<705<6:r79?<4k5:?266<3<27:o=496:?2==<1>27::l498:?250<1=27:4?495:?2<6<1027:>n495:?14`<1?27:;i496:?223<1=27:io498:?2ad<1=27:=;496:?14=<1>27:4:496:?25d<1>2wx>7:778947f2??0q~<>b;2951}:::91h852137901=:9j81:;5217c923=::9i1:;5221c920=:91?1:;52196920=:90k1:;5217:920=:9?<1:55213g920=:98o1:8521df923=::921::5210;922=:9?=1::5221d922=:91=1::5216g92==z{;;h6=4>0z?171;4;4:?2g6<1>27::l495:?14f<1?2792790112?<01<=?:778940e2??01;6k<0=:63>69852>;58l0=:63>6c852>;69l0=:63>30851>;61m0=963>89852>;6?l0=96s|22594?4|58996n:4=377>3352z?276{t::h1<7f2<5;>h6;;4}r00g?6=:r7:?:4l4:?10d<1=2wx>>j50;0x945?2j>01?:7:778yv44m3:1>v3>388`0>;53052z?27g0q~<;3;296~;5;<0?=63=458`0>{t:=?1<717<5;>=6n:4}r073?6=:r79?>4;1:?10=9750;0x97552=;01?:n:b68yv43j3:1>v3=30875>;5f2<5;9;69?4}r07b?6=:r79>h4;1:?1158?50;0x974c2=;01?;=:b68yv42;3:1>v3=2b875>;5==0h86s|24794?5|58;m6>l4=011>6d<5;?=6n:4}r063?6=90q6>?m5389>67b=;016>?k5389>67`=;016>>?5389>664=;016>>=5389>662=;016>>;5389>660=;016>;95389>63>=;016>;75389>63g=;016>;l5389>63e=;016>;j5389>63c=;016>;h5389>626=;016>?85c59>677=>?16>l?5649>666=;016>485649~w705290ow0<92;f6?841<3<>70?;5;45?873>3<=70?;7;45?87303<=70?;9;45?873i3<=70?;b;45?873k3<=70?;d;45?873m3<=70:0;6?u2270904=::?>1o95rs346>5<5s48=>7=6;<052?e33ty9954?:6y>631=l<16=>m5459>5d>=>?16=i?5649>5f0=<=16=9;5649>53`=>>1v?;6:18484103n>70??70?;6;46?871n3<=7p}=5`83>2}::?31h85212g901=:9hk1:;521e1920=:9j318952155920=:9?l1:85rs37a>5<69r79:l4k5:?27c<3<27:mo496:?257<1?27:=<497:?255<1>27:=>497:?2b`<1=27:85495:?13c<1?27:=:495:?2`3<1027:h8496:?2`1<1=27:01:77894772??01j0o963>40870>;6im0=:63>1385<>;6980=:63>fd853>;5?m0=;63>4`851>;5?o0=463>d7851>;51;0=:63=8d853>{t:a3<58>969:4=0cf>30<58;96;;4=0df>3><5;=o6;84=06a>33<5;=m6;84=3;1>33<5;2n6;;4}r06b?6=kr79:h4k5:?206<3<27:mk496:?22`<1>279;>50;cx970a2m?01<:;:56894d72?<01<8j:77897652??01?9k:7:8942c2??01b2?<01?7;:748yv4193:1=1:852294920=::1=1:85228092==::1:1:85229;920=::h81:85228`922=z{;=:6=4<{<37b?e3348j97jn;<0b6?003ty9;?4?:2y>506=k=16>ll5d`9>6d>=><1v?9<:18087293i?707p}=7583>6}:9<81o95228;9`d=::k91:85rs356>5<4s4;>?7m;;<0:a?bf3482n78:;|q133<72:q6=8:5c59>6=>=>>16>575669~w7102909w0?:5;a7?84e13<>7p}=7983>7}:9<<1o9522c5923=z{;=26=4={<363?e33483h789;|q13d<72;q6=865c59>62e=><1v?9m:18184083>:70<8c;a7?xu5?m0;6?u226f9g1=::>o1hl5rs3:`>5<5s48=j7:>;<0;`?e33ty9n;4?:3y>63c=<816>o95c59~w7d?2909w0<9d;62?84e13i?7p}=b`83>7}::?i18<522c`9g1=z{;hh6=4={<05f?26348ih7m;;|q1f`<72;q6>;o5409>6g`=k=1v?m>:18184113>:701o95rs3a6>5<5s48=;7:>;<0`2?e33ty9o:4?:2y>56e=;k16=9h53c9>6f>=k=1v?m6:180[4d1279ol494:?1g<no50;0x97ef2j>01>8?:778yv4dk3:1?v3=cb8`0>;5km08n63=e180f>{t:jn1<7=t=3ag>f2<5;in6>l4=3g2>6d53z?1g`nh50;1x97ea2j>01?j?:2`897c42:h0q~;5m=08n6s|2e394?5|5;n:6n:4=3f1>6d<5;o>6>l4}r0g6?6=;r79h?4l4:?1`6<4j279i;4v3=d58`0>;5m108n6s|2e794?5|5;ih6io4=3fe>22<5;n>6n:4}r0g2?6=;r79oi4ka:?1a5<0<279h;4l4:p6a1=839p1?mj:ec897c62>>01?j8:b68yv4c03:1?v3=cg8ge>;5m;0<863=d98`0>{t:m31<7=t=3f3>ag<5;o86::4=3f:>f253z?1`4il50;1x97b52mk01?k::66897be2j>0q~;5lj0h86s|2ef94?5|5;n?6io4=3g4>22<5;no6n:4}r0ga?6=:r79i5484:?1``hj50;0x96732mk01?kk:b68yv4bm3:1>v3=ed8`0>;5n908n6s|2dd94?4|5;om6n:4=3gf>ag52z?1b5:b6897`42:h0q~{t:o91<7f2<5;l?6>l4}r0e0?6=:r79j94l4:?1b0<4j2wx>k;50;0x97`22j>01?h9:2`8yv4a>3:1>v3=f78`0>;5n>08n6s|2g594?4|5;l<6n:4=243>3152z?1b50q~{t:on1<7ag<5;lo6n:4}r0ea?6=:r79j:4ka:?1b`kh50;0x97`a2j>01>>?:2`8yv5783:1>v3<018`0>;48808n6s|31394?4|5:::6n:4=221>6d7>52z?0474><:b6896632:h0q~=?4;296~;48=0h863<0480f>{t;9?1<7f2<5::=6>l4}r132?6=:r78<;4l4:?042<4j2wx?=950;0x96602j>01>>7:2`8yv5713:1>v3<088`0>;5no0om6s|31c94?4|5::j6n:4=223>ag52z?04g>l:b6896652mk0q~=?d;296~;48m0h863<028ge>{t;9o1<7f2<5::?6io4}r13b?6=:r7850;0x96772j>01>>9:ec8yv5693:1>v3<108`0>;48>0om6s|30094?4|5:;96n:4=22;>ag52z?056?::b6896712:h0q~=>6;296~;49?0h863<1680f>{t;8=1<7f2<5:;36>l4}r122j>01>?n:2`8yv56i3:1>v3<1`8`0>;49k08n6s|30`94?4|5:;i6n:4=23`>6d52z?05f?k:b6896732:h0q~=>e;296~;49l0h863<128ge>{t;8l1<7f2<5:;>6io4}r114?6=:r78>=4l4:?05301>?8:ec8yv55:3:1>v3<238`0>;4910om6s|33194?4|5:886n:4=23:>ag52z?05d94l4:p773=838p1>?m:ec896422j>0q~==6;296~;49j0om63<278`0>{t;;=1<7f2<5:;o6io4}r11;k:b68963b2?>0q~==a;296~;4<00?=63=dg8`0>{t;;h1<717<5;o;6n:4}r11g?6=:r788o4;1:?1a4v3<4e875>;5m:0h86s|33d94?4|5:>n69?4=3g7>f252z?00c<39279i84l4:p767=838p1>;?:53897c12j>0q~=<2;296~;4=80?=63=e68`0>{t;:91<717<5;o36n:4}r101?6=;r78?94l4:?1gf<4j279hk4?n:56897c>2j>0q~=<7;296~;49k0?863=e`8`0>{t;:21<712<5;oi6n:4}r10=?6=>r79j;4ka:?062<1=278=i4;4:?1af4;4:?2=a<1>2wx?>o50;0x965f2j>01>=l:758yv54j3:1>v3<3c8`0>;4;j0=46s|32f94?4|5::36n:4=21`>3052z?051:6:e78966>2?<01?ml:568967b2??01>?<:568941d2?<01<7;:77894>>2=>01>;::74897ca2??01?j::748yv5383:1ov3<4`8g1>;48h0=:63=ce870>;49o0=963<14870>;6?o0=:63>94851>;60k0?863<54853>;4=>0=963<59851>;5n00=86s|35394?d|5:>i6i;4=22a>30<5;in69:4=203>33<5:;=69:4=0:3>30<583=6;;4=0:`>12<5:?>6;64=3d1>33<5;n<6;84}r176?6=jr788n4k5:?04f<1>279ok4;4:?064<1=278=:4;4:?2=2<1=27:4i4;4:?010<1=27:4<496:?1`=<1>279jo494:p715=83hp1>:k:e78966c2?<01?j?:56896452??01>?7:56894??2??01<6j:56894>52?=01?j6:74897`d2??01>;6:748yv53<3:1nv3<4d8g1>;48l0=:63=d0870>;4::0=963<18870>;6100=963>8g870>;5lh0=:63=fb852>;60:0=;63<58851>{t;=?1<7?6{<17b?b2349;j789;<0g6?23348nn78:;<33`?02348nm789;<33g?0134;2o789;<3:f?0134;2m78:;<33a?01348on789;<0eg?00349>;788;<0f`?013499:789;<111?013499878:;<0f=?0334;;n78;;<0fg?0?34;38789;<162?01348mi787;<0e`?003ty88;4?:06x96372m?01>??:74897b42=>01?km:758946c2?=01?kn:778946d2??01<6::75894?d2?201<7m:778946b2?=01?jl:74897`b2?=01>;8:74897cc2?=01><9:7:896422??01?kl:75896312??01?hk:748yv53?3:1jv3<508g1>;4980=:63=d5870>;5mk0=:63>0e852>;61j0=963>0d85<>;5lm0=:63>87853>;5mm0=463<27851>;5mj0=:63=fd852>;5nm0=963<5885<>{t;=21<77t=271>a3<5:;96;84=3g`>33<58:n6;;4=3df>33<5;nn6;84=3gg>33<58236;;4=27:>31?7>5bz?00<<412788l4<9:?00g<412788n4<9:?00a<412788h4<9:?00c<412789=4<9:?014<412789?4<9:?02601>8<:778yv52=3:1?v3<548`0>;5l?0=;63<57853>{t;<31<7=t=3f5>30<5:?26n:4=27b>32n7>52z?01c496:p70e=838p1>8<:75896062j>0q~=94;296~;4>=0h863<6480f>{t;??1<7f2<5:<=6>l4}r152?6=:r78:;4l4:?022<4j2wx?;650;0x96032mk01>86:b68yv51i3:1>v3<6`8`0>;4><0om6s|37`94?4|5:ag52z?02f8k;<15b?e3349=h7j>;|q02c<72;q6?;k5c59>73`=>=1v>9?:180[508278;o4l4:?03501>9;:748yv50:3:1>v3<738`0>;4?<0=:6s|36794?4|5:=>6n:4=257>3352z?03398:b68961f2?<0q~=89;296~;4?00h863<75853>{t;>h1<732<5:=86n:4}r14g?6=;rT8;n5236f9g1=:;>i1h<5rs25g>5<5s49o:7m;;<14`?033ty8;h4?:2y]72c<5:2;6n:4=25f>a752z?03c6>;<1;6?e33493=7j>;|q0<7<72;q6?5<5659>7c7=k=1v>6<:18085?;3i?70=74;1a?85>?39i7p}<8583>6}:;1>1o95239497g=:;021?o5rs2:6>5<4s49397m;;<1;0?bf3492;79;;|q0<3<72:q6?585c59>7=>=;k16?4753c9~w6>02908w0=77;a7?85?>3nj70=68;57?xu4010;6>u239:9g1=:;1k1?o5238c97g=z{:226=4<{<1;=?e3349347jn;<1:=?133ty84l4?:2y>7=g=k=16?5m53c9>76m:18085?j3i?70=7a;fb?85>i3=?7p}<8b83>6}:;1i1o95239g97g=:;0i1?o5rs2:g>5<4s493h7m;;<1;g?bf3492n79;;|q0<`<72:q6?5k5c59>7<6=;k16?4j53c9~w6>a2908w0=7f;a7?85?m3nj70=6c;57?xu4190;6>u23829g1=:;081?o5238g97g=z{:3:6=4<{<1:5?e33492<7jn;<1:`?133ty85?4?:3y>7<4=k=16?4h53c9~w6?42908w0=63;a7?85>:3nj70=6e;57?xu41=0;6>u23919`d=:;0<1;9523879g1=z{:k;6=4={<1b4?e33492j79;;|q0e4<72:q6?l?5c59>7d4=;k16?o;53c9~w6g52908w0=n2;a7?85f<39i70=m6;1a?xu4i:0;6>u23`19g1=:;h81hl523c7931=z{:k?6=4<{<1b0?e3349j:7=m;<1a3?5e3ty8m84?:2y>7d3=k=16?l:5d`9>7g0=?=1v>o9:18085f>3i?70=n8;1a?85e039i7p}6}:;h=1o9523`49`d=:;k=1;95rs2c;>5<4s49j47m;;<1be?5e349i57=m;|q0e<<72:q6?l75c59>7d>=lh16?o65759~w6gf2908w0=na;a7?85fk39i70=ma;1a?xu4ik0;6>u23``9g1=:;hk1hl523c;931=z{:kh6=4<{<1bg?e3349ji7=m;<1af?5e3ty8mi4?:2y>7db=k=16?lm5d`9>7gg=?=1v>oj:18085fm3i?70=m0;1a?85ek39i7p}6}:;hl1o9523`g9`d=:;kh1;95rs2`3>5<5s49i<7m;;<1a`?5e3ty8n<4?:2y>7g7=k=16?o>5d`9>7ge=?=1v>l=:18085f93nj70=m4;57?85e;3i?7p}7}:;ko1o9523cf931=z{:hm6=4={<1f6?263492:7m;;|q0g5<72;q6?kh5409>7g2=k=1v>m>:18185b;3>:70=67;a7?xu4k;0;6?u2412904=:;k?1o95rs2a0>5<5s49n87:>;<1:057=<816?o85c59~w6e22909w0=j5;62?85>13i?7p}7}:<9818<523c59g1=z{:i<6=4={<1f2?263492m7m;;|q0g=<72;q68==5409>7g>=k=1v>m6:18185b?3>:70=6b;a7?xu4kh0;6?u2416904=:;k31o95rs2aa>5<5s49n47:>;<1:g?e33ty8on4?:3y>053=<816?oo5c59~w6ec2909w0=j9;62?85>l3i?7p}7}:<9<18<523c`9g1=z{:im6=4={<1fe?263492i7m;;|q0`5<72;q68=95409>7ge=k=1v>j>:18185bj3>:70=6f;a7?xu4l;0;6?u241:904=:;kn1o95rs2f0>5<4s49o?7m;;<1g0?003497a2=>?16?:=5679~w6b?290hw0=j2;f6?85713<>70?70?j6;45?851j3<<70<=9;46?85013<<70=65;47?827n3<>7p}`}:;l91h85231c920=:;9:1895230d923=:9kn1:8521c1901=:9l=1:;5237c922=:;1?1:852111923=:;>31:552115920=::;31::5241d92==z{:nj6=4k{<1f0?b2349;n78:;<135?233499<789;<3aa?0234;i87:;;<3f7`3=l<16?=m5649>754=<=16???5679>5g`=><16=o;5459>7=?=><16===5699>5`?=>?16==95679>73?=>=16>?75679>05`=>>1v>jl:18f85b>3n>70=?d;46?857;3>?70==2;45?87d83<>70?m6;67?851i3<>70<=a;46?85c>3<=70??1;46?85?j3<>70:>1;46?87bi3<<70??6;46?xu4lm0;6ku23d59`0=:;9o1:852316901=:;;91:;521b3920=:9k=1895237c923=::;k1:5523e4922=:99;1:;5239f920=:<8;1:;521d`922=:99<1:;523df923=z{:nn6=4j{<1f78:;<3a:<78:;<335?003493j78:;<110?01349nh788;|q0`c<72oq6?h75d49>746=><16?=85459>5f5=><16=o75459>73d=><16>?o5679>7a1=><16?i=5649>046=>116?4?5649>554=>?16??;5669>5`b=>>16?hj5699~w6c7290mw0=ja;f6?85693<>70=?7;67?87d<3<>70?ma;67?87bm3<=70=9b;45?845j3<=70=k7;45?85c;3<<70:>0;44?85>;3<>70??2;44?855>3<<70=jd;46?xu4m80;6ku23d`9`0=:;881:85231:901=:;;=1:;521b7920=:9kh189521dd923=:;?h1:55223`92==:;m=1::523e1923=:<8:1:;523`2920=:9981:8523dg9`d=z{:oh6=4m{<1f6?b1349n?7j9;<1f0?b1349n97j9;<1f2?b1349n;7j9;<1f7`b=k=16?hk5679~w6ca2909w0=i0;a7?85a93<>7p}7}:;o>1o9523g39`d=z{:l86=4={<1e7?e3349m878:;|q0b0<72hq6?kh5d49>5d>=><16=4k5459>5a7=>?16?;m5649>76g=>116?:65649>7g5=>=16>?65649>05d=><1v>h9:18`82783n>70?n9;46?87>n3>?70?k2;45?851k3<=70=70??a;46?85003<=70=i0;46?84503<<70:?b;44?xu4n>0;6iu24139`0=:9hk1:8521`2901=:9m91:;5237a92==:;:k1:852364923=:;h?1:85241c923=:99k1::5236:922=:;o91::5223592==z{:l36=4l{<636?b234;jn78:;<3b5?23349<:788;<1b3?0234;;m789;<143?0034;o8789;<1e4?013489;78:;<16`?0034>;n789;|q0b<<72mq68==5d49>5de=><16=l<5459>70`=>?168=k5669>76d=>>16?:85699>7d?=><16==75649>72>=>116=i;5669>7c5=><16>?95679~w6`f290ow0:?4;f6?87fl3<>70?n3;67?845?3<<70=k5;45?827m3<=70=86;46?85fj3<>70??9;45?850?3<370?k6;44?85a83<<70=:d;4;?xu4nk0;6iu24179`0=:9ho1:8521`6901=:9m=1:;5223`922=:;m?1:85211:920=:;>?1:8523`f920=:<9k1:552365920=:;o91:55234f920=z{:lh6=4j{<632?b234;jj78:;<3b1?23349o9787;<16b?0234;;4789;<10f?01349<>78:;<1bb?0234>;m78:;<14e?0234;o4788;<1e7?0134894787;|q0ba<72lq68=95d49>5g6=><16=l85459>7a3=>>16?8h5669>05c=><16==65669>76d=><16?:<5679>7g7=><16?:o5669>5a?=>>16?k>5699>67?=>11v>hj:18f82703n>70?m1;46?87f?3>?70?ka;45?845i3<<70=k4;46?87703<370=85;4;?85em3<>70=89;46?850;3<<70=i4;fb?826:3<>70=:d;45?xu3800;6ou23gd9`3=:<9:1h;524139`3=:<981h;524119`3=:<9>1h;524179`3=:<9<1h;524159`3=:<921h;524009g1=z{=:j6=4={<63e?e334>:>788;|q74`<72;q68=k5c59>044=>?1v9>i:181827n3i?70:>1;44?xu39:0;6nu23gd97<=:<9:1?45241397<=:<981?45241197<=:<9>1?45241797<=:<9<1?45241597<=:<921?4524039g1=:<881:55rs241>5<5s49=<7m;;<155?033ty9554?:3y>6<0=k=16>495659~w7gf2909w07}::k91o9522c6921=z{;2i6=4={<0;=?e33483m78;;|q74a<72;q68=l5c59>05e=>=1v?o;:18184f:3i?705<5s482n7m;;<0:g?033twenn:50;3xL`551zJf7>{ijj<1<7?tHd18ykdd?3:1=vFj3:mff>=83;pDh=4}o``=?6=9rBn?6sabbc94?7|@l90qcllb;295~Nb;2wennm50;3xL`551zJf7>{ijjo1<7?tHd18ykddn3:1=vFj3:mfa6=83;pDh=4}o`g5?6=9rBn?6sabe094?7|@l90qclk3;295~Nb;2weni:50;3xL`551zJf7>{ijm<1<7?tHd18ykdc?3:1=vFj3:mfa>=83;pDh=4}o`g=?6=9rBn?6sabec94?7|@l90qclkb;295~Nb;2wenim50;3xL`551zJf7>{ijmo1<7?tHd18ykdcn3:1=vFj3:mf`6=83;pDh=4}o`f5?6=9rBn?6sabd094?7|@l90qclj3;295~Nb;2wenh:50;3xL`551zJf7>{ijl<1<7?tHd18ykdb?3:1=vFj3:mf`>=83;pDh=4}o`f=?6=9rBn?6sabdc94?7|@l90qcljb;295~Nb;2wenhm50;3xL`551zJf7>{ijlo1<7?tHd18ykdbn3:1=vFj3:mfc6=83;pDh=4}o`e5?6=9rBn?6sabg094?7|@l90qcli3;295~Nb;2wenk:50;3xL`551zJf7>{ijo<1<7?tHd18ykda?3:1=vFj3:mfc>=83;pDh=4}o`e=?6=9rBn?6sabgc94?7|@l90qclib;295~Nb;2wenkm50;3xL`551zJf7>{ijoo1<7?tHd18ykdan3:1=vFj3:mg56=83;pDh=4}oa35?6=:rBn?6Gk1;3x5?{]>80:w:95}|l`47<72;qCi>5rnb20>5<6sAo87p`l0583>4}Om:1vbn>::182Mc43@n:6:|X55?7|?>0vqcm?6;295~Nb;2weo=950;3xL`551zJf7>{ik931<7?tHd18yke7i3:1=vFj3:mg5d=83;pDh=4}oa3g?6=9rBn?6sac1f94?7|@l90qcm?e;295~Nb;2weo=h50;3xL`551zJf7>{ik8;1<7?tHd18yke6:3:1=vFj3:mg45=83;pDh=4}oa20?6=9rBn?6sac0794?7|@l90qcm>6;295~Nb;2weo<950;3xL`551zJf7>{ik831<7?tHd18yke6i3:1=vFj3:mg4d=83;pDh=4}oa2g?6=9rBn?6sac0f94?7|@l90qcm>e;295~Nb;2weo51zJf7>{ik;;1<7?tHd18yke5:3:1=vFj3:mg75=83;pDh=4}oa10?6=9rBn?6sac3794?7|@l90qcm=6;295~Nb;2weo?950;3xL`551zJf7>{ik;31<7?tHd18yke5i3:1=vFj3:mg7d=83;pDh=4}oa1g?6=9rBn?6sac3f94?7|@l90qcm=e;295~Nb;2weo?h50;3xL`551zJf7>{ik:;1<7?tHd18yke4:3:1=vFj3:mg65=83;pDh=4}oa00?6=9rBn?6sac2794?7|@l90qcm<6;295~Nb;2weo>950;3xL`551zJf7>{ik:31<7?tHd18yke4i3:1=vFj3:mg6d=83;pDh=4}oa0g?6=9rBn?6sac2f94?7|@l90qcmh50;3xL`551zJf7>{ik=;1<7?tHd18yke3:3:1=vFj3:mg15=83;pDh=4}oa70?6=9rBn?6sac5794?7|@l90qcm;6;295~Nb;2weo9950;3xL`551zJf7>{ik=31<7?tHd18yke3i3:1=vFj3:mg1d=83;pDh=4}oa7g?6=9rBn?6sac5f94?7|@l90qcm;e;295~Nb;2weo9h50;3xL`5<7>51zJf7>{ik<;1<7?tHd18yke2:3:1=vFj3:mg05=83;pDh=4}oa60?6=9rBn?6sac4794?7|@l90qcm:6;295~Nb;2weo8950;3xL`547>51zJf7>{ik<31<7?tHd18yke2i3:1=vFj3:mg0d=83;pDh=4}oa6g?6=9rBn?6sac4f94?7|@l90qcm:e;295~Nb;2weo8h50;3xL`551zJf7>{ik?;1<7?tHd18yke1:3:1=vFj3:mg35=83;pDh=4}oa50?6=9rBn?6sac7794?7|@l90qcm96;295~Nb;2weo;950;3xL`551zJf7>{ik?31<7?tHd18yke1i3:1=vFj3:mg3d=83;pDh=4}oa5g?6=9rBn?6sac7f94?7|@l90qcm9e;295~Nb;2weo;h50;3xL`551zJf7>{ik>;1<7?tHd18yke0:3:1=vFj3:mg25=83;pDh=4}oa40?6=9rBn?6sac6794?7|@l90qcm86;295~Nb;2weo:950;3xL`551zJf7>{ik>31<7?tHd18yke0i3:1=vFj3:mg2d=83;pDh=4}oa4g?6=9rBn?6sac6f94?7|@l90qcm8e;295~Nb;2weo:h50;3xL`551zJf7>{ik1;1<7?tHd18yke?:3:1=vFj3:mg=5=83;pDh=4}oa;0?6=9rBn?6sac9794?7|@l90qcm76;295~Nb;2weo5950;3xL`551zJf7>{ik131<7?tHd18yke?i3:1=vFj3:mg=d=83;pDh=4}oa;g?6=9rBn?6sac9f94?7|@l90qcm7e;295~Nb;2weo5h50;3xL`551zJf7>{ik0;1<7?tHd18yke>:3:1=vFj3:mg<5=83;pDh=4}oa:0?6=9rBn?6sac8794?7|@l90qcm66;295~Nb;2weo4950;3xL`551zJf7>{ik031<7?tHd18yke>i3:1=vFj3:mg51zJf7>{ikh;1<7?tHd18ykef:3:1=vFj3:mgd5=83;pDh=4}oab0?6=9rBn?6sac`794?7|@l90qcmn6;295~Nb;2weol950;3xL`551zJf7>{ikh31<7?tHd18ykefi3:1=vFj3:mgdd=83;pDh=4}oabg?6=9rBn?6sac`f94?7|@l90qcmne;295~Nb;2weolh50;3xL`551zJf7>{ikk;1<7?tHd18ykee:3:1=vFj3:mgg5=83;pDh=4}oaa0?6=9rBn?6sacc794?7|@l90qcmm6;295~Nb;2weoo950;3xL`551zJf7>{ikk31<7?tHd18ykeei3:1=vFj3:mggd=83;pDh=4}oaag?6=9rBn?6saccf94?7|@l90qcmme;295~Nb;2weooh50;3xL`551zJf7>{ikj;1<7?tHd18yked:3:1=vFj3:mgf5=83;pDh=4}oa`0?6=9rBn?6sacb794?7|@l90qcml6;295~Nb;2weon950;3xL`551zJf7>{ikj31<7?tHd18ykedi3:1=vFj3:mgfd=83;pDh=4}oa`g?6=9rBn?6sacbf94?7|@l90qcmle;295~Nb;2weonh50;3xL`551zJf7>{ikm;1<7?tHd18ykec:3:1=vFj3:mga5=83;pDh=4}oag0?6=9rBn?6sace794?7|@l90qcmk6;295~Nb;2weoi950;3xL`551zJf7>{ikm31<7?tHd18ykeci3:1=vFj3:mgad=83;pDh=4}oagg?6=9rBn?6sacef94?7|@l90qcmke;295~Nb;2weoih50;3xL`551zJf7>{ikl;1<7?tHd18ykeb:3:1=vFj3:mg`5=83;pDh=4}oaf0?6=9rBn?6sacd794?7|@l90qcmj6;295~Nb;2weoh950;3xL`551zJf7>{ikl31<7?tHd18ykebi3:1=vFj3:mg`d=83;pDh=4}oafg?6=9rBn?6sacdf94?7|@l90qcmje;295~Nb;2weohh50;3xL`551zJf7>{iko;1<7?tHd18ykea:3:1=vFj3:mgc5=83;pDh=4}oae0?6=9rBn?6sacg794?7|@l90qcmi6;295~Nb;2weok950;3xL`551zJf7>{iko31<7?tHd18ykeai3:1=vFj3:mgcd=83;pDh=4}oaeg?6=9rBn?6sacgf94?7|@l90qcmie;295~Nb;2weokh50;3xL`551zJf7>{il9;1<7?tHd18ykb7:3:1=vFj3:m`55=83;pDh=4}of30?6=9rBn?6sad1794?7|@l90qcj?6;295~Nb;2weh=950;3xL`551zJf7>{il931<7?tHd18ykb7i3:1=vFj3:m`5d=83;pDh=4}of3g?6=9rBn?6sad1f94?7|@l90qcj?e;295~Nb;2weh=h50;3xL`551zJf7>{il8;1<7?tHd18ykb6:3:1=vFj3:m`45=83;pDh=4}of20?6=9rBn?6sad0794?7|@l90qcj>6;295~Nb;2weh<950;3xL`551zJf7>{il831<7?tHd18ykb6i3:1=vFj3:m`4d=83;pDh=4}of2g?6=9rBn?6sad0f94?7|@l90qcj>e;295~Nb;2weh51zJf7>{il;;1<7?tHd18ykb5:3:1=vFj3:m`75=83;pDh=4}of10?6=9rBn?6sad3794?7|@l90qcj=6;295~Nb;2weh?950;3xL`551zJf7>{il;31<7?tHd18ykb5i3:1=vFj3:m`7d=83;pDh=4}of1g?6=9rBn?6sad3f94?7|@l90Ei?51z39y_0628q<;7srne0f>5<6sAo87p`k2g83>4}Om:1vbi=?:182Mc43tdo?<4?:0yKa6=zfm996=4>{Ig0?xhc;:0;65rne15>5<6sAo87p`k3683>4}Om:1vbi=7:182Mc43tdo?44?:0yKa6=zfm9j6=4>{Ig0?xhc;k0;65rne1f>5<6sAo87p`k3g83>4}Om:1vbi:?:182Mc43tdo8<4?:0yKa6=zfm>96=4>{Ig0?xhc<:0;65rne65>5<6sAo87p`k4683>4}Om:1vbi:7:182Mc43tdo844?:0yKa6=zfm>j6=4>{Ig0?xhc5rne6f>5<6sAo87p`k4g83>4}Om:1vbi;?:182Mc43tdo9<4?:0yKa6=zfm?96=4>{Ig0?xhc=:0;65rne75>5<6sAo87p`k5683>4}Om:1vbi;7:182Mc43tdo944?:0yKa6=zfm?j6=4>{Ig0?xhc=k0;65rne7f>5<6sAo87p`k5g83>4}Om:1vbi8?:182Mc43tdo:<4?:0yKa6=zfm<96=4>{Ig0?xhc>:0;65rne45>5<6sAo87p`k6683>4}Om:1vbi87:182Mc43tdo:44?:0yKa6=zfm{Ig0?xhc>k0;65rne4f>5<6sAo87p`k6g83>4}Om:1vbi9?:182Mc43tdo;<4?:0yKa6=zfm=96=4>{Ig0?xhc?:0;65rne55>5<6sAo87p`k7683>4}Om:1vbi97:182Mc43tdo;44?:0yKa6=zfm=j6=4>{Ig0?xhc?k0;65rne5f>5<6sAo87p`k7g83>4}Om:1vbi6?:182Mc43tdo4<4?:0yKa6=zfm296=4>{Ig0?xhc0:0;63290:wEk<;|lg<0<728qCi>5rne:5>5<6sAo87p`k8683>4}Om:1vbi67:182Mc43tdo444?:0yKa6=zfm2j6=4>{Ig0?xhc0k0;6d290:wEk<;|lg5rne:f>5<6sAo87p`k8g83>4}Om:1vbi7?:182Mc43tdo5<4?:0yKa6=zfm396=4>{Ig0?xhc1:0;65rne;5>5<6sAo87p`k9683>4}Om:1vbi77:182Mc43tdo544?:0yKa6=zfm3j6=4>{Ig0?xhc1k0;65rne;f>5<6sAo87p`k9g83>4}Om:1vbio?:182Mc43tdom<4?:0yKa6=zfmk96=4>{Ig0?xhci:0;65Fd082780:w:95}|lge=<728qCi>5rnec:>5<6sAo87p`ka`83>4}Om:1vbiom:182Mc43tdomn4?:0yKa6=zfmko6=4>{Ig0?xhcil0;64}52tP==7?t768~ykbe83:1=vFj3:m`g7=83;pDh=4Ie395~4=uS<:65Fd082751zJf7>{ilkk1<7?tHd18ykbej3:1=vFj3:m`ge=83;pDh=4}ofa`?6=9rBn?6sadcg94?7|@l90qcjmf;295~Nb;2wehn>50;3xL`551zJf7>{ilj81<7?tHd18ykbd;3:1=vFj3:m`f2=83;pDh=4}of`1?6=9rBn?6sadb494?7|@l90qcjl7;295~Nb;2wehn650;3xL`551zJf7>{iljk1<7?tHd18ykbdj3:1=vFj3:m`fe=83;pDh=4}of``?6=9rBn?6sadbg94?7|@l90qcjlf;295~Nb;2wehi>50;3xL`551zJf7>{ilm81<7?tHd18ykbc;3:1=vFj3:m`a2=83;pDh=4}ofg1?6=9rBn?6sade494?7|@l90qcjk7;295~Nb;2wehi650;3xL`551zJf7>{ilmk1<7?tHd18ykbcj3:1=vFj3:m`ae=83;pDh=4}ofg`?6=9rBn?6sadeg94?7|@l90qcjkf;295~Nb;2wehh>50;3xL`551zJf7>{ill81<7?tHd18ykbb;3:1=vFj3:m``2=83;pDh=4}off1?6=9rBn?6sadd494?7|@l90qcjj7;295~Nb;2wehh650;3xL`551zJf7>{illk1<7?tHd18ykbbj3:1=vFj3:m``e=83;pDh=4}off`?6=9rBn?6saddg94?7|@l90qcjjf;295~Nb;2wehk>50;3xL`551zJf7>{ilo81<7?tHd18ykba;3:1=vFj3:m`c2=83;pDh=4}ofe1?6=9rBn?6sadg494?7|@l90qcji7;295~Nb;2wehk650;3xL`551zJf7>{ilok1<7?tHd18ykbaj3:1=vFj3:m`ce=83;pDh=4}ofe`?6=9rBn?6sadgg94?7|@l90qcjif;295~Nb;2wei=>50;3xL`551zJf7>{im981<7?tHd18ykc7;3:1=vFj3:ma52=83;pDh=4}og31?6=9rBn?6sae1494?7|@l90qck?7;295~Nb;2wei=650;3xL`551zJf7>{im9k1<7?tHd18ykc7j3:1=vFj3:ma5e=83;pDh=4}og3`?6=9rBn?6sae1g94?7|@l90qcoke;295~{im9l1<7?t}og24?6=9rwei51zma43=83;pqck>6;295~{im8=1<7?t}|~DEE|99o9724;
github/VHDL-Pong-master-2/xst/work/sub00/vhpl01.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl02.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl02.vho =================================================================== --- github/VHDL-Pong-master-2/xst/work/sub00/vhpl02.vho (revision 428) +++ github/VHDL-Pong-master-2/xst/work/sub00/vhpl02.vho (nonexistent)
github/VHDL-Pong-master-2/xst/work/sub00/vhpl02.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl03.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl03.vho =================================================================== --- github/VHDL-Pong-master-2/xst/work/sub00/vhpl03.vho (revision 428) +++ github/VHDL-Pong-master-2/xst/work/sub00/vhpl03.vho (nonexistent)
github/VHDL-Pong-master-2/xst/work/sub00/vhpl03.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl04.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl04.vho =================================================================== --- github/VHDL-Pong-master-2/xst/work/sub00/vhpl04.vho (revision 428) +++ github/VHDL-Pong-master-2/xst/work/sub00/vhpl04.vho (nonexistent)
github/VHDL-Pong-master-2/xst/work/sub00/vhpl04.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl05.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl05.vho =================================================================== --- github/VHDL-Pong-master-2/xst/work/sub00/vhpl05.vho (revision 428) +++ github/VHDL-Pong-master-2/xst/work/sub00/vhpl05.vho (nonexistent)
github/VHDL-Pong-master-2/xst/work/sub00/vhpl05.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl06.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl06.vho =================================================================== --- github/VHDL-Pong-master-2/xst/work/sub00/vhpl06.vho (revision 428) +++ github/VHDL-Pong-master-2/xst/work/sub00/vhpl06.vho (nonexistent)
github/VHDL-Pong-master-2/xst/work/sub00/vhpl06.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl07.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl07.vho =================================================================== --- github/VHDL-Pong-master-2/xst/work/sub00/vhpl07.vho (revision 428) +++ github/VHDL-Pong-master-2/xst/work/sub00/vhpl07.vho (nonexistent)
github/VHDL-Pong-master-2/xst/work/sub00/vhpl07.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl08.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl08.vho =================================================================== --- github/VHDL-Pong-master-2/xst/work/sub00/vhpl08.vho (revision 428) +++ github/VHDL-Pong-master-2/xst/work/sub00/vhpl08.vho (nonexistent)
github/VHDL-Pong-master-2/xst/work/sub00/vhpl08.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/xst/work/hdllib.ref =================================================================== --- github/VHDL-Pong-master-2/xst/work/hdllib.ref (revision 428) +++ github/VHDL-Pong-master-2/xst/work/hdllib.ref (nonexistent) @@ -1,9 +0,0 @@ -AR vga behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/VGA.vhd sub00/vhpl02 1399580037 -EN pong NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.vhd sub00/vhpl07 1399580042 -EN ball NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Ball.vhd sub00/vhpl05 1399580040 -EN vga NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/VGA.vhd sub00/vhpl01 1399580036 -EN paddle NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/paddle.vhd sub00/vhpl03 1399580038 -AR ball behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Ball.vhd sub00/vhpl06 1399580041 -AR paddle behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/paddle.vhd sub00/vhpl04 1399580039 -PH pongconstants NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/pong_types.vhd sub00/vhpl00 1399580035 -AR pong behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.vhd sub00/vhpl08 1399580043 Index: github/VHDL-Pong-master-2/xst/work/hdpdeps.ref =================================================================== --- github/VHDL-Pong-master-2/xst/work/hdpdeps.ref (revision 428) +++ github/VHDL-Pong-master-2/xst/work/hdpdeps.ref (nonexistent) @@ -1,33 +0,0 @@ -V3 17 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Ball.vhd 2011/01/27.22:38:38 M.70d -EN work/Ball 1399580040 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Ball.vhd \ - PB ieee/std_logic_1164 1284609568 PH work/pongConstants 1399580035 -AR work/Ball/Behavioral 1399580041 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Ball.vhd \ - EN work/Ball 1399580040 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/paddle.vhd 2011/01/27.22:38:38 M.70d -EN work/Paddle 1399580038 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/paddle.vhd \ - PB ieee/std_logic_1164 1284609568 PH work/pongConstants 1399580035 -AR work/Paddle/Behavioral 1399580039 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/paddle.vhd \ - EN work/Paddle 1399580038 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.vhd 2011/01/27.22:38:38 M.70d -EN work/Pong 1399580042 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.vhd \ - PB ieee/std_logic_1164 1284609568 PH work/pongConstants 1399580035 -AR work/Pong/Behavioral 1399580043 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.vhd \ - EN work/Pong 1399580042 CP VGA CP Paddle CP Ball -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/pong_types.vhd 2011/01/27.22:38:38 M.70d -PH work/pongConstants 1399580035 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/pong_types.vhd \ - PB ieee/std_logic_1164 1284609568 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/VGA.vhd 2011/01/27.22:38:38 M.70d -EN work/VGA 1399580036 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/VGA.vhd \ - PB ieee/std_logic_1164 1284609568 PH work/pongConstants 1399580035 -AR work/VGA/Behavioral 1399580037 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/VGA.vhd \ - EN work/VGA 1399580036 Index: github/VHDL-Pong-master-2/Pong.ucf =================================================================== --- github/VHDL-Pong-master-2/Pong.ucf (revision 428) +++ github/VHDL-Pong-master-2/Pong.ucf (nonexistent) @@ -1,17 +0,0 @@ - - -NET "clk50" LOC = P43; -NET "leftBtn" LOC = P50; -NET "rightBtn" LOC = P39; -NET "vgaB" LOC = P20; -NET "vgaG" LOC = P16; -NET "vgaHS" LOC = P15; -NET "vgaR" LOC = P13; -NET "vgaVS" LOC = P12; - -#NET "clk50" IOSTANDARD = LVTTL; -#NET "vgaB" IOSTANDARD = LVTTL; -#NET "vgaG" IOSTANDARD = LVTTL; -#NET "vgaHS" IOSTANDARD = LVTTL; -#NET "vgaR" IOSTANDARD = LVTTL; -#NET "vgaVS" IOSTANDARD = LVTTL;
github/VHDL-Pong-master-2/Pong.ucf Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: github/VHDL-Pong-master-2/Pong.bit =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/Pong.bit =================================================================== --- github/VHDL-Pong-master-2/Pong.bit (revision 428) +++ github/VHDL-Pong-master-2/Pong.bit (nonexistent)
github/VHDL-Pong-master-2/Pong.bit Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/_ngo/netlist.lst =================================================================== --- github/VHDL-Pong-master-2/_ngo/netlist.lst (revision 428) +++ github/VHDL-Pong-master-2/_ngo/netlist.lst (nonexistent) @@ -1,2 +0,0 @@ -/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.ngc 1399580040 -OK Index: github/VHDL-Pong-master-2/Pong_summary.html =================================================================== --- github/VHDL-Pong-master-2/Pong_summary.html (revision 428) +++ github/VHDL-Pong-master-2/Pong_summary.html (nonexistent) @@ -1,177 +0,0 @@ -Xilinx Design Summary - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Pong Project Status (05/08/2014 - 17:21:14)
Project File:Pong.xiseParser Errors: No Errors
Module Name:PongImplementation State:Programming File Generated
Target Device:xc3s200a-4vq100
  • Errors:
-No Errors
Product Version:ISE 12.3
  • Warnings:
No Warnings
Design Goal:Balanced
  • Routing Results:
-All Signals Completely Routed
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
-All Constraints Met
Environment: - -System Settings -
  • Final Timing Score:
0  (Timing Report)
- - - - 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Device Utilization Summary [-]
Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Flip Flops543,5841% 
Number of 4 input LUTs2753,5847% 
Number of occupied Slices1541,7928% 
    Number of Slices containing only related logic154154100% 
    Number of Slices containing unrelated logic01540% 
Total Number of 4 input LUTs2983,5848% 
    Number used as logic275   
    Number used as a route-thru23   
Number of bonded IOBs86811% 
Number of BUFGMUXs2248% 
Average Fanout of Non-Clock Nets3.77   
- - - - 
- - - - - - - - - - - - - - - - - -
Performance Summary [-]
Final Timing Score:0 (Setup: 0, Hold: 0)Pinout Data:Pinout Report
Routing Results: -All Signals Completely RoutedClock Data:Clock Report
Timing Constraints: -All Constraints Met  
- - - - 
- - - - - - - - - - -
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis ReportCurrentjue may 8 17:14:00 2014001 Info (1 new)
Translation ReportCurrentjue may 8 17:20:44 2014000
Map ReportCurrentjue may 8 17:20:48 2014002 Infos (0 new)
Place and Route ReportCurrentjue may 8 17:21:04 2014004 Infos (0 new)
Power Report     
Post-PAR Static Timing ReportCurrentjue may 8 17:21:07 2014005 Infos (0 new)
Bitgen ReportCurrentjue may 8 17:21:10 2014000

- - - - -
Secondary Reports [-]
Report NameStatusGenerated
WebTalk ReportCurrentjue may 8 17:21:11 2014
WebTalk Log FileCurrentjue may 8 17:21:14 2014
- - -
Date Generated: 05/08/2014 - 17:21:14
- \ No newline at end of file Index: github/VHDL-Pong-master-2/Pong.par =================================================================== --- github/VHDL-Pong-master-2/Pong.par (revision 428) +++ github/VHDL-Pong-master-2/Pong.par (nonexistent) @@ -1,207 +0,0 @@ -Release 12.3 par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -cudar75:: Thu May 08 17:20:50 2014 - -par -w -intstyle ise -ol high -t 1 Pong_map.ncd Pong.ncd Pong.pcf - - -Constraints file: Pong.pcf. -Loading device for application Rf_Device from file '3s200a.nph' in environment /opt/Xilinx/12.3/ISE_DS/ISE/. - "Pong" is an NCD, version 3.2, device xc3s200a, package vq100, speed -4 - -Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) -Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) - -INFO:Par:282 - No user timing constraints were detected or you have set the option to ignore timing constraints ("par - -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all - internal clocks in this design. Because there are not defined timing requirements, a timing score will not be - reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. - Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". - -Device speed data version: "PRODUCTION 1.41 2010-09-15". - - -Design Summary Report: - - Number of External IOBs 8 out of 68 11% - - Number of External Input IOBs 3 - - Number of External Input IBUFs 3 - Number of LOCed External Input IBUFs 3 out of 3 100% - - - Number of External Output IOBs 5 - - Number of External Output IOBs 5 - Number of LOCed External Output IOBs 5 out of 5 100% - - - Number of External Bidir IOBs 0 - - - Number of BUFGMUXs 2 out of 24 8% - Number of Slices 154 out of 1792 8% - Number of SLICEMs 0 out of 896 0% - - - -Overall effort level (-ol): High -Placer effort level (-pl): High -Placer cost table entry (-t): 1 -Router effort level (-rl): High - -Starting initial Timing Analysis. REAL time: 1 secs -Finished initial Timing Analysis. REAL time: 1 secs - - -Starting Placer -Total REAL time at the beginning of Placer: 1 secs -Total CPU time at the beginning of Placer: 1 secs - -Phase 1.1 Initial Placement Analysis -Phase 1.1 Initial Placement Analysis (Checksum:45651574) REAL time: 2 secs - -Phase 2.7 Design Feasibility Check -Phase 2.7 Design Feasibility Check (Checksum:45651574) REAL time: 2 secs - -Phase 3.31 Local Placement Optimization -Phase 3.31 Local Placement Optimization (Checksum:45651574) REAL time: 2 secs - -Phase 4.2 Initial Clock and IO Placement - -Phase 4.2 Initial Clock and IO Placement (Checksum:66166c2a) REAL time: 7 secs - -Phase 5.30 Global Clock Region Assignment -Phase 5.30 Global Clock Region Assignment (Checksum:66166c2a) REAL time: 7 secs - -Phase 6.36 Local Placement Optimization -Phase 6.36 Local Placement Optimization (Checksum:66166c2a) REAL time: 7 secs - -Phase 7.8 Global Placement -.................... -... -Phase 7.8 Global Placement (Checksum:430b1aba) REAL time: 8 secs - -Phase 8.5 Local Placement Optimization -Phase 8.5 Local Placement Optimization (Checksum:430b1aba) REAL time: 8 secs - -Phase 9.18 Placement Optimization -Phase 9.18 Placement Optimization (Checksum:b7f83f80) REAL time: 8 secs - -Phase 10.5 Local Placement Optimization -Phase 10.5 Local Placement Optimization (Checksum:b7f83f80) REAL time: 8 secs - -Total REAL time to Placer completion: 8 secs -Total CPU time to Placer completion: 8 secs -Writing design to file Pong.ncd - - - -Starting Router - - -Phase 1 : 1020 unrouted; REAL time: 10 secs - -Phase 2 : 970 unrouted; REAL time: 10 secs - -Phase 3 : 174 unrouted; REAL time: 10 secs - -Phase 4 : 190 unrouted; (Par is working to improve performance) REAL time: 10 secs - -Phase 5 : 0 unrouted; (Par is working to improve performance) REAL time: 10 secs - -Updating file: Pong.ncd with current fully routed design. - -Phase 6 : 0 unrouted; (Par is working to improve performance) REAL time: 11 secs - -Phase 7 : 0 unrouted; (Par is working to improve performance) REAL time: 13 secs - -Updating file: Pong.ncd with current fully routed design. - -Phase 8 : 0 unrouted; (Par is working to improve performance) REAL time: 13 secs - -Phase 9 : 0 unrouted; (Par is working to improve performance) REAL time: 13 secs - -Phase 10 : 0 unrouted; (Par is working to improve performance) REAL time: 13 secs - -Phase 11 : 0 unrouted; (Par is working to improve performance) REAL time: 13 secs - -Phase 12 : 0 unrouted; (Par is working to improve performance) REAL time: 14 secs - -Total REAL time to Router completion: 14 secs -Total CPU time to Router completion: 13 secs - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Generating "PAR" statistics. - -************************** -Generating Clock Report -************************** - -+---------------------+--------------+------+------+------------+-------------+ -| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| -+---------------------+--------------+------+------+------------+-------------+ -| clk25 | BUFGMUX_X1Y10| No | 33 | 0.064 | 1.037 | -+---------------------+--------------+------+------+------------+-------------+ -| clk50_BUFGP | BUFGMUX_X2Y1| No | 1 | 0.000 | 0.977 | -+---------------------+--------------+------+------+------------+-------------+ - -* Net Skew is the difference between the minimum and maximum routing -only delays for the net. Note this is different from Clock Skew which -is reported in TRCE timing report. Clock Skew is the difference between -the minimum and maximum path delays which includes logic delays. - -Timing Score: 0 (Setup: 0, Hold: 0) - -Asterisk (*) preceding a constraint indicates it was not met. - This may be due to a setup or hold violation. - ----------------------------------------------------------------------------------------------------------- - Constraint | Check | Worst Case | Best Case | Timing | Timing - | | Slack | Achievable | Errors | Score ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net clk | SETUP | N/A| 7.350ns| N/A| 0 - 25 | HOLD | 1.597ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net clk | SETUP | N/A| 2.157ns| N/A| 0 - 50_BUFGP | HOLD | 1.322ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - - -All constraints were met. -INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the - constraint is not analyzed due to the following: No paths covered by this - constraint; Other constraints intersect with this constraint; or This - constraint was disabled by a Path Tracing Control. Please run the Timespec - Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - - -Generating Pad Report. - -All signals are completely routed. - -Total REAL time to PAR completion: 14 secs -Total CPU time to PAR completion: 13 secs - -Peak Memory Usage: 147 MB - -Placement: Completed - No errors found. -Routing: Completed - No errors found. - -Number of error messages: 0 -Number of warning messages: 0 -Number of info messages: 1 - -Writing design to file Pong.ncd - - - -PAR done! Index: github/VHDL-Pong-master-2/webtalk_pn.xml =================================================================== --- github/VHDL-Pong-master-2/webtalk_pn.xml (revision 428) +++ github/VHDL-Pong-master-2/webtalk_pn.xml (nonexistent) @@ -1,43 +0,0 @@ - - - - -
- - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
Index: github/VHDL-Pong-master-2/Pong.ngr =================================================================== --- github/VHDL-Pong-master-2/Pong.ngr (revision 428) +++ github/VHDL-Pong-master-2/Pong.ngr (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$3;604<'aefi"bhu`lvgj)hxldhck|/qjq+qtrfb%hcigho.ffiju(o`~cyo!YHEN.Tjh`%dkxxh|"2.@bhi(q`m?97"f`md-ocpgi}je$c}kacnpfw*voz&~yyce cnfjcj)cmdex#jg{ht`,RMBK%Yeek cnsugq)7)Wggm%zej:1:-kkhc(dnjbxm`/nrfjfiumz%{d!{rtlh+ficane$hhc`s.ejpmse'_BO@ ^`nf/nevrbz$8$ZJO!vif60>)ogdo$`j{nntal+jvbfjeyi~!hs-wvphl'jeoeja ddolw*an|ai#[FKL,Rljb+jiz~n~ < p`fgh`(q`m;0<<5>4:33451<99:;<=>n;023456699;j7<>?1033557338::002345678h1:<<>?11234d=688;:==>?000855113924?OIG\^1zn`{<883:45<990BB][[:@a`qh:>294:?6??:HLSQQ01855:>586:2;;6D@_UU8kgkr;13:5=:5>0;KMTPR=xhno`h^`s=;94;7538:1EC^ZT;t`jq:>294:<6??:NWWTPR=Y531<3?:;029KPRW]]0Yi~{ct=;94;7d38:1mcj?012\4Zgclzi<=>><883:4e<990jbi>?00]3[dbc{|h;<=>39;2=g>772aiex1750?3a?46=azHj`aQ?_`fgwpd789:757>11c924?otJhfgS=Qndeqvf5679531<3?>;029tdbcdlZd1750?a8551d:33>}Dfde626=0>8:33>}DfdeUjhi}zb12349?=87;>7<>5xCcohZkrp9:;?1>59?f855<~Jhfg044?>0:855<~JhfgSljkst`3456;13:5=85>0;{AeijXe|r;<=:30;;==>7689;;<=>n;033446789:271023456>38;:==??11;8547688;;<<:4108JJUSS2MkmL2>0;2=51=693CE\XZ5D`vbF977294::6?5OTVSQQ5t:9:jz|??0,60?DAE<2KLIO=4AM@7?DJBJ=1JBI<9;@LG6G713HDO>O<9;@LG7G713HDO?O<;;@LG03=FFM>I>85Ncbwn<>Gdk|g7<364Abavi97902Khoxc32?:8Efere59546Olcto?0;>720Mnmzm=5=<>Gdk|g743o4Abavi9?=8720Mnmzm=;=2>GclZi46LZS^KMBJ799GeqgF4>437Io{a@>;:d=Ci}kJ044?>99GeqgF404>7Io{aC:8@drfJ5:5n6Jnt`@?55<7601OmyoM<02=<>Bf|hH7=364D`vbF94902NjxlL33?:8@drfJ5>546Jnt`@?1;>Bf|hH757>18:FbpdD;1780JI=4FEG2?B5<4I508M04OI^l1@IH^PHHGQEWEOQ>1GCJGLAM58I+17lVF:m6Cnde]bja6789U;Sljkst`34576911FmijPaof3456X8Vcehhv?0122e>KflmUjbi>?00]3[dbc{|h;<=>>199NeabXign;<=?P0^km``~789::56Cnde]jwGgkdV:Tmij|uc23457612GjhiQfsCcohZ6Ximnxyo>?00323>KflmUbOocl^2\mkbbp9:;<<94M`fg[luEiefT?0132=>Kflmy~nRwMamn\eabt}k:;<=?>7:O`khvfzVUha}Qhe123496998=0Anabp`p\[fkwWeo;<=>30?323>Kdgdzj~RQlmq]oa56795:5=<<4MbmntdtXW`doiu>?0131?HeheykySRgaddz34576:2Ghc`~nr^]jjac89:9=i5BcnosewYffm:;<=Q?_bos[bc789::=i5BcnosewYffm:;<=Q?_bos[bc789;:=i5BcnosewYffm:;<=Q?_bos[br789::=i5BcnosewYffm:;<=Q?_bos[ic789::=i5BcnosewYffm:;<=Q?_bos[ir789::=i5BcnosewYffm:;<?10a8IfijxhxTe~Lnlm]3[fkwWno;<=>>1b9Ngjkwi{UbOocl^2\ghvXol:;<c:O`khvfzVcxNlbc_1]`iuYkm9:;<e:O`khvfzVcx\ljkld]3[fkwWeo;<=>>1d9Ngjkwi{Ub]okdmg\4ZejxVfn<=>>10a8IfijxhxTc>?01]3[fkwWn~;<=>>1b9Ngjkwi{Ud~=>?0^2\ghvXd}:;<=?>c:O`khvfzVey<=>?_1]`iuYk|9:;=?10;8IfijxhxTuOocl^antZjs89:;=?5A039M57=I:=1EC_6>;N18KW423FX9N<=4OS16?JT4J;;0\95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN86^`nf38U1=V494?7\2>>59R87833X68295^<5<7?T:26=1Z0;0;;P>4:1=V414=7\26:1<7?T:>6:1YXA84Rdqvhq?89Qavsk|5;556\jstnw878>3[oxyaz33?;8V`urd}6?245]erwop93912Xnxb{<7<:?Wct}e~7;374Rdqvhq:?6k1Yi~{ct=;94;?c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC33Zig~95[YQG5?PvCg~d87[ML3:TDE<=QAL]TXT^J1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF6:ZPPIOE?2RXXRIAD0g8\VRXADZGI@KAT@VJKKYDGGY_^LGATR33?]USW[^GS]\@PDPW]2=_[]U]ON84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee?6V|t89[wqAJgmogn6olctovhdrhz<1jhik}6:cg`vse9>1jbi>?01]3[dbc{|h;<=?30?34?dhc89:;S=Qndeqvf56795;5=:5nne2345Y7Whnoxl?013?6;703hdo<=>?_1]b`aurj9:;=1=1169bja6789U;Sljkst`3457;<7;<7l`k0123[5Yflmy~n=>?1=7=52=ffm:;<=Q?_`fgwpd789;7:3?8;`lg4567W9Ujhi}zb12359199>1jbi>?01]3[dbc{|h;<=?38?3:?dhc89:;S=Qndeqvf5679531<3?8;`lg4567W9Ujhi}zb12359?9981jbi>?01]3[dhc89:;>55nne2345Y7Whdo<=>?_hos[dhc89:;S=Qnne23457692keh=>?0^2\ekb789;946oad1234Z6Xign;<=?Pilr\ekb789:T?_1]`iuY`m9:;<<:4aof3456X8Vif|Rij012251=ffm:;<=Q?_bos[br789::86oad1234Z6XkdzT`h>?0137?dhc89:;S=Qlmq]op56788=0mcj?013\4Zgclzi<=>?<1<23>gil9:;=R>Paefpqg67896:2<94aof3457X8Vkoh~{m01238786?2keh=>?1^2\eabt}k:;<=2<>058ekb789;T?01>6:417:cm`5679V:Tmij|uc2345:068=0mcj?013\4Zgclzi<=>?<9<2=>gil9:;=R>Paefpqg6789626=0>7:cm`5679V:Tmij|uc2345:>68;0mcj?013\4Zgil9:;?0^kntZgil9:;=R>Paof345669=1jbi>?00]3[fkwWe~;<=>;;ccoh==edhd`ecik;cnbjnoio5:1<3l4bmcmolh`494:96lcaoijjbY7Wjg{Sjk?01221>dkigabbjQ?_bos[bc789;946lcaoijjbY7Wfx;<=>Pilr\figic`dlS=Q`r123443=5llj06[kis89::Sdc_bnh60Yig}:;<4hR{mgZejxVf<=>>9:jP}keXadz>7emat058lfhs494<7emat=3=3>ndf}692:5gcov?7;1<`jd0908;iamp939?2bhby29>69kgkr;?7=0dn`{<9<:?mei|531<394hblw8<8a3aiexRmbp^nw4567k2bhbyQaou23447b3aiexR``t1235ZojxVbhbyQaou2344713`yImabm;hqAeij;83:556g|B`no8586>2cxNlbc_1]b`aurj9:;<1>1179jwGgkdV:Tmij|uc2345:668<0e~Lnlm]3[dbc{|h;<=>32?35?luEiefT?0=6=53=n{Kkg`R>Paefpqg67896>2<84ir@bhiY7Whnoxl?012?2;713`yImabP0^cg`vse89:;0:0>6:kpFdjkW9Ujhi}zb12349>9911bOocl^2\eabt}k:;<=26:1<22>otJhfgS=Qndeqvf5678535=;5fsCcohZ6Ximnxyo>?00>3:402cxNlbc_1]b`aurj9:;=1=1179jwGgkdV:Tmij|uc2344:368<0e~Lnlm]3[dbc{|h;<=?35?35?luEiefT?1=5=53=n{Kkg`R>Paefpqg6788632<64ir@bhiY7Whnoxl?013?=?699?1bOocl^2\eabt}k:;<<26>348mvDfdeU;Sl`k0123[lkwW`yImabP0^cm`56788;87d}Mamn\4ZejxVmn<=>?129jwGgkdV:To`~Pgd2344743`yImabP0^antZjb89:;=>5fsCcohZ6XkdzT`h>?00:8mvVflmfnh6g|P`fgh`:7294i7d}_aefoa969:h1b]okdmg\4Zgil9:;jb{zeyi|{nl018kw6789U;Snc_fv34566;2ey<=>?_1]`iuYk|9:;<<=4os2345Y7Wjg{Saz?013e?jt789:TPos2345YneyUd~=>?0^2\kw6789;87b|m6:rb`ajbi2zjhibjPnq2g>vflmfn\b}30?a8tdbcdlZd1?1c:rb`ajbXfy7>3m4p`fgh`Vh{595o6~ndenfTju;<7i0|ljkldRlw939k2zjhibjPnq?2;evflmfn\b}38?g8tdbcdlZd1750?a8tdbcdlZd171109seabkmYexSl`k01236==wimngi]a|_`lg4567W`g{S}okdmgSkvYffm:;<=?>1:rb`ajbXfyTmcj?01326>vflmfn\b}Paof345769;1{mijceQmp[dhc89::><<4p`fgh`Vh{Vkeh=>?1236?ugcleo[c~Qnne2344Yney;:7}okdmgSkvYffm:;?03326>vflmfn\b}Paof345459;1{mijceQmp[dhc89:9?<;4p`fgh`Vh{Vkeh=>?2^knt472zjhibjPnq\kw6789Uba}QaefoaUitWfx;<=>>a:rlhZgclzi;6~`l^aoo<=wgeUh`fka8:rlhZudd{k0|bbPuqflskgvhz}Uxoa|m;qmqpZswlf}e46|fgivApk><}gybkckk2:ws2>swlf}e86{|es78rVik?1}_t`l179uW|hd:8:0z^wac^antZjs89:;==5ySxl`[fkwWe~;<=?6;wQzjfYney30zlbze^ajj<=qienSb~m5:t`jq703iex1>17:t`jq:66>1}ocz32?58rfhs4:4<7{mat=6=3>pdf}6>2:5ycov?2;1<~jd0:08;wamp9>912|hby26:1<4?sei|535o6xlnu]bja6789;n7{mat^cm`5678Vcf|Rxlnu]bja6789;m7{mat^antZcv89:;==5ycov\ghvXmx:;<=?>0:t`jqYdeyUn}=>?0333?sei|Vif|Rk~0123745<~jdSnc_ds3456Xadzm7{mat^antZjs89:;o6xlnu]mkq6788;n7{mat^llp5679Vcf|Rxlnu]mkq6788;?7{inB49ucdD6>2|lmO?>6:tdeG46=2|lmO=7;webFZojx=1}klI:;webC40<~nkL=<84vfcD640<~nkL?<84vfcD043<~nkL955yg`E\mhv23mjD^:4vfcQ1>p`i[;=7{inR035?safZ;;>7{inR2:8rbgUW`g{96xhaWQ6?socmf20tOocl=2=<>~Eief7=364xCcoh94902rImab33?:8|Ggkd5>546vMamn?1;>~Eief757>18:zAeij;1720tOoclEkq55=JhfgHd|Paof34565>2rImabKis]bja6789Uba}QwB`no@ltXign;<=>>119{FdjkL`xTbbz?01312>~EiefOeQaou2344YneyUsNlbcDhp\jjr789;:j6vMamnGmwYhz9:;Pilr\|GgkdMcySb|?01223>~EiefT<:5wB`no[41?0=2=56=JhfgSljkst`3456;97;87uLnlm]b`aurj9:;<1<1129{FdjkWhnoxl?012?7;743qHj`aQndeqvf56785>5=>5wB`no[dbc{|h;<=>35?30?}DfdeUjhi}zb12349099:1sNlbc_`fgwpd789:7;3?<;y@bhiYflmy~n=>?0=:=50=JhfgSljkst`3456;13:5=>5wB`no[dbc{|h;<=>39?f8|GgkdVkeh=>?0328|GgkdVkeh=>?0^kntZ~EiefTmcj?012255=JhfgSnc_ds3456692rImabPclr\at6789;:=6vMamn\ghvXmx:;<=<>1:zAeijXkdzTi|>?01120>~EiefTo`~Pep2345Yney;;7uLnlm]`iuYby9:;=>1038|GgkdVif|Rk~01226470tOocl^antZcv89::Sdc139{FdjkWds<=><<18::461008|GgkdVg~t=>?3=2=64763qHj`aQbuy2346:76:;?7uLnlm]nq}678:6;2Rgbp028|GgkdVg~t=>?3=3=63=JhfgS`{w0120848XadzTtOocl^ov|567;5;5=<>4xCcohZkrp9:;?1<1279{FdjkWds<=><<3<\mhvXpKkg`Rczx123794998:0tOocl^ov|567;595=<5wB`no[hs89:80>0>139{FdjkWds<=><<2<2544?;;y@bhiYj}q:;<>2<>^knt461008|GgkdVg~t=>?3=6=64763qHj`aQbuy2346:36:;?7uLnlm]nq}678:6?2Rgbp028|GgkdVg~t=>?3=7=63=JhfgS`{w0120808XadzTtOocl^ov|567;5?5=<>4xCcohZkrp9:;?181109{FdjkWds<=><<7<257=JhfgS`{w012083869880tOocl^ov|567;5<5>29>2325>~EiefTaxv?011?2;26<2rImabPmtz3455;>7Uba}??;y@bhiYj}q:;<>28>038|GgkdVg~t=>?3=5=5441:zAeijXe|r;<==37?120>~EiefTaxv?011?3;Yney;;7uLnlm]nq}678:632?3=:=54763qHj`aQbuy2346:?6;;?7uLnlm]nq}678:632Rgbp028|GgkdVg~t=>?3=;=54=JhfgS`{w01208<869;1sNlbc_lw{4564404:=?3=;=[lkwl2rImabPmtz3452582rImabPmtz3452XadzTtOocl^ov|567<8;;7um>99zFdjk4;437tLnlm>0:==~Jhfg0907;x@bhi:2611rNlbc<7<;?|Dfde6<255vB`no8=8f3pHj`a26:1<;?|Dfde62255vB`no@lt682sImabKis]bja67898=7tLnlmFjvZgil9:;?01324>EiefOeQbuy2345763pHj`aJfr^ov|56788;:7tLnlmFjvZkrp9:;?0^knt46>1g9zFdjkL`xTc>?0107?|DfdeNb~Ra}0123[lkwWpHj`aJfr^mq45679>1rNlbc_158}GgkdV;<7tLnlm]13>EiefT?:5vB`no[11EiefTmij|uc2345:76890uOocl^cg`vse89:;0<0>3:{AeijXimnxyo>?01>1:45018}GgkdVkoh~{m01238086;2sImabPaefpqg67896=2<=4yCcohZgclzi<=>?<6<27>EiefTmij|uc2345:?68?0uOocl^cg`vse89:;044?>018}GgkdVkoh~{m01238<8682sImabPclr\hq6789;97tLnlm]nq}678=6;640>0:{AeijXe|r;<=:30?05?|DfdeUfyu>?05>3:ZojxVsImabPmtz3452;87;:<6wMamn\ip~789>7=3?>;x@bhiYj}q:;<92>>031?|DfdeUfyu>?05>2:476:2sImabPmtz3452;978:=<5vB`no[hs89:?0<0<159zFdjkWds<=>;<0<\mhv682sImabPmtz3452;:7;:7tLnlm]nq}678=6920326>EiefTaxv?016?6;46981rNlbc_lw{45634;48=95vB`no[hs89:?0?0Pilr24>EiefTaxv?016?7;413pHj`aQbuy2341:46Vcf|RwMamn\ip~789>7?3?>0:{AeijXe|r;<=:34?05?|DfdeUfyu>?05>7:ZojxVsImabPmtz3452;<7;:<6wMamn\ip~789>793?>;x@bhiYj}q:;<92:>031?|DfdeUfyu>?05>6:476:2sImabPmtz3452;=78:=<5vB`no[hs89:?080<159zFdjkWds<=>;<4<\mhv682sImabPmtz3452;>7;:7tLnlm]nq}678=6=20325>EiefTaxv?016?2;46<2sImabPmtz3452;>7Uba}??;x@bhiYj}q:;<928>038}GgkdVg~t=>?4=5=5442:{AeijXe|r;<=:37?1257=~JhfgS`{w0127828398;0uOocl^ov|567<5=59<:4yCcohZkrp9:;8191_hos55=~JhfgS`{w01278=8692sImabPmtz3452;07;:>6wMamn\ip~789>743?>139zFdjkWds<=>;<9<15449;8;:7tLnlm]nq}678=6329?;;x@bhiYj}q:;<927>^knt461008}GgkdVg~t=>?4=;=64753pHj`aQbuy2341:>6:;:=6wMamn\ip~789>753:>4:{AeijXe|r;<=:39?]jiubh4@Azf29axj`3=92dn:784$d69``=z[=l1i:473;30707ck39:>5k4dc`94?7=9rY>=7k8:91956529mi1?<<7c:tEg=<7280:6=u\508f3?>4289896*m8;10?gde29036<>532yOa4<6s-h36?==;|Nf6?7|,8396<5r$e;9fg=]l<08w;|Nf6?4|f8386>64$0;1>4=z,m31hn5U4b80`<6839;6pTk5;0x5g<6n3wd=4650;9j543=831d=5$cc957b=,9;=1<7*ma;31`>he13<07&?=6;29 gg=9;n0bo757:9(573=83.im7?=d:la=?><3";987>5$cc957b=,9h<1<7*ma;3be>he13>07&?n5;29 gg=9hk0bo755:9(5d2=83.im7?na:la=?0<3";j?7>5$cc95dg4;c317?6=k3:1a;3:1>"6010>96*>8280b>"60k0io6*>84866>h6?o0:7)?7d;f;?k7?93;0(<7=:39m5<5=9>1v(i751318^1e=9r;<6pg:2;29?lb?2900coj50;&ae?e13gh26454ocg94?"ei3i=7cl6:998kg`=83.im7m9;o`:>2=2?10cn?50;&ae?e13gh26854ob094?"ei3i=7cl6:598kf5=83.im7m9;o`:>6=1<7*ma;a5?kd>2;10cn;50;&ae?e13gh26<54ob594?"ei3i=7cl6:198yg7f83:1h7>50zNf6?b|,83;6=2.:454:5:&2<6<4n2.:4o4mc:&2<0<2:2d:;k4>;%3;2?343g;3<7>4$0:g>a>h61:09o6s+d882e5=]5<>iel3:1(oo5c79mf<<>32eii7>5$cc9g3=ij00376amf;29 gg=k?1en448;:m`4?6=,kk1o;5ab885?>id93:1(oo5c79mf<<232eh>7>5$cc9g3=ij00?76al3;29 gg=k?1en44<;:m`0?6=,kk1o;5ab881?>id=3:1(oo5c79mf<<632eh;7>5$cc9g3=ij00;76sm9`83>g<729qGi?48{%3;=?313-;2j7c:&2=4<61=1/=4<52:l2=6<4n2w/h446a:X7g?7|9;0ve8950;&ae?053gh26454i4c94?"ei3<97cl6:998m0d=83.im78=;o`:>2=2?10e8j50;&ae?053gh26854i4g94?"ei3<97cl6:598m0`=83.im78=;o`:>6=2;10e;?50;&ae?053gh26<54i7194?"ei3<97cl6:198k63=831vn4l50;`94?6|Dl81;v*>88862>"61o0i7)?7c;16?!7>83;:o6*>9082=1=#9081>6`>9280b>{#l002n6T;c;3x572010e8o50;&ae?053gh26554i4`94?"ei3<97cl6:698m0e=83.im78=;o`:>3=2<10e8k50;&ae?053gh26954i4d94?"ei3<97cl6:298m36=83.im78=;o`:>7=2810e;=50;&ae?053gh26=54o2794?=zj0i1<7l50;2xH`4=?r.:444:6:&2=c959'5<4=:2d:5>4>e:'`<<>k2P?o7?t138~m01=83.im78=;o`:><=2110e8l50;&ae?053gh26:54i4a94?"ei3<97cl6:798m0b=83.im78=;o`:>0=2=10e8h50;&ae?053gh26>54i7294?"ei3<97cl6:398m37=83.im78=;o`:>4=2910c>850;9~ftLd093~"6000>:6*>9g8g?!7?k39=7)?60;36=>"6180:595+18096>h61:09<6s+d88:`>\3k3;p=?4ri4594?"ei3<97cl6:898m0g=83.im78=;o`:>==2>10e8m50;&ae?053gh26;54i4f94?"ei3<97cl6:498m0c=83.im78=;o`:>1=2:10e;>50;&ae?053gh26?54i7394?"ei3<97cl6:098m35=83.im78=;o`:>5=2.:5k4=c:&22.:5=4>589'5<7=90>0(<7=:39m5<5=;:1v(i75a`9Y0f<6s881qd;8:18'fd<1:2di5774;h7b>5<#jh0=>6`m9;:8?l3e290/nl492:la=?1<3`?h6=4+b`856>he13<07d;k:18'fd<1:2di57;4;h7f>5<#jh0=>6`m9;68?l3a290/nl492:la=?5<3`<;6=4+b`856>he13807d8>:18'fd<1:2di57?4;h40>5<#jh0=>6`m9;28?j512900qoom:18a>5<7sEo96:u+19;913=#90l1>i5+19a973=#90:1=874$0;2>4?33-;2>7<4n0;0>63;7>5$cc927=ij00276g:a;29 gg=>;1en447;:k6f?6=,kk1:?5ab884?>o2k3:1(oo5639mf<<132c>h7>5$cc927=ij00>76g:e;29 gg=>;1en44;;:k6b?6=,kk1:?5ab880?>o183:1(oo5639mf<<532c==7>5$cc927=ij00:76g93;29 gg=>;1en44?;:m02?6=3th2i7>5b;294~Jb:3=p(<66:448 4?a2;=0(<6l:248 4?728?27)?61;3:0>"61;097c?63;35?x"c133n7W:l:0y26?{n=>0;6)ln:708jg?=121b9l4?:%`b>34!df2?80bo757:9j1f<72-hj6;<4nc;92>=n=m0;6)ln:708jg?==21b9h4?:%`b>34!df2?80bo753:9j25<72-hj6;<4nc;96>=n>80;6)ln:708jg?=921b:>4?:%`b>34>{eij0;6o4?:1yOa7<0s-;357;9;%3:b?><,82h6>84$0;3>43>3-;2=7?64:&2=7<53g;2?7h62;j12<72-hj6;<4nc;9=>=n=h0;6)ln:708jg?=021b9o4?:%`b>34!df2?80bo756:9j1a<72-hj6;<4nc;91>=n=l0;6)ln:708jg?=<21b9k4?:%`b>34!df2?80bo752:9j24<72-hj6;<4nc;95>=n>:0;6)ln:708jg?=821d?;4?::a574=8391>7:t$e;95745<5<4290;w)j6:2c8La`=1<75f7983>>ie:3:17pl>1g83>6<729q/h44m3:Jgb>o0?3:17d97:188kg4=831v2>52z?25`4?c3f;247>5;h3:f?6=3`;2o7>5;c3:=?6=;3:10;66g88;29?jd52900q~?68;296~X61116=4o5b39~w4?e2908wS?6b:?2=<<0?27:5l487:p5584?::k263<722c:>:4?::k26=<722c:>44?::k26d<722c:>o4?::k26f<722c:>h4?::`2ec<7200;6=u+d88a5>Ncn2c<;7>5;h5;>5<31<75f7`83>>o0j3:17d9l:188m2b=831b;h4?::ma6?6=3th:n=4?:283>5}#l008m6Fkf:k43?6=3`=36=44oc094?=zj8h:6=4<:183!b>2:20Dih4i6594?=n?10;66am2;29?xu6il0;6?uQ1`g894d62k80q~?=4;296~X6:=16=lh5799~w4422909wS?=5:?2ec<0?2wx=?850;0xZ44134;jj796;|q262<72;qU=?94=0ce>2g52z\26==:9hl1;o5rs00:>5<5sW;9563>ag84`>{t9;k1<72c83>7}Y9;h01vP>2b9>5g6=?>1v<=0q~?m0;296~;6j90i>63>b084<>{zj8ko6=4<:183!b>2:30Dih4i6594?=n?10;66am2;29?xd6;80;6?4?:1y'`<g5<@ml0e:950;9j3=<722ei>7>5;|q2==<72;qU=464=0;g>4??3ty:=84?:2y]543<588868<4=0c3>0452z\254=:98>1n?5rs03g>5<5sW;:h63>23825a=z{83i6=4={60<583o6<7m;|q2=f<72;q6mo4<6:?2=a<61j1v<;6:;0:><5rs013>5<5s4;9?7j7;<305?d53ty:mn4?:3y>5d6=l116=lj5b39~w4752909w07n:27894732>=0q~?>3;296~;>j39>70?>4;5;?xu6il0;6>u21c095dc<58ko6:64=0`6>2052z?bg?5134;jh798;|q26c<72;q65h4<6:?274<0>2wx=o:50;0x94g72<9012d9>575=k>165o493:?:g?04343o6;=4=8g926=:9k81=?k4$e5957b=a<19272i78>;<3a6?75k2.o;7?=d:lg2?757z\26g=:9;91o9529c854>;>k3<;707k:7289916=o<513`8 a1=9;n0bi852:p57g=83=pR<j636e;7e?87e:3;9m6*k7;31`>hc>390q~?=9;293~X6:016=?=5c39>=g<2m272o7;j;<;g>0c<50o19h521c0957?<,m=1=?j4ne490>{t9;21<79t^00;?875;3i:707m:4f897?=8:&g3?75l2do:7;4}r313?6=?rT:>:521319g5=:1k0>o636c;7`?8?c25g4=9;=0(i9513f8ja0=>2wx=?850;5xZ44134;9?7li;<;a>0d<50i19o529e86f>;>m3?i70?m2;312>"c?3;9h6`k6;58yv75=3:1;vP>249>575=jl165o4:a:?:g?3f343o68o4=8g91d=:9k81=?;4$e5957b=a<2?272i7;8;<3a6?75<2.o;7?=d:lg2??56z\2eg=:9h:1o:529`857>;fi3<870om:7189de=>:1/h:4>a`9m`3<73ty:m44?:7y]5d?<58k;6n;4=8c924=:ih0==63nb;42?8gd2?;0(i951`c8ja0=92wx=l650;4xZ4g?34;j<7m;;<;b>36<5hk1:=52ac854>;fk3<;7)j8:0cb?kb12;1vj6*k7;3be>hc>390q~?n6;292~X6i?16=l>5c39>=d<2m27jm7;j;0c<5hi19h5+d682ed=il?0?7p}>a483>3}Y9h?01eg<2k27jo7;l;%f4>4gf3gn=6;5rs0c0>5<1sW;j?63>a18ab>;>i3?i70on:4`89dd==k16mn4:b:&g3?7fi2do:794}r3b6?6=>rT:m?521`29f`=:1h0>m63na;7b?8ge2gb<50k19:52a`863>;fj3?<70ol:458 a1=9hk0bi859:~f4?02909>7?=:2axH`7=9rB3=6sCe381k7>;3927)?62;38y!b>28<:7W:l:5ye>64=9=0::7sUd4874c=9k0:j7?n:|k240d3gh26=54+04a>5<#jh0::n5ab882?>-6>h0;6)ln:04`?kd>2;10'<86:18'fd<6>j1en44<;:)22=<72-hj6<8l;o`:>1=<#8<<6=4+b`822f=ij00>76%>6783>!df286b9mf<<032!::94?:%`b>40d3gh26554+040>5<#jh0::n5ab88:?>i6=;0;6)ln:072?kd>2910e<4=2`83>!df288o7cl6:298m44>290/nl4>2e9mf<<332c:>54?:%`b>44c3gh26854i004>5<#jh0:>i5ab885?>o6:?0;6)ln:00g?kd>2>10e<<::18'fd<6:m1en447;:k261<72-hj6<<=a883>!df28kj7cl6:098m4g?290/nl4>a`9mf<<532c:m:4?:%`b>4gf3gh26>54i0c5>5<#jh0:ml5ab887?>o6i<0;6)ln:0cb?kd>2<10e2=a083>!df28kj7cl6:898f`6=83;1<7>t$e;930=Olo1d;94?::aa6<7280;6=u+d88gf>Ncn2ei;7>5;|`227<728=1<7>tLd09`~"6190n56*>1`82=3=#9121985+19197c=#91h1nn5+197917=i9>l1=6*>87867>h6090:7)?7e;fb?k7?:3:0(<7=:29m5<5=:;1v(i751708^1e=:r;:6<95}hfb>5<>o383:1(oo5489mf<<>32c?=7>5$cc90<=ij00376g;2;29 gg=<01en448;:k77?6=,kk1845ab885?>o3<3:1(oo5489mf<<232c?97>5$cc90<=ij00?76g;6;29 gg=<01en44<;:k73?6=,kk1845ab881?>o303:1(oo5489mf<<632c?m7>5$cc90<=ij00;76amd;29 gg=k?1en446;:maa?6=,kk1o;5ab88;?>ien3:1(oo5c79mf<<032eh<7>5$cc9g3=ij00=76al1;29 gg=k?1en44:;:m`6?6=,kk1o;5ab887?>id;3:1(oo5c79mf<<432eh87>5$cc9g3=ij00976al5;29 gg=k?1en44>;:m`3?6=,kk1o;5ab883?>{e0?0;6<;50;2xH`4=0r.:5=4ja:&2<<<2>2.:5k48;%3;g?e>3-;2i7:4$0:4>06<,8396>5a18195g=z,m314;5U4b8144=910ve8950;&ae?053gh26454i4c94?"ei3<97cl6:998m0d=83.im78=;o`:>2=2?10e8j50;&ae?053gh26854i4g94?"ei3<97cl6:598m0`=83.im78=;o`:>6=2;10e;?50;&ae?053gh26<54i7194?"ei3<97cl6:198k02=831dol4?:%`b>a5!df2m90bo758:9lgf<72-hj6i=4nc;93>=hkm0;6)ln:e18jg?=>21doh4?:%`b>a5!df2m90bo754:9l`5<72-hj6i=4nc;97>=hl80;6)ln:e18jg?=:21dh?4?:%`b>a5!df2m90bo750:9~f<0=83h1<7>tLd093~"6000>:6*>9g8b?!7?k39?7)?60;32f>"6180:595+18097>h61:0:96s+d88:2>\3k3;p=?4ri4594?"ei3<97cl6:898m0g=83.im78=;o`:>==2>10e8m50;&ae?053gh26;54i4f94?"ei3<97cl6:498m0c=83.im78=;o`:>1=2:10e;>50;&ae?053gh26?54i7394?"ei3<97cl6:098m35=83.im78=;o`:>5=1<75rb8;94?e=83:p@h<57z&2<<<2>2.:5k46;%3;g?513-;2<7?:9:&2=4<61=1/=4<53:l2=6<6j2w/h4469:X7g?7|:l0ve8950;&ae?3?3gh26l54i4c94?"ei3?37cl6:898m0d=83.im7;7;o`:>==2>10e8j50;&ae?3?3gh26;54i4g94?"ei3?37cl6:498m0`=83.im7;7;o`:>1=2:10e;?50;&ae?3?3gh26?54i7194?"ei3?37cl6:098m0?=83.im7;7;o`:>5=2.:5k4=6:&21b9'5<7=90>0(<7=:29m5<5=:k1v(i75a29Y0f<6s881qd;8:18'fd<1:2di5774;h7b>5<#jh0=>6`m9;:8?l3e290/nl492:la=?1<3`?h6=4+b`856>he13<07d;k:18'fd<1:2di57;4;h7f>5<#jh0=>6`m9;68?l3a290/nl492:la=?5<3`<;6=4+b`856>he13807d8>:18'fd<1:2di57?4;h40>5<#jh0=>6`m9;28?j522900qoo::18a>5<7sEo96:u+19;913=#90l1>55+19a972=#90:1=8o4$0;2>4?33-;2>7=4n0;0>7d;7>5$cc927=ij00276g:a;29 gg=>;1en447;:k6f?6=,kk1:?5ab884?>o2k3:1(oo5639mf<<132c>h7>5$cc927=ij00>76g:e;29 gg=>;1en44;;:k6b?6=,kk1:?5ab880?>o183:1(oo5639mf<<532c==7>5$cc927=ij00:76g93;29 gg=>;1en44?;:m03?6=3thj87>51483>5}Km;083;:o6*>9082=1=#9081?6`>9281f>{#l00j86T;c;0x57<6;3wb9:4?:%`b>34!df2?80bo758:9j1g<72-hj6;<4nc;93>=n=j0;6)ln:708jg?=>21b9i4?:%`b>34!df2?80bo754:9j1c<72-hj6;<4nc;97>=n>90;6)ln:708jg?=:21b:<4?:%`b>34!df2?80bo750:9j20<72-hj6:>4nc;9=>=n>10;6)ln:628jg?=021b:44?:%`b>26!df2>:0bo756:9j2g<72-hj6:>4nc;91>=n>j0;6)ln:628jg?=<21b:i4?:%`b>26!df2>:0bo752:9j2c<72-hj6:>4nc;95>=n?80;6)ln:628jg?=821d?84?::ae3<728=1<7>tLd093~"6000>:6*>8`850>"60j08;6*>91821d=#90;1=4:4$0;1>6=i9091>o5r$e;9e3=]d=2010e8l50;&ae?3?3gh26554i4a94?"ei3?37cl6:698m0b=83.im7;7;o`:>3=2<10e8h50;&ae?3?3gh26954i7294?"ei3?37cl6:298m37=83.im7;7;o`:>7=2810e8750;&ae?3?3gh26=54i7794?"ei3<=7cl6:`98m3>=83.im789;o`:><=2110e;o50;&ae?013gh26:54i7`94?"ei3<=7cl6:798m3e=83.im789;o`:>0=2=10e;k50;&ae?013gh26>54i7d94?"ei3<=7cl6:398m27=83.im789;o`:>4=2910c>950;9~f413290i6>4k{%f:>4133f;<<7>5;h3b5?6=3`;j>7>5;h3b7?6=3`;j87>5;h3b1?6=3`;j:7>5;h3b3?6=3`;j47>5;h3b=?6=3`;jn7>5;c345?6=13:15;n`1>5<7>53;294~"c139j7Eji;h54>5<21<75`b383>>{e9>91<7=50;2x a?=;11Chk5f7683>>o003:17bl=:188yv7083:1>vP>719>525=j;1v:181[7f927:;<488:p5d4=838pR4?:3y]5d5<58=:6:74}r3b0?6=:rT:m95216393d=z{8k>6=4={_3b1>;6?800;6?uQ1`5894162>i0q~?n8;296~X6i116=:?57d9~w4g>2909wS?n9:?237<0?2wx=ll50;0xZ4ge34;<>797;|q234<72;q6=:?5b39>525=?>1v<9=:181870:3h970?83;5;?x{e9>31<7l53;fx a?=9>30c<9::188m4432900e<<::188m4412900e<<8:188m44?2900e<<6:188m44f2900e<g7<@ml0e:950;9j3=<722c<57>5;h5b>5<h1<75f7b83>>o0l3:17d9j:188kg4=831vn<98:180>5<7s-n26>o4Hed8m21=831b;54?::ma6?6=3th:;54?:283>5}#l00846Fkf:k43?6=3`=36=44oc094?=z{8=>6=4={_341>;6?10i>6s|13694?4|V88?70?86;5;?xu6:<0;6?uQ137894112>=0q~?=6;296~X6:?16=:85789~w4402909wS?=7:?233<0i2wx=?650;0xZ44?34;<:79m;|q26<<72;qU=?74=055>2b52z\26d=:9><1;n5rs00a>5<5sW;9n63>7784a>{t9;i1<72d83>7}Y9;o01<98:6:8yv70>3:1>v3>778a6>;6?10<;6s|16594?4|58=<6o<4=05;>2>5}#l008m6Fkf:k43?6=3`=36=44oc094?=zj8=o6=4;:183!b>2k<0Dih4i6594?=n?10;66g89;29?jd52900qo?8b;296?6=8r.o576?;Ife?l112900co<50;9~fc>=8391<7>t$e;97==Olo1b;:4?::k40;66g88;29?l1>2900e:o50;9lf7<722wx=5h50;0xZ4>a34;;o798;|q21=<72;qU=864=g:932=z{8?;6=4={_366>;6=:0i>6s|10794?4|V8;>70?92;71?xu6?j0;6?u216f9f7=:9>h1;;5rs02g>5<5s4326>84=02`>2>52z?227<2;27:;o4m2:p<2<72:q64;4:4:?:=?3>34k=6;94}rdg>5<5s43=6>:4=g:93==z{o=1<7=t=041>ag<5852b=?01v<9?:181870<3;<<63>7e84<>{t9>?1<741234;16=8=57`9~w4332909w0o<:27894342>=0q~?:5;296~;f<39>70?:3;5:?xu6>m0;68uQ17f894052j=01585629>=3<1;27j879>;%f4>40d3gn=6=5rs04a>5<2sW;=n63>638`1>;?>3<:7079:7389d2=>o1/h:4>6b9m`3<63ty::l4?:4y]53g<58<96n:4=94925=:1?0=<63n4;4f?!b028290>wS?99:?2270`<5h>1:i5+d6822f=il?087p}>6983>0}Y9?201<8=:b089=0==l165;4:e:?b0?0d3-n<6<8l;of5>1=z{8<<6=4:{_353>;6>;0h=6376;7g?8?12j1eh;4:;|q223<72f6<51<19n529786g>;f<30::n5ad784?xu6>=0;68uQ176894052ko015855`9>=3<2i27j8787;%f4>40d3gn=655rs040>5<2sW;=?63>638a`>;?>3?<7079:4589d2=><1/h:4>6b9m`3<>3ty:>h4?:5y]57c<5h>1:>52a7857>;6?00:>h5+d6826a=il?0;7p}>2b83>1}Y9;i01l:5609>e3<1927:;44>2b9'`2<6:m1eh;4>;|q26g<72=qU=?l4=`6925=:i?0=<63>78826g=#l>0:>i5ad781?xu6:h0;69uQ13c89d2==o16m;4:f:?23<<6:h1/h:4>2e9m`3<43ty:>44?:5y]57?<5h>19h52a786a>;6?00:>45+d6826a=il?0?7p}>2983>1}Y9;201l:55e9>e3<2l27:;44>299'`2<6:m1eh;4:;|q262<72=qU=?94=`691f=:i?0>o63>788262=#l>0:>i5ad785?xu6:?0;69uQ13489d2==k16m;4:b:?23<<6:?1/h:4>2e9m`3<03ty:>84?:5y]573<5h>19l52a786e>;6?00:>85+d6826a=il?037p}>2583>1}Y9;>01l:5569>e3<2?27:;44>259'`2<6:m1eh;46;|q2eg<72=qU=ll4=`1926=:i<0=?63>7582eg=#l>0:ml5ad783?xu6i00;69uQ1`;89d5=>816m8491:?231<6i01/h:4>a`9m`3<63ty:m54?:5y]5d><5h91:=52a4854>;6?=0:m55+d682ed=il?097p}>a683>1}Y9h=01l=55g9>e0<2n27:;94>a69'`2<6ih1eh;4<;|q2e3<72=qU=l84=`191`=:i<0>i63>7582e3=#l>0:ml5ad787?xu6i<0;69uQ1`789d5==m16m84:d:?231<6i<1/h:4>a`9m`3<23ty:m94?:5y]5d2<5h919n52a486g>;6?=0:m95+d682ed=il?0=7p}>a283>1}Y9h901l=55c9>e0<2j27:;94>a29'`2<6ih1eh;48;|q2e7<72=qU=l<4=`191d=:i<0>m63>7582e7=#l>0:ml5ad78;?xu6i80;69uQ1`389d5==>16m84:7:?231<6i81/h:4>a`9m`3<>3ty:<327<,m=1==74ne494>{t9921<7=t=949`7=:100==63n6;4e?!b028:27cj9:09~w4602908w069:e389916m;49e:&g3?7712do:7<4}r332?6=;r73:7j?;<;:>0`<5h<1:i5+d6824<=il?087p}>0483>6}:0?0hj6369;7f?8g12?i0(i9511;8ja0=<2wx==:50;1x9=0=kl16544:d:?b2?0e3-n<6<>6;of5>0=z{8:86=4<{<:5>fb<50319n52a785e>"c?3;;56`k6;48yv77:3:1?v376;a`?8?>2;f>3<>7)j8:02:?kb1201vlk50;`x9`6=?=16=;<54`9>534=<116=;<5469>534=534=<=16=;<5429>534=<;16=;<5419>e3<212wxmk4?:3y>a6;39j7)?62;38y!b>28:n7W:l:5y05?7228>1=;4rZe79g~7b28h1=k4=1;01>72=:<0:o7?k:0;965<5;3wb=<;50;9l562=83.im7?<3:la=?6<3`;=h7>5$cc953e=n9?=1<7*ma;35g>he13?07d?96;29 gg=9?i0bo756:9j533=83.im7?9c:la=?1<3`;=87>5$cc953e=n9;k1<7*ma;31`>he13907d?=9;29 gg=9;n0bo754:9j57>=83.im7?=d:la=?3<3`;9;7>5$cc957b=n9h31<7*ma;3be>he13;07d?n8;29 gg=9hk0bo752:9j5d1=83.im7?na:la=?5<3`;j:7>5$cc95dg21b=l=50;&ae?7fi2di5794;h3b6?6=,kk1=lo4nc;9<>=n9h;1<7*ma;3be>he13307ok?:182>5<7s-n26:;4Hed8k22=831vn?=j:187>5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>9280`>{n25<@ml0@h?51z&4b?2h61:08<6sf4e83>>o3m3:17d;::188kge=831vn5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>92804>{n25<@ml0@h?51z&4b?2h61:08<6sf4e83>>o3m3:17d;::188kge=831vn5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>92804>{n25<@ml0@h?51z&4b?2h61:08<6sf4e83>>o3m3:17d;::188kge=831vn5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>92804>{n25<@ml0@h?51z&4b?2h61:08<6sf4e83>>o3m3:17d;::188kge=831vn5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>92804>{n25<@ml0@h?51z&4b?2h61:08<6sf4e83>>o3m3:17d;::188kge=831vn5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>92804>{n290?6=4?{%f:>25<@ml0@h?51z&4b?2h61:08i6sf4e83>>o3m3:17d;::188kge=831vn?:n:187>5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>9280a>{n25<@ml0@h?51z&4b?2h61:08i6sf4e83>>o3m3:17d;::188kge=831vn?:l:187>5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>9280a>{n25<@ml0@h?51z&4b?2h61:08i6sf4e83>>o3m3:17d;::188kge=831vn?:j:187>5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>9280a>{n25<@ml0@h?51z&4b?2h61:08i6sf4e83>>o3m3:17d;::188kge=831vn?;?:187>5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>9280a>{n25<@ml0@h?51z&4b?2h61:08i6sf4e83>>o3m3:17d;::188kge=831vn?;=:187>5<7s-n26:=4Hed8H`7=9r.7}#9081<6`>9280a>{n25<@ml0@h?51z&4b?2h61:09j6sf4e83>>o3m3:17d;::188kge=831vn5650;36>5<7sEo965u+1829ad=#91319;5+18d92>"60j0h56*>9d87?!7??3?;7)?62;28j4?42<:0q)j6:9:8^1e=:r;96<65}h74>5<#jh0=>6`m9;;8?l3f290/nl492:la=?><3`?i6=4+b`856>he13=07d;l:18'fd<1:2di5784;h7g>5<#jh0=>6`m9;78?l3b290/nl492:la=?2<3`?m6=4+b`856>he13907d8?:18'fd<1:2di57<4;h42>5<#jh0=>6`m9;38?l04290/nl492:la=?6<3f??6=44obc94?"ei3n87cl6:898kfd=83.im7j<;o`:>==2>10cnj50;&ae?b43gh26;54obg94?"ei3n87cl6:498kf`=83.im7j<;o`:>1=2:10ci?50;&ae?b43gh26?54oe094?"ei3n87cl6:098ka2=83.im7j<;o`:>5=5;294~Jb:32p(<7?:dc8 4>>2<<0(<7i:79'5=e=k01/=4k54:&2<2<282.:5?4?;o3:7?373t.o5766;[6`>7}6:3;36pg:7;29 gg=>;1en446;:k6e?6=,kk1:?5ab88;?>o2j3:1(oo5639mf<<032c>o7>5$cc927=ij00=76g:d;29 gg=>;1en44:;:k6a?6=,kk1:?5ab887?>o2n3:1(oo5639mf<<432c=<7>5$cc927=ij00976g91;29 gg=>;1en44>;:k57?6=,kk1:?5ab883?>i2<3:17bmn:18'fd5<#jh0o?6`m9;:8?jed290/nl4k3:la=?1<3fio6=4+b`8g7>he13<07bmj:18'fd5<#jh0o?6`m9;68?jb7290/nl4k3:la=?5<3fn:6=4+b`8g7>he13807bj=:18'fd5<#jh0o?6`m9;28?xd?<3:1=84?:1yOa7f?<,83n695+195915=#9081<6`>92812>{#l00386T;c;0x57<603wb9:4?:%`b>34!df2?80bo758:9j1g<72-hj6;<4nc;93>=n=j0;6)ln:708jg?=>21b9i4?:%`b>34!df2?80bo754:9j1c<72-hj6;<4nc;97>=n>90;6)ln:708jg?=:21b:<4?:%`b>34!df2?80bo750:9l11<722ehm7>5$cc9`6=ij00276alb;29 gg=l:1en447;:m`g?6=,kk1h>5ab884?>idl3:1(oo5d29mf<<132ehi7>5$cc9`6=ij00>76alf;29 gg=l:1en44;;:mg4?6=,kk1h>5ab880?>ic93:1(oo5d29mf<<532eo>7>5$cc9`6=ij00:76ak4;29 gg=l:1en44?;:atLd09<~"6190nn6*>88862>"61o0>7)?74;6a?k70m3:0(<6l:b;8 4?b2=1/=4<50:'`<;1en446;:k6e?6=,kk1:?5ab88;?>o2j3:1(oo5639mf<<032c>o7>5$cc927=ij00=76g:d;29 gg=>;1en44:;:k6a?6=,kk1:?5ab887?>o2n3:1(oo5639mf<<432c=<7>5$cc927=ij00976g91;29 gg=>;1en44>;:k57?6=,kk1:?5ab883?>idi3:1(oo5d29mf<<>32ehn7>5$cc9`6=ij00376alc;29 gg=l:1en448;:m``?6=,kk1h>5ab885?>idm3:1(oo5d29mf<<232ehj7>5$cc9`6=ij00?76ak0;29 gg=l:1en44<;:mg5?6=,kk1h>5ab881?>ic:3:1(oo5d29mf<<632eo87>5$cc9`6=ij00;76sm8e83>43=83:p@h<58z&2=51=#9081<6s+d88;`>\3k38p=?4>8;j0g<722c>;7>5$cc927=ij00276g:a;29 gg=>;1en447;:k6f?6=,kk1:?5ab884?>o2k3:1(oo5639mf<<132c>h7>5$cc927=ij00>76g:e;29 gg=>;1en44;;:k6b?6=,kk1:?5ab880?>o183:1(oo5639mf<<532c==7>5$cc927=ij00:76g93;29 gg=>;1en44?;:m`e?6=,kk1h>5ab88:?>idj3:1(oo5d29mf<5$cc9`6=ij00<76ald;29 gg=l:1en449;:m`a?6=,kk1h>5ab886?>idn3:1(oo5d29mf<<332eo<7>5$cc9`6=ij00876ak1;29 gg=l:1en44=;:mg6?6=,kk1h>5ab882?>ic<3:1(oo5d29mf<<732wi4k4?:c83>5}Km;0n3k0(<6l:258 4?728?j7)?61;3:0>"61;0;7c?63;34?x"c132m7W:l:0y26?{n=>0;6)ln:708jg?=121b9l4?:%`b>34!df2?80bo757:9j1f<72-hj6;<4nc;92>=n=m0;6)ln:708jg?==21b9h4?:%`b>34!df2?80bo753:9j25<72-hj6;<4nc;96>=n>80;6)ln:708jg?=921b:>4?:%`b>34>{e190;6o4?:1yOa7<0s-;357;9;%3:b?g<,82h6>94$0;3>43f3-;2=7?64:&2=7<73g;2?7<>;|&g=??73S>h62;j12<72-hj6;<4nc;9=>=n=h0;6)ln:708jg?=021b9o4?:%`b>34!df2?80bo756:9j1a<72-hj6;<4nc;91>=n=l0;6)ln:708jg?=<21b9k4?:%`b>34!df2?80bo752:9j24<72-hj6;<4nc;95>=n>:0;6)ln:708jg?=821d?:4?::a<`<72j0;6=uCe384!7?13?=7)?6f;;8 4>d2:?0(<7?:03`?!7>93;286*>9383?k7>;3;h7p*k9;:f?_2d28q9i7sf5683>!df2<20bo75a:9j1d<72-hj6864nc;9=>=n=k0;6)ln:4:8jg?=021b9n4?:%`b>0>!df2<20bo756:9j1`<72-hj6864nc;91>=n=o0;6)ln:4:8jg?=<21b:=4?:%`b>0>!df2<20bo752:9j26<72-hj6864nc;95>=n=00;6)ln:4:8jg?=821d?84?::a=c<728?1<7>tLd093~"6000>:6*>8`850>"60j0896*>91825f=#90;1=4:4$0;1>5=i90919=5r$e;9=c=]<=2110e8l50;&ae?053gh26:54i4a94?"ei3<97cl6:798m0b=83.im78=;o`:>0=2=10e8h50;&ae?053gh26>54i7294?"ei3<97cl6:398m37=83.im78=;o`:>4=2910e;;50;&ae?173gh26454i7:94?"ei3=;7cl6:998m3?=83.im79?;o`:>2=2?10e;l50;&ae?173gh26854i7a94?"ei3=;7cl6:598m3b=83.im79?;o`:>6=2;10e;h50;&ae?173gh26<54i6394?"ei3=;7cl6:198k63=831vnl?50;34>5<7sEo96:u+19;913=#91k1:95+19a972=#90:1=8o4$0;2>4?33-;2>7>4n0;0>065<#jh0>46`m9;;8?l3e290/nl4:8:la=?><3`?h6=4+b`86<>he13=07d;k:18'fd<202di5784;h7f>5<#jh0>46`m9;78?l3a290/nl4:8:la=?2<3`<;6=4+b`86<>he13907d8>:18'fd<202di57<4;h40>5<#jh0>46`m9;38?l3>290/nl4:8:la=?6<3`<>6=4+b`852>he13k07d87:18'fd<1>2di5774;h4:>5<#jh0=:6`m9;:8?l0f290/nl496:la=?1<3`he13<07d8l:18'fd<1>2di57;4;h4g>5<#jh0=:6`m9;68?l0b290/nl496:la=?5<3`he13807d9>:18'fd<1>2di57?4;h44>5<#jh0=:6`m9;28?j502900qoo?:1821?6=8rFn>79t$0::>00<,82j6;:4$0:`>63<,83;6<2.:5?4?;o3:7?373t.o57o?;[6`>7}6:3;86pg:7;29 gg=>;1en446;:k6e?6=,kk1:?5ab88;?>o2j3:1(oo5639mf<<032c>o7>5$cc927=ij00=76g:d;29 gg=>;1en44:;:k6a?6=,kk1:?5ab887?>o2n3:1(oo5639mf<<432c=<7>5$cc927=ij00976g91;29 gg=>;1en44>;:k57?6=,kk1:?5ab883?>o1=3:1(oo5719mf<<>32c=47>5$cc935=ij00376g99;29 gg=?91en448;:k5e?6=,kk1;=5ab885?>o1j3:1(oo5719mf<<232c=o7>5$cc935=ij00?76g9d;29 gg=?91en44<;:k5a?6=,kk1;=5ab881?>o1n3:1(oo5719mf<<632c<=7>5$cc935=ij00;76a<5;29?xdf:3:1=:4?:1yOa7<0s-;357;9;%3;e?033-;3o7=8;%3:4?72i2.:5<4>959'5<4=82d:5>4:0:'`<0;6)ln:4:8jg?=i21b9l4?:%`b>0>!df2<20bo758:9j1f<72-hj6864nc;93>=n=m0;6)ln:4:8jg?=>21b9h4?:%`b>0>!df2<20bo754:9j25<72-hj6864nc;97>=n>80;6)ln:4:8jg?=:21b:>4?:%`b>0>!df2<20bo750:9j20<72-hj6;84nc;9e>=n>10;6)ln:748jg?=121b:44?:%`b>30!df2?<0bo757:9j2g<72-hj6;84nc;92>=n>j0;6)ln:748jg?==21b:i4?:%`b>30!df2?<0bo753:9j2c<72-hj6;84nc;96>=n?80;6)ln:748jg?=921b::4?:%`b>30>{e1=0;6<950;2xH`4=?r.:444:6:&22h10e8o50;&ae?3?3gh26454i4`94?"ei3?37cl6:998m0e=83.im7;7;o`:>2=2?10e8k50;&ae?3?3gh26854i4d94?"ei3?37cl6:598m36=83.im7;7;o`:>6=2;10e;=50;&ae?3?3gh26<54i4;94?"ei3?37cl6:198m33=83.im789;o`:>d=2010e;750;&ae?013gh26554i7c94?"ei3<=7cl6:698m3d=83.im789;o`:>3=2<10e;j50;&ae?013gh26954i7g94?"ei3<=7cl6:298m3`=83.im789;o`:>7=;1<7*ma;45?kd>2810e;950;&ae?013gh26=54o2794?=zj0=1<7?8:183Ic52>q/=575579'5=g=>=1/=5m5369'5<6=9:0;7?!7>:3:0b<7<:348y!b>20=0V9m52z0f>7`=u`?<6=4+b`86<>he13k07d;n:18'fd<202di5774;h7a>5<#jh0>46`m9;:8?l3d290/nl4:8:la=?1<3`?o6=4+b`86<>he13<07d;j:18'fd<202di57;4;h7e>5<#jh0>46`m9;68?l07290/nl4:8:la=?5<3`<:6=4+b`86<>he13807d8<:18'fd<202di57?4;h7:>5<#jh0>46`m9;28?l02290/nl496:la=?g<3`<36=4+b`852>he13307d86:18'fd<1>2di5764;h4b>5<#jh0=:6`m9;58?l0e290/nl496:la=?0<3`he13?07d8k:18'fd<1>2di57:4;h4f>5<#jh0=:6`m9;18?l0a290/nl496:la=?4<3`=:6=4+b`852>he13;07d88:18'fd<1>2di57>4;n14>5<6=4l:183Ic52>q/=575579'5<`=:?1/=5m5349'5<6=98i0(<7>:0;7?!7>:3:0b<7<:348y!b>20?0V9m51z0f>xo2?3:1(oo5599mf<m7>5$cc91==ij00276g:b;29 gg==11en447;:k6g?6=,kk1955ab884?>o2l3:1(oo5599mf<<132c>i7>5$cc91==ij00>76g:f;29 gg==11en44;;:k54?6=,kk1955ab880?>o193:1(oo5599mf<<532c=?7>5$cc91==ij00:76g:9;29 gg==11en44?;:m01?6=3th247>5b;294~Jb:3=p(<66:448 4?a2;<0(<6l:248 4?728?27)?61;3:0>"61;0;7c?63;05?x"c13337W:l:0y26?{n=>0;6)ln:708jg?=121b9l4?:%`b>34!df2?80bo757:9j1f<72-hj6;<4nc;92>=n=m0;6)ln:708jg?==21b9h4?:%`b>34!df2?80bo753:9j25<72-hj6;<4nc;96>=n>80;6)ln:708jg?=921b:>4?:%`b>34>{eim0;6o4?:1yOa7<0s-;357;9;%3:b?4e3-;3o7=9;%3:4?7212.:5<4>959'5<4=82d:5>4;3:'`<<=2110e8l50;&ae?053gh26:54i4a94?"ei3<97cl6:798m0b=83.im78=;o`:>0=2=10e8h50;&ae?053gh26>54i7294?"ei3<97cl6:398m37=83.im78=;o`:>4=2910c>850;9~f761290?6>49{%f:>7613f8;=7>5;h;2>5<>o003:17bl=:188yg47;3:1?7>50z&g=?d43Anm7d98:188m2>=831dn?4?::a652=8391<7>t$e;97<=Olo1b;:4?::k4=0q~7<:180[?4348;9798;<037?103ty:j>4?:3y]5c5<5;:>6:64}r036?6=:r79<84m2:?146<002wxmh4?:3y>655=j;16>=:5799~yg4683:187=56z&g=?4682e95<2:30Dih4i6594?=n?10;66am2;29?xd58m0;6>4?:1y'`<6?<@ml0e:950;9j3=<722ei>7>5;|q14g<72;qU>=l4=32f>g4;279=h5b39>65b=?11vlk50;0x976c2k801?>j:6:8yxd59?0;694<:7y'`<<59?1d>5;h3fa?6=3k8:97>53;294~"c13927Eji;h54>5<21<75`b383>>{e:891<7=50;2x a?=j:1Chk5f7683>>o003:17bl=:188yg46<3:1?7>50z&g=?5>3Anm7d98:188m2>=831dn?4?::p647=838pR??>;<020?d53ty2=7>52z\:5>;59=0<;6s|9283>6}Y1:16><;5769>645=?>1v20q~oj:181846;3h970<>4;5;?x{e:8l1<7:54;5x a?=:8l0c??6:188m<7=831b5>4?::k2af<722h9=h4?:283>5}#l00856Fkf:k43?6=3`=36=44oc094?=zj;;i6=4<:183!b>2k90Dih4i6594?=n?10;66am2;29?xd59j0;6>4?:1y'`<<412Boj6g87;29?l1?2900co<50;9~f77c29086=4?{%f:>g5<@ml0e:950;9j3=<722ei>7>5;|q15<<72;qU><74=33g>g4d;54?xu>;3:1?vP63:?15`<0?279=o487:p5`e=838pR64c=j;16>20q~oi:181846k3h970<>d;5;?x{e:;?1<7:53;4x a?=:;?0c?4?::k2ag<722h9>94?:283>5}#l00856Fkf:k43?6=3`=36=44oc094?=zj;896=4<:183!b>2k90Dih4i6594?=n?10;66am2;29?xd5::0;6>4?:1y'`<<412Boj6g87;29?l1?2900co<50;9~w7472909wS<=0:?166=0q~?jb;296~X6mk16>?:5799~w7462909w0<=4;`1?845:3=37p}ne;296~;5:;0i>63=2284<>{zj;8j6=4;:386!b>2;8j7b<=6;29?l?62900e4=50;9j5`g=831i>?750;694?6|,m31?n5Gdg9j32<722c<47>5;h5:>5<5<4290;w)j6:c18La`=1<75f7983>>ie:3:17p}=2783>7}Y:;<01?<7:c08yv?62908wS7>;<01=?1034894798;|q:7?6=:rT2?63=2884<>{t9lk1<77}::;31n?5223:93==zuk89j7>54;091~"c1389j6a=2c83>>o>93:17d7<:188m4c>2900n?5<7s-n26>m4Hed8m21=831b;54?::k4=?6=3fh96=44}c01`?6=;3:193:1?vP61:?16`<0?279>i487:p=6<72;qU5>5223g93==z{8o26=4={_3f=>;5:l0<56s|23a94?4|5;8n6o<4=30g>2>2}#l009::5`27094?=n1;0;66gi7;29?l42m3:17d<:b;29?g41>3:187>50z&g=?5e3Anm7d98:188m2>=831b;44?::ma6?6=3th9:94?:283>5}#l00i?6Fkf:k43?6=3`=36=44oc094?=zj;<>6=4<:183!b>2:30Dih4i6594?=n?10;66am2;29?xu5>;0;6?uQ270897022k80q~7=:181[?5348=9798;|qe3?6=;rTm;63=67843>;5>=0<;6s|24g94?4|V;?n70<96;5;?xu5=k0;6?uQ24`897012>30q~<93;296~;5>?0i>63=6584<>{til0;6?u22769f7=::??1;55r}c05`?6==391;v*k9;05`>i5>10;66g62;29?l`02900e?;j:188m73f2900n?8l:187>5<7s-n26>l4Hed8m21=831b;54?::k4=?6=3fh96=44}c05e?6=;3:10;66g88;29?jd52900q~<98;296~X5>116>;l5b39~w<4=838pR4<4=34a>2120q~<:a;296~X5=h16>;m5789~w70>2909w0<9c;`1?841i3=37p}ne;296~;5>h0i>63=6c84<>{zj;=<6=4::284!b>2;=<7b<82;29?l?52900ek950;9j60c=831b>8950;9a620=8391<7>t$e;97==Olo1b;:4?::k40;66g88;29?l1>2900co<50;9~w7152909wS<82:?130?1;:5rsg594?4|Vo=01?9::6:8yv42m3:1?vP=5d9>620=?>16>::5769~w7302909wS<:7:?133<002wx>:=50;0x97112k801?9;:6:8yvgb2909w0<84;`1?840=3=27psm26a94?3=:35}#l008i6Fkf:k43?6=3`=36=44i6;94?=n?h0;66am2;29?xd5?h0;6>4?:1y'`<h1;:5226c932=z{o=1<720q~<:e;296~X5=l16>:l5789~w7312909wS<:6:?13g<0i2wx>:750;0x971e2k801?9n:6:8yxd50=0;684::8y'`<<50=1d>:j50;9j=7<722cm;7>5;h06a?6=3`8>97>5;c0;7?6=;3:10;66g88;29?jd52900qo<70;297?6=8r.o57=6;Ife?l102900e:650;9lf7<722wi>5?50;194?6|,m31n>5Gdg9j32<722c<47>5;n`1>5<7>53;294~"c13927Eji;h54>5<21<75`b383>>{t:>n1<7:2794?487:pb2<72:qUj:52292932=::1;1;:5rs37f>5<4sW8>i63=82843>;5?o0<;6s|24794?4|V;?>70<73;5;?xu5?l0;6?u22919f7=::>l1;55rs`g94?4|5;=m6o<4=3:3>2>1>o003:17d96:188kg4=831vn?68:180>5<7s-n26o=4Hed8m21=831b;54?::ma6?6=3th9454?:283>5}#l00856Fkf:k43?6=3`=36=44oc094?=zj;226=4<:183!b>2k90Dih4i6594?=n?10;66am2;29?xu50<0;6?uQ297897>>2k80q~7=:180[?534834798;<0;=?103tym;7>53z\e3>;50h0<;63=86843>{t:7}Y:<>01?6n:6;8yv4?>3:1>v3=8`8a6>;50>0<46s|ad83>7}::1=1n?5229:93==z{hl1<7g4<5;226:64}|`1=7<72<0?65u+d881=7=h:1i1<75f9383>>oa?3:17d<:e;29?l42;3:17o<61;290?6=8r.o57=m;Ife?l102900e:650;9j3<<722ei>7>5;|`1<`<72:0;6=u+d88a7>Ncn2c<;7>5;h5;>5<5<4290;w)j6:2;8La`=1<75f7983>>ie:3:17pl=9183>6<729q/h44m3:Jgb>o0?3:17d97:188kg4=831v?6l:181[4?k2795=4m2:p=7<72:qU5?5229d932=::0:1;:5rsg594?5|Vo=01?7>:65897>b2>=0q~<:e;296~X5=l16>4?5799~w7342909wS<:3:?1=4<012wx>5j50;0x97?62k801?6j:6:8yvgb2909w0<7e;`1?84?n3=37p}nf;296~;50o0i>63=9184<>{zj;>?6=4<:387!b>2;>?7b<;1;29?l?52900e?=j:188f72529086=4?{%f:>6g<@ml0e:950;9j3=<722ei>7>5;|`106<72:0;6=u+d88a7>Ncn2c<;7>5;h5;>5<5<5sW8?=63=428a6>{t1;0;6>uQ939>614=?>16>9=5769~w75b2909wS<9<50;0x97252k801?:<:6:8yxd6nh0;6o4<:ey'`<<6nh1d=k850;9j5d7=831b=l<50;9j5d5=831b=l:50;9j5d3=831b=l850;9j5d1=831b=l650;9j5d?=831b=ll50;9a5c1=8331<7>t$e;9f4=Olo1b;:4?::k45;n`1>5<53;294~"c13937Eji;h54>5<21<75`b383>>{t9o<1<7a083>7}Y9h;01vP>a39>5c1=?>1v;6n>0=0q~?nb;296~X6ik16=k65799~w4`02909w0?i7;`1?87a13=<7p}>f983>7}:9o21n?521g;93==zuk;mj7>5b;19`~"c13;mj6a>fc83>>o6:=0;66g>2483>>o6:?0;66g>2683>>o6:10;66g>2883>>o6:h0;66g>2c83>>o6:j0;66g>2d83>>d6nj0;644?:1y'`<5;h5`>5<n1<75f7d83>>ie:3:17pl>fe83>6<729q/h44o0?3:17d97:188kg4=831vn5<7s-n26>64Hed8m21=831b;54?::ma6?6=3ty:jo4?:3y]5cd<58ln6o<4}r310?6=:rT:>9521ga93==z{88>6=4={_311>;6nj0<;6s|13494?4|V88=70?ic;5:?xu6:>0;6?uQ135894`d2>k0q~?=8;296~X6:116=km57c9~w44>2909wS?=9:?2bf<0l2wx=?o50;0xZ44f34;mo79l;|q26g<72;qU=?l4=0d`>2c52z\26f=:9on1;:5rs00f>5<5sW;9i63>fe84<>{t9oi1<7g4<58ln6:94}r3e`?6=:r7:ji4m2:?2b`<002wvn?>7:187>5<7s-n26>m4Hed8m21=831b;54?::k4=?6=3fh96=44}c03e?6=<3:1;?50;794?6|,m31?h5Gdg9j32<722c<47>5;h5:>5<k1<75`b383>>{e:?l1<7;50;2x a?=;l1Chk5f7683>>o003:17d96:188m2g=831dn?4?::a627=83?1<7>t$e;97`=Olo1b;:4?::k49>50;194?6|,m31?l5Gdg9j32<722c<47>5;n`1>5<54;294~"c13h=7Eji;h54>5<21<75f7883>>ie:3:17pl>d`83>6<729q/h44o0?3:17d97:188kg4=831vn5<7s-n26o84Hed8m21=831b;54?::k4=?6=3fh96=44}c072?6=:3:121:0Dih4i6494?=hj;0;66sm15`94?3=83:p(i753e9K`c=n?>0;66g88;29?l1>2900e:o50;9lf7<722wij54?:483>5}#l008h6Fkf:k43?6=3`=36=44i6;94?=n?h0;66am2;29?xu6;;0;6?uQ1268942e2k80q~?>5;2952}Y98?01?=j:5f894ba2=n012=n01?:n:5f8972e2=n01?:l:5f8972c2=n01?:j:5f8972a2=n01?;?:5f897362=n01?;=:5f894b?2=n0q~6::1818>32<>01495669~wcd=838p14:5349>b=<0?2wxjn4?:3y>=0<4=27m4796;|q175<72;q64n4;b:?1745<3s4;mj7?ib:?2b0<0027985487:?2``<0?2wx>9;50;0x975b2=o01?:9:c08yv43?3:1>v3=498a6>;5g494=325><7<5;;;64?4=335><7<5;;m64?4=306><7<5;8j64?4=30e><7<5;:36:94=32b>21<5;;36:94=0fb>2>61<5;<<64<4=34g><4<5;=<64<4=35`><4<5;2?64<4=3:a><4<5;3964<4=367><4<5;<:6:94=34e>21<5;=:6:94=363>2>63<5;:=64=4=333><5<5;;=64=4=33e><5<5;8>64=4=30b><5<5;8m64=4=32;>2><5;:j6:64=33;>2><58nj6:94}r0:7?6=:r73h7:m;<0:0?d53ty:j94?:07x94ba2=o012=o01?:n:5g8972e2=o01?:l:5g8972c2=o01?:j:5g8972a2=o01?;?:5g897362=o01?;=:5g894`22k80q~<;1;296~;5;l0>963=458104=z{ol1<76}:010>863n1;44?8?22<30q~6m:1878>>2<>015k5589>e7<1?27287;6;|qe3?6=kr79::4i7:?12a66c=jj16>9:522g897?32><0q~?;e;296~;f939<70?;b;5;?xu6;6o6=4={63<58>i6:74}r06a?6=jr7jh7=9;<053?42m279:i4=5d9>621=:i63=8c811`=::081>8k4=342>2?<5;2?52z?105=838p1<0q~?k9;296~;6lh0i>63>dd84=>{tnl0;6?u296803>;a03=37p}>6e83>6}Y9?n015:5629>=1<092.o;7?9c:lg2?653z\22g=:0=0==6364;4e?!b0286s|17;94?5|V8<2706;:4d89<2=>m1/h:4>6b9m`3<43ty::54?:2y]53><51>19h529585g>"c?3;=o6`k6;68yv71?3:1?vP>669><1<2l272878m;%f4>40d3gn=685rs045>5<4sW;=:6374;7`?8?32?k0(i9517a8ja0=>2wx=;;50;1xZ402342?68l4=8692<=#l>0::n5ad784?xu6>=0;6>uQ17689=2==h1659498:&g3?71k2do:764}r357?6=;rT::>5285863>;><3<>7)j8:04`?kb1201v<35<58lm6<44c3gn=6=5rs00`>5<3sW;9o63n0;42?8g52?;014=0de>44e3-n<6<7=z{88j6=4;{_31e>;f83?m70o=:4d894`a288j7)j8:00g?kb12:1v<<6:187[75127j<7;j;0c<58lm6<<6;%f4>44c3gn=695rs00;>5<3sW;9463n0;7g?8g524403-n<6<3=z{88=6=4;{_312>;f83?i70o=:4`894`a288=7)j8:00g?kb12>1v<<::187[75=27j<7;n;0g<58lm6<<:;%f4>44c3gn=655rs007>5<3sW;9863n0;74?8g52<=014ge3-n<65=z{8k26=4;{_3b=>;>n3<:70o>:73894`f28k27)j8:0cb?kb1281v36<58lj64gf3gn=6?5rs0c4>5<3sW;j;636f;7e?8g624g13-n<61=z{8k>6=4;{_3b1>;>n3?o70o>:4f894`f28k>7)j8:0cb?kb12<1v0e<58lj64gf3gn=6;5rs0c0>5<3sW;j?636f;7a?8g624g53-n<6==z{8k:6=4;{_3b5>;>n3?<70o>:45894`f28k:7)j8:0cb?kb1201vd2m>01?>9:0d0?!b028l97cj9:19~w4`62909w06l:e08976?2>30(i951g08ja0=92wx=k>50;0x9=e=l816>=o5789'`2<6n;1eh;4=;|q2ac<72;q64n4k0:?155<6mo1/h:4>f39m`3<43ty:ih4?:3y>ed9'`2<6n;1eh;4;;|q2aa<72;q64n4le:?15=<012.o;7?i2:lg2?352z?;g?ec348:j7?jc:&g3?7a:2do:784}r3ff?6=:r73o7ml;<011?7bj2.o;7?i2:lg2?152z?;g?ee3489m7?ja:&g3?7a:2do:764}r3f=?6=:r73o7mn;<01b?7b12.o;7?i2:lg2??52z?2a=<2=279<;4=009'`2<5891eh;4?;|q142<72;q6=h95549>65>=j;1/h:4=019m`3<63ty9<44?:3y>5`0==<16>=o5b39'`2<5891eh;4=;|q14g<72;q6=h;5549>646=:9h0(i952128ja0=;2wx>70<>f;02=>"c?38;<6`k6;48yv4583:1>v3>e0861>;5:<09>=5+d68145=il?0<7p}=2783>7}:9l:1985223c9670<,m=1>=>4ne49<>{t:;h1<703<5;8m6?7673gn=645rs37g>5<5s42o6i:4=342>2g<,m=1>8m4ne494>{t:8l4$e5960e8m4ne496>{t:<31<77}:0m0hj63=7084e>"c?38>o6`k6;68yv42?3:1>v37d;af?840?38>;6*k7;06g>hc>3?0q~<:6;296~;?l3io70<8c;062>"c?38>o6`k6;48yv42=3:1>v37d;a`?84?<38>96*k7;06g>hc>3=0q~<:4;296~;?l3ii70<7b;060>"c?38>o6`k6;:8yv42;3:1>v37d;ab?84>:38>?6*k7;06g>hc>330q~<90;296~;5=;0>963=608a6>"c?38>j6`k6;28yv41:3:1>v3=50861>;5>>09:?5+d6811c=il?0:7p}=6983>7}::<:1985227f963><,m=1>8h4ne496>{t:?o1<703<5;n68;4=352>g4<,m=1>8h4ne490>{t:>81<703<5;=<6?9=;%f4>73a3gn=685rs35;>5<5s48?o7;:;<04g?4002.o;7<:f:lg2?052z?10g<2=279494=7e9'`2<5=o1eh;48;|q1<0<72;q6>9o5549>6=d=:1?0(i9524d8ja0=02wx>5m50;0x972>24}r30`?6=;r7347j=;3`<50?1:<5+d6827`=il?0:7p}>3b83>6}:010o=63n1;4f?8?22?:0(i9512g8ja0=:2wx=>l50;1x9=>=l916m<49d:?:1?3a3-n<6<=j;of5>6=z{89j6=4<{<:;>f`<5h;1:n529486a>"c?3;8i6`k6;68yv7413:1?v378;af?8g62?h014;55e9'`2<6;l1eh;4:;|q27=<72:q6454ld:?b5?0f343>68m4$e5956c;>=3?i7)j8:01f?kb12>1v<=9:1808>?2jh01l?5699>=0<2i2.o;7?53z?;0:?h5ad78:?xu6;?m3<870o=:6389<2=>:1/h:4>489m`3<73ty:854?:5y><<;3`<50>1:<5+d6820<=il?0:7p}>4683>1}:000o=637e;43?8g52?o014:5619'`2<6<01eh;4=;|q203<72=q6444k0:?;a?3a34k96;j4=8691c=#l>0:845ad780?xu6<<0;69u2888`b>;?m3?n70o=:7a89<2==l1/h:4>489m`3<33ty:894?:5y><<3d<50>19i5+d6820<=il?0>7p}>4283>1}:000hh637e;7`?8g52?k014:55b9'`2<6<01eh;49;|q207<72=q6444lc:?;a?3e34k96;74=8691g=#l>0:845ad784?xu6<80;69u2888`f>;?m3?j70o=:7:89<2==h1/h:4>489m`3<<33<50>19:5+d6820<=il?027p}i6;296~;?<3n?7078:638 a1=n<1eh;4?;|qe0?6=:r7387j=;<;4>3`<,m=1j85ad782?xua;3:1>v374;f2?8?02?o0(i95f49m`3<53tym>7>52z?;0?b7343<6;j4$e59b0=il?087p}i1;296~;?<3im7078:7a8 a1=n<1eh;4;;|qe4?6=:r7387mj;<;4>3d<,m=1j85ad786?xubn3:1>v374;ag?8?02?k0(i95f49m`3<13tyni7>52z?;0?ed343<6;74$e59b0=il?0<7p}jd;296~;?<3ii7078:7:8 a1=n<1eh;47;|qfg?6=:r7387mn;<;4>33<,m=1j85ad78:?xu6l>0;6;u21d:9ff=:000=?637c;40?8>a2?901l>5709>=2<1;2.o;7?k6:lg2?656z?2a2;<:`>37<51l1:<52a185b>;>?3<:7)j8:0f5?kb1281v3hh7066:7289=e=>9164k490:?b4?0b343<6;>4$e595a06s|1e194?0|58o>6om4=9;91c=:0j0>j637f;7e?8g72?n014955g9'`2<6l?1eh;4<;|q2`7<72?q6=h:5bb9><<<2m273o7;j;<:e>0c<5h:1:n529686a>"c?3;o:6`k6;68yv7c93:1:v3>e28ag>;?13?o706l:4f89=`==m16m=49b:?:3?3c3-n<60=z{8n;6=49{<3f6?dd342268m4=9a91f=:0o0>o63n0;4b?8?022wx=nh50;4x94c62ki015755c9>3?<50=19o5+d682`3=il?0<7p}>cd83>3}:9l:1nn528886e>;?k3?j706i:4c89d6=>1165:4:a:&g3?7c>2do:764}r3``?6=>r7:hk4mc:?;=?30342h6894=9d912=:i90=96367;74?!b028n=7cj9:89~w75c290?2?9015j5629>=5<1;272j79>;<;;>35<5hn1:>5+d6817f=il?0;7p}=3c83>2}::<;1nn5289855>;?l3<:707?:7389<`=>o1655491:?b`?063-n<6?=l;of5>4=z{;9j6=48{<064?dd34236;>4=9f925=:190=<636f;4f?8??2?:01lj5619'`2<5;j1eh;4=;|q17<<72>q6>9h5bb9><=<2n273h7;i;<;3>0`<50l1:i529986b>;fl3?m7)j8:31`?kb12:1v?=7:184843m3hh7067:4g89=b==l165=4:e:?:b?0d343368k4=`f91`=#l>09?n5ad787?xu5;>0;6:u225f9ff=:010>h637d;7g?8?72==<2l27jh7;k;%f4>75d3gn=685rs315>5<0s48?o7ll;<:;>0e<51n19n529186g>;>n361d=jj16454:b:?;`?3e343;68l4=8d92<=:110>n63nd;7a?!b02;9h7cj9:69~w753290?2=5<2i272j787;<;;>0g<5hn19l5+d6817f=il?037p}=3283>2}::=31nn5289863>;?l3?<707?:4589<`=><16554:7:?b`?303-n<6?=l;of5><=z{ho1<7;t=d2931=:i80>563n2;7:?8?32?=01495589~yg76=3:1?7>50z&g=?153Anm7Ak=:3y'5<4=92d:5>497>5;n``>5<q/=575579'5<`=:01/=5m5349'5<6=98i0(<7>:0;7?!7>:3;0b<7<:5f8y!b>20>0V9m51z31>xo2?3:1(oo5639mf<<>32c>m7>5$cc927=ij00376g:b;29 gg=>;1en448;:k6g?6=,kk1:?5ab885?>o2l3:1(oo5639mf<<232c>i7>5$cc927=ij00?76g:f;29 gg=>;1en44<;:k54?6=,kk1:?5ab881?>o193:1(oo5639mf<<632c=?7>5$cc927=ij00;76a<5;29?xd>?3:1n7>50zNf6?1|,8226884$0;e>7g<,82h6>94$0;3>43f3-;2=7?64:&2=7<63g;2?7:k;|&g=??03S>h62;j12<72-hj6;<4nc;9=>=n=h0;6)ln:708jg?=021b9o4?:%`b>34!df2?80bo756:9j1a<72-hj6;<4nc;91>=n=l0;6)ln:708jg?=<21b9k4?:%`b>34!df2?80bo752:9j24<72-hj6;<4nc;95>=n>:0;6)ln:708jg?=821d?:4?::ae=<72k0;6=uCe384!7?13?=7)?6f;c8 4>d2:<0(<7?:07:?!7>93;286*>9382?k7>;3>=7p*k9;c;?_2d28q:>7sf5683>!df2?80bo759:9j1d<72-hj6;<4nc;9<>=n=k0;6)ln:708jg?=?21b9n4?:%`b>34!df2?80bo755:9j1`<72-hj6;<4nc;90>=n=o0;6)ln:708jg?=;21b:=4?:%`b>3465f6083>!df2?80bo751:9j26<72-hj6;<4nc;94>=h;?0;66sma883>g<729qGi?48{%3;=?313-;2j7o4$0:`>60<,83;6<;6;%3:5?7><2.:5?4>;o3:7?213t.o57o6;[6`>4}6:3wb9:4?:%`b>34!df2?80bo758:9j1g<72-hj6;<4nc;93>=n=j0;6)ln:708jg?=>21b9i4?:%`b>34!df2?80bo754:9j1c<72-hj6;<4nc;97>=n>90;6)ln:708jg?=:21b:<4?:%`b>34!df2?80bo750:9l73<722wim:4?:c83>5}Km;0n330(<6l:268 4?728;i7)?61;3:0>"61;0:7c?63;65?x"c13k<7W:l:0y26?{n=>0;6)ln:708jg?=121b9l4?:%`b>34!df2?80bo757:9j1f<72-hj6;<4nc;92>=n=m0;6)ln:708jg?==21b9h4?:%`b>34!df2?80bo753:9j25<72-hj6;<4nc;96>=n>80;6)ln:708jg?=921b:>4?:%`b>34>{e9kh1<7:53;4x a?=9kh0c5<7s-n26>74Hed8m21=831b;54?::ma6?6=3th:n54?:283>5}#l00i?6Fkf:k43?6=3`=36=44oc094?=zj8h26=4<:183!b>2:30Dih4i6594?=n?10;66am2;29?xu6j?0;6?uQ1c4894d>2k80q~??f;296~X68o16=o75769~w43b2908wS?:e:?2fd<0?27:n5487:p506=838pR<;?;<3ae?1?3ty:n:4?:3y>5gg=j;16=o65799~wdc=838p12>20qpl>c283>3<22hq/h44>c29l5ge=831b==h50;9j50c=831b=8>50;9j564=831bj44?::`2g7<72=0;6=u+d880g>Ncn2c<;7>5;h5;>5<31<75`b383>>{e9ko1<7=50;2x a?=j:1Chk5f7683>>o003:17bl=:188yg7en3:1?7>50z&g=?5>3Anm7d98:188m2>=831dn?4?::a5f6=8391<7>t$e;9f6=Olo1b;:4?::k4=0q~?:e;297~X6=l16=oh5769>5f6=?>1v<;?:180[72827:o?487:?2f`<0?2wx=><50;0xZ45534;h>797;|qe=?6=:rTm563>c384=>{t9kn1<7g4<58hn6:64}rcf>5<5s4;ii7l=;<3ab?1?3tyjj7>52z?2fc5b39>5f7=?11vqo?la;291?5=?r.o57?la:m2g0<722c:5}#l008o6Fkf:k43?6=3`=36=44i6;94?=hj;0;66sm1b594?5=83:p(i75b29K`c=n?>0;66g88;29?jd52900qo?l8;297?6=8r.o57=6;Ife?l102900e:650;9lf7<722wx=n;50;0xZ4e234;h47l=;|q24c<72;qU==h4=0a;>21i7>53z\21`=:9j31;:521b5932=z{8?;6=4={_364>;6k00<46s|12094?4|V89970?l9;5:?xu6k?0;6?u21b;9f7=:9j=1;55rs`g94?4|58i<6o<4=0a;>2>53;294~"c139j7Eji;h54>5<21<75`b383>>{e98=1<7<50;2x a?=091Chk5f7783>>ie:3:17plia;290?6=8r.o57=m;Ife?l102900e:650;9j3<<722ei>7>5;|`21c<72=0;6=u+d88a1>Ncn2c<;7>5;h5;>5<31<75`b383>>{t9j?1<7{t91l1<7?3;3j6s|14:94?4|V8?370?67;36<>{t9821<7o7p}>cc83>7}Y9jh01bb83>7}Y9ki01v364;16?8`f2>30q~?:c;296~;f039=70?:f;54?xu6=m0;6?u2a8802>;6=o0<46s|14`94?4|5h=1?95214d93<=z{8996=4<{<354?74<27:o>4>339>5fg=9:80q~?:e;290~;6jk0:9h521b1950c<58ij6<;j;<36b?d53ty:9=4?:5y>5<1=9<801<63>c`8215=z{8;>6=4:{<3`g?76=27:5:4>149>536=98?01<0q~??f;290~;6kj0:=<521c`955`<58i86<>i;<3`e?77n2wx=<850;0x947221vk750;0x94e42o301ko5b39~wcc=838p1495369>bd<002wx=?k50;7x94ed288n70?67;31a>;6>90:>h52a8857>;f?3<87)j8:00g?kb1291v<96826f=:9?:1=?m4=`;924=:i>0==6*k7;31`>hc>3;0q~?=b;291~;6kj0:>o52185957d<58<;6<36<5h=1:=5+d6826a=il?097p}>2`83>0}:9ji1=?o4=0;4>44f34;=<7?=a:?b=?3a34k<68h4$e5957b289>e<<2m27j;7;j;%f4>44c3gn=695rs00;>5<2s4;ho7?=8:?2=2<6:116=;>513:89d?==m16m:4:d:&g3?75l2do:7;4}r313?6==r7:on4>269>5<1=9;=01<8?:004?8g>2;f13?i70o8:4`8 a1=9;n0bi857:p573=83?3;9963>618260=:i00>m63n7;7b?8`?2>20(i9513f8ja0=02wx=?:50;4x94ed288?70?67;310>;6>90:>952a8863>;f?3?<70h7:658 a1=9;n0bi859:p5dd=83?3;jn63>6182eg=:1=0=?6367;40?8g?2?90(i951`c8ja0=82wx=l750;4x94ed28k270?67;3b=>;6>90:m45295855>;>?3<:70o7:738 a1=9hk0bi851:p5d>=83?3;j463>6182e==:1=0=<6367;43?8g?2?:0(i951`c8ja0=:2wx=l950;4x94ed28k<70?67;3b3>;6>90:m:529586b>;>?3?m70o7:4d8 a1=9hk0bi853:p5d0=83?3;j:63>6182e3=:1=0>i6367;7f?8g?270?67;3b1>;6>90:m8529586`>;>?3?o70o7:4f8 a1=9hk0bi855:p5d2=83?3;j863>6182e1=:1=0>o6367;7`?8g?22wx=l=50;4x94ed28k870?67;3b7>;6>90:m>529586f>;>?3?i70o7:4`8 a1=9hk0bi857:p5d4=83?3;j>63>6182e7=:1=0>m6367;7b?8g?2;6>90:m<5295863>;>?3?<70o7:458 a1=9hk0bi859:p53b=838p1<78:04g?87183;=h6*k7;35g>hc>3:0q~?9b;296~;61>0::o52172953d<,m=1=;m4ne495>{t9?k1<740f34;=<7?9a:&g3?71k2do:7<4}r35=?6=:r7:5:4>689>536=9?30(i9517a8ja0=;2wx=;650;0x94?028<370?90;35<>"c?3;=o6`k6;68yv71?3:1>v3>968222=:9?:1=;94$e5953e2.o;7?9c:lg2?052z?2=2<6><16=;>51778 a1=9?i0bi857:p532=838p1<78:047?87183;=86*k7;35g>hc>320q~?93;296~;61>0::>521729535<,m=1=;m4ne49=>{zutwKLNu73g8;62cdk1wKLOu?}ABSxFG \ No newline at end of file Index: github/VHDL-Pong-master-2/Ball.vhd =================================================================== --- github/VHDL-Pong-master-2/Ball.vhd (revision 428) +++ github/VHDL-Pong-master-2/Ball.vhd (nonexistent) @@ -1,85 +0,0 @@ -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use pongConstants.ALL; - -entity Ball is port ( - clk25: in STD_LOGIC; - hcnt: in cnt_t; - vcnt: in cnt_t; - paddlePos: in cnt_t; - isBall: out boolean); -end Ball; - -architecture Behavioral of Ball is -signal xBall: cnt_t; -signal yBall: cnt_t; -signal xBallDir: bit; -signal yBallDir: bit; - -begin -ball: process(clk25, vcnt, hcnt, paddlePos) - impure function hitLeftBorder return boolean is - begin - return xBall <= BORDER_WIDTH; - end hitLeftBorder; - - impure function hitRightBorder return boolean is - begin - return xBall + BALL_WIDTH >= H_PIXELS-BORDER_WIDTH-1; - end hitRightBorder; - - impure function hitTopBorder return boolean is - begin - return yBall <= BORDER_WIDTH; - end hitTopBorder; - - impure function hitPaddle return boolean is - begin - return xBall+BALL_WIDTH >= paddlePos and - xBall <= paddlePos+PADDLE_WIDTH and - yBall+BALL_HEIGHT >= PADDLE_Y_BEGIN and - yball < PADDLE_Y_BEGIN; - end hitPaddle; - -begin - if rising_edge(clk25) and (vcnt = 0) and (hcnt = 0)then - if hitLeftBorder then - xBallDir <= '1'; - xBall <= BORDER_WIDTH + 1; - elsif hitRightBorder then - xBallDir <= '0'; - xBall <= H_PIXELS-BORDER_WIDTH-BALL_WIDTH - 2; - else - if xBallDir = '1' then - xBall <= xBall + 1; - else - xBall <= xBall - 1; - end if; - end if; - - if hitTopBorder then - yBallDir <= '1'; - yBall <= BORDER_WIDTH + 1; - elsif hitPaddle then - yBallDir <= not yBallDir; - yBall <= PADDLE_Y_BEGIN - BALL_HEIGHT - 1; - else - if yBall < V_LINES then - if yBallDir = '1' then - yBall <= yBall + 1; - else - yBall <= yBall - 1; - end if; - else - yBall <= 20; - end if; - end if; - end if; -end process; - -isBall <= vcnt >= yBall and -- top - vcnt <= yBall + BALL_HEIGHT and -- bottom - hcnt >= xBall and -- left - hcnt <= xBall + BALL_WIDTH; -- right -end Behavioral; -
github/VHDL-Pong-master-2/Ball.vhd Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: github/VHDL-Pong-master-2/Pong.ut =================================================================== --- github/VHDL-Pong-master-2/Pong.ut (revision 428) +++ github/VHDL-Pong-master-2/Pong.ut (nonexistent) @@ -1,28 +0,0 @@ --w --g DebugBitstream:No --g Binary:no --g CRC:Enable --g Reset_on_err:No --g ConfigRate:25 --g ProgPin:PullUp --g DonePin:PullUp --g TckPin:PullUp --g TdiPin:PullUp --g TdoPin:PullUp --g TmsPin:PullUp --g UnusedPin:PullDown --g UserID:0xFFFFFFFF --g StartUpClk:CClk --g DONE_cycle:4 --g GTS_cycle:5 --g GWE_cycle:6 --g LCK_cycle:NoWait --g Security:None --g DonePipe:No --g DriveDone:No --g en_sw_gsr:No --g en_porb:Yes --g drive_awake:No --g sw_clk:Startupclk --g sw_gwe_cycle:5 --g sw_gts_cycle:4 Index: github/VHDL-Pong-master-2/Pong_map.xrpt =================================================================== --- github/VHDL-Pong-master-2/Pong_map.xrpt (revision 428) +++ github/VHDL-Pong-master-2/Pong_map.xrpt (nonexistent) @@ -1,223 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
-
- - - - - - -
- -
- - - - - -
-
- - - - - - -
-
- - - - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - -
-
- - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- -
-
-
-
-
-
-
- -
-
- - - - Index: github/VHDL-Pong-master-2/Pong_xst.xrpt =================================================================== --- github/VHDL-Pong-master-2/Pong_xst.xrpt (revision 428) +++ github/VHDL-Pong-master-2/Pong_xst.xrpt (nonexistent) @@ -1,196 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - -
-
- - - - - - - - - - - -
-
- - - -
-
-
-
-
-
-
-
- - - - - -
-
- -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
- - - - - - - -
-
-
-
-
- - - -
- - - Index: github/VHDL-Pong-master-2/Pong_map.mrp =================================================================== --- github/VHDL-Pong-master-2/Pong_map.mrp (revision 428) +++ github/VHDL-Pong-master-2/Pong_map.mrp (nonexistent) @@ -1,159 +0,0 @@ -Release 12.3 Map M.70d (lin) -Xilinx Mapping Report File for Design 'Pong' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off --c 100 -o Pong_map.ncd Pong.ngd Pong.pcf -Target Device : xc3s200a -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3a -- $Revision: 1.52 $ -Mapped Date : Thu May 8 17:20:46 2014 - -Design Summary --------------- -Number of errors: 0 -Number of warnings: 0 -Logic Utilization: - Number of Slice Flip Flops: 54 out of 3,584 1% - Number of 4 input LUTs: 275 out of 3,584 7% -Logic Distribution: - Number of occupied Slices: 154 out of 1,792 8% - Number of Slices containing only related logic: 154 out of 154 100% - Number of Slices containing unrelated logic: 0 out of 154 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 298 out of 3,584 8% - Number used as logic: 275 - Number used as a route-thru: 23 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 8 out of 68 11% - Number of BUFGMUXs: 2 out of 24 8% - -Average Fanout of Non-Clock Nets: 3.77 - -Peak Memory Usage: 160 MB -Total REAL time to MAP completion: 2 secs -Total CPU time to MAP completion: 2 secs - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Table of Contents ------------------ -Section 1 - Errors -Section 2 - Warnings -Section 3 - Informational -Section 4 - Removed Logic Summary -Section 5 - Removed Logic -Section 6 - IOB Properties -Section 7 - RPMs -Section 8 - Guide Report -Section 9 - Area Group and Partition Summary -Section 10 - Timing Report -Section 11 - Configuration String Information -Section 12 - Control Set Information -Section 13 - Utilization by Hierarchy - -Section 1 - Errors ------------------- - -Section 2 - Warnings --------------------- - -Section 3 - Informational -------------------------- -INFO:MapLib:562 - No environment variables are currently set. -INFO:LIT:244 - All of the single ended outputs in this design are using slew - rate limited output drivers. The delay on speed critical single ended outputs - can be dramatically reduced by designating them as fast outputs. - -Section 4 - Removed Logic Summary ---------------------------------- - 2 block(s) optimized away - -Section 5 - Removed Logic -------------------------- - -Optimized Block(s): -TYPE BLOCK -GND XST_GND -VCC XST_VCC - -To enable printing of redundant blocks removed and signals merged, set the -detailed map report option and rerun map. - -Section 6 - IOB Properties --------------------------- - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IBUF/IFD | SUSPEND | -| | | | | Term | Strength | Rate | | | Delay | | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -| clk50 | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| leftBtn | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| rightBtn | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| vgaB | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vgaG | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vgaHS | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vgaR | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vgaVS | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -Section 7 - RPMs ----------------- - -Section 8 - Guide Report ------------------------- -Guide not run on this design. - -Section 9 - Area Group and Partition Summary --------------------------------------------- - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Area Group Information ----------------------- - - No area groups were found in this design. - ----------------------- - -Section 10 - Timing Report --------------------------- -This design was not run using timing mode. - -Section 11 - Configuration String Details ------------------------------------------ -Use the "-detail" map option to print out Configuration Strings - -Section 12 - Control Set Information ------------------------------------- -No control set information for this architecture. - -Section 13 - Utilization by Hierarchy -------------------------------------- -Use the "-detail" map option to print out the Utilization by Hierarchy section. Index: github/VHDL-Pong-master-2/Pong.lso =================================================================== --- github/VHDL-Pong-master-2/Pong.lso (revision 428) +++ github/VHDL-Pong-master-2/Pong.lso (nonexistent) @@ -1 +0,0 @@ -work Index: github/VHDL-Pong-master-2/Pong_envsettings.html =================================================================== --- github/VHDL-Pong-master-2/Pong_envsettings.html (revision 428) +++ github/VHDL-Pong-master-2/Pong_envsettings.html (nonexistent) @@ -1,481 +0,0 @@ -Xilinx System Settings Report - -
System Settings

-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Environment Settings
Environment Variablexstngdbuildmappar
LD_LIBRARY_PATH/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin
PATH/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
XILINX/opt/Xilinx/12.3/ISE_DS/ISE//opt/Xilinx/12.3/ISE_DS/ISE//opt/Xilinx/12.3/ISE_DS/ISE//opt/Xilinx/12.3/ISE_DS/ISE/
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn Pong.prj 
-ifmt mixedMIXED
-ofn Pong 
-ofmt NGCNGC
-p xc3s200a-4-vq100 
-top Pong 
-opt_modeOptimization GoalSpeedSpeed
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyNoNO
-netlist_hierarchyNetlist HierarchyAs_OptimizedAs_Optimized
-rtlviewGenerate RTL SchematicYesNO
-glob_optGlobal Optimization GoalAllClockNetsAllClockNets
-read_coresRead CoresYESYES
-write_timing_constraintsWrite Timing ConstraintsNONO
-cross_clock_analysisCross Clock AnalysisNONO
-bus_delimiterBus Delimiter<><>
-slice_utilization_ratioSlice Utilization Ratio100100%
-bram_utilization_ratioBRAM Utilization Ratio100100%
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-fsm_style LUTLUT
-ram_extract YesYES
-ram_style AutoAUTO
-rom_extract YesYES
-shreg_extract YESYES
-rom_style AutoAUTO
-auto_bram_packing NONO
-resource_sharing YESYES
-async_to_sync NONO
-mult_style AutoAUTO
-iobuf YESYES
-max_fanout 500500
-bufg 2424
-register_duplication YESYES
-register_balancing NoNO
-optimize_primitives NONO
-use_clock_enable YesYES
-use_sync_set YesYES
-use_sync_reset YesYES
-iob AutoAUTO
-equivalent_register_removal YESYES
-slice_utilization_ratio_maxmargin 50%
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Translation Property Settings
Switch NameProperty NameValueDefault Value
-intstyle iseNone
-dd _ngoNone
-p xc3s200a-vq100-4None
-uc Pong.ucfNone
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Map Property Settings
Switch NameProperty NameValueDefault Value
-irUse RLOC ConstraintsOFFOFF
-cmOptimization Strategy (Cover Mode)areaarea
-intstyle iseNone
-o Pong_map.ncdNone
-prPack I/O Registers/Latches into IOBsoffoff
-p xc3s200a-vq100-4None
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Place and Route Property Settings
Switch NameProperty NameValueDefault Value
-t 11
-intstyle ise 
-olPlace & Route Effort Level (Overall)highstd
-w truefalse
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedAMD Athlon(tm) II X2 255 Processor/800.000 MHzAMD Athlon(tm) II X2 255 Processor/800.000 MHzAMD Athlon(tm) II X2 255 Processor/3100.000 MHzAMD Athlon(tm) II X2 255 Processor/1900.000 MHz
Hostcudar75cudar75cudar75cudar75
OS NameDebianDebianDebianDebian
OS ReleaseDebian GNU/Linux 7.4 (wheezy)Debian GNU/Linux 7.4 (wheezy)Debian GNU/Linux 7.4 (wheezy)Debian GNU/Linux 7.4 (wheezy)
- \ No newline at end of file Index: github/VHDL-Pong-master-2/_xmsgs/par.xmsgs =================================================================== --- github/VHDL-Pong-master-2/_xmsgs/par.xmsgs (revision 428) +++ github/VHDL-Pong-master-2/_xmsgs/par.xmsgs (nonexistent) @@ -1,18 +0,0 @@ - - - -No user timing constraints were detected or you have set the option to ignore timing constraints ("par -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". - - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - - - Index: github/VHDL-Pong-master-2/_xmsgs/bitgen.xmsgs =================================================================== --- github/VHDL-Pong-master-2/_xmsgs/bitgen.xmsgs (revision 428) +++ github/VHDL-Pong-master-2/_xmsgs/bitgen.xmsgs (nonexistent) @@ -1,9 +0,0 @@ - - - - - Index: github/VHDL-Pong-master-2/_xmsgs/ngdbuild.xmsgs =================================================================== --- github/VHDL-Pong-master-2/_xmsgs/ngdbuild.xmsgs (revision 428) +++ github/VHDL-Pong-master-2/_xmsgs/ngdbuild.xmsgs (nonexistent) @@ -1,9 +0,0 @@ - - - - - Index: github/VHDL-Pong-master-2/_xmsgs/pn_parser.xmsgs =================================================================== --- github/VHDL-Pong-master-2/_xmsgs/pn_parser.xmsgs (revision 428) +++ github/VHDL-Pong-master-2/_xmsgs/pn_parser.xmsgs (nonexistent) @@ -1,12 +0,0 @@ - - - - - - - - - - - - Index: github/VHDL-Pong-master-2/_xmsgs/trce.xmsgs =================================================================== --- github/VHDL-Pong-master-2/_xmsgs/trce.xmsgs (revision 428) +++ github/VHDL-Pong-master-2/_xmsgs/trce.xmsgs (nonexistent) @@ -1,19 +0,0 @@ - - - -No timing constraints found, doing default enumeration. - -To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. - -The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. - -This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation. - -This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error. - - - Index: github/VHDL-Pong-master-2/_xmsgs/map.xmsgs =================================================================== --- github/VHDL-Pong-master-2/_xmsgs/map.xmsgs (revision 428) +++ github/VHDL-Pong-master-2/_xmsgs/map.xmsgs (nonexistent) @@ -1,15 +0,0 @@ - - - -No environment variables are currently set. - - -All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs. - - - - Index: github/VHDL-Pong-master-2/_xmsgs/xst.xmsgs =================================================================== --- github/VHDL-Pong-master-2/_xmsgs/xst.xmsgs (revision 428) +++ github/VHDL-Pong-master-2/_xmsgs/xst.xmsgs (nonexistent) @@ -1,12 +0,0 @@ - - - -HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. - - - - Index: github/VHDL-Pong-master-2/Pong.bld =================================================================== --- github/VHDL-Pong-master-2/Pong.bld (revision 428) +++ github/VHDL-Pong-master-2/Pong.bld (nonexistent) @@ -1,37 +0,0 @@ -Release 12.3 ngdbuild M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Command Line: /opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/ngdbuild -intstyle -ise -dd _ngo -nt timestamp -uc Pong.ucf -p xc3s200a-vq100-4 Pong.ngc Pong.ngd - -Reading NGO file -"/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong. -ngc" ... -Gathering constraint information from source properties... -Done. - -Annotating constraints to design from ucf file "Pong.ucf" ... -Resolving constraint associations... -Checking Constraint Associations... -Done... - -Checking expanded design ... - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -NGDBUILD Design Results Summary: - Number of errors: 0 - Number of warnings: 0 - -Total memory usage is 87724 kilobytes - -Writing NGD file "Pong.ngd" ... -Total REAL time to NGDBUILD completion: 2 sec -Total CPU time to NGDBUILD completion: 2 sec - -Writing NGDBUILD log file "Pong.bld"... Index: github/VHDL-Pong-master-2/Pong_map.ncd =================================================================== --- github/VHDL-Pong-master-2/Pong_map.ncd (revision 428) +++ github/VHDL-Pong-master-2/Pong_map.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###3852:XlxV32DM 3fea ef4eNqtW2uT2joS/SvUrf06xC35eXUrVTyM4x1eAWbCfHJ5DEzYeGCWmeTGdfPjt+UH2FiYTjapwrS6j47aLXVb8pB/GeD8w8SNjp+I4+fZETfb3dvrWxKvTf0kt7av69bNS+t7xF+ZpoU33/4Lmnajt7BLKzysw9bN9tDabzYIyr+jFiJaN/vWdL97Cp7Dl/YuWqWN9u4pF16iTQuH3R7w8nIAoYEALqCt4UXTdAE/fvzggrdBXpgeik4rCg+HpBV9Dre71utbeHjb7p5af2/fPueW56/fW3/sD4/vRuFq9fr1MfjeDeM4yBoa/gui5C/t/R+/RpZcILN/iizaP7+EhyCInl+Cp7XkQZKCav0rVOFulbqjlTh/I2FcIVz9CuH2NQ2dysHfxAe/j+93329c8c/8Gb50+fa3h2C3f5MkLPj7qSDiVKKvr+sDruEo+vocvODqjdfT/WvBsvk5lsoCgeIG30oBM7RfYty+TlPXVHP6eyir02D9FOUpbPlEnOaBkyP4bbta76V3X3dvwecIL/8Xw7cTAxMdwA/DD8ePbojucNK7tbKv5cNGdO8G3uhu+c7rDW/xG0tqWRNgY+DOQEOtP+wHi87Mc/HS+8BEd4mfh0h01/FrS2vzFrRGoKfNP3OOoGC16+qCOjf52Az67rDzEHT6/w663clyU7L4Y7yuSoppp1/YJ91gcrdAZXRSoBkga86Hfs8dBj2479d0TOpYVfeAHg5USm9V0Q3OyAYGYjZV1aCmeKiSeDK05fa4P6irzlHLyWxQV0lUr9MP7n33U3Dvzub+ZGygZjZ7YKLnctHzxyjcojC8dURvMhr5i4Xb11G8W1iiN3M72FyJHqZJNqWsNcqbxdyFeVNOglPIk26Ui5k3IPogBkzIMA5w8Q1w8Q10EB4THqo8VHmo8rCGesNJtzMMhhPP72nVJsbXZ8LXcE3K0d5VV0eYKdOFYWcyTnomyfWAPceImXTfZYvDSmWEGGLUtrQVso4606A36g/9sRtMpgsM1zxMlaNJ/27orlJ54Y/c+aIzmj6mzTyu2Dl84WKsQSgvWD6jLwGsUQ643S5mDgGgy4uFFgiYU1gGaZtrZ204trET0+SFyYvkYGYoL/lIUmHLi4MXLkHckBeLibFE6xKhp5Lsp+f9JFC38GJIckM2DdnPSHWS0HCQwUTBlAhT0piSxpQwMzVIrCmxpuPgJffoJEpWS/a2uLzIjpbsYzmhvJzuwJbBs6GkkB1sQ2qNXGuJsbv4NJndgpgwMUEUTqcppp3erdvnQua5Iab9eZBWNJksQdcf9/2xhx4p9cHMHSDNyTYddnruyB0vNiXl3bzjuRXq+15PSV3Sp9TnfeTQI28WpWq8mWDxMHXDrOX1A7+fDTvtj4rFlVNM/XHgLhfuuO/2006QcaT5Xb6he7eXjgwns2SeP4x75S5lR4suRsmcDR7I6p7Hp6LHkqOL6S0W6bnvjXGEUTCf3M167kZqsVnKFFTIGVp8mN1hH9zTR+K03396xkI1Pezf9rJmgNZOix6rqdqaSgn1zl4d56k6e2edoT4yFCNDScna2cOD13VnjKzuDivcKeN4fWSuumde3LNCyeqMXh3nqRg9FaN3xqjXfdRV0bEV0bGV0bHrPtqq6Dj1kR1VdBxVdBxVdJz6yI4qOo4qOo4iOqy+apmmiA4z6tEpdDhMGWm2s+0Ir+uqcURtbWxTEZ9CWR3GUgxjKYex6sNYqlu0FYy2ktGuM9oqRkfB6CgZ60uFHZdKCcdZDZerVDivjvOqHnJe97DQVT3k9VTnXHHPXFcw6krGemJyVWJyQ8FoKBmNOqORM25KOoxsu1/uqOeLm9VUbSh11LX2oN0vK+BcYUhF2Xub1xOn0FXI8xUA55qK63n+n4POZtVRJKtzSlbxEcSciblmijnu0fxxJLLt2rsUcWrJCK+OrfQ8UW16dtEcHHulx4fw2BqU5Ycj3ns8SniP5cbJIk8H5QbuuuczS8w/hy945C0EPHjlgnaUTjp2lPhR0o+ScZTMgo4VAi8EvRCMQjiCrUKwC8HBvcX8R9+fd7pDN+gsFrM/h5NPP36sxeK4mZJboE3azrcg6Z5IP9fg1g2PlSxX32MU0r0Ibuc2Vd1ssaooRri0S+351HX7uUpuxfzRFEPqLzKWRWm7lo2VbpZSB4Z+dw4Cj8fLbiiW/Q+Z/3M7ldHTXqadf+hM3Vwr+z2mUkG6EksfTybLm2W/i2cxaM0Xd5nQmfd8PBgtP5piuXoM/BV2XD0H3e1utd09oceyNQr/sz/crw+v2/2uUG13J5XAM+HDR13It7S2eIzD3RfsbIgo/sIMM/tCH7LvfC8eZk15cpcl8tRo5+f8KNdl8JTMwDRMv9rH49tjpkh7TtG3UutdSm3XVMUAmIRlk3/ypNAdjw3R8yre7tamyF652aJ468NRWlsiXm/eum87ZMylk4NRoQrkAFgNys3jaWW/2WzE/pC/D80E9OVcAxxPC3VlTqPowGwwFcpg/klTMKHhIhM38O7OlJxheGu6gqMON3Eaa7rUlxrWsuvUln2R2mZ1apul1LX7z0lYJIrX7qVX0cf37hB83x/+Mt8DYHG+DzRmEuAWwklAWwI1FRATb/09lbe7b+zxBDm+7S39aUBS8ZOLxnW0Lgcm4AwiziTiHIlrvBv4qbsB4t3A8W5go8BVoo0l6jICCRg023G5sWYOVpn0uj14XK00fPpdgxRL+CoZu05W5CsZyRojCem6ZeL638cIIKCAGAXEKSCdAjIoIJMCsigg+z3jzaD465uMJgEFJBQjoTgJpZNQBgllklAWCUWLqnMVJYuLRkIBCcVIKE5C6SSUQUKZJJRFQtkklHOeHAmliCSUIpJQikhCKSIJpYgklCKSUIpIQikiCaWIJKQikpCKSEIqIgmpiCSkIpKQikhCKiIJqYgkpCKSkIpIQioiCamIJKQikpCKSEIqIgmpiCSkIpKQikhCKiLJhSKSb5Eafg9zFQLXIew6hF+H6NchxnWIeR2CMYYmSJ7n1nVMvle/yqYTRjQIGDxhmVXMcQufHmhVBoan4Is2XS+27CpbeoK8ZC9Oo812dslhAOuCgcHjJUuTQ3DFISgcarbzSw5f7FgcDFi14+VfjJ2trEtAQCSNEqhARgVyKlCnAg0q0KQCLSrQpgId0tTkmwWbhpSzGJGhcoFTfQCyt4yM5GSkTkYaZKRJRlpkJE7+IxX5M9F3mhdVTM33mJzvMTXfY2q+x9R8j6n5HlPzPabme0zN95ia7zE13+NKvhORQEYyMpKTkToZaZCRJhlpkZE2GYlzZAjS75xpOCDiGBHHiTidiDOIOJOIs4g4m4ir1T0VLk8ZGhCoQEYFcipQpwINKtCkAi0q0KYCCZkCxEwBYqYAMVOAmClAzBQgZgoQMwWImQLETAFipgA1U4CaKUDNFKBmClAzBaiZAtRMAWqmADVTgJApla2bScGBRiQEIo4RcZyI04k4g4gziTiLiLOJOIcyIddTKqY+fGLqwyemPnxi6sMnpj58YurDJ6Y+fGLqw4e8TSv/v6CrhEBNKSCmFBBTCogpBcSUAmJKATGlgJhSQEwpIKYUUFMKqCkF1JQCakoBNaWAmlJATSmgphRQU6p4SmVHpDHAuhCO/zum0tZzINPWhZAD7bwNkL9UVf0mR/6MpcFqNVrt92CcWzMJIrW+eE9rn5lP21mlKSs2EKYmxQ9t5I9nLhv1JqPRZDSbjBibqMlYhF1tLn5LorZmP4cxryGKcF520n7f4IX8Ldblrs7lm4emgENTwKEp4KXVeMHY0NVq6mo1drWbutqNXR3Z9X9Zsb01###3580:XlxV32DM 3ff2 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###4376:XlxV32DM 3fff 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###4068:XlxV32DM 3fff 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###4320:XlxV32DM 3fff 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###4392:XlxV32DM 3fff 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###3768:XlxV32DM 3fff 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###4320:XlxV32DM 3fff 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###6704:XlxV32DM 3fff 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###4560:XlxV32DM 3b31 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 \ No newline at end of file Index: github/VHDL-Pong-master-2/Pong_pad.txt =================================================================== --- github/VHDL-Pong-master-2/Pong_pad.txt (revision 428) +++ github/VHDL-Pong-master-2/Pong_pad.txt (nonexistent) @@ -1,130 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 17:21:04 2014 - - -INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are: -1. The _pad.txt file (this file) designed to provide information on IO usage in a human readable ASCII text format viewable through common text editors. -2. The _pad.csv file for use with spreadsheet programs such as MS Excel. This file can also be read by PACE to communicate post PAR IO information. -3. The .pad file designed for parsing by customers. It uses the "|" as a data field separator. - -INPUT FILE: Pong_map.ncd -OUTPUT FILE: Pong_pad.txt -PART TYPE: xc3s200a -SPEED GRADE: -4 -PACKAGE: vq100 - -Pinout by Pin Number: - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -|Pin Number|Signal Name|Pin Usage |Pin Name |Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage |Constraint|IO Register|Signal Integrity| -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -|P1 | | |TMS | | | | | | | | | | | | -|P2 | | |TDI | | | | | | | | | | | | -|P3 | |DIFFMLR |IO_L01P_3 |UNUSED | |3 | | | | | | | | | -|P4 | |DIFFSLR |IO_L01N_3 |UNUSED | |3 | | | | | | | | | -|P5 | |DIFFMLR |IO_L02P_3 |UNUSED | |3 | | | | | | | | | -|P6 | |DIFFSLR |IO_L02N_3 |UNUSED | |3 | | | | | | | | | -|P7 | |DIFFSI_NDT|IP_3/VREF_3 |UNUSED | |3 | | | | | | | | | -|P8 | | |GND | | | | | | | | | | | | -|P9 | |DIFFMLR |IO_L03P_3/LHCLK0 |UNUSED | |3 | | | | | | | | | -|P10 | |DIFFSLR |IO_L03N_3/LHCLK1 |UNUSED | |3 | | | | | | | | | -|P11 | | |VCCO_3 | | |3 | | | | |2.50 | | | | -|P12 |vgaVS |IOB |IO_L04P_3/LHCLK2 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P13 |vgaR |IOB |IO_L04N_3/IRDY2/LHCLK3|OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P14 | | |GND | | | | | | | | | | | | -|P15 |vgaHS |IOB |IO_L05P_3/TRDY2/LHCLK6|OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P16 |vgaG |IOB |IO_L05N_3/LHCLK7 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P17 | | |VCCINT | | | | | | | |1.2 | | | | -|P18 | | |GND | | | | | | | | | | | | -|P19 | |DIFFMLR |IO_L06P_3 |UNUSED | |3 | | | | | | | | | -|P20 |vgaB |IOB |IO_L06N_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P21 | |DIFFMI_NDT|IP_3 |UNUSED | |3 | | | | | | | | | -|P22 | | |VCCAUX | | | | | | | |2.5 | | | | -|P23 | |DIFFMTB |IO_L01P_2/M1 |UNUSED | |2 | | | | | | | | | -|P24 | |DIFFMTB |IO_L02P_2/M2 |UNUSED | |2 | | | | | | | | | -|P25 | |DIFFSTB |IO_L01N_2/M0 |UNUSED | |2 | | | | | | | | | -|P26 | | |VCCO_2 | | |2 | | | | |any******| | | | -|P27 | |DIFFSTB |IO_L02N_2/CSO_B |UNUSED | |2 | | | | | | | | | -|P28 | |DIFFMTB |IO_L03P_2/RDWR_B |UNUSED | |2 | | | | | | | | | -|P29 | |DIFFSTB |IO_L03N_2/VS2 |UNUSED | |2 | | | | | | | | | -|P30 | |DIFFMTB |IO_L04P_2/VS1 |UNUSED | |2 | | | | | | | | | -|P31 | |DIFFSTB |IO_L04N_2/VS0 |UNUSED | |2 | | | | | | | | | -|P32 | |DIFFMTB |IO_L05P_2 |UNUSED | |2 | | | | | | | | | -|P33 | |DIFFSTB |IO_L05N_2 |UNUSED | |2 | | | | | | | | | -|P34 | |DIFFMTB |IO_L06P_2/D7 |UNUSED | |2 | | | | | | | | | -|P35 | |DIFFSTB |IO_L06N_2/D6 |UNUSED | |2 | | | | | | | | | -|P36 | |DIFFMTB |IO_L07P_2/D5 |UNUSED | |2 | | | | | | | | | -|P37 | |DIFFSTB |IO_L07N_2/D4 |UNUSED | |2 | | | | | | | | | -|P38 | | |VCCINT | | | | | | | |1.2 | | | | -|P39 |rightBtn |IBUF |IP_2/VREF_2 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P40 | |DIFFMTB |IO_L08P_2/GCLK14 |UNUSED | |2 | | | | | | | | | -|P41 | |DIFFSTB |IO_L08N_2/GCLK15 |UNUSED | |2 | | | | | | | | | -|P42 | | |GND | | | | | | | | | | | | -|P43 |clk50 |IBUF |IO_L09P_2/GCLK0 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P44 | |DIFFSTB |IO_L09N_2/GCLK1 |UNUSED | |2 | | | | | | | | | -|P45 | | |VCCO_2 | | |2 | | | | |any******| | | | -|P46 | |DIFFSTB |IO_2/MOSI/CSI_B |UNUSED | |2 | | | | | | | | | -|P47 | | |GND | | | | | | | | | | | | -|P48 | |DIFFMTB |IO_L10P_2/INIT_B |UNUSED | |2 | | | | | | | | | -|P49 | |DIFFSTB |IO_L10N_2/D3 |UNUSED | |2 | | | | | | | | | -|P50 |leftBtn |IBUF |IO_L11P_2/D2 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P51 | |DIFFMTB |IO_L12P_2/D0/DIN/MISO |UNUSED | |2 | | | | | | | | | -|P52 | |DIFFSTB |IO_L11N_2/D1 |UNUSED | |2 | | | | | | | | | -|P53 | |DIFFSTB |IO_L12N_2/CCLK |UNUSED | |2 | | | | | | | | | -|P54 | | |DONE | | | | | | | | | | | | -|P55 | | |VCCAUX | | | | | | | |2.5 | | | | -|P56 | |DIFFMLR |IO_L01P_1 |UNUSED | |1 | | | | | | | | | -|P57 | |DIFFSLR |IO_L01N_1 |UNUSED | |1 | | | | | | | | | -|P58 | | |GND | | | | | | | | | | | | -|P59 | |DIFFMLR |IO_L02P_1/RHCLK0 |UNUSED | |1 | | | | | | | | | -|P60 | |DIFFSLR |IO_L02N_1/RHCLK1 |UNUSED | |1 | | | | | | | | | -|P61 | |DIFFMLR |IO_L03P_1/RHCLK2 |UNUSED | |1 | | | | | | | | | -|P62 | |DIFFSLR |IO_L03N_1/TRDY1/RHCLK3|UNUSED | |1 | | | | | | | | | -|P63 | | |GND | | | | | | | | | | | | -|P64 | |DIFFMLR |IO_L04P_1/IRDY1/RHCLK6|UNUSED | |1 | | | | | | | | | -|P65 | |DIFFSLR |IO_L04N_1/RHCLK7 |UNUSED | |1 | | | | | | | | | -|P66 | | |VCCINT | | | | | | | |1.2 | | | | -|P67 | | |VCCO_1 | | |1 | | | | |any******| | | | -|P68 | |DIFFMI_NDT|IP_1/VREF_1 |UNUSED | |1 | | | | | | | | | -|P69 | | |GND | | | | | | | | | | | | -|P70 | |DIFFMLR |IO_L05P_1 |UNUSED | |1 | | | | | | | | | -|P71 | |DIFFSLR |IO_L05N_1 |UNUSED | |1 | | | | | | | | | -|P72 | |DIFFMLR |IO_L06P_1 |UNUSED | |1 | | | | | | | | | -|P73 | |DIFFSLR |IO_L06N_1 |UNUSED | |1 | | | | | | | | | -|P74 | | |GND | | | | | | | | | | | | -|P75 | | |TDO | | | | | | | | | | | | -|P76 | | |TCK | | | | | | | | | | | | -|P77 | |DIFFMTB |IO_L01P_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P78 | |DIFFSTB |IO_L01N_0 |UNUSED | |0 | | | | | | | | | -|P79 | | |VCCO_0 | | |0 | | | | |any******| | | | -|P80 | | |GND | | | | | | | | | | | | -|P81 | | |VCCINT | | | | | | | |1.2 | | | | -|P82 | |IBUF |IP_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P83 | |DIFFMTB |IO_L02P_0/GCLK4 |UNUSED | |0 | | | | | | | | | -|P84 | |DIFFSTB |IO_L02N_0/GCLK5 |UNUSED | |0 | | | | | | | | | -|P85 | |DIFFMTB |IO_L03P_0/GCLK6 |UNUSED | |0 | | | | | | | | | -|P86 | |DIFFSTB |IO_L03N_0/GCLK7 |UNUSED | |0 | | | | | | | | | -|P87 | | |GND | | | | | | | | | | | | -|P88 | |DIFFMTB |IO_L04P_0/GCLK8 |UNUSED | |0 | | | | | | | | | -|P89 | |DIFFSTB |IO_L04N_0/GCLK9 |UNUSED | |0 | | | | | | | | | -|P90 | |DIFFSTB |IO_0/GCLK11 |UNUSED | |0 | | | | | | | | | -|P91 | | |GND | | | | | | | | | | | | -|P92 | | |VCCAUX | | | | | | | |2.5 | | | | -|P93 | |DIFFMTB |IO_L05P_0 |UNUSED | |0 | | | | | | | | | -|P94 | |DIFFSTB |IO_L05N_0 |UNUSED | |0 | | | | | | | | | -|P95 | | |GND | | | | | | | | | | | | -|P96 | | |VCCO_0 | | |0 | | | | |any******| | | | -|P97 | |IBUF |IP_0 |UNUSED | |0 | | | | | | | | | -|P98 | |DIFFMTB |IO_L06P_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P99 | |DIFFSTB |IO_L06N_0/PUDC_B |UNUSED | |0 | | | | | | | | | -|P100 | | |PROG_B | | | | | | | | | | | | -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -* Default value. -** This default Pullup/Pulldown value can be overridden in Bitgen. -****** Special VCCO requirements may apply. Please consult the device - family datasheet for specific guideline on VCCO requirements. - - Index: github/VHDL-Pong-master-2/Pong.cmd_log =================================================================== --- github/VHDL-Pong-master-2/Pong.cmd_log (revision 428) +++ github/VHDL-Pong-master-2/Pong.cmd_log (nonexistent) @@ -1,11 +0,0 @@ -xst -intstyle ise -ifn "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.xst" -ofn "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.syr" -ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc Pong.ucf -p xc3s200a-vq100-4 Pong.ngc Pong.ngd -map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o Pong_map.ncd Pong.ngd Pong.pcf -par -w -intstyle ise -ol high -t 1 Pong_map.ncd Pong.ncd Pong.pcf -trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml Pong.twx Pong.ncd -o Pong.twr Pong.pcf -ucf Pong.ucf -bitgen -intstyle ise -f Pong.ut Pong.ncd -ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc Pong.ucf -p xc3s200a-vq100-4 Pong.ngc Pong.ngd -map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o Pong_map.ncd Pong.ngd Pong.pcf -par -w -intstyle ise -ol high -t 1 Pong_map.ncd Pong.ncd Pong.pcf -trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml Pong.twx Pong.ncd -o Pong.twr Pong.pcf -ucf Pong.ucf -bitgen -intstyle ise -f Pong.ut Pong.ncd Index: github/VHDL-Pong-master-2/iseconfig/Pong.projectmgr =================================================================== --- github/VHDL-Pong-master-2/iseconfig/Pong.projectmgr (revision 428) +++ github/VHDL-Pong-master-2/iseconfig/Pong.projectmgr (nonexistent) @@ -1,92 +0,0 @@ - - - - - - - - - 2 - /Pong - Behavioral |home|lguanuco|opencores|phr|trunk|codigo|demos|github|VHDL-Pong-master-2|Pong.vhd - - - Pong - Behavioral (/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.vhd) - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000010d000000020000000000000000000000000000000064ffffffff0000008100000000000000020000010d0000000100000000000000000000000100000000 - false - Pong - Behavioral (/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.vhd) - - - - 1 - Configure Target Device - Design Utilities - Implement Design - Synthesize - XST - User Constraints - - - Generate Programming File - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - Generate Programming File - - - - 1 - - - 0 - 0 - 000000ff0000000000000001000000000000000001000000000000000000000000000000000000039f000000040101000100000000000000000000000064ffffffff000000810000000000000004000000810000000100000000000000d00000000100000000000000840000000100000000000001ca0000000100000000 - false - Ball.vhd - - - - 1 - work - - - 0 - 0 - 000000ff00000000000000010000000000000000010000000000000000000000000000000000000124000000010001000100000000000000000000000064ffffffff000000810000000000000001000001240000000100000000 - false - work - - - - 1 - User Constraints - - - - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - - - - - 1 - Design Utilities - - - - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - - - 000000ff0000000000000002000001440000011d01000000060100000002 - Implementation - Index: github/VHDL-Pong-master-2/iseconfig/Pong.xreport =================================================================== --- github/VHDL-Pong-master-2/iseconfig/Pong.xreport (revision 428) +++ github/VHDL-Pong-master-2/iseconfig/Pong.xreport (nonexistent) @@ -1,217 +0,0 @@ - - -
- 2014-05-08T17:13:44 - Pong - Unknown - /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/iseconfig/Pong.xreport - /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2 - 2014-05-08T17:09:38 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: github/VHDL-Pong-master-2/webtalk.log =================================================================== --- github/VHDL-Pong-master-2/webtalk.log (revision 428) +++ github/VHDL-Pong-master-2/webtalk.log (nonexistent) @@ -1,16 +0,0 @@ -Release 12.3 - WebTalk (M.70d) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Project Information --------------------- -ProjectID=91BBC70763BD469ABAEF0ED0236BC31E -ProjectIteration=2 - -WebTalk Summary ----------------- -INFO:WebTalk:2 - WebTalk is enabled. - -INFO:WebTalk:8 - WebTalk Install setting is ON. -INFO:WebTalk:6 - WebTalk User setting is ON. - -INFO:WebTalk:5 - /home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/12.3/ISE_DS/ISE/data/reports/webtalk_introduction.html Index: github/VHDL-Pong-master-2/Pong.xise =================================================================== --- github/VHDL-Pong-master-2/Pong.xise (revision 428) +++ github/VHDL-Pong-master-2/Pong.xise (nonexistent) @@ -1,82 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
github/VHDL-Pong-master-2/Pong.xise Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: github/VHDL-Pong-master-2/Pong.drc =================================================================== --- github/VHDL-Pong-master-2/Pong.drc (revision 428) +++ github/VHDL-Pong-master-2/Pong.drc (nonexistent) @@ -1,8 +0,0 @@ -Release 12.3 Drc M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 17:21:08 2014 - -drc -z Pong.ncd Pong.pcf - -DRC detected 0 errors and 0 warnings. Index: github/VHDL-Pong-master-2/readme.txt =================================================================== --- github/VHDL-Pong-master-2/readme.txt (revision 428) +++ github/VHDL-Pong-master-2/readme.txt (nonexistent) @@ -1,3 +0,0 @@ -This is a demo project for the February 2011 Denver Open Source User Group (http://www.denveropensource.org/) meeting. - -The project is for the Diligent Spartan-3 Evaluation Board with ISE version 12.3. \ No newline at end of file Index: github/VHDL-Pong-master-2/Pong_map.map =================================================================== --- github/VHDL-Pong-master-2/Pong_map.map (revision 428) +++ github/VHDL-Pong-master-2/Pong_map.map (nonexistent) @@ -1,69 +0,0 @@ -Release 12.3 Map M.70d (lin) -Xilinx Map Application Log File for Design 'Pong' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off --c 100 -o Pong_map.ncd Pong.ngd Pong.pcf -Target Device : xc3s200a -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3a -- $Revision: 1.52 $ -Mapped Date : Thu May 8 17:20:46 2014 - -Mapping design into LUTs... -Running directed packing... -Running delay-based LUT packing... -Running related packing... -Updating timing models... - -Design Summary --------------- - -Design Summary: -Number of errors: 0 -Number of warnings: 0 -Logic Utilization: - Number of Slice Flip Flops: 54 out of 3,584 1% - Number of 4 input LUTs: 275 out of 3,584 7% -Logic Distribution: - Number of occupied Slices: 154 out of 1,792 8% - Number of Slices containing only related logic: 154 out of 154 100% - Number of Slices containing unrelated logic: 0 out of 154 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 298 out of 3,584 8% - Number used as logic: 275 - Number used as a route-thru: 23 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 8 out of 68 11% - Number of BUFGMUXs: 2 out of 24 8% - -Average Fanout of Non-Clock Nets: 3.77 - -Peak Memory Usage: 160 MB -Total REAL time to MAP completion: 2 secs -Total CPU time to MAP completion: 2 secs - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Mapping completed. -See MAP report file "Pong_map.mrp" for details. Index: github/VHDL-Pong-master-2/Pong_bitgen.xwbt =================================================================== --- github/VHDL-Pong-master-2/Pong_bitgen.xwbt (revision 428) +++ github/VHDL-Pong-master-2/Pong_bitgen.xwbt (nonexistent) @@ -1,8 +0,0 @@ -INTSTYLE=ise -INFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.ncd -OUTFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.bit -FAMILY=Spartan3A and Spartan3AN -PART=xc3s200a-4vq100 -WORKINGDIR=/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2 -LICENSE=WebPack -USER_INFO=0_0_320 Index: github/VHDL-Pong-master-2/Pong.twr =================================================================== --- github/VHDL-Pong-master-2/Pong.twr (revision 428) +++ github/VHDL-Pong-master-2/Pong.twr (nonexistent) @@ -1,60 +0,0 @@ --------------------------------------------------------------------------------- -Release 12.3 Trace (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/trce -intstyle ise -v 3 -s 4 -n 3 --fastpaths -xml Pong.twx Pong.ncd -o Pong.twr Pong.pcf -ucf Pong.ucf - -Design file: Pong.ncd -Physical constraint file: Pong.pcf -Device,package,speed: xc3s200a,vq100,-4 (PRODUCTION 1.41 2010-09-15) -Report level: verbose report - -Environment Variable Effect --------------------- ------ -NONE No environment variables were set --------------------------------------------------------------------------------- - -INFO:Timing:2698 - No timing constraints found, doing default enumeration. -INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths - option. All paths that are not constrained will be reported in the - unconstrained paths section(s) of the report. -INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on - a 50 Ohm transmission line loading model. For the details of this model, - and for more information on accounting for different loading conditions, - please see the device datasheet. -INFO:Timing:3390 - This architecture does not support a default System Jitter - value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock - Uncertainty calculation. -INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and - 'Phase Error' calculations, these terms will be zero in the Clock - Uncertainty calculation. Please make appropriate modification to - SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase - Error. - - - -Data Sheet report: ------------------ -All values displayed in nanoseconds (ns) - -Clock to Setup on destination clock clk50 ----------------+---------+---------+---------+---------+ - | Src:Rise| Src:Fall| Src:Rise| Src:Fall| -Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------+---------+---------+---------+---------+ -clk50 | 2.157| | | | ----------------+---------+---------+---------+---------+ - - -Analysis completed Thu May 8 17:21:06 2014 --------------------------------------------------------------------------------- - -Trace Settings: -------------------------- -Trace Settings - -Peak Memory Usage: 100 MB - - - Index: github/VHDL-Pong-master-2/Pong_summary.xml =================================================================== --- github/VHDL-Pong-master-2/Pong_summary.xml (revision 428) +++ github/VHDL-Pong-master-2/Pong_summary.xml (nonexistent) @@ -1,10 +0,0 @@ - - - - - - Index: github/VHDL-Pong-master-2/Pong.syr =================================================================== --- github/VHDL-Pong-master-2/Pong.syr (revision 428) +++ github/VHDL-Pong-master-2/Pong.syr (nonexistent) @@ -1,543 +0,0 @@ -Release 12.3 - xst M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. ---> -Parameter TMPDIR set to xst/projnav.tmp - - -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.06 secs - ---> -Parameter xsthdpdir set to xst - - -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.06 secs - ---> -Reading design: Pong.prj - -TABLE OF CONTENTS - 1) Synthesis Options Summary - 2) HDL Compilation - 3) Design Hierarchy Analysis - 4) HDL Analysis - 5) HDL Synthesis - 5.1) HDL Synthesis Report - 6) Advanced HDL Synthesis - 6.1) Advanced HDL Synthesis Report - 7) Low Level Synthesis - 8) Partition Report - 9) Final Report - 9.1) Device utilization summary - 9.2) Partition Resource Summary - 9.3) TIMING REPORT - - -========================================================================= -* Synthesis Options Summary * -========================================================================= ----- Source Parameters -Input File Name : "Pong.prj" -Input Format : mixed -Ignore Synthesis Constraint File : NO - ----- Target Parameters -Output File Name : "Pong" -Output Format : NGC -Target Device : xc3s200a-4-vq100 - ----- Source Options -Top Module Name : Pong -Automatic FSM Extraction : YES -FSM Encoding Algorithm : Auto -Safe Implementation : No -FSM Style : LUT -RAM Extraction : Yes -RAM Style : Auto -ROM Extraction : Yes -Mux Style : Auto -Decoder Extraction : YES -Priority Encoder Extraction : Yes -Shift Register Extraction : YES -Logical Shifter Extraction : YES -XOR Collapsing : YES -ROM Style : Auto -Mux Extraction : Yes -Resource Sharing : YES -Asynchronous To Synchronous : NO -Multiplier Style : Auto -Automatic Register Balancing : No - ----- Target Options -Add IO Buffers : YES -Global Maximum Fanout : 500 -Add Generic Clock Buffer(BUFG) : 24 -Register Duplication : YES -Slice Packing : YES -Optimize Instantiated Primitives : NO -Use Clock Enable : Yes -Use Synchronous Set : Yes -Use Synchronous Reset : Yes -Pack IO Registers into IOBs : Auto -Equivalent register Removal : YES - ----- General Options -Optimization Goal : Speed -Optimization Effort : 1 -Keep Hierarchy : No -Netlist Hierarchy : As_Optimized -RTL Output : Yes -Global Optimization : AllClockNets -Read Cores : YES -Write Timing Constraints : NO -Cross Clock Analysis : NO -Hierarchy Separator : / -Bus Delimiter : <> -Case Specifier : Maintain -Slice Utilization Ratio : 100 -BRAM Utilization Ratio : 100 -Verilog 2001 : YES -Auto BRAM Packing : NO -Slice Utilization Ratio Delta : 5 - -========================================================================= - - -========================================================================= -* HDL Compilation * -========================================================================= -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/pong_types.vhd" in Library work. -Package compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/VGA.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/paddle.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Ball.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. - -========================================================================= -* Design Hierarchy Analysis * -========================================================================= -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for entity in library (architecture ). - - -========================================================================= -* HDL Analysis * -========================================================================= -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - - -========================================================================= -* HDL Synthesis * -========================================================================= - -Performing bidirectional port resolution... - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/VGA.vhd". - Found 10-bit up counter for signal . - Found 10-bit up counter for signal . - Found 10-bit comparator greatequal for signal created at line 63. - Found 10-bit comparator greatequal for signal created at line 63. - Found 10-bit comparator less for signal created at line 22. - Found 10-bit comparator less for signal created at line 30. - Found 10-bit comparator less for signal created at line 32. - Found 10-bit comparator less for signal created at line 43. - Found 10-bit comparator less for signal created at line 51. - Found 10-bit comparator less for signal created at line 53. - Summary: - inferred 2 Counter(s). - inferred 8 Comparator(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/paddle.vhd". - Found 10-bit updown accumulator for signal . - Found 10-bit comparator greater for signal created at line 21. - Found 10-bit adder carry out for signal created at line 27. - Found 11-bit comparator less for signal created at line 27. - Found 10-bit comparator greatequal for signal created at line 43. - Found 10-bit comparator greatequal for signal created at line 43. - Found 10-bit comparator lessequal for signal created at line 43. - Found 11-bit comparator lessequal for signal created at line 43. - Summary: - inferred 1 Accumulator(s). - inferred 1 Adder/Subtractor(s). - inferred 6 Comparator(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Ball.vhd". - Found 10-bit adder carry out for signal created at line 38. - Found 11-bit comparator greatequal for signal created at line 38. - Found 11-bit comparator greatequal for signal created at line 38. - Found 11-bit comparator lessequal for signal created at line 38. - Found 10-bit comparator less for signal created at line 38. - Found 10-bit adder carry out for signal created at line 80. - Found 10-bit adder carry out for signal created at line 80. - Found 10-bit comparator greatequal for signal created at line 80. - Found 10-bit comparator greatequal for signal created at line 80. - Found 11-bit comparator lessequal for signal created at line 80. - Found 11-bit comparator lessequal for signal created at line 80. - Found 10-bit register for signal . - Found 10-bit addsub for signal . - Found 1-bit register for signal . - Found 10-bit register for signal . - Found 10-bit addsub for signal . - Found 10-bit comparator less for signal created at line 67. - Found 1-bit register for signal . - Summary: - inferred 22 D-type flip-flop(s). - inferred 5 Adder/Subtractor(s). - inferred 12 Comparator(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/VHDL-Pong-master-2/Pong.vhd". - Found 10-bit comparator greatequal for signal created at line 77. - Found 10-bit comparator lessequal for signal created at line 77. - Found 1-bit register for signal . - Found 10-bit comparator greater for signal created at line 70. - Found 10-bit comparator less for signal created at line 70. - Found 10-bit comparator less for signal created at line 70. - Summary: - inferred 1 D-type flip-flop(s). - inferred 5 Comparator(s). -Unit synthesized. - -INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. - -========================================================================= -HDL Synthesis Report - -Macro Statistics -# Adders/Subtractors : 6 - 10-bit adder carry out : 4 - 10-bit addsub : 2 -# Counters : 2 - 10-bit up counter : 2 -# Accumulators : 1 - 10-bit updown accumulator : 1 -# Registers : 5 - 1-bit register : 3 - 10-bit register : 2 -# Comparators : 31 - 10-bit comparator greatequal : 7 - 10-bit comparator greater : 2 - 10-bit comparator less : 10 - 10-bit comparator lessequal : 4 - 11-bit comparator greatequal : 3 - 11-bit comparator less : 1 - 11-bit comparator lessequal : 4 - -========================================================================= - -========================================================================= -* Advanced HDL Synthesis * -========================================================================= - - -========================================================================= -Advanced HDL Synthesis Report - -Macro Statistics -# Adders/Subtractors : 6 - 10-bit adder carry out : 4 - 10-bit addsub : 2 -# Counters : 2 - 10-bit up counter : 2 -# Accumulators : 1 - 10-bit updown accumulator : 1 -# Registers : 23 - Flip-Flops : 23 -# Comparators : 31 - 10-bit comparator greatequal : 7 - 10-bit comparator greater : 2 - 10-bit comparator less : 10 - 10-bit comparator lessequal : 4 - 11-bit comparator greatequal : 3 - 11-bit comparator less : 1 - 11-bit comparator lessequal : 4 - -========================================================================= - -========================================================================= -* Low Level Synthesis * -========================================================================= - -Optimizing unit ... - -Optimizing unit ... - -Optimizing unit ... - -Optimizing unit ... - -Mapping all equations... -Building and optimizing final netlist ... -Found area constraint ratio of 100 (+ 5) on block Pong, actual ratio is 8. -FlipFlop orb/xBall_3 has been replicated 1 time(s) - -Final Macro Processing ... - -========================================================================= -Final Register Report - -Macro Statistics -# Registers : 54 - Flip-Flops : 54 - -========================================================================= - -========================================================================= -* Partition Report * -========================================================================= - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -========================================================================= -* Final Report * -========================================================================= -Final Results -RTL Top Level Output File Name : Pong.ngr -Top Level Output File Name : Pong -Output Format : NGC -Optimization Goal : Speed -Keep Hierarchy : No - -Design Statistics -# IOs : 8 - -Cell Usage : -# BELS : 513 -# GND : 1 -# INV : 12 -# LUT1 : 23 -# LUT2 : 93 -# LUT2_L : 1 -# LUT3 : 58 -# LUT3_D : 3 -# LUT3_L : 4 -# LUT4 : 93 -# LUT4_D : 5 -# LUT4_L : 4 -# MUXCY : 155 -# MUXF5 : 10 -# VCC : 1 -# XORCY : 50 -# FlipFlops/Latches : 54 -# FDE : 33 -# FDR : 11 -# FDRE : 10 -# Clock Buffers : 2 -# BUFG : 1 -# BUFGP : 1 -# IO Buffers : 7 -# IBUF : 2 -# OBUF : 5 -========================================================================= - -Device utilization summary: ---------------------------- - -Selected Device : 3s200avq100-4 - - Number of Slices: 156 out of 1792 8% - Number of Slice Flip Flops: 54 out of 3584 1% - Number of 4 input LUTs: 296 out of 3584 8% - Number of IOs: 8 - Number of bonded IOBs: 8 out of 68 11% - Number of GCLKs: 2 out of 24 8% - ---------------------------- -Partition Resource Summary: ---------------------------- - - No Partitions were found in this design. - ---------------------------- - - -========================================================================= -TIMING REPORT - -NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. - FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT - GENERATED AFTER PLACE-and-ROUTE. - -Clock Information: ------------------- ------------------------------------+------------------------+-------+ -Clock Signal | Clock buffer(FF name) | Load | ------------------------------------+------------------------+-------+ -clk50 | BUFGP | 1 | -clk251 | BUFG | 53 | ------------------------------------+------------------------+-------+ - -Asynchronous Control Signals Information: ----------------------------------------- -No asynchronous control signals found in this design - -Timing Summary: ---------------- -Speed Grade: -4 - - Minimum period: 8.061ns (Maximum Frequency: 124.054MHz) - Minimum input arrival time before clock: 5.949ns - Maximum output required time after clock: 14.354ns - Maximum combinational path delay: No path found - -Timing Detail: --------------- -All values displayed in nanoseconds (ns) - -========================================================================= -Timing constraint: Default period analysis for Clock 'clk50' - Clock period: 1.907ns (frequency: 524.384MHz) - Total number of paths / destination ports: 1 / 1 -------------------------------------------------------------------------- -Delay: 1.907ns (Levels of Logic = 0) - Source: clk25 (FF) - Destination: clk25 (FF) - Source Clock: clk50 rising - Destination Clock: clk50 rising - - Data Path: clk25 to clk25 - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDR:C->Q 2 0.591 0.447 clk25 (clk251) - FDR:R 0.869 clk25 - ---------------------------------------- - Total 1.907ns (1.460ns logic, 0.447ns route) - (76.6% logic, 23.4% route) - -========================================================================= -Timing constraint: Default period analysis for Clock 'clk251' - Clock period: 8.061ns (frequency: 124.054MHz) - Total number of paths / destination ports: 4895 / 116 -------------------------------------------------------------------------- -Delay: 8.061ns (Levels of Logic = 5) - Source: orb/xBall_5 (FF) - Destination: orb/xBallDir (FF) - Source Clock: clk251 rising - Destination Clock: clk251 rising - - Data Path: orb/xBall_5 to orb/xBallDir - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDE:C->Q 13 0.591 1.126 orb/xBall_5 (orb/xBall_5) - LUT3_D:I0->O 7 0.648 0.740 orb/Madd_isBall_0_index000121 (orb/Madd_isBall_0_index0001_bdd2) - LUT3:I2->O 2 0.648 0.479 orb/Madd_isBall_0_index000111 (orb/Madd_isBall_0_index0001_bdd0) - LUT3:I2->O 1 0.648 0.000 orb/Mcompar__cmp_ge0000<0>_lut<7> (orb/Mcompar__cmp_ge0000<0>_lut<7>) - MUXCY:S->O 11 0.836 0.965 orb/Mcompar__cmp_ge0000<0>_cy<7> (orb/Mcompar__index0002) - LUT3:I2->O 1 0.648 0.420 orb/xBallDir_not00011 (orb/xBallDir_not0001) - FDE:CE 0.312 orb/xBallDir - ---------------------------------------- - Total 8.061ns (4.331ns logic, 3.730ns route) - (53.7% logic, 46.3% route) - -========================================================================= -Timing constraint: Default OFFSET IN BEFORE for Clock 'clk251' - Total number of paths / destination ports: 94 / 20 -------------------------------------------------------------------------- -Offset: 5.949ns (Levels of Logic = 12) - Source: leftBtn (PAD) - Destination: user/paddlePos_9 (FF) - Destination Clock: clk251 rising - - Data Path: leftBtn to user/paddlePos_9 - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - IBUF:I->O 13 0.849 1.063 leftBtn_IBUF (leftBtn_IBUF) - LUT2:I1->O 1 0.643 0.563 user/paddlePos_not0001118_SW1 (N29) - LUT4:I0->O 1 0.648 0.000 user/Maccum_paddlePos_lut<1> (user/Maccum_paddlePos_lut<1>) - MUXCY:S->O 1 0.632 0.000 user/Maccum_paddlePos_cy<1> (user/Maccum_paddlePos_cy<1>) - MUXCY:CI->O 1 0.065 0.000 user/Maccum_paddlePos_cy<2> (user/Maccum_paddlePos_cy<2>) - MUXCY:CI->O 1 0.065 0.000 user/Maccum_paddlePos_cy<3> (user/Maccum_paddlePos_cy<3>) - MUXCY:CI->O 1 0.065 0.000 user/Maccum_paddlePos_cy<4> (user/Maccum_paddlePos_cy<4>) - MUXCY:CI->O 1 0.065 0.000 user/Maccum_paddlePos_cy<5> (user/Maccum_paddlePos_cy<5>) - MUXCY:CI->O 1 0.065 0.000 user/Maccum_paddlePos_cy<6> (user/Maccum_paddlePos_cy<6>) - MUXCY:CI->O 1 0.065 0.000 user/Maccum_paddlePos_cy<7> (user/Maccum_paddlePos_cy<7>) - MUXCY:CI->O 0 0.065 0.000 user/Maccum_paddlePos_cy<8> (user/Maccum_paddlePos_cy<8>) - XORCY:CI->O 1 0.844 0.000 user/Maccum_paddlePos_xor<9> (user/Result<9>) - FDE:D 0.252 user/paddlePos_9 - ---------------------------------------- - Total 5.949ns (4.323ns logic, 1.626ns route) - (72.7% logic, 27.3% route) - -========================================================================= -Timing constraint: Default OFFSET OUT AFTER for Clock 'clk251' - Total number of paths / destination ports: 834 / 5 -------------------------------------------------------------------------- -Offset: 14.354ns (Levels of Logic = 9) - Source: user/paddlePos_6 (FF) - Destination: vgaG (PAD) - Source Clock: clk251 rising - - Data Path: user/paddlePos_6 to vgaG - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDE:C->Q 23 0.591 1.205 user/paddlePos_6 (user/paddlePos_6) - LUT4:I3->O 3 0.648 0.674 user/Madd_and0001_0_addsub0000_xor<9>11 (user/and0001_0_addsub0000<9>) - LUT2:I0->O 1 0.648 0.000 user/Mcompar_isPaddle_0_cmp_le0001_lut<9> (user/Mcompar_isPaddle_0_cmp_le0001_lut<9>) - MUXCY:S->O 1 0.632 0.000 user/Mcompar_isPaddle_0_cmp_le0001_cy<9> (user/Mcompar_isPaddle_0_cmp_le0001_cy<9>) - MUXCY:CI->O 1 0.269 0.563 user/Mcompar_isPaddle_0_cmp_le0001_cy<10> (user/isPaddle_0_cmp_le0001) - LUT4:I0->O 3 0.648 0.674 user/isPaddle_0_and000036 (isPaddle) - LUT4:I0->O 1 0.648 0.500 vgaG0 (vgaG0) - LUT4:I1->O 1 0.643 0.423 vgaG155_SW0 (N85) - LUT4:I3->O 1 0.648 0.420 vgaG155 (vgaG_OBUF) - OBUF:I->O 4.520 vgaG_OBUF (vgaG) - ---------------------------------------- - Total 14.354ns (9.895ns logic, 4.459ns route) - (68.9% logic, 31.1% route) - -========================================================================= - - -Total REAL time to Xst completion: 6.00 secs -Total CPU time to Xst completion: 5.35 secs - ---> - - -Total memory usage is 151324 kilobytes - -Number of errors : 0 ( 0 filtered) -Number of warnings : 0 ( 0 filtered) -Number of infos : 1 ( 0 filtered) - Index: github/VHDL-Pong-master-2/Pong.xst =================================================================== --- github/VHDL-Pong-master-2/Pong.xst (revision 428) +++ github/VHDL-Pong-master-2/Pong.xst (nonexistent) @@ -1,56 +0,0 @@ -set -tmpdir "xst/projnav.tmp" -set -xsthdpdir "xst" -run --ifn Pong.prj --ifmt mixed --ofn Pong --ofmt NGC --p xc3s200a-4-vq100 --top Pong --opt_mode Speed --opt_level 1 --iuc NO --keep_hierarchy No --netlist_hierarchy As_Optimized --rtlview Yes --glob_opt AllClockNets --read_cores YES --write_timing_constraints NO --cross_clock_analysis NO --hierarchy_separator / --bus_delimiter <> --case Maintain --slice_utilization_ratio 100 --bram_utilization_ratio 100 --verilog2001 YES --fsm_extract YES -fsm_encoding Auto --safe_implementation No --fsm_style LUT --ram_extract Yes --ram_style Auto --rom_extract Yes --mux_style Auto --decoder_extract YES --priority_extract Yes --shreg_extract YES --shift_extract YES --xor_collapse YES --rom_style Auto --auto_bram_packing NO --mux_extract Yes --resource_sharing YES --async_to_sync NO --mult_style Auto --iobuf YES --max_fanout 500 --bufg 24 --register_duplication YES --register_balancing No --slice_packing YES --optimize_primitives NO --use_clock_enable Yes --use_sync_set Yes --use_sync_reset Yes --iob Auto --equivalent_register_removal YES --slice_utilization_ratio_maxmargin 5 Index: github/VHDL-Pong-master-2/Pong_map.ngm =================================================================== --- github/VHDL-Pong-master-2/Pong_map.ngm (revision 428) +++ github/VHDL-Pong-master-2/Pong_map.ngm (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5;`5=6>2.Yi{g|inl9$4(5<8$9"9.+109'V`pn{`ee6-?!53"'5>6339:;=95?1127?572=;1;>95?29C1?525392?7=68F5924563389I??5<259074533:99?i5?0395=1=11H?97;O;;7CBE7=1K=1<4K>=;6D7?2@AN813>66?4::3454<08>041:;1?<0330<25<5N2:C50>G?89>0M4;:4:CB<52DRAENTXL\HEUCQGM7;;DCBF1=BK=I:=6KWP@LGAAYEKMUMEAK>4:G[TDHCMMUHCC][R@KMPV763LR[MCJJD^KPAZ@NDL80J?:4F6:36>@?<2LJ_Y<4FC68BG@A=2LOO]K<;GFF7>@CZ=1MH_K:;GFQW@4@A9<>0JK:?4:DE3C2@ANL>0J_OHb:DQEBEH^LXNHl5IR@E\FDUBLk1M^LIPCNLEMBg4:EFJ@TF\LN+\B][-GNJJQ753NOEI_O[EE"SKVR*O::87J@K5:EQKPV63@80E=<4I008M740EO[I5:KAQCA53@D87D@N3:KMF==NFOOY^HJ6;HLJPVRF\L90ECX7;HLUAWRBLl1BBR@HD^CM@ZDDL?1BCNABP79JKQ_WM=1B\LJ=;MK4?IOEZHXR:6B@AEGG7>JHK11GCNOCBTD4?II@AJKG?6BZT59OQQ733E__>;5CUU0\H1=K]]9=7A[[3^F5?ISS;VF?7A[[479OQQ2XL?1GYY:PL49N*26c?2G%;=jPLc9NEUC_XHDOII84M@RSAAg5A0008J4587C?83:L2=6=I:990B?<<;O077>H5>:1E>5=4N3;0?K56;2D8>>5A3518J6343G9H3<:1E8;=4N550?K37;2D>>>5A5218J0343G?<;O427>H1<:1E:8=4N740?K00;2D=4>5A6818J2643G=:?6@8329M305=87C963:L;56=I0:90B5;<;O:47>H?0:1E44=4N820?K?6;2D2>>5A9218J<203GO_[B\D8:LLPZVOQZ;0C,-xN8#"#rD?%(H0(zL5.!""B='&qI3(J7,/.!""B<'&'~H3),{O5"@9"%&&F1+*J6.xN; #"%$=>;N?+,{O7"!"uE<$qI3+(zL5.!""B<'&'(K2.L4.#@;"&D=&)(0a?J;/ wC;&%G>*)K1.L5.! !#E=$'~H3(,{O5#wC8%$'&1d9L9-.yA9 #E<$'I3(J7,/.#!C;&D=&)328K8./v@:!$D?%I3+*/-O7"!tB=&pF2(+*51=H5!"uE=$'I0(J6,/,A:#986A2()|J4/.N9#C8%$%'I1(+,L7-A:# rD<&)(03?J;/ wC;&%G>*K1-,-/A9 #rD?$I3+*-43%(H3)zL4.!"C8%?:4O<*+zL6- @;KrD<&)**J4/.N9I"B>MG<)(+*6d=H5!"uE=$'~H3),{O5"@9"%$%'I1(+M4-/A;!B?$'&)3`8K8./v@:!$sG>*)|J6.xN; #"'%G?*)K2.-O5"@9"%$'=0:M>,-xN8#"uE<$qI3+*/-O7"!C:&D<&)(03?J;/ wC;&%pF1**}M7-N; #"'%G?*H1*-7`%I0+(,L6- !tB='&F2+K0-,-/A8 #rD<$I2+*-,/482E6$%pF0+K2-..N8#"#rD?%(H0)zL5.!""B='&qI3)J7,/.! 8;7B3'(K3.L7.#!C;&%G>*)|J6/xN; #"%<:4O<*+zL6-A;# $D>%I0+*61=H5!"uE=$F3()+M5,/ wC:&D=&+)K2.L4.! #8>6A2()|J4/xN9 !#E=$'(K2.-xN:"tB?$'$(H3),L4-v@9"%$'&269L9-.yA9 uE>'$(H2),-xN9#tB?$%'I0(}M7/.! ;i7B3'(K3/-O7"!tB>&G<)(+)zL7.901D1%G?*)*+zL7-A;# E<'%I2+*56=H5!C;&%&F1+K1-.O4! ;i7B3'I1(+,{O6"wC9%&&F1+|J7,/.9:1D1%G?*)K2.-O5"@9"%$k4O<*J4/.N9#C9%$h4O<*J4/.N9#tB>$'>3:M>,L6- @; $D<$I2+*-46%I0+27>I: @: $%G>*H0*/L5.!;?0C0&F0**+zL7- wC9&sG<)()+M4,/A; B?$'&)018K8.N8""B=&&F2*K0-,/b3F7#E=%'I0)J6,/6=2E6$D>$(K2/-O5#wC8%$'>5:M>,L6, wC:'%pF2*K0-,/a3F7#E=%'~H3(M7/.i2E6$D>$~H3*5d=H5!C;L%&qI0)+M4,yA:#"LD<&)d9L9-O7H!C:LD<&)g9L9-O7H!C:LsG=)(;8K8.N8IC:%l5@=)K3D{O6!8?0C0&qI1(+,L7-v@8"'D=&)058K8.yA9 #$sG>*K1-.xN; #:86A2(K3.-O6"!C9'D=&)(33?J;/v@:!$D?%~H0*-c=H5!tB<'&F1*K1-,723F7#rD>%(K2.-O5"@9"%$?9;N?+zL6- wC:&%pF2+K0-,/6?2E6$sG?*)|J5/.yA; uE>'&)068K8.yA9!#$D?%I3+(M6/.9?1D1%pF0**+M4,yA;# rD=&)028K8.yA9!#E<%qI3+*53=H5!tB<&&qI0)+zL4,A:#"%<94O<*}M5-/v@; $sG=+K0-,/692E6$sG?+)|J5.xN: #j7B3'~H2(M4/23F7uE=:4OCWE7>IIM=1D\LJ<;NWW5>V43Y;9?6^>329S505<;QCG0>VFZ]20\EW\IBCO1>VH_LX87]\J4:Rljb75\EU18WC@>3ZCLSNAZNU18WKM43ZXHh6]]C^JJAWGUKAS?7^\\T59PW@R?3Z^^OFQNT59P]KE>3ZSEORO[TSd8PDT@M]U[M_Z[YQG;?QCUE@DJ@;5[IDMEBg=SZHDX\L\JNU68P\VB>2_EY^KKc:WPAWYQAZCI@H=4VBA:?SOB_V^R\H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED=4XNP6?]IUKP<0T^ZCIC58\VRXOGN<7U][_WA@f>^Q:VH^JJCZX99[RNYADFZ27UXD_LW[G\?^c`VId~Lcobip55=_laUHc|Aeuulvnud3QncSNa}rQkmw4>1SheQ[af`8\akXJ`doeci>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}>0VH\@8:`oekmnfn?0oae=579`hn429h1h`f<:_CWEC4?PBTDDT46?_1]lv56788;8=?5`r1234Z6Xg{:;<=?=8048kw6789U;Sb|?01226=YT_9;97b|?012\4Ziu89:;=>;>1:mq4567W9Ud~=>?02025>iu89:;S=Q`r1234606=2ey<=>?_1]lv5678:>1:mq4567W9Ud~=>?06:25>iu89:;S=Q`r1234=46=2ey<=>?_1]lv567818T_Z>;;npa+7e4:=?84os`,IdbcWhdo<=>?_1]b`aurj9:;=Rv`r=:=54473fxi#@okd^cm`5678V:Tecjjx1234Zoi~;h0cl M`fg[luEiefT0>1^KMRZ65=2eyn"Cnde]jwGgkdV:Tmij|uc2345Yg{6?26:475=2eyn"Cnde]jwGgkdV:Tmij|uc2345Yg{6=2::475j2eyn"Cnde]jwGgkdV:Tmij|uc2344Yg{682Pioff|5679;;946a}b.Ob`aYn{Kkg`R>Pioff|5679;;$@XZ<_E]AQC473fxi#@okd^kpFdjkW9Ubbikw0122[gbc8;:0cl M`fg[luEiefTiuj&Gjhi}zb^zAeijXimnxyo>?01]`}979:;1d~o!BaefpqgYJhfgSljkst`3456Xkp692?<4os`,Idbc{|hTtOocl^cg`vse89:;Snw33?01?jte'Dkoh~{m_y@bhiYflmy~n=>?0^az8185:2eyn"CndeqvfZ~EiefTmij|uc2345Ydq5?5>?5`rc-Neabt}kUsNlbc_`fgwpd789:Tot29>308kwd(EhnoxlPxCcohZgclzi<=>?_b{?3;453fxi#@okdrwa[}DfdeUjhi}zb1234Ze~4149?6a}b.Ob`aurjVrImabPaefpqg6789Ugyy2?>318kwd(EhnoxlPxCcohZgclzi<=>?_mww8485;2eyn"CndeqvfZ~EiefTmij|uc2345Yk}}692?=4os`,Idbc{|hTtOocl^cg`vse89:;Sa{{<2<17>iuj&Gjhi}zb^zAeijXimnxyo>?01]oqq:36;90cl M`fgwpdXpKkg`Rokdrwa4567We080=3:mqf*Kflmy~nRvMamn\eabt}k:;<=Qcuu>5:7549?6a}b.Ob`aurjVrImabPaefpqg6789Ugyy27>318kwd(EhnoxlPxCcohZgclzi<=>?_mww8<85;2eyn"CndeqvfZ~EiefTmij|uc2345Yg{6;2?=4os`,Idbc{|hTtOocl^cg`vse89:;Sua}<0<17>iuj&Gjhi}zb^zAeijXimnxyo>?01]{kw:56;90cl M`fgwpdXpKkg`Rokdrwa4567Wqey0>0=3:mqf*Kflmy~nRvMamn\eabt}k:;<=Qwos>7:75318kwd(EhnoxlPxCcohZgclzi<=>?_ymq8285;2eyn"CndeqvfZ~EiefTmij|uc2345Yg{632?=4os`,Idbc{|hTtOocl^cg`vse89:;Sua}<8<16>iuj&Gjhi}zb^{AeijXimnxyo>?01]`}969:;1d~o!BaefpqgY~JhfgSljkst`3456Xkp6:2?<4os`,Idbc{|hTuOocl^cg`vse89:;Snw32?01?jte'Dkoh~{m_x@bhiYflmy~n=>?0^az8685:2eyn"CndeqvfZEiefTmij|uc2345Ydq5>5>?5`rc-Neabt}kUrNlbc_`fgwpd789:Tot2:>308kwd(EhnoxlPyCcohZgclzi<=>?_b{?2;453fxi#@okdrwa[|DfdeUjhi}zb1234Ze~4>49>6a}b.Ob`aurjVsImabPaefpqg6789Uhu161229lvg)JimnxyoQvB`no[dbc{|h;<=>Pltv?4;443fxi#@okdrwa[|DfdeUjhi}zb1234Zjr|5;5>>5`rc-Neabt}kUrNlbc_`fgwpd789:T`xz32?00?jte'Dkoh~{m_x@bhiYflmy~n=>?0^nvp959::1d~o!BaefpqgY~JhfgSljkst`3456Xd|~783<<;npa+HgclziStLnlm]b`aurj9:;7887b|m/Lcg`vseWpHj`aQndeqvf5678Vf~x191229lvg)JimnxyoQvB`no[dbc{|h;<=>Pltv?<;443fxi#@okdrwa[|DfdeUjhi}zb1234Zjr|535>>5`rc-Neabt}kUrNlbc_`fgwpd789:Ttb|30?00?jte'Dkoh~{m_x@bhiYflmy~n=>?0^zlv979::1d~o!BaefpqgY~JhfgSljkst`3456Xpfx7>3<<;npa+HgclziStLnlm]b`aurj9:;Pxnp?2;443fxi#@okdrwa[|DfdeUjhi}zb1234Z~hz5=5>>5`rc-Neabt}kUrNlbc_`fgwpd789:Ttb|38?00?jte'Dkoh~{m_x@bhiYflmy~n=>?0^zlv9?9:91d~o!BcnosewYXkdzTkh>?01>3:Ze~4949?6a}b.O`khvfzVUha}Qhe1234969Wjs7<3Q}t328kwd(Ejef|l|P_bos[bc789:7<3Qly=3=65=hzk%Fobcas]\ghvXol:;<=2?>^az8785;2eyn"ClolrbvZYdeyUli=>?0=2=[f;:7Uyx?>4os`,IfijxhxTSnc_fg3456;87Uhu1=1229lvg)Jkfg{mQPclr\c`67896;2Rmv<2<\vq473fxi#@m`mqcq[ZejxVmn<=>?<1<\g|:36;:0cl MbmntdtXWjg{Sjk?012?4;Ydq5?5>=5`rc-Ngjkwi{UTo`~Pgd2345:76Vir0;0=0:mqf*Kdgdzj~RQlmq]da56785:5Snw37?02?jte'Dida}o}_^antZab89:;0=0Pltv?5;403fxi#@m`mqcq[ZejxVmn<=>?<1<\hpr;97UBB[Q?209lvg)Jkfg{mQPclr\c`67896;2Rbzt=6=64=hzk%Fobcas]\ghvXol:;<=2?>^nvp939:81d~o!BcnosewYXkdzTkh>?01>3:Zjr|5=5=;5`rc-Ngjkwi{UTecjjx12344?2eyn"ClolrbvZgil9:;Pclr\c`6789Uhu1>1269lvg)Jkfg{mQnne2345Y7Wjg{Sjk?012\g|:6878=7b|m/LaliuguWhdo<=>?_1]`iuY`m9:;iuj&Ghc`~nr^cm`5678V:To`~Pgd2345Ydq585>;5`rc-Ngjkwi{Ujbi>?01]3[fkwWno;<=>Pcx>0:70?0^az8085>2eyn"ClolrbvZgil9:;Pclr\c`6789Uhu181279lvg)Jkfg{mQnne2345Y7Wjg{Sjk?012\g|:06;<0cl MbmntdtXign;<=>P0^antZab89:;Snw38?05?jte'Dida}o}_`lg4567W9Uha}Qhe1234Ze~4049;6a}b.O`khvfzVkeh=>?0^2\ghvXol:;<=Qcuu>3:7>12b9lvg)Jkfg{mQnne2345Y7Wjg{Sjk?012\hpr;994T_Z>=7:mqf*Kdgdzj~Road1234Z6XkdzTkh>?01]oqq:66;=0cl MbmntdtXign;<=>P0^antZab89:;Sa{{<3<13>iuj&Ghc`~nr^cm`5678V:To`~Pgd2345Yk}}682?94os`,IfijxhxTmcj?012\4ZejxVmn<=>?_mww8185?2eyn"ClolrbvZgil9:;Pclr\c`6789Ugyy2:>358kwd(Ejef|l|Paof3456X8Vif|Rij0123[iss4?49;6a}b.O`khvfzVkeh=>?0^2\ghvXol:;<=Qcuu>4:71?01]oqq:?6VY\?_mww8<85>2eyn"ClolrbvZgil9:;Pclr\h`6789Uhu1>1269lvg)Jkfg{mQnne2345Y7Wjg{Sak?012\g|:6878=7b|m/LaliuguWhdo<=>?_1]`iuYkm9:;iuj&Ghc`~nr^cm`5678V:To`~Pld2345Ydq585>;5`rc-Ngjkwi{Ujbi>?01]3[fkwWeo;<=>Pcx>0:70?0^az8085>2eyn"ClolrbvZgil9:;Pclr\h`6789Uhu181279lvg)Jkfg{mQnne2345Y7Wjg{Sak?012\g|:06;<0cl MbmntdtXign;<=>P0^antZjb89:;Snw38?05?jte'Dida}o}_`lg4567W9Uha}Qce1234Ze~4049;6a}b.O`khvfzVkeh=>?0^2\ghvXdl:;<=Qcuu>3:71?01]oqq:56;=0cl MbmntdtXign;<=>P0^antZjb89:;Sa{{<2<13>iuj&Ghc`~nr^cm`5678V:To`~Pld2345Yk}}6?2?94os`,IfijxhxTmcj?012\4ZejxVfn<=>?_mww8085?2eyn"ClolrbvZgil9:;Pclr\h`6789Ugyy29>358kwd(Ejef|l|Paof3456X8Vif|Rbj0123[iss4>49;6a}b.O`khvfzVkeh=>?0^2\ghvXdl:;<=Qcuu>;:71?0^az8585=2eyn"ClolrbvZotJhfgS=Qlmq]da5678Vir0<0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?0^az8785=2eyn"ClolrbvZotJhfgS=Qlmq]da5678Vir0>0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?0^az8185=2eyn"ClolrbvZotJhfgS=Qlmq]da5678Vir080=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?0^az8385=2eyn"ClolrbvZotJhfgS=Qlmq]da5678Vir0:0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?0^az8=85=2eyn"ClolrbvZotJhfgS=Qlmq]da5678Vir040=6:mqf*Kdgdzj~Rg|B`no[5YdeyUli=>?0^nvp969:?1d~o!BcnosewYn{Kkg`R>Pclr\c`6789Ugyy2>>348kwd(Ejef|l|Pir@bhiY7Wjg{Sjk?012\hpr;:78=7b|m/LaliuguW`yImabP0^antZab89:;Sa{{<2<12>iuj&Ghc`~nr^kpFdjkW9Uha}Qhe1234Zjr|5>5>;5`rc-Ngjkwi{UbOocl^2\ghvXol:;<=Qcuu>6:70?_mww8285>2eyn"ClolrbvZotJhfgS=Qlmq]da5678Vf~x161279lvg)Jkfg{mQfsCcohZ6XkdzTkh>?01]oqq:>6;?0cl MbmntdtXazHj`aQ?_bos[bc789;Tot2?>378kwd(Ejef|l|Pir@bhiY7Wjg{Sjk?013\g|:66;?0cl MbmntdtXazHj`aQ?_bos[bc789;Tot2=>378kwd(Ejef|l|Pir@bhiY7Wjg{Sjk?013\g|:46;?0cl MbmntdtXazHj`aQ?_bos[bc789;Tot2;>378kwd(Ejef|l|Pir@bhiY7Wjg{Sjk?013\g|:26;?0cl MbmntdtXazHj`aQ?_bos[bc789;Tot29>378kwd(Ejef|l|Pir@bhiY7Wjg{Sjk?013\g|:06;?0cl MbmntdtXazHj`aQ?_bos[bc789;Tot27>378kwd(Ejef|l|Pir@bhiY7Wjg{Sjk?013\g|:>6;<0cl MbmntdtXazHj`aQ?_bos[bc789;T`xz30?05?jte'Dida}o}_hqAeijX8Vif|Rij0122[iss4849:6a}b.O`khvfzVcxNlbc_1]`iuY`m9:;=Rbzt=0=63=hzk%Fobcas]jwGgkdV:To`~Pgd2344Yk}}682?84os`,IfijxhxTe~Lnlm]3[fkwWno;<=?Pltv?0;413fxi#@m`mqcq[luEiefT?1^nvp909:?1d~o!BcnosewYn{Kkg`R>Pclr\c`6788Ugyy28>348kwd(Ejef|l|Pir@bhiY7Wjg{Sjk?013\hpr;078=7b|m/LaliuguW`yImabP0^antZab89::Sa{{<8<11>iuj&Ghc`~nr^kpFdjkW9Uha}Qce1234Ze~4949:6a}b.O`khvfzVcxNlbc_1]`iuYkm9:;85`rc-Ngjkwi{UbOocl^2\ghvXdl:;<=Qly=0=60=hzk%Fobcas]jwGgkdV:To`~Pld2345Ydq595>85`rc-Ngjkwi{UbOocl^2\ghvXdl:;<=Qly=6=60=hzk%Fobcas]jwGgkdV:To`~Pld2345Ydq5?5>85`rc-Ngjkwi{UbOocl^2\ghvXdl:;<=Qly=4=60=hzk%Fobcas]jwGgkdV:To`~Pld2345Ydq5=5>85`rc-Ngjkwi{UbOocl^2\ghvXdl:;<=Qly=:=60=hzk%Fobcas]jwGgkdV:To`~Pld2345Ydq535>;5`rc-Ngjkwi{UbOocl^2\ghvXdl:;<=Qcuu>3:70?_mww8785>2eyn"ClolrbvZotJhfgS=Qlmq]oa5678Vf~x1=1279lvg)Jkfg{mQfsCcohZ6XkdzT`h>?01]oqq:36;<0cl MbmntdtXazHj`aQ?_bos[ic789:T`xz35?05?jte'Dida}o}_hqAeijX8Vif|Rbj0123[iss4?49:6a}b.O`khvfzVcxNlbc_1]`iuYkm9:;Pltv?=;423fxi#@m`mqcq[luEiefT>_b{?5585=2eyn"ClolrbvZotJhfgS=Qlmq]oa5679Vir0<0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUgi=>?1^az8785=2eyn"ClolrbvZotJhfgS=Qlmq]oa5679Vir0>0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUgi=>?1^az8185=2eyn"ClolrbvZotJhfgS=Qlmq]oa5679Vir080=5:mqf*Kdgdzj~Rg|B`no[5YdeyUgi=>?1^az8385=2eyn"ClolrbvZotJhfgS=Qlmq]oa5679Vir0:0=5:mqf*Kdgdzj~Rg|B`no[5YdeyUgi=>?1^az8=85=2eyn"ClolrbvZotJhfgS=Qlmq]oa5679Vir040=6:mqf*Kdgdzj~Rg|B`no[5YdeyUgi=>?1^nvp969:?1d~o!BcnosewYn{Kkg`R>Pclr\h`6788Ugyy2>>348kwd(Ejef|l|Pir@bhiY7Wjg{Sak?013\hpr;:78=7b|m/LaliuguW`yImabP0^antZjb89::Sa{{<2<12>iuj&Ghc`~nr^kpFdjkW9Uha}Qce1235Zjr|5>5>;5`rc-Ngjkwi{UbOocl^2\ghvXdl:;<6:70>_mww8285>2eyn"ClolrbvZotJhfgS=Qlmq]oa5679Vf~x161279lvg)Jkfg{mQfsCcohZ6XkdzT`h>?00]oqq:>6>1d~o!A1058kwd(F;:37b|m/O0254d><7<2f>iuj&keh=>?0^2\eabt}k:;<<28>0`8kwd(ign;<=>P0^cg`vse89::050>5:mqf*gil9:;Paof3456602eyn"oad1234Z6XkdzTkh>?013;?jte'hdo<=>?_1]`iuYkm9:;<?0=7=5d=hzk%bOocl^2\eabt}k:;<=29>0c8kwd(azHj`aQ?_`fgwpd789:7;3?l;npa+luEiefT4:=?01>::4ga:mqf*otJhfgS=Qndeqvf56795?5=l5`rc-jwGgkdV:Tmij|uc2344:168h0cl ir@bhiY7Whnoxl?013?2;76i2eyn"g|B`no[5Yflmy~n=>?1=5=5g=hzk%bOocl^2\eabt}k:;<<28>03b?jte'`yImabP0^cg`vse89::050>b:mqf*otJhfgS=Qndeqvf5679525=?00>::4YT_9;>7b|m/hqAeijX8Vkeh=>?0034?jte'`yImabP0^antZab89:;=:5`rc-jwGgkdV:To`~Pgd2344703fxi#d}Mamn\4ZejxVfn<=>?169lvg)n{Kkg`R>Pclr\h`6788i0cl xCcoh969k2eyn"vMamn?5;eiuj&rImab33?a8kwd(pKkg`1:1c:mqf*~Eief793m4os`,|Ggkd5<5o6a}b.zAeij;?7i0cl xCcoh9>9k2eyn"vMamn?=;e?0032a>iuj&rImabKis]mkq67888T{jQly=2=5`=hzk%sNlbcDhp\jjr789;9SziPcx>2:4cRyh_b{?6;7b3fxi#uLnlmFjvZhh|9:;=?Qxg^az8686m2eyn"vMamnGmwYig}:;<<?13]tcZjr|5;5=k5`rc-{FdjkL`xTbbz?0131[raXd|~7>3?i;npa+}DfdeNb~R``t12357YpoVf~x1=11g9lvg)JhfgHd|Pnnv34575W~mT`xz34?`8kwd(pKkg`R>m;npa+}DfdeU:n6a}b.zAeijX:k1d~o!wB`no[6biuj&rImabPaefpqg67896;2<94os`,|GgkdVkoh~{m01238486?2eyn"vMamn\eabt}k:;<=2=>058kwd(pKkg`Rokdrwa45674:4:;6a}b.zAeijXimnxyo>?01>7:417:mqf*~EiefTmij|uc2345:168=0cl xCcohZgclzi<=>?<6<23>iuj&rImabPaefpqg6789632<94os`,|GgkdVkoh~{m01238<86<2eyn"vMamn\ip~78997<3?:;npa+}DfdeUfyu>?02>3:4733fxi#uLnlm]nq}678:6:2<;4os`,|GgkdVg~t=>?3=3=542<<3<251=hzk%sNlbc_lw{45644:4:96a}b.zAeijXe|r;<==33?320>iuj&rImabPmtz3455;<7;>7b|m/y@bhiYj}q:;<>2;>037?jte'qHj`aQbuy2346:268?0cl xCcohZkrp9:;?1;11068kwd(pKkg`Rczx12379099<1d~o!wB`no[hs89:80;0>159lvg)JhfgS`{w01208286=2eyn"vMamn\ip~78997;3?>4:mqf*~EiefTaxv?011?<;723fxi#uLnlm]nq}678:632?02>::43?40:8kwd(pKkg`Rczx12307YNF_U;=85`rc-{FdjkWge<=>>_hlug>iuj&sImab30?a8kwd(qKkg`1?1c:mqf*Eief7>3m4os`,}Ggkd595o6a}b.{Aeij;<7i0cl yCcoh939k2eyn"wMamn?2;eiuj&sImab38?a8kwd(qKkg`171c:mqf*EiefOe?;;npa+|DfdeNb~Rczx123443iuj&sImabP8c9lvg)~JhfgS4?8;npa+|DfdeUjhi}zb12349699>1d~o!vB`no[dbc{|h;<=>31?34?jte'pHj`aQndeqvf5678585=:5`rc-zFdjkWhnoxl?012?7;703fxi#tLnlm]b`aurj9:;<1:1169lvg)~JhfgSljkst`3456;=7;<7b|m/x@bhiYflmy~n=>?0=4=52=hzk%rNlbc_`fgwpd789:7;3?8;npa+|DfdeUjhi}zb12349>99>1d~o!vB`no[dbc{|h;<=>39?37?jte'pHj`aQbuy2341:768?0cl yCcohZkrp9:;81>11058kwd(qKkg`Rczx1230969:8;:n6a}b.{AeijXe|r;<=:30?017ZUP98n0cl yCcohZkrp9:;81>1231\WR7XN8h0cl yCcohZkrp9:;81>1254\WR65>2eyn"wMamn\ip~789>7<3<;6^QT4*JR\:UOSO[I1c9lvg)~JhfgS`{w01278585?05>3:721WZ]:SK?k;npa+|DfdeUfyu>?05>3:721WZ]:SJ?m;npa+|DfdeUfyu>?05>3:721WZ]8=i5`rc-zFdjkWds<=>;<1<103YT_:UM=i5`rc-zFdjkWds<=>;<1<103YT_:UL=o5`rc-zFdjkWds<=>;<1<103YT_=;i7b|m/x@bhiYj}q:;<92?>365[VQ2:?1d~o!vB`no[hs89:?0=0=47]PS0)K]]>T@RLZF0f8kwd(qKkg`Rczx1230969:=179lvg)~JhfgS`{w012784869;;0cl yCcohZkrp9:;81?110-OQQ2XLVH^J<84os`,}GgkdVg~t=>?4=3=64463fxi#tLnlm]nq}678=6:2?? LTV7[AYE]O;37b|m/x@bhiYj}q:;<92>>332575/MWW0ZBXJ\L:m6a}b.{AeijXe|r;<=:31?02[VQ7:<1d~o!vB`no[hs89:?0<0=1^QT4*JR\:UOSO[I1`9lvg)~JhfgS`{w012784859VY\=?;4os`,}GgkdVg~t=>?4=3=64YT_8%GYY279lvg)~JhfgS`{w012784859VY\=7=3<>_RU26Z@6l2eyn"wMamn\ip~789>7=3<>_RU26ZA6i2eyn"wMamn\ip~789>7=3<>_RU15d=hzk%rNlbc_lw{45634849=R]X3378kwd(qKkg`Rczx1230979:8UX[>!CUU6\HZDRN8i0cl yCcohZkrp9:;81?120]PS6)H9h1d~o!vB`no[hs89:?0<0=1^QT04eiuj&sImabPmtz3452;978:S^Y:249lvg)~JhfgS`{w012784859VY\9"BZT2]O[GSA9j1d~o!vB`no[hs89:?0<0=1^QT1*I6i2eyn"wMamn\ip~789>7=3<>_RU55f=hzk%rNlbc_lw{45634849=R]X6^D2g>iuj&sImabPmtz3452;978:S^Y9_F3b?jte'pHj`aQbuy2341:66;;T_Z9>b:mqf*EiefTaxv?016?5;46WZ]3=?84os`,}GgkdVg~t=>?4=3=64YT_1;$@XZ<_M]AQC7c3fxi#tLnlm]nq}678=6:2??PSV:2+J7d3fxi#tLnlm]nq}678=6:2??PSV:\B4g>33\WR?(G8>0cl yCcohZkrp9:;81<1149lvg)~JhfgS`{w012787869=1d~o!vB`no[hs89:?0>0>5:mqf*EiefTaxv?016?7;76<2eyn"wMamn\ip~789>783?:;npa+|DfdeUfyu>?05>7:4733fxi#tLnlm]nq}678=6>2<;4os`,}GgkdVg~t=>?4=7=542;<7<253=hzk%rNlbc_lw{45634?4:=<:4os`,}GgkdVg~t=>?4=5=50=hzk%rNlbc_lw{45634>4:=95`rc-zFdjkWds<=>;<9<21>iuj&sImabPmtz3452;07;:86a}b.{AeijXe|r;<=:39?36?jte'pHj`aQbuy2341:>68;:7b|m/x@bhiYj}q:;<8?7;npa+|DfdeUfyu>?040\MKPX88>0|ew|ibco[bth}yUh`f<:1`9sl|unkhfTkazp^aoo06XJ\LL\55}ifjwFqhc3{cldyL{n^KAQC?<{ykyxl`7;i7x}jr.ObgfsjWykohak_or]`}9199k1~h| M`a`qhYwimngi]a|_b{?<;7d3|yn~"Cncbwn[ugcleo[c~Qcuu>3:4e<}zoy#@olcto\tdbcdlZdRbzt=3=5f=r{lx$Almlul]seabkmYexSa{{<3<2g>stm{%Fmnmzm^rb`ajbXfyT`xz33?3`?pubz&Gjon{b_qcg`icWgzUgyy2;>0a8qvcu'DkhoxcPp`fgh`Vh{Vf~x1;11b9vw`t(Ehihy`QaefoaUitWe0;0>c:wpaw)Jiji~aR~ndenfTjuXd|~7;3?l;tqfv*KfkjfS}okdmgSkvYk}}6325:4e<}zoy#@olcto\tdbcdlZdRv`r=5=5f=r{lx$Almlul]seabkmYexSua}<9<2g>stm{%Fmnmzm^rb`ajbXfyTtb|39?0g?pubz&GjhiQnne2344Y7Whnoxl?012\|jt;>7;:SD@Y_104?pubz&GjhiQnne2344Y7Whnoxl?012\|jt;?7;:>:5zsdp,IdbcWhdo<=>>_1]b`aurj9:;209vw`t(EhnoSl`k0122[5Ynfmos<=>?_hlu62=r{lx$Anabp`p\ekb789;T?01]`}969W{~9;6{|es-Ngjkwi{Ujbi>?00]3[fkwWe~;<=>Pcx>2:71<}zoy#@m`mqcq[dhc89::S=Qlmq]op5678Vir0?0=a:wpaw)Jkfg{mQnne2344Y7Wjg{Saz?012\g|:56Vx>:5zsdp,IfijxhxTmcj?013\4ZejxVf<=>?_b{?7;403|yn~"ClolrbvZgil9:;=R>Pclr\hq6789Uhu1:1269vw`t(Ejef|l|Paof3457X8Vif|Rb{0123[f;=78<7x}jr.O`khvfzVkeh=>?1^2\ghvXd}:;<=Qly=4=62=r{lx$Anabp`p\ekb789;T?01]oqq:66;o0y~k}/LaliuguWhdo<=>>_1]`iuYk|9:;?1^2\ghvXd}:;<=Qcuu>0:7c<}zoy#@m`mqcq[dhc89::S=Qlmq]op5678Vf~x1=1_HLU[54?3|yn~"ClolrbvZgil9:;=R>Pclr\hq6789Ugyy2;>3:8qvcu'Dida}o}_`lg4566W9Uha}Qct1234Zjr|5?5>55zsdp,IfijxhxTmcj?013\4ZejxVf<=>?_mww828502xi!BcnosewYn{YkohakP0^antZab89::Snw30?0;?pubz&Ghc`~nr^kpTdbcdlU;Snc_fg3457Xkp6:2?64urgq+HeheykySd}_aefoaZ6XkdzTkh>?00]`}949:11~h| MbmntdtXazZjhibj_1]`iuY`m9:;=Rmv<2<1<>stm{%Fobcas]jwUgcleoT::7?<}zoy#@m`mqcq[luWimngiR>Pclr\c`6788Ugyy2?>3;8qvcu'Dida}o}_hqSeabkmV:To`~Pgd2344Yk}}6:2?74urgq+HeheykySd}_aefoaZ6XkdzTkh>?00]oqq:56;30y~k}/LaliuguW`y[mijce^2\ghvXol:;<0:7?<}zoy#@m`mqcq[luWimngiR>Pclr\c`6788Ugyy2;>3;8qvcu'Dida}o}_hqSeabkmV:To`~Pgd2344Yk}}6>2?74urgq+HeheykySd}_aefoaZ6XkdzTkh>?00]oqq:16;30y~k}/LaliuguW`y[mijce^2\ghvXol:;<4:7?<}zoy#@m`mqcq[luWimngiR>Pclr\c`6788Ugyy27>3;8qvcu'Dida}o}_hqSeabkmV:To`~Pgd2344Yk}}622?64urgq+HeheykySd}_aefoaZ6XkdzT`h>?00]`}969:01~h| MbmntdtXazZjhibj_1]`iuYkm9:;=Rmv<02=6==r{lx$Anabp`p\mvVflmfnS=Qlmq]oa5679Vir0<0=8:wpaw)Jkfg{mQfsQcg`icX8Vif|Rbj0122[f;:7837x}jr.O`khvfzVcx\ljkld]3[fkwWeo;<=?Pcx>0:7><}zoy#@m`mqcq[luWimngiR>Pclr\h`6788Uhu1:1299vw`t(Ejef|l|PirRb`ajbW9Uha}Qce1235Ze~4<4946{|es-Ngjkwi{Ub]okdmg\4ZejxVfn<=>>_b{?2;4?3|yn~"ClolrbvZotXhno`hQ?_bos[ic789;Tot28>3:8qvcu'Dida}o}_hqSeabkmV:To`~Pld2344Ydq525>55zsdp,IfijxhxTe~^ndenf[5YdeyUgi=>?1^az8<8512xi!BcnosewYn{YkohakP0^antZjb89::Sa{{<1<1=>stm{%Fobcas]jwUgcleoT>_mww868512xi!BcnosewYn{YkohakP0^antZjb89::Sa{{<5<1=>stm{%Fobcas]jwUgcleoT>_mww828512xi!BcnosewYn{YkohakP0^antZjb89::Sa{{<9<1=>stm{%Fobcas]jwUgcleoT1~h| N7g8qvcu'[oxyaz30?g8qvcu'[oxyaz31?g8qvcu'[oxyaz32?g8qvcu'[oxyaz33?g8qvcu'[oxyaz34?g8qvcu'[oxyaz35?g8qvcu'[oxyaz36?g8qvcu'[oxyaz37?g8qvcu'[oxyaz38?g8qvcu'[oxyaz39?34?pubz&keh=>?0^2\ekb789::=k5zsdp,ekb789:TPaefpqg67896=23|yn~"g|P`fgh`Y7Whdo<=>?173:?pubz&cx\ljkld]3[dhc89:;?;?n;tqfv*otXhno`hQ?_bos[bc789;:m6{|es-jwUgcleoT5=<5zsdp,tdbcdlZd1;1109vw`t(xhno`h^`s=4=54=r{lx$|ljkldRlw919981~h| p`fgh`Vh{525=<5zsdp,tdbcdlZd171119vw`t(xhno`h^`s^224>stm{%{mijceQmp[4773|yn~"~ndenfTjuX:8:0y~k}/qcg`icWgzU8==5zsdp,tdbcdlZdR:>0:wpaw)wimngi]a|_433?pubz&zjhibjPnq\246<}zoy#}okdmgSkvY0991~h| p`fgh`Vh{V2:<6{|es-seabkmYexS4?9;tqfv*vflmfn\b}Pnnv34576?2xi!aefoaUitWge<=>>10;8qvcu'ykohak_or]mkq6788;::<74urgq+ugcleo[c~Qaou23447608n0y~k}/qcg`icWgzUecy>?0032?0032?003666=r{lx$|ljkldRlwZhh|9:;=<; LTV7[AYE]O;<7x}jr.rb`ajbXfyTbbz?013152=r{lx$|ljkldRlwZhh|9:;=>?7;tqfv*vflmfn\b}Pnnv345749;90y~k}/qcg`icWgzUecy>?0012+ISS8:wpaw)wimngi]a|_omw4566<8;37x}jr.rb`ajbXfyTbbz?0136575<}zoy#}okdmgSkvYig}:;<<;>/MWW0ZJXJ\L:m6{|es-seabkmYexSca{012214)H911~h| p`fgh`Vh{Vddx=>?1732b>stm{%{mijceQmp[kis89::SziPcx>3:4`<}zoy#}okdmgSkvYig}:;<>_ve\g|:568l0y~k}/qcg`icWgzUecy>?00]tcZe~4:49<6{|es-seabkmYexSca{0122[raXd|~7<3<9;tqfv*vflmfn\b}Pnnv3457XnUgyy2?>^KMRZ6582xi!aefoaUitWge<=>>_ve\hpr;978;7x}jr.rb`ajbXfyTbbz?013\sbYk}}692?>4urgq+ugcleo[c~Qaou2344YpoVf~x1=14:tdeG3<~nkI=45yg`@\KGSA<2|lmJ;4vfcD43=qohM:=:5yg`E210d<~nkL=8;PSV25?safO;:>7{inG748rbg@>=k0zjoH65]PS50<~nkL53:41<~`nnc"Clotlw[mei|Vir0<0>a:tj``i(Eje~byQgcov\g|:66Vx=:5yiegl+Heh}g~Tdn`{_b{?6;7f3coib!BcnwmpZndf}Uhu1<1_sv23>pnlle$Anaznu]kgkrXkp6826:Zts9>1}eik`/LalqkrX`jdSnw36?3b?socmf%Fob{at^j`jqYdq5<5Sz>7:tj``i(Eje~byQgcov\g|:068k0zdjjo.O`kphsWaiexRmv<6<\vq703coib!BcnwmpZndf}Uhu1611`9umach'DidyczPhblw[f;07Uyx<64vhffk*Kdg|dSemat^nvp9699l1}eik`/LalqkrX`jdSa{{<1<\MKPX8820zdjjo.O`kphsWaiexRv`r=2=5==qamod#@m`uov\lfhsWqey0<0>8:tj``i(Eje~byQgcov\|jt;:7;37{gken-Ngjsi|VbhbyQwos>0:4><~`nnc"Clotlw[mei|Vrd~1:1199umach'DidyczPhblw[}iu4<4:46xfddm,Ifirf}UcoczPxnp?2;7?3coib!BcnwmpZndf}Usc28>0:8rlbbg&Ghcx`{_iampZ~hz525=55yiegl+Heh}g~Tdn`{_ymq8<86j2|bhha MbmvjqYokg~Ttb|39?]qp41<~`nnc"Clotlw[sei|Vir0=0>7:tj``i(Eje~byQycov\g|:668k0zdjjo.O`kphsWiexRmv<0<\vq703coib!BcnwmpZpdf}Uhu1<11`9umach'DidyczPvblw[f;:7Uyx<94vhffk*Kdg|dS{mat^az8686i2|bhha MbmvjqYqkg~Tot2<>^pw52=qamod#@m`uov\rfhsWjs783?n;wkgaj)JkfexRxlnu]`}929W{~:;6xfddm,Ifirf}U}oczPcx>6:4g<~`nnc"Clotlw[sei|Vir080Pru34?socmf%Fob{at^t`jqYdq5<5=l5yiegl+Heh}g~Tzn`{_b{?2;Yu|8=0zdjjo.O`kphsWiexRmv<6<2e>pnlle$Anaznu]ugkrXkp6<2R|{169umach'DidyczPvblw[f;07;j7{gken-Ngjsi|V|hbyQly=:=[wr602|bhha MbmvjqYqkg~T`xz30?3f?socmf%Fob{at^t`jqYk}}6;2RGAV^22<>pnlle$Anaznu]ugkrXpfx7<3?7;wkgaj)JkfexRxlnu]{kw:66820zdjjo.O`kphsWiexRv`r=0=5==qamod#@m`uov\rfhsWqey0>0>8:tj``i(Eje~byQycov\|jt;<7;37{gken-Ngjsi|V|hbyQwos>6:4><~`nnc"Clotlw[sei|Vrd~181199umach'DidyczPvblw[}iu4>4:46xfddm,Ifirf}U}oczPxnp?<;7?3coib!BcnwmpZpdf}Usc26>0`8rlbbg&Ghcx`{_wampZ~hz535Szi;wkgaj)Umzgx1>1119umach'[oxyaz30?3e?socmf%Yi~{ct=3=55=qamod#_k|umv?5;7a3coib!]erwop949991}eik`/Sgpqir;:7;m7{gken-Qavsk|595==5yiegl+Wct}e~7?3?i;wkgaj)Umzgx1:1119umach'[oxyaz34?3e?socmf%Yi~{ct=7=55=qamod#_k|umv?1;7a3coib!]erwop909991}eik`/Sgpqir;>7;m7{gken-Qavsk|5=5==5yiegl+Wct}e~7;3?i;wkgaj)Umzgx161119umach'[oxyaz38?3e?socmf%Yi~{ct=;=55=qamod#_k|umv?=;7612|bhha bmcmolh`W9Ud~=>?0032=>pnlle$naoakhld[5Yhz9:;<<=>8:tj``i(jekegd`h_1]lv5678=h0zdjjo.jP}kea3coib!gSxl`[VQ7l2|bhha hblw858c3coib!gcov?5;b<~`nnc"flnu>1:a=qamod#emat=1=`>pnlle$dn`{<5e9umach'aiex191d:tj``i(`jd050k;wkgaj)okg~753m4vhffk*ndf}U;o6xfddm,lfhsW8i0zdjjo.j`jqY5k2|bhha hblw[6e<~`nnc"flnu]7g>pnlle$dn`{_4a8rlbbg&bhbyQ9c:tj``i(`jdS:m4vhffk*ndf}U3o6xfddm,lfhsW0;97{gken-kgkrXff~;<=?>3:tj``i(`jdSca{0122543<~`nnc"flnu]mkq6788Um9i5yiegl+sU~fj8>i6xfddm,rVik;?:==5yiegl+sU~fj8>Sk;l;wkgaj)q[pdh5i5yiegl+sU~fj3:j6xfddm,rVik0Um9i5yiegl+sei|5:5h6xfddm,rfhs484o7{gken-ugkr;:7n0zdjjo.t`jq:46m1}eik`/wamp929l2|bhha vblw808c3coib!ycov?2;b<~`nnc"xlnu>4:a=qamod#{mat=:=`>pnlle$zn`{<8<`?socmf%}oczP0b9umach'iexR?l;wkgaj)qkg~T>n5yiegl+sei|V9h7{gken-ugkrX2:tj``i(~jdSl`k012351=qamod#{mat^cm`56788;:46xfddm,rfhsWhdo<=>?10]PS5443coib!ycov\ekb789::=R]X0.NVP6YKWK_M=l5yiegl+sei|Vkeh=>?003\WR6(G890zdjjo.t`jqYffm:;<=<>5:tj``i(~jdSl`k01236446=2|bhha vblw[dhc89:;>>9>5:tj``i(~jdSl`k012366?6<2|bhha vblw[dhc89:;?>?9;wkgaj)qkg~Tbbz?013\mkp?3qcgecvzn0:8|ihWhcR>Pxe`,gvr)pkioqMN1gag?EF9:=1J7951zQ70?71n33>6<=<50f`>6750jqe=;j51:l22`<13-;=<7?:5:P04<6>o0297?<343gg?56:k20_58519295?74;<;oo7=>52c8W17=91:1=7?<343gg?56=:i0_58516d96?74;<;oo7=>52g8W<1=9>l1594>3272`f<49<9m7^:>:05e>7<6;:?:hn4<141e?a74?3:1=7;>db8057>d3-9=6>:i;I6:?k?>2<9=nh9>e:&:8;tS56953`=1<0:?>;>db8057>d3-;>8766;W35e?4|}0l1=6{n0;28y!74;3;:7):i:19'0a<31k1/8h470:`272<72109944=55yK504<,8?;6<=8;o35f?65<o6=44i05g>5<5<5<:183!7283=;7E?:8:J217=#9?31=:>4$979068826==m7?51;294~"6=90:9>5G14:8L4353-;=57?=6:&;1?2>i2d::o4=;%372?74?2e:>44?:%35=?75021vn<9=:08;>5<7s-;><7;7;I36<>N6=;1/=;751508 2b=;2B:?n5+8487=d=i9?h1?6*>478272=n;>0;6)?99;1e?>o3=3:1(<86:6;8?l7413:1(<86:064?>i6;10;6)?99;31<>=n;k0;6)?99;1a?>o6<80;6)?99;375>N6=810e<=j:18'53?=9:o0D<;>;:k27c<72-;=57?;8:9~f12428086=4?{%364?72?2B:955G1408 40>28?=7)6::5;b?k71j3>0(<:9:52;?l5c290/=;757898m=2=83.::4489:9l`a<72-;=57?=8:9~f12528086=4?{%364?72?2B:955G1408 40>28?=7)6::5;b?k71j3?0(<:9:52;?l5c290/=;757898m=2=83.::4489:9l`a<72-;=57?=8:9~f151280?6=4?{%364??c3A;>46F>539'53?=1j1/484;9`9m53d=>2.:8;4;099j7a<72-;=579m;:k7t$073>4303A;>46F>539'53?=9<<0(5;548c8j40e2>1/=98541:8m6b=83.::4489:9j<1<72-;=5796;:mg`?6=,8<26<<7;:a062=93>1<7>t$073>k2.397:6a:l22g5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`705<62:0;6=u+1429501<@8?37E?:2:&22<<6=?1/484;9`9m53d=12.:8;4;099j7a<72-;=5796;:k;0?6=,8<26:74;nfg>5<#9?31=?64;|`777<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?g<,8>=69>7;h1g>5<#9?31;o54i5:94?"6>0000:8=54oef94?"6>00:>554}c60b?7=;3:1518212=O9<20D<;=;%35=?72>2.397:6a:l22g5<#9?31;454oef94?"6>00:>554}c604?7=<3:1518:`>N6=11C=8<4$04:>f=#9=<18=64i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb51f>4<4290;w)?:0;363>N6=11C=8<4$04:>4313-2>697n;o35f?b<,8>=69>7;h1g>5<#9?31;454i9694?"6>00<565`de83>!7113;9465rb50f>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9a>"6!7113=i76g;8;29 40>2>k07d?28>;76akd;29 40>288376sm42f95?5=83:p(<;?:074?M7202B:9?5+17;9500<,1?184o4n04a>c=#9=<18=64i2f94?"6>00<565f8583>!7113=276akd;29 40>288376sm43a95?2=83:p(<;?:8f8L43?3A;>>6*>688:g>"?=3>2m6`>6c824>"6!7113=i76g;8;29 40>2>k07d?28>;76akd;29 40>288376sm42a95?5=83:p(<;?:074?M7202B:9?5+17;9500<,1?184o4n04a>47<,8>=69>7;h1g>5<#9?31;454i9694?"6>00<565`de83>!7113;9465rb50b>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`957=#9=<18=64i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb51a>4<4290;w)?:0;363>N6=11C=8<4$04:>4313-2>697n;o35f?743-;?:7:?8:k0`?6=,8<26:74;h:7>5<#9?31;454oef94?"6>00:>554}c61518:`>N6=11C=8<4$04:>42<,8>=69>7;h1g>5<#9?31;o54i5:94?"6>0000:8=54oef94?"6>00:>554}c60e?7=;3:1518212=O9<20D<;=;%35=?72>2.397:6a:l22g<6=2.:8;4;099j7a<72-;=5796;:k;0?6=,8<26:74;nfg>5<#9?31=?64;|`762<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?713-;?:7:?8:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`760<62:0;6=u+1429501<@8?37E?:2:&22<<6=?1/484;9`9m53d=9>1/=98541:8m6b=83.::4489:9j<1<72-;=5796;:mg`?6=,8<26<<7;:a072=9391<7>t$073>4303A;>46F>539'53?=9<<0(5;548c8j40e2820(<:9:52;?l5c290/=;757898m=2=83.::4489:9l`a<72-;=57?=8:9~f17?280?6=4?{%364??c3A;>46F>539'53?=1j1/484;9`9m53d=901/=98541:8m6b=83.::448b:9j0=<72-;=579n;:k27c<72-;=57?;0:9l`a<72-;=57?=8:9~f14428086=4?{%364?72?2B:955G1408 40>28?=7)6::5;b?k71j3;j7)?;6;63<>o4l3:1(<86:6;8?l>3290/=;757898kab=83.::44>2998yg26>3;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e28h0(<:9:52;?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg25:3;1?7>50z&215<6=>1C=864H071?!7113;>:6*75;6:e>h6>k0:o6*>47874==n;m0;6)?99;5:?>o?<3:1(<86:6;8?jbc290/=;7513:8?xd39=0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3;o7)?;6;63<>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd3:80:6>4?:1y'506=9<=0D<;7;I366>"6>00:9;5+8487=d=i9?h1=h5+154905>6884=>=n0=0;6)?99;5:?>icl3:1(<86:00;?>{e<881=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0:j6*>47874==n;m0;6)?99;5a?>o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e<;:1=7=50;2x 43728?<7E?:8:J217=#9?31=884$97904$065>16?3`9o6=4+17;93<=1<7*>6884=>=hlm0;6)?99;31<>=zj=;;6<4;:183!72833o7E?:8:J217=#9?315n5+8487=d=i9?h1><5+154905>6884f>=n<10;6)?99;5b?>o6;o0;6)?99;374>=hlm0;6)?99;31<>=zj=;m6<4<:183!7283;>;6F>599K504<,8<26<;9;%:6>1?f3g;=n7<=;%372?2702c8h7>5$04:>2?<3`2?6=4+17;93<=68826==;i7?54;294~"6=902h6F>599K504<,8<264m4$979016?3`9o6=4+17;93g=6884e>=n9:l1<7*>688205=68826==:i7?53;294~"6=90:9:5G14:8L4353-;=57?:6:&;1?2>i2d::o4=4:&203<3811b?i4?:%35=?1>32c387>5$04:>2?<3fno6=4+17;957><3th?:583>5}#9<:15i5G14:8L4353-;=577l;%:6>1?f3g;=n7<:;%372?2702c8h7>5$04:>2d<3`>36=4+17;93d=<3th?=i4>:283>5}#9<:1=894H07;?M72:2.::44>579'<0<31h1e=;l5279'510=<920e>j50;&22<<0121b494?:%35=?1>32eoh7>5$04:>44?32wi8=o51;694?6|,8?;64j4H07;?M72:2.::446c:&;1?2>i2d::o4=7:&203<3811b?i4?:%35=?1e32c?47>5$04:>2g<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi8N6=;1/=;751448 =3=<0k0b<8m:3:8 4212=:37d=k:18'53?=?010e5:50;&22<<0121dhi4?:%35=?75021vn9>6:087>5<7s-;><77k;I36<>N6=;1/=;759b9'<0<31h1e=;l5289'510=<920e>j50;&22<<0j21b854?:%35=?1f32c:?k4?:%35=?73821dhi4?:%35=?75021vn96j:08;>5<7s-;><7;6;I36<>N6=;1/=;751508 2b=;2B:?n5+8487=d=i9?h1>l5+154905>6880b>=n;k0;6)?99;1a?>o3=3:1(<86:6;8?l7413:1(<86:064?>i6;10;6)?99;31<>=n9=;1<7*>688204=O9<;07d?289n7E?:1:9j56`=83.::44>4998yg2?l3;147>50z&215<212B:955G1408 40>28>97)9k:29K56e<,1?184o4n04a>7d<,8>=69>7;h14>5<#9?31?k54i2`94?"6>008n65f4483>!7113=276g>3883>!7113;?;65`12:94?"6>00:>554i062>5<#9?31=9?4H072?>o6;l0;6)?99;30a>N6=810e<=i:18'53?=9=207pl;8b82>=<729q/=8>5589K50><@8?97)?99;376>"0l390D<=l;%:6>1?f3g;=n75$04:>6`<3`9i6=4+17;97g=6884=>=n9:31<7*>688202=<3`;?=7>5$04:>4263A;>=65f12g94?"6>00:?h5G1438?l74n3:1(<86:06;?>{e<1h1=7650;2x 4372<30D<;7;I366>"6>00:8?5+7e80?M74k2.397:6a:l22g<5l2.:8;4;099j72<72-;=57=i;:k0f?6=,8<26>l4;h66>5<#9?31;454i01:>5<#9?31=994;n303g83>!7113;?465rb5:b>4n1?6F>3b9'<0<31h1e=;l52d9'510=<920e>950;&22<<4n21b?o4?:%35=?5e32c?97>5$04:>2?<3`;857>5$04:>42032e:?54?:%35=?75021b=9?50;&22<<6<81C=8?4;h30a?6=,8<26<=j;I365>=n9:l1<7*>68820==357?58;294~"6=90>56F>599K504<,8<26<:=;%5g>6=O9:i0(5;548c8j40e2;l0(<:9:52;?l50290/=;753g98m6d=83.::44=83.::44>2998m426290/=;751538L43632c:?h4?:%35=?74m2B:9<54i01e>5<#9?31=964;|`7<=<6210;6=u+14291<=O9<20D<;=;%35=?73:2.22=3j7c?9b;13?!73>3>;46g<7;29 40>2:l07d=m:18'53?=;k10e9;50;&22<<0121b=>750;&22<<6<>10c<=7:18'53?=9;207d?;1;29 40>28>:7E?:1:9j56c=83.::44>3d9K507<3`;8j7>5$04:>42?32wi85951;:94?6|,8?;6874H07;?M72:2.::44>439'3a<43A;8o6*75;6:e>h6>k08=6*>47874==n;>0;6)?99;1e?>o4j3:1(<86:2`8?l22290/=;757898m45>290/=;751558?j7403:1(<86:00;?>o6<80;6)?99;375>N6=810e<=j:18'53?=9:o0D<;>;:k27c<72-;=57?;8:9~f1>128036=4?{%364?3>3A;>46F>539'53?=9=80(:j53:J27f=#0<0?5l5a17`977=#9=<18=64i2594?"6>008j65f3c83>!71139i76g;5;29 40>2>307d?<9;29 40>28><76a>3983>!7113;9465f15394?"6>00:8<5G1438?l74m3:1(<86:01f?M72921b=>h50;&22<<6<110qo:75;39m4$9790=4$065>16?3`9<6=4+17;97c=6880f>=n<<0;6)?99;5:?>o6;00;6)?99;373>=h9:21<7*>68826==:6=4+17;9517<@8?:76g>3d83>!7113;8i6F>5098m45a290/=;7515:8?xd3?80:654?:1y'506==11C=864H071?!7113;?>6*8d;18L45d3-2>697n;o35f?533-;?:7:?8:k03?6=,8<26>h4;h66>5<#9?31;454i01:>5<#9?31=994;n30l4;h375?6=,8<26<:>;I365>=n9:o1<7*>68827`=O9<;07d?28>376sm46295?>=83:p(<;?:4:8L43?3A;>>6*>688207=#?m087E?i2d::o4<5:&203<3811b?:4?:%35=?5a32c?97>5$04:>2?<3`;857>5$04:>42032e:?54?:%35=?75021b?o4?:%35=?5e32c:8<4?:%35=?7392B:9<54i01f>5<#9?31=>k4H072?>o6;o0;6)?99;37<>=zj=N6;j1/484;9`9m53d=;?1/=98541:8m61=83.::44=83.::44>2998m6d=83.::44409K507<3`;8i7>5$04:>45b3A;>=65f12d94?"6>00:8554}c65a?7=03:15186<>N6=11C=8<4$04:>4253-=o6>5G12a8 =3=<0k0b<8m:258 4212=:37d=8:18'53?=;o10e9;50;&22<<0121b=>750;&22<<6<>10c<=7:18'53?=9;207d=m:18'53?=;k10e<:>:18'53?=9=;0D<;>;:k27`<72-;=57?<3th?:i4>:983>5}#9<:1955G14:8L4353-;=57?;2:&4`?5<@89h7)6::5;b?k71j3937)?;6;63<>o4?3:1(<86:2d8?l22290/=;757898m45>290/=;751558?j7403:1(<86:00;?>o4j3:1(<86:2`8?l7393:1(<86:062?M72921b=>k50;&22<<6;l1C=8?4;h30b?6=,8<26<:7;:a03e=9321<7>t$073>0><@8?37E?:2:&22<<6<;1/;i4<;I30g>"?=3>2m6`>6c80=>"6!71139m76g;5;29 40>2>307d?<9;29 40>28><76a>3983>!7113;9465f3c83>!71139i76g>4083>!7113;?=6F>5098m45b290/=;7512g8L43632c:?k4?:%35=?73021vn98m:08;>5<7s-;><7;7;I36<>N6=;1/=;751508 2b=;2B:?n5+8487=d=i9?h1?l5+154905>6880b>=n<<0;6)?99;5:?>o6;00;6)?99;373>=h9:21<7*>68826==6880f>=n9=;1<7*>688204=O9<;07d?289n7E?:1:9j56`=83.::44>4998yg21i3;147>50z&215<202B:955G1408 40>28>97)9k:29K56e<,1?184o4n04a>6d<,8>=69>7;h14>5<#9?31?k54i5794?"6>00<565f12;94?"6>00:8:54o01;>5<#9?31=?64;h1a>5<#9?31?o54i062>5<#9?31=9?4H072?>o6;l0;6)?99;30a>N6=810e<=i:18'53?=9=207pl;6882>=<729q/=8>5599K50><@8?97)?99;376>"0l390D<=l;%:6>1?f3g;=n7=l;%372?2702c8;7>5$04:>6`<3`>>6=4+17;93<=5$04:>44?32c8n7>5$04:>6d<3`;?=7>5$04:>4263A;>=65f12g94?"6>00:?h5G1438?l74n3:1(<86:06;?>{e"6>00:8?5+7e80?M74k2.397:6a:l22g<4l2.:8;4;099j72<72-;=57=i;:k71?6=,8<26:74;h30=?6=,8<26<:8;:m27=<72-;=57?=8:9j7g<72-;=57=m;:k204<72-;=57?;1:J214=3g83>!7113;?465rb2;:>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`97`=#9=<1?8>4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb2c1>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`97c=#9=<1?8>4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb2c`>4<4290;w)?:0;:`?M7202B:9?5+17;956b<,1?184o4$6f963=i9?h18=5+154970691<7*>68804>h6>>0;76g84;29 40>2::0b<88:098kab=83.::44>2998yg5f93;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e2=;0(<:9:273?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg5f83;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e2=80(<:9:273?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg5>n3;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e2=90(<:9:273?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg5>m3;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e2=>0(<:9:273?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg5f03;1?7>50z&215289o7)6::5;b?!1c2;<0b<8m:578 4212:?;7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3fno6=4+17;957><3th85i4>:583>5}#9<:15i5G14:8L4353-;=577l;%:6>1?f3g;=n7:9;%372?5282c8h7>5$04:>2d<3`>36=4+17;93d=<3th8m:4>:283>5}#9<:14n5G14:8L4353-;=57?i2.>4n044>5=>1<7*>68804>h6>>0:76akd;29 40>288376sm38a95?2=83:p(<;?:8f8L43?3A;>>6*>688:g>"?=3>2m6`>6c87<>"6!7113=i76g;8;29 40>2>k07d?28>;76akd;29 40>288376sm3`495?5=83:p(<;?:9a8L43?3A;>>6*>68827a=#0<0?5l5+7e812>h6>k0?56*>478015=n?:0;6)?99;13?k71?3:07d9;:18'53?=;91e=;951:9l`a<72-;=57?=8:9~f6?e280?6=4?{%364??c3A;>46F>539'53?=1j1/484;9`9m53d=

d3A;>46F>539'53?=9:n0(5;548c8 2b=:?1e=;l54c9'510=;<:0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=68826==599K504<,8<264m4$97906373`9o6=4+17;93g=6884e>=n9:l1<7*>688205=68826==599K504<,8<26<=k;%:6>1?f3-=o6?84n04a>1b<,8>=6>;?;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07bjk:18'53?=9;207pl<9982>1<729q/=8>59e9K50><@8?97)?99;;`?!>22=3j7c?9b;6f?!73>39><6g2>h07d:7:18'53?=?h10e<=i:18'53?=9=:07bjk:18'53?=9;207pl6<729q/=8>58b9K50><@8?97)?99;30`>"?=3>2m6*8d;05?k71j3>m7)?;6;164>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:mg`?6=,8<26<<7;:a72e=93>1<7>t$073>k2.397:6a:l22g<282.:8;4<519j7a<72-;=579m;:k71<7>t$073>k2.397:6a:l22g<292.:8;4<519j7a<72-;=579m;:k71<7>t$073>k2.397:6a:l22g<2:2.:8;4<519j7a<72-;=579m;:k71<7>t$073>k2.397:6a:l22g<2;2.:8;4<519j7a<72-;=579m;:k7=93>1<7>t$073>k2.397:6a:l22g<2<2.:8;4<519j7a<72-;=579m;:k71<7>t$073>k2.397:6a:l22g<2=2.:8;4<519j7a<72-;=579m;:k71<7>t$073>k2.397:6a:l22g<2>2.:8;4<519j7a<72-;=579m;:k71<7>t$073>k2.397:6a:l22g<2?2.:8;4<519j7a<72-;=579m;:k7t$073>4303A;>46F>539'53?=9<<0(5;548c8j40e2<20(<:9:273?l5c290/=;757898m=2=83.::4489:9l`a<72-;=57?=8:9~f60d28086=4?{%364?72?2B:955G1408 40>28?=7)6::5;b?k71j3?27)?;6;164>o4l3:1(<86:6;8?l>3290/=;757898kab=83.::44>2998yg5213;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e2=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg51j3;1?7>50z&215<6=>1C=864H071?!7113;>:6*75;6:e>h6>k0>n6*>478015=n;m0;6)?99;5:?>o?<3:1(<86:6;8?jbc290/=;7513:8?xd4=10:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3?h7)?;6;164>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd4>h0:6>4?:1y'506=9<=0D<;7;I366>"6>00:9;5+8487=d=i9?h19i5+15497066884=>=n0=0;6)?99;5:?>icl3:1(<86:00;?>{e;<=1=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0>i6*>478015=n;m0;6)?99;5a?>o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e;?31=7=50;2x 43728?<7E?:8:J217=#9?31=884$97906373`9o6=4+17;93<=1<7*>6884=>=hlm0;6)?99;31<>=zj:?=6<4;:183!72833o7E?:8:J217=#9?315n5+8487=d=i9?h1:=5+15497066884f>=n<10;6)?99;5b?>o6;o0;6)?99;374>=hlm0;6)?99;31<>=zj:<36<4<:183!7283;>;6F>599K504<,8<26<;9;%:6>1?f3g;=n78>;%372?5282c8h7>5$04:>2?<3`2?6=4+17;93<=68826==97?54;294~"6=902h6F>599K504<,8<264m4$97906373`9o6=4+17;93g=6884e>=n9:l1<7*>688205=68826==i2d::o493:&203<4=91b?i4?:%35=?1>32c387>5$04:>2?<3fno6=4+17;957><3th8994>:583>5}#9<:15i5G14:8L4353-;=577l;%:6>1?f3g;=n78;;%372?5282c8h7>5$04:>2d<3`>36=4+17;93d=<3th8:;4>:283>5}#9<:1=894H07;?M72:2.::44>579'<0<31h1e=;l5649'510=;<:0e>j50;&22<<0121b494?:%35=?1>32eoh7>5$04:>44?32wi?8=51;694?6|,8?;64j4H07;?M72:2.::446c:&;1?2>i2d::o496:&203<4=91b?i4?:%35=?1e32c?47>5$04:>2g<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi?;;51;194?6|,8?;6<;8;I36<>N6=;1/=;751448 =3=<0k0b<8m:758 4212:?;7d=k:18'53?=?010e5:50;&22<<0121dhi4?:%35=?75021vn>;=:087>5<7s-;><77k;I36<>N6=;1/=;759b9'<0<31h1e=;l5699'510=;<:0e>j50;&22<<0j21b854?:%35=?1f32c:?k4?:%35=?73821dhi4?:%35=?75021vn>8;:080>5<7s-;><7?:7:J21==O9<80(<86:075?!>22=3j7c?9b;4:?!73>39><6g2>307d6;:18'53?=?010cij50;&22<<6:110qo=:1;390?6=8r.:9=46d:J21==O9<80(<86:8a8 =3=<0k0b<8m:7c8 4212:?;7d=k:18'53?=?k10e9650;&22<<0i21b=>h50;&22<<6<910cij50;&22<<6:110qo=7d;390?6=8r.:9=46d:J21==O9<80(<86:8a8 =3=<0k0b<8m:7`8 4212:?;7d=k:18'53?=?k10e9650;&22<<0i21b=>h50;&22<<6<910cij50;&22<<6:110qo=67;397?6=8r.:9=47c:J21==O9<80(<86:01g?!>22=3j7)9k:348j40e2?i0(<:9:273?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;nfg>5<#9?31=?64;|`0697n;o35f?0c3-;?:7=:0:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`0=3<62:0;6=u+14295$04:>66008<6`>6682?>icl3:1(<86:00;?>{e;1h1=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0=j6*>478015=n;m0;6)?99;5a?>o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e;0?1=7=50;2x 43721i0D<;7;I366>"6>00:?i5+8487=d=#?m09:6`>6c844>"6!71139;7c?97;28?l13290/=;75319m531=921dhi4?:%35=?75021vn>6n:087>5<7s-;><77k;I36<>N6=;1/=;759b9'<0<31h1e=;l5709'510=;<:0e>j50;&22<<0j21b854?:%35=?1f32c:?k4?:%35=?73821dhi4?:%35=?75021vn>7;:080>5<7s-;><76l;I36<>N6=;1/=;7512f8 =3=<0k0(:j5279m53d=?;1/=9853428m25=83.::44<0:l222<732c<87>5$04:>6600:>554}c1;=?7=<3:1518:`>N6=11C=8<4$04:>25<,8>=6>;?;h1g>5<#9?31;o54i5:94?"6>0000:8=54oef94?"6>00:>554}c1:7?7=;3:1518;g>N6=11C=8<4$04:>45c3-2>697n;%5g>706373`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?jbc290/=;7513:8?xd4010:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3=>7)?;6;164>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd41;0:6>4?:1y'506=0j1C=864H071?!7113;8h6*75;6:e>"0l38=7c?9b;55?!73>39><6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632eoh7>5$04:>44?32wi?5951;694?6|,8?;64j4H07;?M72:2.::446c:&;1?2>i2d::o487:&203<4=91b?i4?:%35=?1e32c?47>5$04:>2g<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi?4?51;194?6|,8?;65m4H07;?M72:2.::44>3e9'<0<31h1/;i4=6:l22g<002.:8;4<519j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65`de83>!7113;9465rb2:5>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`93<=#9=<1?8>4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb2;3>4<4290;w)?:0;:`?M7202B:9?5+17;956b<,1?184o4$6f963=i9?h1;l5+154970691<7*>68804>h6>>0;76g84;29 40>2::0b<88:098kab=83.::44>2998yg5?=3;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e2>h0(<:9:273?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg5?n3;1?7>50z&215289o7)6::5;b?!1c2;<0b<8m:6a8 4212:?;7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3fno6=4+17;957><3th8494>:583>5}#9<:15i5G14:8L4353-;=577l;%:6>1?f3g;=n79k;%372?5282c8h7>5$04:>2d<3`>36=4+17;93d=<3th84h4>:283>5}#9<:14n5G14:8L4353-;=57?i2.>4n044>5=>1<7*>68804>h6>>0:76akd;29 40>288376sm3e595?0=83:p(<;?:458L43?3A;>>6*>6886g>"0l390D<=l;%:6>1?f3g;=n79i;%372?5282c8;7>5$04:>6`<3`9i6=4+17;97g=6884=>=h9:21<7*>68826==;6=4+17;956c<3th8h;4>:783>5}#9<:19:5G14:8L4353-;=57;l;%5g>6=O9:i0(5;548c8j40e21:0(<:9:273?l50290/=;753g98m6d=83.::444098m16=83.::44>3d98yg5c=3;1:7>50z&215<2?2B:955G1408 40>24i2594?"6>008j65f3c83>!71139i76g;5;29 40>2>307b?<8;29 40>288376g>3783>!7113;?=65f4183>!7113;8i65rb2f7>4<1290;w)?:0;74?M7202B:9?5+17;91f=#?m087E?i2d::o472:&203<4=91b?:4?:%35=?5a32c8n7>5$04:>6d<3`>>6=4+17;93<=<3`;8:7>5$04:>42632c?<7>5$04:>45b32wi?i=51;494?6|,8?;6894H07;?M72:2.::44:c:&4`?5<@89h7)6::5;b?k71j3287)?;6;164>o4?3:1(<86:2d8?l5e290/=;753c98m13=83.::4489:9l56>=83.::44>2998m451290/=;751538?l27290/=;7512g8?xd4l;0:6;4?:1y'506==>1C=864H071?!7113?h7)9k:29K56e<,1?184o4n04a>=2<,8>=6>;?;h14>5<#9?31?k54i2`94?"6>008n65f4483>!7113=276a>3983>!7113;9465f12494?"6>00:8<54i5294?"6>00:?h54}c1g5?7=>3:151863>N6=11C=8<4$04:>0e<,>n1?6F>3b9'<0<31h1e=;l5849'510=;<:0e>950;&22<<4n21b?o4?:%35=?5e32c?97>5$04:>2?<3f;847>5$04:>44?32c:?;4?:%35=?73921b8=4?:%35=?74m21vn>j?:085>5<7s-;><7;8;I36<>N6=;1/=;755b9'3a<43A;8o6*75;6:e>h6>k03:6*>478015=n;>0;6)?99;1e?>o4j3:1(<86:2`8?l22290/=;757898k45?290/=;7513:8?l74>3:1(<86:062?>o383:1(<86:01f?>{e;jl1=7850;2x 4372<=0D<;7;I366>"6>00>o6*8d;18L45d3-2>697n;o35f?>03-;?:7=:0:k03?6=,8<26>h4;h1a>5<#9?31?o54i5794?"6>00<565`12:94?"6>00:>554i015>5<#9?31=9?4;h63>5<#9?31=>k4;|`0g`<62?0;6=u+142912=O9<20D<;=;%35=?3d3-=o6>5G12a8 =3=<0k0b<8m:9:8 4212:?;7d=8:18'53?=;o10e>l50;&22<<4j21b884?:%35=?1>32e:?54?:%35=?75021b=>850;&22<<6<810e9>50;&22<<6;l10qoh50;&22<<6<910cij50;&22<<6:110qo<>5;390?6=8r.:9=46d:J21==O9<80(<86:8a8 =3=<0k0b<8m:9c8 421282h7d=k:18'53?=?k10e9650;&22<<0i21b=>h50;&22<<6<910cij50;&22<<6:110qo<>f;397?6=8r.:9=47c:J21==O9<80(<86:01g?!>22=3j7)9k:348j40e21h0(<:9:0:`?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;nfg>5<#9?31=?64;|`151<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?>d3-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`156<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?>c3-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`157<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?>b3-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`154<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?>a3-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`15g<62:0;6=u+14295$04:>66008<6`>6682?>icl3:1(<86:00;?>{e:8:1=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k02=6*>4782o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e:8k1=7=50;2x 43721i0D<;7;I366>"6>00:?i5+8487=d=#?m09:6`>6c8:6>"6!71139;7c?97;28?l13290/=;75319m531=921dhi4?:%35=?75021vn?>i:087>5<7s-;><77k;I36<>N6=;1/=;759b9'<0<31h1e=;l5929'510=91i0e>j50;&22<<0j21b854?:%35=?1f32c:?k4?:%35=?73821dhi4?:%35=?75021vn??6:080>5<7s-;><76l;I36<>N6=;1/=;7512f8 =3=<0k0(:j5279m53d=1=1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>6600:>554}c03a?7=<3:1518:`>N6=11C=8<4$04:><3<,8>=6<6l;h1g>5<#9?31;o54i5:94?"6>0000:8=54oef94?"6>00:>554}c02518;g>N6=11C=8<4$04:>45c3-2>697n;%5g>704>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?jbc290/=;7513:8?xd58m0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j33<7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd59>0:6>4?:1y'506=0j1C=864H071?!7113;8h6*75;6:e>"0l38=7c?9b;;;?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632eoh7>5$04:>44?32wi>=l51;694?6|,8?;64j4H07;?M72:2.::446c:&;1?2>i2d::o469:&203<60j1b?i4?:%35=?1e32c?47>5$04:>2g<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi><851;194?6|,8?;65m4H07;?M72:2.::44>3e9'<0<31h1/;i4=6:l22g<>i2.:8;4>8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65`de83>!7113;9465rb0d7>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9=g=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb0dg>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9=f=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb0d`>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9=a=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb0da>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9=`=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb0db>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9=c=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb0d:>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9e5=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb0d;>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9e4=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb0d4>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9e7=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb0d5>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9e6=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb321>4<4290;w)?:0;:`?M7202B:9?5+17;956b<,1?184o4$6f963=i9?h1m95+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098kab=83.::44>2998yg7a=3;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e2h?0(<:9:0:`?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg4793;1?7>50z&215289o7)6::5;b?!1c2;<0b<8m:`48 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3fno6=4+17;957><3th:j>4>:583>5}#9<:15i5G14:8L4353-;=577l;%:6>1?f3g;=n7o8;%372?7?k2c8h7>5$04:>2d<3`>36=4+17;93d=<3th:jh4>:283>5}#9<:14n5G14:8L4353-;=57?i2.>4n044>5=>1<7*>68804>h6>>0:76akd;29 40>288376sm24g95?2=83:p(<;?:8f8L43?3A;>>6*>688:g>"?=3>2m6`>6c8b=>"6!7113=i76g;8;29 40>2>k07d?28>;76akd;29 40>288376sm27595?2=83:p(<;?:8f8L43?3A;>>6*>688:g>"?=3>2m6`>6c8be>"6!7113=i76g;8;29 40>2>k07d?28>;76akd;29 40>288376sm26395?5=83:p(<;?:9a8L43?3A;>>6*>68827a=#0<0?5l5+7e812>h6>k0jn6*>478246F>539'53?=1j1/484;9`9m53d=ij1/=98519a8m6b=83.::448b:9j0=<72-;=579n;:k27c<72-;=57?;0:9l`a<72-;=57?=8:9~f71728086=4?{%364?>d3A;>46F>539'53?=9:n0(5;548c8 2b=:?1e=;l5ae9'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=68826==599K504<,8<264m4$97904>d3`9o6=4+17;93g=6884e>=n9:l1<7*>688205=68826==599K504<,8<264m4$97904>d3`9o6=4+17;93g=6884e>=n9:l1<7*>688205=68826==599K504<,8<26<=k;%:6>1?f3-=o6?84n04a>g6<,8>=6<6l;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07bjk:18'53?=9;207pl=6282>1<729q/=8>59e9K50><@8?97)?99;;`?!>22=3j7c?9b;`2?!73>3;3o6g2>h07d:7:18'53?=?h10e<=i:18'53?=9=:07bjk:18'53?=9;207pl=6382>1<729q/=8>59e9K50><@8?97)?99;;`?!>22=3j7c?9b;`1?!73>3;3o6g2>h07d:7:18'53?=?h10e<=i:18'53?=9=:07bjk:18'53?=9;207pl=6082>1<729q/=8>59e9K50><@8?97)?99;;`?!>22=3j7c?9b;`0?!73>3;3o6g2>h07d:7:18'53?=?h10e<=i:18'53?=9=:07bjk:18'53?=9;207pl=6182>1<729q/=8>59e9K50><@8?97)?99;;`?!>22=3j7c?9b;`7?!73>3;3o6g2>h07d:7:18'53?=?h10e<=i:18'53?=9=:07bjk:18'53?=9;207pl=6`82>6<729q/=8>58b9K50><@8?97)?99;30`>"?=3>2m6*8d;05?k71j3h>7)?;6;3;g>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:mg`?6=,8<26<<7;:a60`=93>1<7>t$073>k2.397:6a:l22g2.:8;4>8b9j7a<72-;=579m;:k7t$073>=e<@8?37E?:2:&22<<6;m1/484;9`9'3a<5>2d::o4m7:&203<60j1b;>4?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=hlm0;6)?99;31<>=zj;?o6<4;:183!72833o7E?:8:J217=#9?315n5+8487=d=i9?h1n55+15495=e6884f>=n<10;6)?99;5b?>o6;o0;6)?99;374>=hlm0;6)?99;31<>=zj;<36<4<:183!72832h7E?:8:J217=#9?31=>j4$9790n1>;5a17`9f<=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810cij50;&22<<6:110qo<;9;390?6=8r.:9=46d:J21==O9<80(<86:8a8 =3=<0k0b<8m:cc8 421282h7d=k:18'53?=?k10e9650;&22<<0i21b=>h50;&22<<6<910cij50;&22<<6:110qo<:2;390?6=8r.:9=46d:J21==O9<80(<86:8a8 =3=<0k0b<8m:c`8 421282h7d=k:18'53?=?k10e9650;&22<<0i21b=>h50;&22<<6<910cij50;&22<<6:110qo<:c;397?6=8r.:9=47c:J21==O9<80(<86:01g?!>22=3j7)9k:348j40e2ki0(<:9:0:`?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;nfg>5<#9?31=?64;|`114<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?dc3-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`115<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?db3-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`10c<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?da3-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`11<<62:0;6=u+14295$04:>66008<6`>6682?>icl3:1(<86:00;?>{e:=o1=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0h=6*>4782o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e:=n1=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0h>6*>4782o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e:=i1=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0h?6*>4782o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e:=h1=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0h86*>4782o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e:"6>00:?i5+8487=d=#?m09:6`>6c8`1>"6!71139;7c?97;28?l13290/=;75319m531=921dhi4?:%35=?75021vn?:n:087>5<7s-;><77k;I36<>N6=;1/=;759b9'<0<31h1e=;l5c79'510=91i0e>j50;&22<<0j21b854?:%35=?1f32c:?k4?:%35=?73821dhi4?:%35=?75021vn?;;:080>5<7s-;><76l;I36<>N6=;1/=;7512f8 =3=<0k0(:j5279m53d=k>1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>6600:>554}c07518:`>N6=11C=8<4$04:>f><,8>=6<6l;h1g>5<#9?31;o54i5:94?"6>0000:8=54oef94?"6>00:>554}c067?7=;3:1518;g>N6=11C=8<4$04:>45c3-2>697n;%5g>704>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?jbc290/=;7513:8?xd6m<0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3ij7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd6m=0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3ii7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd6m:0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3ih7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd6m;0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3io7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd6m90:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3in7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd6ll0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3im7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd6lm0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3n;7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd6lk0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3n:7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd5;m0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3n97)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd5<>0:6>4?:1y'506=0j1C=864H071?!7113;8h6*75;6:e>"0l38=7c?9b;f0?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632eoh7>5$04:>44?32wi>>m51;694?6|,8?;64j4H07;?M72:2.::446c:&;1?2>i2d::o4k4:&203<60j1b?i4?:%35=?1e32c?47>5$04:>2g<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi>9851;194?6|,8?;65m4H07;?M72:2.::44>3e9'<0<31h1/;i4=6:l22g8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65`de83>!7113;9465rb31a>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9`3=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb366>4<4290;w)?:0;:`?M7202B:9?5+17;956b<,1?184o4$6f963=i9?h1h:5+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098kab=83.::44>2998yg44i3;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e2m20(<:9:0:`?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg43<3;1?7>50z&215289o7)6::5;b?!1c2;<0b<8m:e;8 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3fno6=4+17;957><3th9?44>:583>5}#9<:15i5G14:8L4353-;=577l;%:6>1?f3g;=n7jn;%372?7?k2c8h7>5$04:>2d<3`>36=4+17;93d=<3th98>4>:283>5}#9<:14n5G14:8L4353-;=57?i2.>4n044>5=>1<7*>68804>h6>>0:76akd;29 40>288376sm22:95?2=83:p(<;?:8f8L43?3A;>>6*>688:g>"?=3>2m6`>6c8gg>"6!7113=i76g;8;29 40>2>k07d?28>;76akd;29 40>288376sm25095?5=83:p(<;?:9a8L43?3A;>>6*>68827a=#0<0?5l5+7e812>h6>k0oh6*>478246F>539'53?=1j1/484;9`9m53d=ll1/=98519a8m6b=83.::448b:9j0=<72-;=579n;:k27c<72-;=57?;0:9l`a<72-;=57?=8:9~f72628086=4?{%364?>d3A;>46F>539'53?=9:n0(5;548c8 2b=:?1e=;l5dg9'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=68826==599K504<,8<264m4$97904$065>4>d3`9o6=4+17;93g=6884e>=n9:l1<7*>688205=68826==599K504<,8<26<=k;%:6>1?f3-=o6?84n04a>`7<,8>=6<6l;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07bjk:18'53?=9;207pl=3482>1<729q/=8>59e9K50><@8?97)?99;;`?!>22=3j7c?9b;g1?!73>3;3o6g2>h07d:7:18'53?=?h10e<=i:18'53?=9=:07bjk:18'53?=9;207pl=3g82>6<729q/=8>58b9K50><@8?97)?99;30`>"?=3>2m6*8d;05?k71j3o87)?;6;3;g>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:mg`?6=,8<26<<7;:a662=93>1<7>t$073>k2.397:6a:l22g8b9j7a<72-;=579m;:k7t$073>=e<@8?37E?:2:&22<<6;m1/484;9`9'3a<5>2d::o4j5:&203<60j1b;>4?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=hlm0;6)?99;31<>=zj;826<4;:183!72833o7E?:8:J217=#9?315n5+8487=d=i9?h1i;5+15495=e6884f>=n<10;6)?99;5b?>o6;o0;6)?99;374>=hlm0;6)?99;31<>=zj;986<4<:183!72832h7E?:8:J217=#9?31=>j4$9790n1>;5a17`9a2=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810cij50;&22<<6:110qo<=8;390?6=8r.:9=46d:J21==O9<80(<86:8a8 =3=<0k0b<8m:d:8 421282h7d=k:18'53?=?k10e9650;&22<<0i21b=>h50;&22<<6<910cij50;&22<<6:110qo<<2;397?6=8r.:9=47c:J21==O9<80(<86:01g?!>22=3j7)9k:348j40e2l30(<:9:0:`?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;nfg>5<#9?31=?64;|`162<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?cf3-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`174<62:0;6=u+14295$04:>66008<6`>6682?>icl3:1(<86:00;?>{e:;<1=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0no6*>4782o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e:::1=7=50;2x 43721i0D<;7;I366>"6>00:?i5+8487=d=#?m09:6`>6c8f`>"6!71139;7c?97;28?l13290/=;75319m531=921dhi4?:%35=?75021vn?<::087>5<7s-;><77k;I36<>N6=;1/=;759b9'<0<31h1e=;l5ed9'510=91i0e>j50;&22<<0j21b854?:%35=?1f32c:?k4?:%35=?73821dhi4?:%35=?75021vn?5<7s-;><76l;I36<>N6=;1/=;7512f8 =3=<0k0(:j5279m53d=mo1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>6600:>554}c010?7=<3:1518:`>N6=11C=8<4$04:>c6<,8>=6<6l;h1g>5<#9?31;o54i5:94?"6>0000:8=54oef94?"6>00:>554}c01a?7=;3:1518;g>N6=11C=8<4$04:>45c3-2>697n;%5g>704>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?jbc290/=;7513:8?xd5::0:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3l97)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd5:m0:6>4?:1y'506=0j1C=864H071?!7113;8h6*75;6:e>"0l38=7c?9b;d0?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632eoh7>5$04:>44?32wi>?<51;694?6|,8?;64j4H07;?M72:2.::446c:&;1?2>i2d::o4i4:&203<60j1b?i4?:%35=?1e32c?47>5$04:>2g<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi>?m51;194?6|,8?;65m4H07;?M72:2.::44>3e9'<0<31h1/;i4=6:l22g8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65`de83>!7113;9465rb302>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9b3=#9=<1=5m4i2f94?"6>00!7113=j76g>3g83>!7113;?<65`de83>!7113;9465rb30a>4<4290;w)?:0;:`?M7202B:9?5+17;956b<,1?184o4$6f963=i9?h1j:5+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098kab=83.::44>2998yg4583;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e2o20(<:9:0:`?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg45i3;1?7>50z&215289o7)6::5;b?!1c2;<0b<8m:g;8 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3fno6=4+17;957><3th:hl4>:283>5}#9<:1=894H07;?M72:2.::44>579'<0<31h1e=;l5f`9'510=91i0e>j50;&22<<0121b494?:%35=?1>32eoh7>5$04:>44?32wi=i751;194?6|,8?;6<;8;I36<>N6=;1/=;751448 =3=<0k0b<8m:g`8 421282h7d=k:18'53?=?010e5:50;&22<<0121dhi4?:%35=?75021vn5<7s-;><77k;I36<>N6=;1/=;759b9'<0<31h1e=;l5fb9'510=91i0e>j50;&22<<0j21b854?:%35=?1f32c:?k4?:%35=?73821dhi4?:%35=?75021vn5<7s-;><7?:7:J21==O9<80(<86:075?!>22=3j7c?9b;dg?!73>3;3o6g2>307d6;:18'53?=?010cij50;&22<<6:110qo?l5;390?6=8r.:9=46d:J21==O9<80(<86:8a8 =3=<0k0b<8m:gg8 421282h7d=k:18'53?=?k10e9650;&22<<0i21b=>h50;&22<<6<910cij50;&22<<6:110qo?k7;397?6=8r.:9=4>569K50><@8?97)?99;362>"?=3>2m6`>6c8eb>"6!7113=276g74;29 40>2>307bjk:18'53?=9;207pl>c582>1<729q/=8>59e9K50><@8?97)?99;;`?!>22=3j7c?9b;334>"6!7113=i76g;8;29 40>2>k07d?28>;76akd;29 40>288376sm1e495?5=83:p(<;?:074?M7202B:9?5+17;9500<,1?184o4n04a>4663-;?:7?7c:k0`?6=,8<26:74;h:7>5<#9?31;454oef94?"6>00:>554}c3`7?7=<3:1518:`>N6=11C=8<4$04:>4653-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`2`0<62:0;6=u+1429501<@8?37E?:2:&22<<6=?1/484;9`9m53d=9990(<:9:0:`?l5c290/=;757898m=2=83.::4489:9l`a<72-;=57?=8:9~f4e5280?6=4?{%364??c3A;>46F>539'53?=1j1/484;9`9m53d=99>0(<:9:0:`?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg7c<3;1?7>50z&215<6=>1C=864H071?!7113;>:6*75;6:e>h6>k0:<85+15495=e6884=>=n0=0;6)?99;5:?>icl3:1(<86:00;?>{e9j;1=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0:<;5+15495=e6884f>=n<10;6)?99;5b?>o6;o0;6)?99;374>=hlm0;6)?99;31<>=zj8n86<4<:183!7283;>;6F>599K504<,8<26<;9;%:6>1?f3g;=n7??7:&203<60j1b?i4?:%35=?1>32c387>5$04:>2?<3fno6=4+17;957><3th:o=4>:583>5}#9<:15i5G14:8L4353-;=577l;%:6>1?f3g;=n7??8:&203<60j1b?i4?:%35=?1e32c?47>5$04:>2g<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi=i<51;194?6|,8?;6<;8;I36<>N6=;1/=;751448 =3=<0k0b<8m:02:?!73>3;3o6g2>307d6;:18'53?=?010cij50;&22<<6:110qo?mf;390?6=8r.:9=46d:J21==O9<80(<86:8a8 =3=<0k0b<8m:02b?!73>3;3o6g2>h07d:7:18'53?=?h10e<=i:18'53?=9=:07bjk:18'53?=9;207pl>d082>6<729q/=8>51458L43?3A;>>6*>688213=#0<0?5l5a17`955d<,8>=6<6l;h1g>5<#9?31;454i9694?"6>00<565`de83>!7113;9465rb0`f>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`955e<,8>=6<6l;h1g>5<#9?31;o54i5:94?"6>0000:8=54oef94?"6>00:>554}c3a`?7=;3:1518212=O9<20D<;=;%35=?72>2.397:6a:l22g<68m1/=98519a8m6b=83.::4489:9j<1<72-;=5796;:mg`?6=,8<26<<7;:a5ge=9391<7>t$073>4303A;>46F>539'53?=9<<0(5;548c8j40e28:n7)?;6;3;g>o4l3:1(<86:6;8?l>3290/=;757898kab=83.::44>2998yg7f13;187>50z&215<>l2B:955G1408 40>20i0(5;548c8j40e28:m7)?;6;3;g>o4l3:1(<86:6`8?l2?290/=;757`98m45a290/=;751528?jbc290/=;7513:8?xd6jk0:6>4?:1y'506=9<=0D<;7;I366>"6>00:9;5+8487=d=i9?h1=<>4$065>4>d3`9o6=4+17;93<=1<7*>6884=>=hlm0;6)?99;31<>=zj8k36<4;:183!72833o7E?:8:J217=#9?315n5+8487=d=i9?h1=4>d3`9o6=4+17;93g=6884e>=n9:l1<7*>688205=68826==i2d::o4>139'510=91i0e>j50;&22<<0121b494?:%35=?1>32eoh7>5$04:>44?32wi=l951;694?6|,8?;64j4H07;?M72:2.::446c:&;1?2>i2d::o4>129'510=91i0e>j50;&22<<0j21b854?:%35=?1f32c:?k4?:%35=?73821dhi4?:%35=?75021vn5<7s-;><7?:7:J21==O9<80(<86:075?!>22=3j7c?9b;320>"6!7113=276g74;29 40>2>307bjk:18'53?=9;207pl>a782>1<729q/=8>59e9K50><@8?97)?99;;`?!>22=3j7c?9b;321>"6!7113=i76g;8;29 40>2>k07d?28>;76akd;29 40>288376sm1c:95?5=83:p(<;?:074?M7202B:9?5+17;9500<,1?184o4n04a>4713-;?:7?7c:k0`?6=,8<26:74;h:7>5<#9?31;454oef94?"6>00:>554}c3b1?7=<3:1518:`>N6=11C=8<4$04:>4703-;?:7?7c:k0`?6=,8<26:l4;h6;>5<#9?31;l54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`2f2<62:0;6=u+1429501<@8?37E?:2:&22<<6=?1/484;9`9m53d=9820(<:9:0:`?l5c290/=;757898m=2=83.::4489:9l`a<72-;=57?=8:9~f4g3280?6=4?{%364??c3A;>46F>539'53?=1j1/484;9`9m53d=9830(<:9:0:`?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg7e>3;1?7>50z&215<6=>1C=864H071?!7113;>:6*75;6:e>h6>k0:=l5+15495=e6884=>=n0=0;6)?99;5:?>icl3:1(<86:00;?>{e9h91=7:50;2x 43720n0D<;7;I366>"6>002o6*75;6:e>h6>k0:=o5+15495=e6884f>=n<10;6)?99;5b?>o6;o0;6)?99;374>=hlm0;6)?99;31<>=zj8h>6<4<:183!7283;>;6F>599K504<,8<26<;9;%:6>1?f3g;=n7?>c:&203<60j1b?i4?:%35=?1>32c387>5$04:>2?<3fno6=4+17;957><3th:m?4>:583>5}#9<:15i5G14:8L4353-;=577l;%:6>1?f3g;=n7?>d:&203<60j1b?i4?:%35=?1e32c?47>5$04:>2g<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi=o:51;194?6|,8?;6<;8;I36<>N6=;1/=;751448 =3=<0k0b<8m:03f?!73>3;3o6g2>307d6;:18'53?=?010cij50;&22<<6:110qo?n1;390?6=8r.:9=46d:J21==O9<80(<86:8a8 =3=<0k0b<8m:03e?!73>3;3o6g2>h07d:7:18'53?=?h10e<=i:18'53?=9=:07bjk:18'53?=9;207pl=a082>3<729q/=8>5569K50><@8?97)9k:29'53?==j1C=>m4$97905$04:>6`<3`9i6=4+17;97g=6884=>=h9:21<7*>68826==;6=4+17;956c<3th9j?4>:783>5}#9<:19:5G14:8L4353-=o6>5+17;91f=O9:i0(5;548c8j40e288:7)?;6;3;g>o4?3:1(<86:2d8?l5e290/=;753c98m13=83.::4489:9l56>=83.::44>2998m451290/=;751538?l27290/=;7512g8?xd5n80:6;4?:1y'506==>1C=864H071?!1c2:1/=;755b9K56e<,1?184o4n04a>4453-;?:7?7c:k03?6=,8<26>h4;h1a>5<#9?31?o54i5794?"6>00<565`12:94?"6>00:>554i015>5<#9?31=9?4;h63>5<#9?31=>k4;|`1b5<62?0;6=u+142912=O9<20D<;=;%5g>6=#9?319n5G12a8 =3=<0k0b<8m:000?!73>3;3o6g<7;29 40>2:l07d=m:18'53?=;k10e9;50;&22<<0121d=>650;&22<<6:110e<=9:18'53?=9=;07d:?:18'53?=9:o07pl=eg82>3<729q/=8>5569K50><@8?97)9k:29'53?==j1C=>m4$97905$04:>6`<3`9i6=4+17;97g=6884=>=h9:21<7*>68826==;6=4+17;956c<3th9ih4>:783>5}#9<:19:5G14:8L4353-=o6>5+17;91f=O9:i0(5;548c8j40e288>7)?;6;3;g>o4?3:1(<86:2d8?l5e290/=;753c98m13=83.::4489:9l56>=83.::44>2998m451290/=;751538?l27290/=;7512g8?xd5mm0:6;4?:1y'506==>1C=864H071?!1c2:1/=;755b9K56e<,1?184o4n04a>4413-;?:7?7c:k03?6=,8<26>h4;h1a>5<#9?31?o54i5794?"6>00<565`12:94?"6>00:>554i015>5<#9?31=9?4;h63>5<#9?31=>k4;|`1af<62?0;6=u+142912=O9<20D<;=;%5g>6=#9?319n5G12a8 =3=<0k0b<8m:004?!73>3;3o6g<7;29 40>2:l07d=m:18'53?=;k10e9;50;&22<<0121d=>650;&22<<6:110e<=9:18'53?=9=;07d:?:18'53?=9:o07pl=ec82>3<729q/=8>5569K50><@8?97)9k:29'53?==j1C=>m4$97905$04:>6`<3`9i6=4+17;97g=6884=>=h9:21<7*>68826==;6=4+17;956c<3th9il4>:783>5}#9<:19:5G14:8L4353-=o6>5+17;91f=O9:i0(5;548c8j40e28827)?;6;3;g>o4?3:1(<86:2d8?l5e290/=;753c98m13=83.::4489:9l56>=83.::44>2998m451290/=;751538?l27290/=;7512g8?xd5m00:6;4?:1y'506==>1C=864H071?!1c2:1/=;755b9K56e<,1?184o4n04a>44f3-;?:7?7c:k03?6=,8<26>h4;h1a>5<#9?31?o54i5794?"6>00<565`12:94?"6>00:>554i015>5<#9?31=9?4;h63>5<#9?31=>k4;|`1f=<62?0;6=u+142912=O9<20D<;=;%5g>6=#9?319n5G12a8 =3=<0k0b<8m:00a?!73>3;3o6g<7;29 40>2:l07d=m:18'53?=;k10e9;50;&22<<0121d=>650;&22<<6:110e<=9:18'53?=9=;07d:?:18'53?=9:o07pl=b682>3<729q/=8>5569K50><@8?97)9k:29'53?==j1C=>m4$97905$04:>6`<3`9i6=4+17;97g=6884=>=h9:21<7*>68826==;6=4+17;956c<3th9n;4>:783>5}#9<:19:5G14:8L4353-=o6>5+17;91f=O9:i0(5;548c8j40e288o7)?;6;3;g>o4?3:1(<86:2d8?l5e290/=;753c98m13=83.::4489:9l56>=83.::44>2998m451290/=;751538?l27290/=;7512g8?xd5j<0:6;4?:1y'506==>1C=864H071?!1c2:1/=;755b9K56e<,1?184o4n04a>44b3-;?:7?7c:k03?6=,8<26>h4;h1a>5<#9?31?o54i5794?"6>00<565`12:94?"6>00:>554i015>5<#9?31=9?4;h63>5<#9?31=>k4;|`1f1<62?0;6=u+142912=O9<20D<;=;%5g>6=#9?319n5G12a8 =3=<0k0b<8m:00e?!73>3;3o6g<7;29 40>2:l07d=m:18'53?=;k10e9;50;&22<<0121d=>650;&22<<6:110e<=9:18'53?=9=;07d:?:18'53?=9:o07pl=b282>3<729q/=8>5569K50><@8?97)9k:29'53?==j1C=>m4$97905$04:>6`<3`9i6=4+17;97g=6884=>=h9:21<7*>68826==;6=4+17;956c<3th9n<4>:783>5}#9<:19:5G14:8L4353-=o6>5+17;91f=O9:i0(5;548c8j40e289:7)?;6;3;g>o4?3:1(<86:2d8?l5e290/=;753c98m13=83.::4489:9l56>=83.::44>2998m451290/=;751538?l27290/=;7512g8?xd5j90:6;4?:1y'506==>1C=864H071?!1c2:1/=;755b9K56e<,1?184o4n04a>4553-;?:7?7c:k03?6=,8<26>h4;h1a>5<#9?31?o54i5794?"6>00<565`12:94?"6>00:>554i015>5<#9?31=9?4;h63>5<#9?31=>k4;|`1ec<62?0;6=u+142912=O9<20D<;=;%5g>6=#9?319n5G12a8 =3=<0k0b<8m:010?!73>3;3o6g<7;29 40>2:l07d=m:18'53?=;k10e9;50;&22<<0121d=>650;&22<<6:110e<=9:18'53?=9=;07d:?:18'53?=9:o07pl=ad82>3<729q/=8>5569K50><@8?97)9k:29'53?==j1C=>m4$97905$04:>6`<3`9i6=4+17;97g=6884=>=h9:21<7*>68826==;6=4+17;956c<3th9i94>:783>5}#9<:19:5G14:8L4353-=o6>5+17;91f=O9:i0(5;548c8j40e289>7)?;6;3;g>o4?3:1(<86:2d8?l5e290/=;753c98m13=83.::4489:9l56>=83.::44>2998m451290/=;751538?l27290/=;7512g8?xd4m00:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3;8:6*>478015=n;m0;6)?99;5a?>o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e;ll1=7;50;2x 43720;0D<;7;I366>"6>00:?i5+8487=d=#?m0?7c?9b;303>"6!71139;7c?97;28?l13290/=;75319m531=921b;84?:%35=?573g;=;7<4;h55>5<#9?31?=5a17597>=hlm0;6)?99;31<>=zj:oj6<4;:183!72833o7E?:8:J217=#9?315n5+8487=d=i9?h1=>64$065>6373`9o6=4+17;93g=6884e>=n9:l1<7*>688205=68826==599K504<,8<26<=k;%:6>1?f3-=o695a17`956?<,8>=6>;?;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07d9::18'53?=;91e=;952:9j33<72-;=57=?;o353?5<3fno6=4+17;957><3th8io4>:583>5}#9<:15i5G14:8L4353-;=577l;%:6>1?f3g;=n7?5$04:>2g<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi?k?51;794?6|,8?;64?4H07;?M72:2.::44>3e9'<0<31h1/;i4;;o35f?74j2.:8;4<519j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65f7483>!71139;7c?97;08?l11290/=;75319m531=;21dhi4?:%35=?75021vn>kl:087>5<7s-;><77k;I36<>N6=;1/=;759b9'<0<31h1e=;l512a8 4212:?;7d=k:18'53?=?k10e9650;&22<<0i21b=>h50;&22<<6<910cij50;&22<<6:110qo22=3j7)9k:59m53d=9:n0(<:9:0:`?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=n??0;6)?99;13?k71?3907bjk:18'53?=9;207pl=a582>1<729q/=8>59e9K50><@8?97)?99;;`?!>22=3j7c?9b;30a>"6!7113=i76g;8;29 40>2>k07d?28>;76akd;29 40>288376sm2`c95?3=83:p(<;?:838L43?3A;>>6*>68827a=#0<0?5l5+7e87?k71j3;8j6*>4782!7113;9465rb3c6>4<3290;w)?:0;;g?M7202B:9?5+17;9=f=#0<0?5l5a17`9516<,8>=6<6l;h1g>5<#9?31;o54i5:94?"6>0000:8=54oef94?"6>00:>554}c0bf?7==3:1518:5>N6=11C=8<4$04:>45c3-2>697n;%5g>1=i9?h1=9?4$065>4>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?l12290/=;75319m531=:21b;;4?:%35=?573g;=;7=4;nfg>5<#9?31=?64;|`1e3<62=0;6=u+1429=a=O9<20D<;=;%35=??d3-2>697n;o35f?73:2.:8;4>8b9j7a<72-;=579m;:k7t$073><7<@8?37E?:2:&22<<6;m1/484;9`9'3a<33g;=n7?;3:&203<60j1b;>4?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=n?<0;6)?99;13?k71?3807d99:18'53?=;91e=;953:9l`a<72-;=57?=8:9~f7g0280?6=4?{%364??c3A;>46F>539'53?=1j1/484;9`9m53d=9=>0(<:9:0:`?l5c290/=;757c98m1>=83.::448a:9j56`=83.::44>4198kab=83.::44>2998yg4fl3;197>50z&215<>92B:955G1408 40>289o7)6::5;b?!1c2=1e=;l51578 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3`=>6=4+17;975=i9?=1>65f7783>!71139;7c?97;18?jbc290/=;7513:8?xd5i10:694?:1y'506=1m1C=864H071?!71133h7)6::5;b?k71j3;?:6*>4782o303:1(<86:6c8?l74n3:1(<86:063?>icl3:1(<86:00;?>{e<"6>00:?i5+8487=d=#?m0?;6`>6c8202=#9=<18=64i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:mg`?6=,8<26<<7;:a00e=93?1<7>t$073><7<@8?37E?:2:&22<<6;m1/484;9`9'3a<3j2d::o4>499'510=<920e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976g86;29 40>2::0b<88:298kab=83.::44>2998yg22j3;197>50z&215<>92B:955G1408 40>289o7)6::5;b?!1c2;90b<8m:06:?!73>3>;46g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>66008<6`>6680?>icl3:1(<86:00;?>{e<<31=7:50;2x 43721o0D<;7;I366>"6>00:?i5+8487=d=#?m0856`>6c820d=#9=<18=64i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:mg`?6=,8<26<<7;:a00g=93>1<7>t$073>=c<@8?37E?:2:&22<<6;m1/484;9`9'3a<2j2d::o4>4c9'510=<920e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976akd;29 40>288376sm35095?5=83:p(<;?:9a8L43?3A;>>6*>68827a=#0<0?5l5+7e806>h6>k0:8n5+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098kab=83.::44>2998yg4b>3;1?7>50z&215289o7)6::5;b?!1c2=?0b<8m:06g?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632eoh7>5$04:>44?32wi?h:51;794?6|,8?;64?4H07;?M72:2.::44>3e9'<0<31h1/;i4:;o35f?73m2.:8;4<519j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65f7483>!71139;7c?97;08?l11290/=;75319m531=;21dhi4?:%35=?75021vn5<7s-;><77>;I36<>N6=;1/=;7512f8 =3=<0k0(:j54:l22g<65$04:>66008<6`>6681?>o0>3:1(<86:228j4002:10cij50;&22<<6:110qo:65;391?6=8r.:9=461:J21==O9<80(<86:01g?!>22=3j7)9k:59m53d=9<:0(<:9:52;?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=n??0;6)?99;13?k71?3907bjk:18'53?=9;207pl;9782>0<729q/=8>5909K50><@8?97)?99;30`>"?=3>2m6*8d;68j40e28?:7)?;6;63<>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:k41?6=,8<26>>4n044>7=<1<7*>68804>h6>>0876akd;29 40>288376sm48695?2=83:p(<;?:9g8L43?3A;>>6*>68827a=#0<0?5l5+7e86f>h6>k0:9?5+154905>91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532eoh7>5$04:>44?32wi84651;794?6|,8?;64?4H07;?M72:2.::44>3e9'<0<31h1/;i4=b:l22g<6=:1/=98541:8m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>o0>3:1(<86:228j4002:10cij50;&22<<6:110qo?i1;391?6=8r.:9=461:J21==O9<80(<86:01g?!>22=3j7)9k:5c8j40e28??7)?;6;3;g>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:k41?6=,8<26>>4n044>7=<1<7*>68804>h6>>0876akd;29 40>288376sm1g295?3=83:p(<;?:838L43?3A;>>6*>68827a=#0<0?5l5+7e86?k71j3;>96*>4782!7113;9465rb0::>4<2290;w)?:0;;2?M7202B:9?5+17;956b<,1?184o4$6f90>h6>k0:9;5+154956191<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532c<:7>5$04:>6654oef94?"6>00:>554}c3;6?7=<3:1518;a>N6=11C=8<4$04:>45c3-2>697n;%5g>745$04:>66008<6`>6682?>o0=3:1(<86:228j4002;10cij50;&22<<6:110qo?75;390?6=8r.:9=47e:J21==O9<80(<86:01g?!>22=3j7)9k:4`8j40e28?37)?;6;303>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:k41?6=,8<26>>4n044>7=68826==599K504<,8<26<=k;%:6>1?f3-=o6<:4n04a>43>3-;?:7?<7:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398kab=83.::44>2998yg5en3;197>50z&215<>92B:955G1408 40>289o7)6::5;b?!1c2890b<8m:07b?!73>39><6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>66008<6`>6680?>icl3:1(<86:00;?>{e;j:1=7:50;2x 43721o0D<;7;I366>"6>00:?i5+8487=d=#?m09>6`>6c821g=#9=<1?8>4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:mg`?6=,8<26<<7;:a7f7=93?1<7>t$073><7<@8?37E?:2:&22<<6;m1/484;9`9'3a<5;2d::o4>5b9'510=;<:0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976g86;29 40>2::0b<88:298kab=83.::44>2998yg7><3;197>50z&215<>92B:955G1408 40>289o7)6::5;b?!1c28i0b<8m:07g?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>66008<6`>6680?>icl3:1(<86:00;?>{e9091=7:50;2x 43721o0D<;7;I366>"6>00:?i5+8487=d=#?m0:h6`>6c821`=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:mg`?6=,8<26<<7;:a727=93?1<7>t$073><7<@8?37E?:2:&22<<6;m1/484;9`9'3a<6k2d::o4>5g9'510=;<:0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976g86;29 40>2::0b<88:298kab=83.::44>2998yg7>:3;1?7>50z&215289o7)6::5;b?!1c28=0b<8m:043?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632eoh7>5$04:>44?32wi>i851;694?6|,8?;65k4H07;?M72:2.::44>3e9'<0<31h1/;i481/=98519a8m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>icl3:1(<86:00;?>{e:m>1=7:50;2x 43721o0D<;7;I366>"6>00:?i5+8487=d=#?m08n6`>6c8227=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:mg`?6=,8<26<<7;:a6a4=93>1<7>t$073>=c<@8?37E?:2:&22<<6;m1/484;9`9'3a4?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=n?<0;6)?99;13?k71?3807bjk:18'53?=9;207pl=d182>1<729q/=8>58d9K50><@8?97)?99;30`>"?=3>2m6*8d;7a?k71j3;=86*>4782<3th9oh4>:583>5}#9<:14h5G14:8L4353-;=57?i2.4023-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398kab=83.::44>2998yg4dk3;187>50z&215289o7)6::5;b?!1c2l1e=;l51748 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3`=>6=4+17;975=i9?=1>65`de83>!7113;9465rb3ab>4<3290;w)?:0;:f?M7202B:9?5+17;956b<,1?184o4$6f9a>h6>k0:::5+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532eoh7>5$04:>44?32wi>n651;694?6|,8?;65k4H07;?M72:2.::44>3e9'<0<31h1/;i46;o35f?7102.:8;4>8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65f7483>!71139;7c?97;08?jbc290/=;7513:8?xd5k?0:694?:1y'506=0l1C=864H071?!7113;8h6*75;6:e>"0l330b<8m:04:?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>6600:>554}c0`0?7=<3:1518;a>N6=11C=8<4$04:>45c3-2>697n;%5g>425$04:>66008<6`>6682?>o0=3:1(<86:228j4002;10cij50;&22<<6:110qo22=3j7)9k:2;8j40e28o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:k41?6=,8<26>>4n044>7=68826==599K504<,8<26<=k;%:6>1?f3-=o6>o4n04a>40d3-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398m20=83.::44<0:l222<432eoh7>5$04:>44?32wi>5:51;694?6|,8?;65k4H07;?M72:2.::44>3e9'<0<31h1/;i4=a:l22g<6>m1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>icl3:1(<86:00;?>{e9021=7:50;2x 43721o0D<;7;I366>"6>00:?i5+8487=d=#?m0:n6`>6c822`=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:mg`?6=,8<26<<7;:a6=7=9391<7>t$073>=e<@8?37E?:2:&22<<6;m1/484;9`9'3a<5>2d::o4>6g9'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=68826==599K504<,8<26<=k;%:6>1?f3-=o6?>4n04a>4173-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398m20=83.::44<0:l222<432eoh7>5$04:>44?32wi=4951;194?6|,8?;65m4H07;?M72:2.::44>3e9'<0<31h1/;i4>7:l22g<6?81/=98519a8m25=83.::44<0:l222<732c<87>5$04:>6600:>554}c10=?7==3:1518:5>N6=11C=8<4$04:>45c3-2>697n;%5g>435$04:>66008<6`>6682?>o0=3:1(<86:228j4002;10e:850;&22<<482d:::4<;:mg`?6=,8<26<<7;:a75`=93?1<7>t$073><7<@8?37E?:2:&22<<6;m1/484;9`9'3a<6=2d::o4>729'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976g86;29 40>2::0b<88:298kab=83.::44>2998yg5e13;1?7>50z&215289o7)6::5;b?!1c2;;0b<8m:057?!73>39><6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632eoh7>5$04:>44?32wi?io51;794?6|,8?;64?4H07;?M72:2.::44>3e9'<0<31h1/;i4=d:l22g<6?<1/=9853428m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>o0>3:1(<86:228j4002:10cij50;&22<<6:110qo=80;390?6=8r.:9=47e:J21==O9<80(<86:01g?!>22=3j7)9k:0f8j40e28==7)?;6;164>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:k41?6=,8<26>>4n044>7=68826==599K504<,8<26<=k;%:6>1?f3-=o64103-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398kab=83.::44>2998yg51n3;1?7>50z&215289o7)6::5;b?!1c28=0b<8m:05;?!73>39><6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632eoh7>5$04:>44?32wi?h651;694?6|,8?;65k4H07;?M72:2.::44>3e9'<0<31h1/;i4=2:l22g<6?01/=9853428m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>icl3:1(<86:00;?>{e91o1=7=50;2x 43721i0D<;7;I366>"6>00:?i5+8487=d=#?m0:;6`>6c823d=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810cij50;&22<<6:110qo:?4;391?6=8r.:9=461:J21==O9<80(<86:01g?!>22=3j7)9k:078j40e28=i7)?;6;303>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:k41?6=,8<26>>4n044>7=<1<7*>68804>h6>>0876akd;29 40>288376sm29g95?3=83:p(<;?:838L43?3A;>>6*>68827a=#0<0?5l5+7e82g>h6>k0:;n5+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532c<:7>5$04:>6654oef94?"6>00:>554}c0;g?7=<3:1518;a>N6=11C=8<4$04:>45c3-2>697n;%5g>4b5$04:>66008<6`>6682?>o0=3:1(<86:228j4002;10cij50;&22<<6:110qo<62;391?6=8r.:9=461:J21==O9<80(<86:01g?!>22=3j7)9k:318j40e28=n7)?;6;3;g>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:k41?6=,8<26>>4n044>7=<1<7*>68804>h6>>0876akd;29 40>288376sm3g`95?3=83:p(<;?:838L43?3A;>>6*>68827a=#0<0?5l5+7e81a>h6>k0:;k5+154956191<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532c<:7>5$04:>6654oef94?"6>00:>554}c1e3?7=;3:1518;g>N6=11C=8<4$04:>45c3-2>697n;%5g>775$04:>66008<6`>6682?>icl3:1(<86:00;?>{e;ok1=7;50;2x 43720;0D<;7;I366>"6>00:?i5+8487=d=#?m0=?6`>6c82<4=#9=<1=>94i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:k42?6=,8<26>>4n044>6=68826==599K504<,8<26<=k;%:6>1?f3-=o6?<4n04a>4>53-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398kab=83.::44>2998yg4an3;197>50z&215<>92B:955G1408 40>289o7)6::5;b?!1c28<0b<8m:0:0?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>66008<6`>6680?>icl3:1(<86:00;?>{e;=o1=7<50;2x 4372>=0D<;7;I366>"6>008?6F71:&;6?1>3-2>697n;o35f?7?<2.:8;4>369j37<72-;=5796;:mg`?6=,8<26<<7;:a52c=9381<7>t$073>21<@8?37E?:2:&22<<4;2B3=6*72;5:?!>22=3j7c?9b;3;1>"6!7113=276akd;29 40>288376sm41795?4=83:p(<;?:006?M7202B:9?5+17;976=O081/4?4>299'<0<31h1e=;l51948 421289<7d9=:18'53?=?010cij50;&22<<6:110qo:?2;396?6=8r.:9=4>249K50><@8?97)?99;10?M>63-296<<7;%:6>1?f3g;=n7?77:&203<6;>1b;?4?:%35=?1>32eoh7>5$04:>44?32wi8=951;094?6|,8?;6<<:;I36<>N6=;1/=;75329K<4=#0;0:>55+8487=d=i9?h1=564$065>4503`=96=4+17;93<=68826==85G14:8L4353-;=57=<;I:2?!>528837)6::5;b?k71j3;356*>478272=n?;0;6)?99;5:?>icl3:1(<86:00;?>{e<9:1=7<50;2x 437288>7E?:8:J217=#9?31?>5G809'<7<6:11/484;9`9m53d=91k0(<:9:014?l15290/=;757898kab=83.::44>2998yg24?3;1?7>50z&215289o7)6::5;b?!1c2;30b<8m:0:a?!73>3>;46g83;29 40>2::0b<88:198kab=83.::44>2998m22=83.::44<0:l222<63g;?i7>4;|`770<62:0;6=u+14293g;=n7?7c:&203<3811b;>4?:%35=?573g;=;7>4;nfg>5<#9?31=?64;h57>5<#9?31?=5a17595>h6>6*>68827a=#0<0?5l5+7e81=>h6>k0:4i5+154905>91<7*>68804>h6>>0;76akd;29 40>288376g84;29 40>2::0b<88:09m51c=821vn9=>:080>5<7s-;><76m;I36<>N6=;1/=;7512f8 =3=<0k0(:j5289m53d=91o0(<:9:52;?l14290/=;75319m531=821dhi4?:%35=?75021b;94?:%35=?573g;=;7?4n06f>5=9j7?53;294~"6=903n6F>599K504<,8<26<=k;%:6>1?f3-=o6?74n04a>4>a3-;?:7:?8:k47?6=,8<26>>4n044>5=68826==>1<7*>68804>h6>>0:7c?;e;28?xd3:m0:6>4?:1y'506=0k1C=864H071?!7113;8h6*75;6:e>"0l3827c?9b;3:4>"6!71139;7c?97;28?jbc290/=;7513:8?l13290/=;75319m531=92d:8h4?;:a07d=9391<7>t$073>=d<@8?37E?:2:&22<<6;m1/484;9`9'3a<512d::o4>909'510=<920e:=50;&22<<482d:::4?;:mg`?6=,8<26<<7;:k40?6=,8<26>>4n044>4=i9=o1<65rb50:>4<4290;w)?:0;:a?M7202B:9?5+17;956b<,1?184o4$6f96<=i9?h1=4<4$065>16?3`=86=4+17;975=i9?=1<65`de83>!7113;9465f7583>!71139;7c?97;38j42b2910qo:>9;397?6=8r.:9=47b:J21==O9<80(<86:01g?!>22=3j7)9k:3;8j40e28387)?;6;63<>o0;3:1(<86:228j4002910cij50;&22<<6:110e::50;&22<<482d:::4>;o37a?6<3th?=:4>:283>5}#9<:14o5G14:8L4353-;=57?i2.<2.:8;4;099j36<72-;=57=?;o353?6<3fno6=4+17;957><3`=?6=4+17;975=i9?=1=6`>4d83?>{e<8?1=7=50;2x 43721h0D<;7;I366>"6>00:?i5+8487=d=#?m0956`>6c82=0=#9=<18=64i6194?"6>008<6`>6683?>icl3:1(<86:00;?>o0<3:1(<86:228j400281e=9k50:9~f17428086=4?{%364?>e3A;>46F>539'53?=9:n0(5;548c8 2b=:01e=;l51848 4212=:37d9<:18'53?=;91e=;950:9l`a<72-;=57?=8:9j31<72-;=57=?;o353?7n6=54}c625?7=;3:1518;f>N6=11C=8<4$04:>45c3-2>697n;%5g>7?5$04:>6600:>554i6694?"6>008<6`>6682?k73m3:07pl;0g82>6<729q/=8>58c9K50><@8?97)?99;30`>"?=3>2m6*8d;0:?k71j3;246*>47874==n?:0;6)?99;13?k71?3:07bjk:18'53?=9;207d9;:18'53?=;91e=;951:l20`<732wi8=j51;194?6|,8?;65l4H07;?M72:2.::44>3e9'<0<31h1/;i4=9:l22g<6101/=98541:8m25=83.::44<0:l222<732eoh7>5$04:>44?32c<87>5$04:>66=zj=:i6<4<:183!72832i7E?:8:J217=#9?31=>j4$9790n1>45a17`95=69>7;h50>5<#9?31?=5a17594>=hlm0;6)?99;31<>=n?=0;6)?99;13?k71?3;0b<:j:198yg50?3;1?7>50z&215289o7)6::5;b?!1c2;30b<8m:0;a?!73>39><6g83;29 40>2::0b<88:198kab=83.::44>2998m22=83.::44<0:l222<63g;?i7>4;|`031<62:0;6=u+14293g;=n7?6c:&203<4=91b;>4?:%35=?573g;=;7>4;nfg>5<#9?31=?64;h57>5<#9?31?=5a17595>h6>6*>68827a=#0<0?5l5+7e81=>h6>k0:5i5+15495=e91<7*>68804>h6>>0;76akd;29 40>288376g84;29 40>2::0b<88:09m51c=821vn5<7s-;><76m;I36<>N6=;1/=;7512f8 =3=<0k0(:j5289m53d=90o0(<:9:0:`?l14290/=;75319m531=821dhi4?:%35=?75021b;94?:%35=?573g;=;7?4n06f>5=?87?53;294~"6=903n6F>599K504<,8<26<=k;%:6>1?f3-=o6?74n04a>4?a3-;?:7:?8:k47?6=,8<26>>4n044>5=68826==>1<7*>68804>h6>>0:7c?;e;28?xd3:?0:6>4?:1y'506=0k1C=864H071?!7113;8h6*75;6:e>"0l3827c?9b;3b4>"6!71139;7c?97;28?jbc290/=;7513:8?l13290/=;75319m531=92d:8h4?;:a651=93?1<7>t$073><7<@8?37E?:2:&22<<6;m1/484;9`9'3a<6i2d::o4>a09'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976g86;29 40>2::0b<88:298kab=83.::44>2998yg47>3;187>50z&215289o7)6::5;b?!1c2830b<8m:0c1?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>6600:>554}c02a?7==3:1518:5>N6=11C=8<4$04:>45c3-2>697n;%5g>4g5$04:>66008<6`>6682?>o0=3:1(<86:228j4002;10e:850;&22<<482d:::4<;:mg`?6=,8<26<<7;:a64b=93>1<7>t$073>=c<@8?37E?:2:&22<<6;m1/484;9`9'3a<612d::o4>a59'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976akd;29 40>288376sm20a95?5=83:p(<;?:9a8L43?3A;>>6*>68827a=#0<0?5l5+7e823>h6>k0:m85+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098kab=83.::44>2998yg7>83;197>50z&215<>92B:955G1408 40>289o7)6::5;b?!1c2;:0b<8m:0c5?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>66008<6`>6680?>icl3:1(<86:00;?>{e:1k1=7;50;2x 43720;0D<;7;I366>"6>00:?i5+8487=d=#?m0:i6`>6c82e2=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:k42?6=,8<26>>4n044>6=68826==599K504<,8<26<=k;%:6>1?f3-=o6<74n04a>4g?3-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398kab=83.::44>2998yg47;3;1?7>50z&215289o7)6::5;b?!1c28=0b<8m:0c:?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632eoh7>5$04:>44?32wi=4l51;694?6|,8?;65k4H07;?M72:2.::44>3e9'<0<31h1/;i4>f:l22g<6ih1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>icl3:1(<86:00;?>{e:9k1=7;50;2x 43720;0D<;7;I366>"6>00:?i5+8487=d=#?m0946`>6c82eg=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:k42?6=,8<26>>4n044>6=68826==599K504<,8<26<=k;%:6>1?f3-=o6;84n04a>4gd3-;?:7=:0:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398m20=83.::44<0:l222<432eoh7>5$04:>44?32wi=ho51;694?6|,8?;65k4H07;?M72:2.::44>3e9'<0<31h1/;i4>f:l22g<6im1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>icl3:1(<86:00;?>{e;"6>00:?i5+8487=d=#?m0:h6`>6c82e`=#9=<1?8>4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:mg`?6=,8<26<<7;:a70e=93>1<7>t$073>=c<@8?37E?:2:&22<<6;m1/484;9`9'3a<6l2d::o4>ag9'510=;<:0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976akd;29 40>288376sm34f95?2=83:p(<;?:9g8L43?3A;>>6*>68827a=#0<0?5l5+7e82`>h6>k0:n=5+154970691<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532eoh7>5$04:>44?32wi?8k51;694?6|,8?;65k4H07;?M72:2.::44>3e9'<0<31h1/;i4>d:l22g<6j81/=9853428m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>icl3:1(<86:00;?>{e;"6>00:?i5+8487=d=#?m0:h6`>6c82f7=#9=<1?8>4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:mg`?6=,8<26<<7;:a736=93>1<7>t$073>=c<@8?37E?:2:&22<<6;m1/484;9`9'3a<6l2d::o4>b29'510=;<:0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976akd;29 40>288376sm37395?2=83:p(<;?:9g8L43?3A;>>6*>68827a=#0<0?5l5+7e82`>h6>k0:n95+154970691<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532eoh7>5$04:>44?32wi?;<51;694?6|,8?;65k4H07;?M72:2.::44>3e9'<0<31h1/;i4>d:l22g<6j<1/=9853428m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>icl3:1(<86:00;?>{e;191=7;50;2x 43720;0D<;7;I366>"6>00:?i5+8487=d=#?m09<6`>6c82f3=#9=<1?8>4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:k42?6=,8<26>>4n044>6=68826==7?54;294~"6=903i6F>599K504<,8<26<=k;%:6>1?f3-=o6?:4n04a>4d03-;?:7=:0:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398kab=83.::44>2998yg5?93;187>50z&215289o7)6::5;b?!1c2h1e=;l51c:8 4212:?;7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3`=>6=4+17;975=i9?=1>65`de83>!7113;9465rb0g:>4<2290;w)?:0;;2?M7202B:9?5+17;956b<,1?184o4$6f960=i9?h1=o74$065>4>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?l12290/=;75319m531=:21b;;4?:%35=?573g;=;7=4;nfg>5<#9?31=?64;|`140<62<0;6=u+1429=4=O9<20D<;=;%35=?74l2.397:6a:&4`?7f3g;=n7?ma:&203<60j1b;>4?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=n?<0;6)?99;13?k71?3807d99:18'53?=;91e=;953:9l`a<72-;=57?=8:9~f4e028086=4?{%364?>d3A;>46F>539'53?=9:n0(5;548c8 2b=9>1e=;l51c`8 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3fno6=4+17;957><3th:ml4>:283>5}#9<:14n5G14:8L4353-;=57?i2.8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65`de83>!7113;9465rb0a;>4<4290;w)?:0;:`?M7202B:9?5+17;956b<,1?184o4$6f963=i9?h1=oj4$065>4>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?jbc290/=;7513:8?xd6ik0:6>4?:1y'506=0j1C=864H071?!7113;8h6*75;6:e>"0l38=7c?9b;3aa>"6!71139;7c?97;28?l13290/=;75319m531=921dhi4?:%35=?75021vn5<7s-;><76l;I36<>N6=;1/=;7512f8 =3=<0k0(:j5279m53d=9kl0(<:9:0:`?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;nfg>5<#9?31=?64;|`2ef<62:0;6=u+14294?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=hlm0;6)?99;31<>=zj8ij6<4<:183!72832h7E?:8:J217=#9?31=>j4$9790n1>;5a17`95f7<,8>=6<6l;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07bjk:18'53?=9;207pl>ae82>6<729q/=8>58b9K50><@8?97)?99;30`>"?=3>2m6*8d;05?k71j3;h>6*>4782d3A;>46F>539'53?=9:n0(5;548c8 2b=:?1e=;l51b18 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3fno6=4+17;957><3th:mh4>:283>5}#9<:14n5G14:8L4353-;=57?i2.8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65`de83>!7113;9465rb200>4<2290;w)?:0;;2?M7202B:9?5+17;956b<,1?184o4$6f96a=i9?h1=n;4$065>4>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?l12290/=;75319m531=:21b;;4?:%35=?573g;=;7=4;nfg>5<#9?31=?64;|`1a=<62<0;6=u+1429=4=O9<20D<;=;%35=?74l2.397:6a:&4`?363g;=n7?l6:&203<60j1b;>4?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=n?<0;6)?99;13?k71?3807d99:18'53?=;91e=;953:9l`a<72-;=57?=8:9~f7?6280>6=4?{%364??63A;>46F>539'53?=9:n0(5;548c8 2b=:91e=;l51b58 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3`=>6=4+17;975=i9?=1>65f7783>!71139;7c?97;18?jbc290/=;7513:8?xd5190:684?:1y'506=181C=864H071?!7113;8h6*75;6:e>"0l39j7c?9b;3`<>"6!71139;7c?97;28?l13290/=;75319m531=921b;84?:%35=?573g;=;7<4;h55>5<#9?31?=5a17597>=hlm0;6)?99;31<>=zj;:26<4::183!72833:7E?:8:J217=#9?31=>j4$9790n1>=5a17`95f?<,8>=6<6l;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07d9::18'53?=;91e=;952:9j33<72-;=57=?;o353?5<3fno6=4+17;957><3th9<54>:483>5}#9<:15<5G14:8L4353-;=57?i2.8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65f7483>!71139;7c?97;08?l11290/=;75319m531=;21dhi4?:%35=?75021vn?>?:086>5<7s-;><77>;I36<>N6=;1/=;7512f8 =3=<0k0(:j5229m53d=9jh0(<:9:0:`?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=n??0;6)?99;13?k71?3907bjk:18'53?=9;207pl>fg82>0<729q/=8>5909K50><@8?97)?99;30`>"?=3>2m6*8d;03?k71j3;ho6*>4782!7113;9465rb0a`>4<4290;w)?:0;:`?M7202B:9?5+17;956b<,1?184o4$6f963=i9?h1=nj4$065>4>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?jbc290/=;7513:8?xd6io0:6>4?:1y'506=0j1C=864H071?!7113;8h6*75;6:e>"0l38=7c?9b;3`a>"6!71139;7c?97;28?l13290/=;75319m531=921dhi4?:%35=?75021vn>jl:086>5<7s-;><77>;I36<>N6=;1/=;7512f8 =3=<0k0(:j52b9m53d=9jl0(<:9:273?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=n??0;6)?99;13?k71?3907bjk:18'53?=9;207pl6<729q/=8>58b9K50><@8?97)?99;30`>"?=3>2m6*8d;05?k71j3;o<6*>478015=n?:0;6)?99;13?k71?3:07d9;:18'53?=;91e=;951:9l`a<72-;=57?=8:9~f63e280>6=4?{%364??63A;>46F>539'53?=9:n0(5;548c8 2b=:o1e=;l51e38 4212:?;7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3`=>6=4+17;975=i9?=1>65f7783>!71139;7c?97;18?jbc290/=;7513:8?xd4<10:684?:1y'506=181C=864H071?!7113;8h6*75;6:e>"0l3>h7c?9b;3g6>"6!71139;7c?97;28?l13290/=;75319m531=921b;84?:%35=?573g;=;7<4;h55>5<#9?31?=5a17597>=hlm0;6)?99;31<>=zj:>=6<4::183!72833:7E?:8:J217=#9?31=>j4$9790n18n5a17`95a5<,8>=6<6l;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07d9::18'53?=;91e=;952:9j33<72-;=57=?;o353?5<3fno6=4+17;957><3th8894>:483>5}#9<:15<5G14:8L4353-;=57?i2.4b33-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398m20=83.::44<0:l222<432eoh7>5$04:>44?32wi?9?51;794?6|,8?;64?4H07;?M72:2.::44>3e9'<0<31h1/;i4;c:l22g<6l<1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>o0>3:1(<86:228j4002:10cij50;&22<<6:110qo=22=3j7)9k:e9m53d=9m<0(<:9:0:`?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=n??0;6)?99;13?k71?3907bjk:18'53?=9;207pl=fd82>0<729q/=8>5909K50><@8?97)?99;30`>"?=3>2m6*8d;:8j40e28n<7)?;6;3;g>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:k41?6=,8<26>>4n044>7=<1<7*>68804>h6>>0876akd;29 40>288376sm32`95?3=83:p(<;?:838L43?3A;>>6*>68827a=#0<0?5l5+7e8;?k71j3;o46*>4782!7113;9465rb22b>4<2290;w)?:0;;2?M7202B:9?5+17;956b<,1?184o4$6f927=i9?h1=i74$065>4>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?l12290/=;75319m531=:21b;;4?:%35=?573g;=;7=4;nfg>5<#9?31=?64;|`07a<62<0;6=u+1429=4=O9<20D<;=;%35=?74l2.397:6a:&4`?d5$04:>66008<6`>6682?>o0=3:1(<86:228j4002;10e:850;&22<<482d:::4<;:mg`?6=,8<26<<7;:a735=93>1<7>t$073>=c<@8?37E?:2:&22<<6;m1/484;9`9'3a<6l2d::o4>dc9'510=;<:0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976akd;29 40>288376sm1d:95?3=83:p(<;?:838L43?3A;>>6*>68827a=#0<0?5l5+7e82<>h6>k0:hn5+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532c<:7>5$04:>6654oef94?"6>00:>554}c3``?7=;3:1518;g>N6=11C=8<4$04:>45c3-2>697n;%5g>705$04:>66008<6`>6682?>icl3:1(<86:00;?>{e9k:1=7=50;2x 43721i0D<;7;I366>"6>00:?i5+8487=d=#?m09:6`>6c82``=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810cij50;&22<<6:110qo?le;397?6=8r.:9=47c:J21==O9<80(<86:01g?!>22=3j7)9k:348j40e28nm7)?;6;3;g>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:mg`?6=,8<26<<7;:a5g7=9391<7>t$073>=e<@8?37E?:2:&22<<6;m1/484;9`9'3a<5>2d::o4>e19'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=68826==599K504<,8<26<=k;%:6>1?f3-=o6?84n04a>4c63-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76akd;29 40>288376sm1c095?5=83:p(<;?:9a8L43?3A;>>6*>68827a=#0<0?5l5+7e812>h6>k0:i?5+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098kab=83.::44>2998yg5783;187>50z&215<>m2B:955G1408 40>20i0(5;548c8j40e28o87)?;6;3;g>o0;3:1(<86:6c8?l13290/=;757c98m45a290/=;751528?jbc290/=;7513:8?xd4880:684?:1y'506=181C=864H071?!7113;8h6*75;6:e>"0l3;;7c?9b;3f0>"6!71139;7c?97;28?l13290/=;75319m531=921b;84?:%35=?573g;=;7<4;h55>5<#9?31?=5a17597>=hlm0;6)?99;31<>=zj:8<6<4;:183!72833n7E?:8:J217=#9?315n5+8487=d=i9?h1=h;4$065>4>d3`=86=4+17;93d=>1<7*>6884f>=n9:l1<7*>688205=68826==599K504<,8<26<=k;%:6>1?f3-=o68<4n04a>4c13-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398kab=83.::44>2998yg5513;197>50z&215<>92B:955G1408 40>289o7)6::5;b?!1c2?>0b<8m:0g4?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>66008<6`>6680?>icl3:1(<86:00;?>{e;;n1=7:50;2x 43720o0D<;7;I366>"6>002o6*75;6:e>h6>k0:i55+15495=e91<7*>6884e>=n?=0;6)?99;5a?>o6;o0;6)?99;374>=hlm0;6)?99;31<>=zj:8n6<4;:183!72832n7E?:8:J217=#9?31=>j4$9790n19?5a17`95`?<,8>=6<6l;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07d9::18'53?=;91e=;952:9l`a<72-;=57?=8:9~f64a280>6=4?{%364??63A;>46F>539'53?=9:n0(5;548c8 2b=>=1e=;l51dc8 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3`=>6=4+17;975=i9?=1>65f7783>!71139;7c?97;18?jbc290/=;7513:8?xd4;=0:684?:1y'506=181C=864H071?!7113;8h6*75;6:e>"0l3?n7c?9b;3ff>"6!71139;7c?97;28?l13290/=;75319m531=921b;84?:%35=?573g;=;7<4;h55>5<#9?31?=5a17597>=hlm0;6)?99;31<>=zj:;i6<4;:183!72833n7E?:8:J217=#9?315n5+8487=d=i9?h1=hm4$065>4>d3`=86=4+17;93d=>1<7*>6884f>=n9:l1<7*>688205=68826==599K504<,8<26<=k;%:6>1?f3-=o68k4n04a>4cc3-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398m20=83.::44<0:l222<432eoh7>5$04:>44?32wi??>51;694?6|,8?;64k4H07;?M72:2.::446c:&;1?2>i2d::o4>ed9'510=91i0e:=50;&22<<0i21b;94?:%35=?1e32c:?k4?:%35=?73821dhi4?:%35=?75021vn><>:086>5<7s-;><77>;I36<>N6=;1/=;7512f8 =3=<0k0(:j5659m53d=9ll0(<:9:0:`?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=n??0;6)?99;13?k71?3907bjk:18'53?=9;207pl<2382>1<729q/=8>58d9K50><@8?97)?99;30`>"?=3>2m6*8d;71?k71j3;m<6*>4782<3th8<94>:583>5}#9<:15h5G14:8L4353-;=577l;%:6>1?f3g;=n7?i1:&203<60j1b;>4?:%35=?1f32c<87>5$04:>2d<3`;8j7>5$04:>42732eoh7>5$04:>44?32wi?=;51;694?6|,8?;65k4H07;?M72:2.::44>3e9'<0<31h1/;i4:4:l22g<6n;1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>icl3:1(<86:00;?>{e;9<1=7:50;2x 43721o0D<;7;I366>"6>00:?i5+8487=d=#?m0>86`>6c82b6=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:mg`?6=,8<26<<7;:a751=93>1<7>t$073>k2.397:6a:l22g<6n=1/=98519a8m25=83.::448a:9j31<72-;=579m;:k27c<72-;=57?;0:9l`a<72-;=57?=8:9~f66?280?6=4?{%364?>b3A;>46F>539'53?=9:n0(5;548c8 2b===1e=;l51g78 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3`=>6=4+17;975=i9?=1>65`de83>!7113;9465rb22:>4<3290;w)?:0;:f?M7202B:9?5+17;956b<,1?184o4$6f911=i9?h1=k84$065>4>d3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?l12290/=;75319m531=:21dhi4?:%35=?75021vn97?:086>5<7s-;><77>;I36<>N6=;1/=;7512f8 =3=<0k0(:j5649m53d=9o=0(<:9:52;?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=n??0;6)?99;13?k71?3907bjk:18'53?=9;207pl>ed82>0<729q/=8>5909K50><@8?97)?99;30`>"?=3>2m6*8d;0g?k71j3;m46*>4782!7113;9465rb0gg>4<2290;w)?:0;;2?M7202B:9?5+17;956b<,1?184o4$6f91>h6>k0:j45+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532c<:7>5$04:>6654oef94?"6>00:>554}c146?7==3:1518:5>N6=11C=8<4$04:>45c3-2>697n;%5g>765$04:>66008<6`>6682?>o0=3:1(<86:228j4002;10e:850;&22<<482d:::4<;:mg`?6=,8<26<<7;:a5d6=93>1<7>t$073>=c<@8?37E?:2:&22<<6;m1/484;9`9'3a<6n2d::o4>fc9'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976akd;29 40>288376sm18;95?3=83:p(<;?:838L43?3A;>>6*>68827a=#0<0?5l5+7e854>h6>k0:jn5+15495=e91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532c<:7>5$04:>6654oef94?"6>00:>554}c3;f?7=<3:1518;a>N6=11C=8<4$04:>45c3-2>697n;%5g>6?5$04:>66008<6`>6682?>o0=3:1(<86:228j4002;10cij50;&22<<6:110qo?7a;391?6=8r.:9=461:J21==O9<80(<86:01g?!>22=3j7)9k:49m53d=9oo0(<:9:014?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=n??0;6)?99;13?k71?3907bjk:18'53?=9;207pl>8582>0<729q/=8>5909K50><@8?97)?99;30`>"?=3>2m6*8d;0g?k71j3;mj6*>478272=n?:0;6)?99;13?k71?3:07d9;:18'53?=;91e=;951:9j30<72-;=57=?;o353?4<3`==6=4+17;975=i9?=1?65`de83>!7113;9465rb0:0>4<2290;w)?:0;;2?M7202B:9?5+17;956b<,1?184o4$6f96`=i9?h1>=>4$065>4503`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?l12290/=;75319m531=:21b;;4?:%35=?573g;=;7=4;nfg>5<#9?31=?64;|`0ba<62<0;6=u+1429=4=O9<20D<;=;%35=?74l2.397:6a:&4`?063g;=n71b;>4?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=n?<0;6)?99;13?k71?3807d99:18'53?=;91e=;953:9l`a<72-;=57?=8:9~f6`d280>6=4?{%364??63A;>46F>539'53?=9:n0(5;548c8 2b=>2d::o4=039'510=9:=0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976g86;29 40>2::0b<88:298kab=83.::44>2998yg5an3;187>50z&215289o7)6::5;b?!1c2==0b<8m:320?!73>3;8;6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>6600:>554}c1ea?7==3:1518:5>N6=11C=8<4$04:>45c3-2>697n;%5g>44;;%372?74?2c5$04:>66008<6`>6682?>o0=3:1(<86:228j4002;10e:850;&22<<482d:::4<;:mg`?6=,8<26<<7;:a5a6=9391<7>t$073>=e<@8?37E?:2:&22<<6;m1/484;9`9'3a<5>2d::o4=049'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=68826==599K504<,8<26<=k;%:6>1?f3-=o6?84n04a>7613-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76akd;29 40>288376sm48595?3=83:p(<;?:838L43?3A;>>6*>68827a=#0<0?5l5+7e8`?k71j38;;6*>47874==n?:0;6)?99;13?k71?3:07d9;:18'53?=;91e=;951:9j30<72-;=57=?;o353?4<3`==6=4+17;975=i9?=1?65`de83>!7113;9465rb2ca>4<2290;w)?:0;;2?M7202B:9?5+17;956b<,1?184o4$6f95d=i9?h1>=64$065>6373`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?l12290/=;75319m531=:21b;;4?:%35=?573g;=;7=4;nfg>5<#9?31=?64;|`0ed<62=0;6=u+1429<`=O9<20D<;=;%35=?74l2.397:6a:&4`?7>3g;=n74?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=n?<0;6)?99;13?k71?3807bjk:18'53?=9;207pl6<729q/=8>58b9K50><@8?97)?99;30`>"?=3>2m6*8d;34?k71j38;m6*>478015=n?:0;6)?99;13?k71?3:07d9;:18'53?=;91e=;951:9l`a<72-;=57?=8:9~f70a280>6=4?{%364??63A;>46F>539'53?=9:n0(5;548c8 2b=9h1e=;l521`8 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3`=>6=4+17;975=i9?=1>65f7783>!71139;7c?97;18?jbc290/=;7513:8?xd5>m0:684?:1y'506=181C=864H071?!7113;8h6*75;6:e>"0l3;j7c?9b;03g>"6!71139;7c?97;28?l13290/=;75319m531=921b;84?:%35=?573g;=;7<4;h55>5<#9?31?=5a17597>=hlm0;6)?99;31<>=zj;j4$9790n1=45a17`965b<,8>=6<6l;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07d9::18'53?=;91e=;952:9l`a<72-;=57?=8:9~f70e28086=4?{%364?>d3A;>46F>539'53?=9:n0(5;548c8 2b=9>1e=;l521g8 421282h7d9<:18'53?=;91e=;950:9j31<72-;=57=?;o353?7<3fno6=4+17;957><3th99o4>:483>5}#9<:15<5G14:8L4353-;=57?i2.8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65f7483>!71139;7c?97;08?l11290/=;75319m531=;21dhi4?:%35=?75021vn?;n:087>5<7s-;><76j;I36<>N6=;1/=;7512f8 =3=<0k0(:j5269m53d=:8:0(<:9:0:`?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=hlm0;6)?99;31<>=zj;?36<4::183!72833:7E?:8:J217=#9?31=>j4$9790n1=l5a17`9647<,8>=6<6l;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07d9::18'53?=;91e=;952:9j33<72-;=57=?;o353?5<3fno6=4+17;957><3th99:4>:583>5}#9<:14h5G14:8L4353-;=57?i2.8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65f7483>!71139;7c?97;08?jbc290/=;7513:8?xd5=?0:6>4?:1y'506=0j1C=864H071?!7113;8h6*75;6:e>"0l3;<7c?9b;027>"6!71139;7c?97;28?l13290/=;75319m531=921dhi4?:%35=?75021vn<67:087>5<7s-;><76j;I36<>N6=;1/=;7512f8 =3=<0k0(:j5389m53d=:8>0(<:9:014?l14290/=;75319m531=821b;94?:%35=?573g;=;7?4;h56>5<#9?31?=5a17596>=hlm0;6)?99;31<>=zj82<6<4::183!72833:7E?:8:J217=#9?31=>j4$9790n196`>6c8150=#9=<1=>94i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:k42?6=,8<26>>4n044>6=68826==599K504<,8<26<=k;%:6>1?f3-=o6;84n04a>7713-;?:7?<7:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398m20=83.::44<0:l222<432eoh7>5$04:>44?32wi?k751;794?6|,8?;64?4H07;?M72:2.::44>3e9'<0<31h1/;i4i;o35f?46?2.:8;4>369j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65f7483>!71139;7c?97;08?l11290/=;75319m531=;21dhi4?:%35=?75021vn>h7:086>5<7s-;><77>;I36<>N6=;1/=;7512f8 =3=<0k0(:j54:l22g<5911/=9851258m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>o0>3:1(<86:228j4002:10cij50;&22<<6:110qo?j1;397?6=8r.:9=47b:J21==O9<80(<86:01g?!>22=3j7)9k:3;8j40e2;;27)?;6;3;g>o0;3:1(<86:228j4002910cij50;&22<<6:110e::50;&22<<482d:::4>;o37a?6<3th8?=4>:483>5}#9<:15<5G14:8L4353-;=57?i2.8b9j36<72-;=57=?;o353?6<3`=?6=4+17;975=i9?=1=65f7483>!71139;7c?97;08?l11290/=;75319m531=;21dhi4?:%35=?75021vn?l=:085>5<7s-;><7;8;I36<>N6=;1/;i4<;%35=?3d3A;8o6*75;6:e>h6>k09=o5+15495=e6880b>=n;k0;6)?99;1a?>o3=3:1(<86:6;8?j7403:1(<86:00;?>o6;?0;6)?99;375>=n<90;6)?99;30a>=zj8=?6<4=:183!72839?7E?:8:J217=#9?31?h5+8487=d=i9?h1>4503`=96<4+17;93<=68826==52;097~"6=90896F>599K504<,1?184o4n04a>77c3-;?:7?<7:k46?6=3fno6=44b6:95?4=83:p(<;?:6:8 40>2:o0(5<5789K<4=#0<0?5l5a17`964c<,8>=6<=8;h51>5<#9?31;454oef94?"6>00:>554}c17>4<5290;w)?:0;17?!71139n7)6::5;b?k71j38:j6*>478272=n?;0:6)?99;5:?>icl3;1(<86:00;?>{t?;0;6?uQ739>3=<0:2wxhi4?:3y]`a=:;=0oh6s|7983>7}:?10oh63<4;51?x{e<:31=7<50;2x 4372>o0D<;7;I366>"6>008?6*75;6:e>h6>k09>=5+154905>81<7*>6884=>=hlm0;6)?99;31<>N6>110qo:>b;396?6=8r.:9=48e:J21==O9<80(<86:218 =3=<0k0b<8m:302?!73>3>;46g82;29 40>2>307bjk:18'53?=9;20D<87;:a7=6=9381<7>t$073>2c<@8?37E?:2:&22<<4;2.397:6a:l22g<5:;1/=9853428m24=83.::4489:9l`a<72-;=57?=8:J22==599K504<,8<26>=4$97907>5$04:>2?<3fno6=4+17;957><@8<376sm1d595?4=83:p(<;?:6g8L43?3A;>>6*>68807>"?=3>2m6`>6c8161=#9=<1=5m4i6094?"6>00<565`de83>!7113;946F>6998yg5bm3;1>7>50z&215<0m2B:955G1408 40>2:90(5;548c8j40e2;8>7)?;6;164>o0:3:1(<86:6;8?jbc290/=;7513:8L40?32wi?9o51;094?6|,8?;6:k4H07;?M72:2.::44<3:&;1?2>i2d::o4=279'510=91i0e:<50;&22<<0121dhi4?:%35=?7502B::554}c0g5184a>N6=11C=8<4$04:>65<,1?184o4n04a>7403-;?:7?7c:k46?6=,8<26:74;nfg>5<#9?31=?64H04;?>{e90;1=7<50;2x 4372>o0D<;7;I366>"6>008?6*75;6:e>h6>k09>55+15495=e81<7*>6884=>=hlm0;6)?99;31<>N6>110qo?66;396?6=8r.:9=48e:J21==O9<80(<86:218 =3=<0k0b<8m:30:?!73>3;3o6g82;29 40>2>307bjk:18'53?=9;20D<87;:a73c=9381<7>t$073>2c<@8?37E?:2:&22<<4;2.397:6a:l22g<5:h1/=9853428m24=83.::4489:9l`a<72-;=57?=8:J22==599K504<,8<26>=4$97907>5$04:>2?<3fno6=4+17;957><@8<376sm46;95?3=83:p(<;?:838L43?3A;>>6*>68827a=#0<0?5l5+7e861>h6>k09>n5+154905>91<7*>68804>h6>>0;76g84;29 40>2::0b<88:098m23=83.::44<0:l222<532c<:7>5$04:>6654oef94?"6>00:>554}c64e?7=<3:1518:a>N6=11C=8<4$04:>74c3-;?:7:?8:k47?6=,8<26:o4;h57>5<#9?31;o54i01e>5<#9?31=9>4;nfg>5<#9?31=?64;|`733<62<0;6=u+1429=4=O9<20D<;=;%35=?74l2.397:6a:&4`?473g;=n7<=e:&203<3811b;>4?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=n?<0;6)?99;13?k71?3807d99:18'53?=;91e=;953:9l`a<72-;=57?=8:9~f110280?6=4?{%364??b3A;>46F>539'53?=1j1/484;9`9m53d=:;l0(<:9:52;?l14290/=;757`98m22=83.::448b:9j56`=83.::44>4198kab=83.::44>2998yg20;3;197>50z&215<>92B:955G1408 40>289o7)6::5;b?!1c2;o0b<8m:313?!73>3>;46g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>66008<6`>6680?>icl3:1(<86:00;?>{e<>>1=7:50;2x 43720o0D<;7;I366>"6>002o6*75;6:e>h6>k09?<5+154905>91<7*>6884e>=n?=0;6)?99;5a?>o6;o0;6)?99;374>=hlm0;6)?99;31<>=zj:;>6<4=:183!7113987)?:0;:;?M72:2d::o4=339j37<72-;=5796;:mg`?6=,8<26<<7;:a742=93?1<7>t$04:>45c3A;>>6F>599'<0<31h1/=8>5939'3a<33g;=n7<<3:&203<60j1b;>4?:%35=?573g;=;7>4;h57>5<#9?31?=5a17595>=n?<0;6)?99;13?k71?3807d99:18'53?=;91e=;953:9l`a<72-;=57?=8:9~f6c428096=4?{%35=?543-;><767;I366>h6>k09?95f7383>!7113=276akd;29 40>288376sm3d095?3=83:p(<86:01g?M72:2B:955+8487=d=#9<:15?5+7e87?k71j38896*>478015=n?:0;6)?99;13?k71?3:07d9;:18'53?=;91e=;951:9j30<72-;=57=?;o353?4<3`==6=4+17;975=i9?=1?65`de83>!7113;9465rb232>4<5290;w)?99;10?!7283237E?:2:l22g<5;?1b;?4?:%35=?1>32eoh7>5$04:>44?32wi?<>51;794?6|,8<26<=k;I366>N6=11/484;9`9'506=1;1/;i4=0:l22g<5;>1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>o0>3:1(<86:228j4002:10cij50;&22<<6:110qo=kf;396?6=8r.::44<3:&21581<7*>6884=>=hlm0;6)?99;31<>=zj:nn6<4::183!7113;8h6F>539K50><,1?184o4$073><4<,>n1:;5a17`966?<,8>=6>;?;h50>5<#9?31?=5a17594>=n?=0;6)?99;13?k71?3;07d9::18'53?=;91e=;952:9j33<72-;=57=?;o353?5<3fno6=4+17;957><3th8=:4>:383>5}#9?31?>5+1429<==O9<80b<8m:31b?l15290/=;757898kab=83.::44>2998yg56>3;187>50z&22<<6;m1C=8<4H07;?!>22=3j7)?:0;:e?!1c2;80b<8m:31a?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>6600:>554}c127?7=:3:168807>"6=90346F>539m53d=::i0e:<50;&22<<0121dhi4?:%35=?75021vn>?=:086>5<7s-;=57?5<#9?31?=5a17596>=n??0;6)?99;13?k71?3907bjk:18'53?=9;207pl>9e82>7<729q/=;75329'506=011C=8<4n04a>75b3`=96=4+17;93<=68826==00:?i5G1408L43?3-2>697n;%364?>a3-=o6?<4n04a>75a3-;?:7?7c:k47?6=,8<26>>4n044>5=>1<7*>68804>h6>>0:76g85;29 40>2::0b<88:398kab=83.::44>2998yg5b>3;1>7>50z&22<<4;2.:9=478:J217=i9?h1>9>4i6094?"6>00<565`de83>!7113;9465rb2g6>4<2290;w)?99;30`>N6=;1C=864$97909?4$065>6373`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?l12290/=;75319m531=:21b;;4?:%35=?573g;=;7=4;nfg>5<#9?31=?64;|`05<<62;0;6=u+17;976=#9<:1455G1408j40e2;>97d9=:18'53?=?010cij50;&22<<6:110qo=>8;397?6=8r.::44>3e9K504<@8?37)6::5;b?!72832o7)9k:338j40e2;>87)?;6;3;g>o0;3:1(<86:228j4002910e::50;&22<<482d:::4>;:mg`?6=,8<26<<7;:a77d=9381<7>t$04:>65<,8?;6564H071?k71j38?86g82;29 40>2>307bjk:18'53?=9;207pl<2`82>1<729q/=;7512f8L4353A;>46*75;6:e>"6=902<6*8d;73?k71j38?96*>4782<3th8?;4>:383>5}#9?31?>5+1429<==O9<80b<8m:365?l15290/=;757898kab=83.::44>2998yg54=3;187>50z&22<<6;m1C=8<4H07;?!>22=3j7)?:0;;3?!1c2<:0b<8m:364?!73>3;3o6g83;29 40>2::0b<88:198m22=83.::44<0:l222<632c<97>5$04:>6600:>554}c137?7=:3:168807>"6=90346F>539m53d=:=20e:<50;&22<<0121dhi4?:%35=?75021vn>>=:087>5<7s-;=57?5<#9?31?=5a17596>=hlm0;6)?99;31<>=zj::h6<4=:183!7113987)?:0;:;?M72:2d::o4=4`9j37<72-;=5796;:mg`?6=,8<26<<7;:a75d=93?1<7>t$04:>45c3A;>>6F>599'<0<31h1/=8>5929'3a<1:2d::o4=4c9'510=91i0e:=50;&22<<482d:::4?;:k40?6=,8<26>>4n044>4=?1<7*>68804>h6>>0976g86;29 40>2::0b<88:298kab=83.::44>2998yg2>:3;1>7>50z&22<<4;2.:9=478:J217=i9?h1>9m4i6094?"6>00<565`de83>!7113;9465rb5;2>4<3290;w)?99;30`>N6=;1C=864$97904$6f97<=i9?h1>9j4$065>16?3`=86=4+17;975=i9?=1<65f7583>!71139;7c?97;38?l12290/=;75319m531=:21dhi4?:%35=?75021vn><::081>5<7s-;=57=<;%364?>?3A;>>6`>6c810`=n?;0;6)?99;5:?>icl3:1(<86:00;?>{e;;>1=7;50;2x 40>289o7E?:2:J21==#0<0?5l5+1429=6=#?m0>j6`>6c810c=#9=<1=5m4i6194?"6>008<6`>6683?>o0<3:1(<86:228j4002810e:;50;&22<<482d:::4=;:k42?6=,8<26>>4n044>6=68826==7?52;294~"6>008?6*>518;<>N6=;1e=;l52428m24=83.::4489:9l`a<72-;=57?=8:9~f656280?6=4?{%35=?74l2B:9?5G14:8 =3=<0k0(<;?:828 2b=6=4+17;975=i9?=1>65`de83>!7113;9465rb23f>4<5290;w)?99;10?!7283237E?:2:l22g<5=;1b;?4?:%35=?1>32eoh7>5$04:>44?32wi?N6=11/484;9`9'506=1:1/;i4:d:l22g<5=:1/=98519a8m25=83.::44<0:l222<732c<87>5$04:>66008<6`>6681?>o0>3:1(<86:228j4002:10cij50;&22<<6:110qo?86;395?6=8r.::44>339K504<@>i0(5<5789'<2<6;91e=;l52468m455290/=;751208?xd6?m0:6<4?:1y'53?=9:80D<;=;I5`?!>52>30(5951238j40e2;?>7d?<2;29 40>289976sm35f95?7=83:p(<86:011?M72:2B0288m7c?9b;062>o6;;0;6)?99;306>=zj:l96<4>:183!7113;8>6F>539K3f=#0;0:>55+86826`=i9?h1>894o011>5<#9?31=><4;|`0b0<6280;6=u+17;9564<@8?97E9l;%:1>44?3-2<6<>:082>5<7s-;=57?<2:J217=O?j1/4?4>299'<2<6:j1e=;l524;8k455290/=;751208?xd38:0:6<4?:1y'53?=9:80D<;=;I5`?!>528837)68:00a?k71j38>m6a>3383>!7113;8>65rb525>4<6290;w)?99;306>N6=;1C;n5+83826==#0>0:>l5a17`960d526y>50?=>m168=753e9>04e=;m168=o5499>05e=<1168=k5499>046=<1168<<5499>042=<1168<85499>04>=<1168?953e9>06g=;m168?65499>07g=<1168?m5499>07c=<1168>>5499>064=<1168>:5499>060=<116?:=5499>720=<116?:75499>72d=<116=il5499>5ac=<116=h<5499>5`2=<116?h75499>7`g=<116?hl5499>7`e=<116>l:5499>6d3=<116>l85499>6d1=<116>l65499>022=?:1vl<50;0x913c2mn019;l:618yvg429095`826<=:9>81885241;90==:<;=1855239697a=:;>91?i5236790==:;>21855236c90==:;>i1855238:97a=:;031855223297a=:::>1?i521e`97a=:9mn185521d290==:9l9185521d790==::=21?i5225;90==::=1;95rs`694?4|583i6ij4=32b>236=4;{<134?bc349;:79;;<13=?13349;n79;;|qb2?6=:r79i5486:?05<775=lm16>h65749~wd>=838p1?7>:ef897?72><0q~o6:18184713no70v3=018g`>;6no0<:6s|ac83>7}:;mi1hi5234`933=z{hi1<7ab<5:?i6:=4}rcg>5<5s49?479;;<111?bc3tyji7>52z?00=<0>278>:4kd:pec<72;q6?985759>77d=lm1vo>50;0x96212><01>{tj:0;6?u21g39`a=:9o:1;85rsc694?4|5:>:6::4=215>ab6=4={<175?11349:n7jk;|qa2?6=:r78?k484:?05`76`=??16??>5de9~wg>=839p1>=m:67896652mn01>><:608yvd>2909w0=?4;fg?84am3==7p}ma;296~;48>0oh63<3c842>{tjk0;6?u231c9`a=:;:n1;;5rsca94?4|5:9o6:;4=22`>ab54kd:?062<0;2wxnk4?:3y>77?=lm16??95759~wf6=838p1>90q~m>:18a855;3=>70==9;56?855n3=>70=>c;56?85593=>70==8;57?855m3=?70==2;57?856>3no70=>7;51?856:3=?7p}l2;296~;4:o0oh63<2e840>{tk:0;6?u23269`a=:;:;1;85rsb694?4|5:;h6ij4=23a>226=4={<115?bc3499<79<;|q`2?6=:r78>?4kd:?065<0<2wxo:4?:3y>753=lm16?=:5729~wf>=838p1>>9:ef896632>>0q~m6:18185703no70=?7;50?xudi3:1>v3<088g`>;48>0<86s|cc83>7}:<0:1;8524809`a=z{ji1<7ab<58oo6:;4}rag>5<5s4;3n7jk;<3;e?123tyhi7>52z?2<1486:pgc<72;q6?kj5de9>7ce=?=1vi>50;0x96`a2mn01>hj:668yvb62909w0?78;fg?87??3=>7p}k2;296~;4n00oh63{tl:0;6?u2331931=:;8?1hi5rse694?4|5:o?6:84=2g0>ab6=4={<176?13349:=7jk;|qg2?6=:r78ho486:?0`c762=?<16?<95de9~wa>=838p1>=6:64896742mn0q~j6:18184?m3==70?6d;fg?xuci3:1>v3=fd841>;48:0oh6s|16394?5|5=?j6ij4=2d0>25<5=:?6:=4}r346?6=;?q68;65369>03?=;>168;o5369>03d=;>168;m5369>03b=;>168;k5369>03`=;>168:>5369>027=;>1685;5369>0=0=;>168595369>0=>=;>168575369>0=g=;>1685l5369>0=e=;>1685j5369>0=c=;>16?nk5369>7f`=;>16?i>5369>7a7=;>16?i<5369>7a5=;>16?i:5369>7a3=;>16?i85369>7a1=;>16>h:5369>6dc=;>16>lh5369>6g6=;>16>o?5369>6g5=;>16>o:5369>6g3=;>16>o85369>6g1=;>16>o65369>6`?=;>16>ho5369>6`d=;>16>hm5369>6`b=;>16>hk5369>6``=;>16>k>5369>6c7=;>16>k<5369>6d7=;>16>o<5369>522=lm1v<9<:180870:3;8463>75846>;6?;0:?45rs055>5<4sW;<:63>76846>;6??0:??5rs054>5<5s4;<>7=8;<343?bc3ty:;o4?:2y>052=?=16>4<5de9>7c?=??1v<9l:18785a;3=?70=l1;fg?827<3=>70=i6;50?xu6?m0;6>uQ16f8941b2>801<9k:011?xu6?l0;6hu23c;936=:9>o1hi5234c936=:;5234f936=:;5234d936=:;?:1;>52373936=:;?81;>523ea933=:;mn1;952371936=:;l?1;95rs0:2>5<4s4;397jk;<1e7?1234>;8799;|q2<7<72;q6=5<5de9>5=2=?:1v<6<:18087?=3=>70?73;fg?85a>3==7p}>8783>6}:<0<1hi52485933=:91=1;;5rs0:4>5<4s4;3979<;<3;3?bc349m:79;;|q2<<<72;q6=575de9>5=g=??1v<6n:18087?=3=?70?7a;fg?85a>3=>7p}>9183>7}::9i1=>h4=0;3>ab52z?10<<6;o16=475de9~w4?b2908w0?6a;fg?87bi3=>70?n0;56?xu61o0;64u229a930=:90k1;852215933=::?l1;;52214930=::9k1;;521d;933=:90i1hi5218f937=z{8k;6=4={<06a?74n27:m=4kd:p5d7=839p1:ef894g52:n01a38g`>;6i:08h63>b780`>{t9h91<7=t=0c0>ab<58k?6>j4=0`4>6b53z?2e12:n0q~?n6;297~;6i?0oh63>a680`>;6jh08h6s|1`594?5|58k<6ij4=0c;>6b<58hi6>j4}r3b5<4s4;j>7?32?70?nc;fg?xu6im0;6>u21`6956`<58h<65:4=0cg>ab53z?2e0<6;o16=o65859>5dc=lm1v3;8j63>b88;0>;6io0oh6s|1c294?5|58k<6<=i;<3ae?>334;i<7jk;|q2f4<72:q6=l6512d894de21>01:ef8yv7e:3:1?v3>a8827c=:9ki149521c09`a=z{8h86=4={<3a`?>334;i?7jk;|q2f`<72:q6=ok5de9>5g`=;m16=i<53e9~w4da2908w0?mf;fg?87d839o70?k3;1g?xu6k90;6>u21b29`a=:9j;1?i521e697a=z{8i:6=4<{<3`5?bc34;h>7=k;<3g1?5c3ty:o?4?:2y>5f4=lm16=n=53e9>5a0=;m1vc583>6}:9j>1hi521b797a=:9m21?i5rs0a6>5<4s4;h97jk;<3`2?5c34;o57=k;|q2g3<72;q6=n85de9>5ag=;m1vd08;0>;6k>0oh6s|1b:94?5|58hm6<=i;<3g6?>334;h47jk;|q2g<<72:q6=n>512d894b421>01c0827c=:9m>149521bc9`a=z{8ii6=4<{<3`6?74n27:h8474:?2ggce83>6}:9j>1=>h4=0f4>=2<58io6ij4}r3`a?6=;r7:o84>3g9>5a>=0=16=nk5de9~w4ea2908w0?l6;30b>;6l003863>cg8g`>{t9m:1<7=2<58n;6ij4}r3gf?6=:r7:ho4kd:?2`a<4l2wx=im50;0x94bd2mn015<5s4;oi7jk;<3f4?5c3ty:hk4?:3y>5a`=lm16=ik512d8yv7b83:1>v3>e18g`>;6m;08h6s|1d394?4|58o:6ij4=0g3>45a3ty:i?4?:3y>5`4=lm16=h=53e9~w4c42909w0?j3;fg?87b<39o7p}>e583>7}:9l>1hi521d797a=z{8o=6=4={<3g`?74n27:i:4kd:p5`>=838p1e883>7}:9l91=>h4=0g:>ab52z?2a0<6;o16=ho5de9~w4ce290ow05<6kr79i;484:?2b5>486:?06<<0>278>k486:?071<0>278=o483:?05f<0>278><486:?075<0>278h485:?067<0=278<8485:?043<0=278<5485:?04<<0=278=5484:?06d<0=278?8485:?047<0=278278?<484:?05a<0>2wx=k<50;ax94c22mn01?j?:67897g42>?01?j9:67897b32>?01?j=:67897eb2>?01?ml:67897ef2>?01?m7:67897e12>?01?m;:678yv7a;3:1>v3>f28g`>;6n<08h6s|1g794?4|58l>6ij4=0d5>6b52z?2b3f880`>{t9o31<7ab<58lj6>j4}r3ee?6=:r7:jl4kd:?2bg<4l2wx=kl50;0x94`e2mn01v3>fb8g`>;6nm08h6s|1gf94?4|58lo6ij4=0d7>6b52z?2b`4>3g9~w4`a2909w0?i4;30b>;6no0oh6s|21394?4|5;::6ij4=0d6>45a3ty9654=lm16=k8512d8yv47;3:1>v3>f6827c=::991hi5rs327>5<5s4;m47?=;50;0x94`>289m703g9>651=lm1v?>7:18187ak3;8j63=098g`>{t:9k1<745a348;m7jk;|q14g<72;q6>=l5de9>65b=;m1v?>k:181847l3no705<5s48;j7jk;<024?5c3ty9==4?:3y>646=lm16>1;fg?846:39o7p}=1383>7}::881hi5220197a=z{;;86=4={<027?bc348:87=k;|q151<72;q6><:5de9>643=;m1v??::181846=3no70h4}r023?6=:r79=:4kd:?14a<6;o1v??7:18184603no70{t:831<7ab<5;:m6<=i;|q15d<72;q6>646=9:l0q~<>b;296~;59k0oh63=10827c=z{;;h6=4={<026?74n279=n4kd:p64b=838p1??<:01e?846l3no7p}=1d83>7}::8>1=>h4=33f>ab52z?15c3g9~w7472909w0<=0;fg?845939o7p}=2083>7}::;;1hi5223097a=z{;896=4={<016?bc3489?7=k;|q166<72;q6>?=5de9>672=;m1v?<;:181845<3no70<=5;1g?xu5:<0;6?u22379`a=::;<1?i5rs305>5<5s489:7jk;<013?5c3ty9>:4?:3y>671=lm16>?653e9~w74?2909w0<=8;fg?845139o7p}=2`83>7}::;k1hi52232956`52z?16g<4>3g9~w74d2909w0<=c;fg?845:3;8j6s|23f94?4|5;8o6ij4=300>45a3ty9>h4?:3y>67c=lm16>?:512d8yv45n3:1>v3=2g8g`>;5:<0:?k5rs313>5<5s488<7jk;<012?74n2wx>>?50;0x97562mn01?<8:01e?xu5;;0;6?u22209`a=::;21=>h4}r007?6=:r79?>4kd:?16<<6;o1v?=;:181844<3no70<<5;1g?xu5;<0;6?u22279`a=:::<1?i5rs315>5<5s488:7jk;<003?5c3ty9?:4?:3y>661=lm16>>653e9~w75?2909w0<<8;fg?844139o7p}=3883>7}:::31hi5222c97a=z{;9j6=4={<00e?bc3488n7=k;|q17g<72;q6>>l5de9>66e=;m1v?=l:181844k3no70<1=>h4}r00b?6=:r79?k4kd:?170<6;o1v?:?:18184383no70<<6;30b>{t:=;1<7ab<5;9<6<=i;|q107<72;q6>9<5de9>66>=9:l0q~<;3;296~;5<:0oh63=38827c=z{;>?6=4={<070?bc3488m7?7}::=<1hi5222a956`52z?1023g9~w72?2909w0<;8;fg?843i39o7p}=4`83>7}::=k1hi5225`97a=z{;>i6=4={<07f?bc348?o7=k;|q10f<72;q6>9m5de9>61b=;m1v?:k:181843l3no70<;e;1g?xu55<5s48?j7jk;<064?5c3ty99=4?:3y>606=lm16>8?53e9~w7362909w0<:1;fg?842:39o7p}=5383>7}::<81hi5225;97a=z{;?86=4={<067?bc348?47?7}:::7>52z?10f<6;o16>885de9~w7302909w0<;d;30b>;5=>0oh6s|24:94?4|5;>n6<=i;<0660?=lm16>9h512d8yv42i3:1>v3=51827c=::5<5s48>=7?8m50;0x973d2mn01?;=:01e?xu5=m0;6?u224f9`a=::5<5s48>j7jk;<054?5c3ty9:=4?:3y>636=lm16>;?53e9~w7062909w0<91;fg?841:39o7p}=6383>7}::?81hi5227197a=z{;<86=4={<057?bc348=87=k;|q121<72;q6>;:5de9>633=;m1v?8::181841=3no70<96;1g?xu5>?0;6?u22749`a=::?=1?i5rs344>5<5s48=;7jk;<06a?5c3ty9:54?:3y>63>=lm16>8j512d8yv4113:1>v3=688g`>;5=o0:?k5rs34b>5<5s48=m7jk;<054?74n2wx>;l50;0x9706289m70<9b;fg?xu5>j0;6?u2270956`<5;4>3g9>63b=lm1v?8j:181841m3no70<94;30b>{t:?l1<745a348=j7jk;|q135<72;q6>:>5de9>630=9:l0q~<81;296~;5?80oh63=66827c=z{;=96=4m{<3:1?1134;25799;<131?13349;479;;<104?13349;m79;;<124?bc349:=79=;<136?133499879;;<12`?133ty9;>4?:by>5c6=??16??75759>77`=?=16?>:5759>74e=?=16???5759>77>=?:16??k5729>774=?:16?<:5de9>743=?;16?<<5729~w713290jw0=;2;fg?85413=>70=?f;56?85513=870==f;50?854<3=870=>c;50?85593=870==a;57?854=3=?7p}=7483>7}::881855219f9`a=z{;==6=4={<027?2?34;3i7jk;|q132<72;q6><:5499>5=`=lm1v?97:180857n3==70=>2;fg?856;3=97p}=7883>g}:9o>1hi5235:936=:;=<1;>52356930=:;=;1;>5232d930=::oo1;95232`931=::l21;95232f931=:;881;;5rs35b>53g9>6`>=?:16?<<5749~w71e2909w0<;c;6;?87>93no7p}=7b83>7}::=n185521809`a=z{;=o6=4={<07a?2?34;2?7jk;|q13`<72:q6>875729>61`=<116=4:5de9~w71a2909w0<:0;6;?84?93no7p}=8383>0}::1>1;852293931=::1:1hi5224`933=::5<5s48>=7:7;<0;0?bc3ty9484?:2y>60e=?:16>8<5499>5<3=lm1v?69:18084193>370?i7;6;?87>>3no7p}=8683>6}::?8185521g:90==:90=1hi5rs3:;>5<4s48=?7:7;<3e=?2?34;247jk;|q1<<<72=q6>;k5729>632=<116=ko5499>6=g=lm1v?6m:180841=3>370?ib;6;?84?k3no7p}=8e83>1}::>:1;>5227490==:9oi1855229g9`a=z{;2m6=4:{<3f0?74n279;<483:?122<3027:ji4;8:?1=54=50;0x974>2mn01?7=:618yv4><3:1>v3=3e8g`>;51;0<96s|28794?4|5;>26ij4=3;1>2252z?11`:01;?87fi3=?70?nb;57?87fk3=?70?nd;57?87fm3=?70?nf;57?87e83=?70?m1;57?87e:3=?70?m3;57?84c03=97p}=a383>7}::h;1?o522`19`a=z{;k?6=4={<0b0?bc348j97=k;|q1e0<72;q6>l;5de9>6d0=;m1v?o9:18184f>3no700;6?u22`59`a=::h21?i5rs3c:>5<5s48j57jk;<0b0?74n2wx>lo50;0x97gf2mn01?o::01e?xu5ik0;6?u22``9`a=::h<1=>h4}r0bg?6=:r79mn4kd:?1e2<6;o1v?ok:18184fl3no70{t:0=1<7lt=3cf>45?34;j=7:7;<00a?13348=479<;<06`?2?34;mi79<;<3e7?2?348::79;;<3gg?1434;jm79<;<3fa?133ty9554?:cy>6d`=9:201>01?86:618973a2=201?>>:61894`22=201??8:66894ge2>90180q~<69;29f~;5j90:?5521`190==::=:1;95227c936=::?:18552210936=:9o<1855220:931=:9ml1;>521`a936=:9lo1;;5rs3;b>5<6;r79n<4>399>617=?=16><75759>5<1=?=16>=:5759>655=?:16>=;5759>5c`=?<16=h65759>5`c=?:16>;j5759>63e=?=16>;l5729>5`7=?:16=485739>5<>=?<16>5o5779>6<7=??16>=75779~w7d5290?w0;6i=0?463>ae847>;61j0<96s|28`94?75s48i?7?<8:?2e0<302798?484:?15d<0<27:in486:?2=2<0;279<9483:?140<0>27:mh483:?1=5<0=27:jk484:?2a=<0;279:i486:?12f<0;27:55484:?14m50;dx97d3289370?n6;6;?843;3=?70<>b;57?87bk3=>7083=87070<9d;50?87>03=870<7a;50?87>k3=87p}=9e83>42|5;h>6<=7;<3b3?2?348?879;;<3fg?133483i79;;<0;g?14348;;79;;<032?14348:o79;;<3fe?1434;n4799;<3a4?1434;j<79<;<05b?133483m79:;<3:f?1234;n579:;<0:5?12348;579:;<034?113ty95h4?:02x97d1289370?n8;6;?843=3=?70?jc;50?84?m3=>70<7c;57?87>i3=87070?j9;57?87e93=870<9f;50?87>j3=?70<61;57?84713=870<58k26964=365>22<5;2n6:=4=0;b>22<5;;n6:;4=0g:>25<5;:36::4=0`1>25<58oo6:=4=0;a>25<5;3:6:=4=323>225az?1f=<6;116>995759>5`g=?=16>4>5759>5`b=?=16=l>5759>5g5=?:16>65g=?=16>=>5729~w7d>2909w0?m4;fg?84c>3=87p}=b`83>7}:9k?1hi522e6936=z{;hi6=4={<3a2?bc348o>79<;|q1ff<72;q6=o95de9>6a6=?=1v?lk:18187e03no705rs3`e>5<5s4;im7jk;<0`e?143ty9o=4?:3y>5gd=lm16>n65759~w7e62909w0?mc;fg?84d>3=?7p}=c383>7}:9kn1hi522b6931=z{;i86=4={<0ao95449>6f0=lm1v?m8:18184e>3>>705<5s48i87::;<0`g?bc3ty9oi4?:3y>6g5=<<16>nk5de9~w7ea2908w05<5s48jj7::;<0g0?bc3ty9h84?:3y>6dc=<<16>i85de9~w7b02908w0?n1;1g?87e<39o706d<5;km6>l4=3`3>6d<5;h:6>l4=3`0>6d<5;h?6>l4=3`6>6d<5;h=6>l4=3`4>6d<5;h36>l4=3g:>6d<5;oj6>l4=3ga>6d<5;oh6>l4=3gg>6d<5;on6>l4=3ge>6d<5;l;6>l4=3d2>6d<5;l96>l4=3c;>ab<5;k86::4=200>25<5;h96>l4=23;>255dz?1a1<6;116>h85729>5f1=?=16=n65759>5f?=?=16=no5759>5fd=?=16=nm5759>5fb=?=16=nk5759>5f`=?=16=i>5759>71g=?;1v?k::18184b<3>>700;6?u22d697g=::l21hi5rs3fb>5<0s48n57?<8:?2f`<30279>l484:?116<0;279854;8:?2g2<0;27:j<485:p6ad=83=p1?kn:01;?87en3>370<=b;57?842<3=870<;a;6;?87d03=870?i1;57?xu5lj0;6:u22d`956><58i;6964=30`>22<5;?>6:=4=36a>1><58i26:=4=0d2>25510y>6`e=9:201:5:8974c2>>01<7;:67894?42>?01<7=:66894?22>?01?6?:61894ef2>901>>>:67894?>2>901?;7:64897302>>01?;9:61894?62>801:64896712>90q~52180936=:90?1;>52292931=:9jh1;>52313936=:9031;85224:931=::<=1;>52306930=z{;nm6=4l{<0fa?74027:o>4;8:?16c<0<27:59486:?2=6<0<2794=485:?1bc<0=27:on483:?044<0>27995483:?051<0<278==485:p6`6=83kp1?ki:01;?87d<3>370<<0;57?87><3=870<70;55?84an3=?70?ld;50?85793=?70=>4;50?85683=87p}=e083>f}::o:1=>64=0a6>1><5;9:6::4=0d3>22<5;2?6::4=3:2>25<5;lm6:=4=0af>25<5;?i6:=4=37b>25<5:;;6:84=235>237>5az?1b4<6;116=n85499>664=?=16=k>5729>6=2=?:16>kh5779>5f`=?:16>8l5759>746=?=16?<85759~w7c4290:=v3=f3827==:::91;952187931=:;9k1;>5218;931=:9m:1;>52322930=:;=81;>52317936=:;9<1;>5231:936=:;931;>52306933=:;981;>5231`936=:;;>1;>5230f930=z{;l86=4<{<3g5?bc3499;7?k:50;1x94b52mn01>6}:9m91hi52322936=:;:;1;>5rs3d5>5<4s4;o87jk;<12f?74n278?8483:p6c1=839p1d;50?xu5n10;6>u21e49`a=:;9k1;85231`930=z{;l26=4={<3g3?bc3498n79<;|q1bd<72;q6=i65de9>76?=?=1v?hm:18187c13no70=?f;57?xu5nj0;6?u21ec9`a=::oo1;>5rs3dg>5<5s48m>7::;<0ea?bc3ty9jk4?:3y>6c`=lm16?=>512d8yv57m3:1>v3=f0871>;48o0oh6s|32:94?4|5;l;69;4=21:>ab52z?1ac<3=278?o4kd:p76e=838p1?kj:578965c2mn0q~={t;=:1<713<5:>:6ij4}r177?6=:r79io4;5:?001:9:ef8yv53?3:1>v3=e8871>;4<10oh6s|35;94?5|58hn6>j4=0f2>6b<5:>j6ij4}r17`?6=;rT88i5235g937=:;=n1=><4}r17a?6=:r788h4kd:?0a0<0;2wx?8?50;1x96362mn01>;=:2f896022:n0q~=:2;297~;4=;0oh63<5280`>;4>?08h6s|34194?5|5:?86ij4=277>6b<5:<<6>j4}r160?6=;r78994kd:?010<4l278:54;::ef896312:n01>86:2f8yv52>3:1?v3<578g`>;4=>08h63<6`80`>{t;<=1<7=t=274>ab<5:?36>j4=24a>6b47>53z?01=2mn01>8k:2f8yv52i3:1?v3<50827c=:;?>1495234c9`a=z{:?i6=4<{<166?74n278:8474:?01g6}:;<>1=>h4=244>=2<5:?o6ij4}r16a?6=;r78984>3g9>73>=0=16?8k5de9~w63a2908w0=:6;30b>;4>003863<5g8g`>{t;?:1<7=t=274>45a349=m76;;<154?bc3ty8:<4?:2y>70>=9:l01>8m:96896062mn0q~=92;297~;4=00:?k5237a9<1=:;?81hi5rs240>5<5s49=h76;;<157?bc3ty8;?4?:3y>79=:ef8yv50;3:1>v3<728g`>;4?<08h6s|36694?4|5:=?6ij4=250>45a3ty8;84?:3y>723=lm16?:853e9~w6112909w0=86;fg?850039o7p}<7683>7}:;>=1hi52364956`52z?03=96:ef8961f2:n0q~=8a;296~;4?h0oh63<7c80`>{t;>h1<7ab<5:=h6>j4}r14g?6=:r78;n4kd:?0a0<0=2wx?:j50;0x9612289m70=8e;fg?xu4?o0;6?u236:956`<5:2;6ij4}r1;5?6=:r78;44>3g9>7=7=lm1v>6=:181850i3;8j63<838g`>{t;191<745a3493?7jk;|q0<1<72;q6?5:5de9>7=3=;m1v>6::18185?=3no70=76;1g?xu40?0;6?u23949`a=:;1=1?i5rs2:4>5<5s493;7jk;<1;7=>=lm16?5753e9~w6>>2909w0=79;fg?85?i39o7p}<8`83>7}:;1k1hi5239`97a=z{:2i6=4={<1;f?bc3493o7=k;|q07=b=;m1v>6j:18185?m3no70=74;30b>{t;1l1<7ab<5:2>6<=i;|q0=5<72;q6?4>5de9>7=0=9:l0q~=61;296~;4180oh63<86827c=z{:396=4={<1:6?bc349347?7<:ef896>>289m7p}<9583>7}:;0>1hi5239c956`52z?0=03g9~w6?12909w0=66;fg?85?k3;8j6s|38594?4|5:3<6ij4=2:g>45a3ty8554?:3y>7<>=lm16?4o53e9~w6?f2909w0=6a;fg?85>j39o7p}<9c83>7}:;0h1hi5238a97a=z{:3h6=4={<1:g?bc3492h7=k;|q0=a<72;q6?4j5de9>77j:18185>m3no70=6f;1g?xu41o0;6?u238d9`a=:;h:1?i5rs2c3>5<5s49j<7jk;<1b5?5c3ty8m<4?:3y>7d7=lm16?l<53e9~w6g52909w0=n2;fg?85>139o7p}7}:;h91hi5238:956`52z?0e13g9~w6g22909w0=n5;fg?85>j3;8j6s|3`494?4|5:k=6ij4=2;`>45a3ty8m:4?:3y>7d1=lm16?4j512d8yv5f03:1>v3;41l0:?k5rs2c:>5<5s492j7?ok:18`85e13=?70=kb;fg?852i3=>70=:c;56?852l3=>70=:e;56?852n3=>70=90;56?85193=>70=92;56?851;3=>70=j5;55?xu4il0;6>u23cd931=:;l81hi523d1937=z{:km6=4={<1`a?22349=87jk;|q0f5<72;q6?nh5449>733=lm1v>l>:18185c83>>70=96;fg?xu4j;0;6?u23e3900=:;?=1hi5rs2`0>5<5s49o>7::;<157a5=<<16?;75de9~w6d22909w0=k4;66?851i3no7p}7}:;m?1885237`9`a=z{:h<6=4={<1g2?22349=o7jk;|q0f=<72;q6?i95449>73b=lm1v>ln:18085e13no70=:1;1g?851<39o7p}7}:;0l1855237g9`a=z{:hh6=4={<1b4?2?349=j7jk;|q0fa<72;q6?l?5499>726=lm1v>lj:185850j3;8j63;4i;0?463<708g`>;59<0?463=1g840>{t;kl1<7ab<5:i:6:;4}r1`4?6=:r78o=4kd:?0g4<0>2wx?n<50;0x96>c2mn01>m>:668yv5d;3:1>v3<988g`>;4k80=7:7;<1b7?14349247:7;<3ea?13348::79<;<03f?2?349om79;;<140?14349>m79;;|q0g0<72jq6?nh512:896>a2>>01>;=:5:896g32>901>7n:5:897662>>01??8:618976c2=201>jn:67896bd2>901>jk:618961b2>80q~=l6;29f~;4l90:?552382931=:;<9185523`7936=:;0h18552210931=::821;>5221g90==:;mk1;;52365936=:;5484:?01a<0<2784=482:p7f>=83hp1>j=:01;?85>:3=?70=:5;6;?85f?3=870=6d;6;?846i3=870<>0;6;?847<3=>70=:e;57?85?93=870=ke;57?xu4k00;6ou23e1956><5:386::4=275>1><5:k36:=4=2;f>1><5;;i6:=4=332>1><5:?m6::4=2:2>22<5;:>6:;4=2ff>25518y>7a2=9:201>7;:66896302=201>9?:61894>a2>901>8i:66894>b2>>01??j:668977c2>>01??l:61894?72>>01>8?:66896>62>?01>jl:67896152>>01>om:66896gf2>>01>o6:618960b2>801<6k:60896162><01?>9:66896be2>>01>6<:64896>52>?0q~=lb;2951}:;m?1=>64=2;6>22<5:?36964=253>23<582m6:;4=24e>25<582n6:=4=324>23<5;;n6:84=33g>25<583;6:;4=242>22<5:286:;4=2f`>22<5:=96:;4=2ca>20<5:kj6:=4=252>23<5:ni6:=4=2:1>225fz?0`3<6;116?485759>70?=<116?:>5759>5=`=?=16>5<6=??16?;<5759>65>=?<16?:<5779>7dd=?:16?:?5759>7=5=?=16?5<5729>7ac=??1v>mk:18:85c?3;8463<96840>;4?8091847>;40:0;4?;0;4ll0<96s|3e:94?d|5:in6>l4=2ae>6d<5:n;6>l4=2f2>6d<5:n96>l4=2f0>6d<5:n?6>l4=2f6>6d<5:n=6>l4=2f4>6d<5:o36ij4}r1g=?6=:r78in4kd:?0a=<0;2wx?io50;1x96bf2mn01>;m:67896be2>?0q~=ke;297~;4=k0<863;4lo0<>6s|3d294?4|5:o?6ij4=2g;>2252z?0a=<0=278i;4kd:p7`?=838p1>k6:ef896cf2:n0q~=ja;296~;4mh0oh63{t;lh1<7ab<5:oh6>j4}r1f`?6=:r78i44>3g9>7`c=lm1v>ki:18185bn3no70=ja;30b>{t;o:1<7ab<5:oi6<=i;|q0b4<72;q6?k?5de9>7`e=9:l0q~=i2;297~X4n;16?k:5de9>7c4=9:80q~=i4;296~;4n:0oh63{t;o?1<7=t^2d6?82783no70=i5;306>{t;o<1<7ab<5:l26::4}r1e3?6=:r78j:4kd:?0bd<0<2wx?ko50;0x96`f2mn01>h6:618yv5aj3:1>v3;4nh0<96s|3ga94?4|5:lh6ij4=2de>2252z?0b`?:60896`?2mn0q~:?1;297~X388168=<5de9>057=9:80q~:?2;296~;3=j0oh63;03846>{t<991<7=t^520?827=3no70:?3;306>{t<9?1<7ab<5=:>6:<4}r632?6=;rT?<;524159`a=:<9<1=><4}r633?6=:r7?<:482:?7322mn019>n:2f8917c2:n0q~:?a;297~;38h0oh63;0b80`>;39l08h6s|41`94?5|5=:i6ij4=52b>45a34>:h76;;|q74f<72:q68=m5de9>05c=;m168{t<9o1<7=t=52f>ab<5=;;6>j4=503>6b;j7>53z?74c3g9>04`=0=1v9??:18082683no70:>2;1g?825939o7p};1083>6}:<8;1hi52402956`<5=8;65:4}r626?6=;r7?=?4kd:?751<4l27?>?4u24069`a=:<8<1?i5243197a=z{=;>6=4<{<621?bc34>:87?7;297~;39>0oh63;17827c=:<;91495rs53;>5<5s4>:47jk;<611?5c3ty?=44?:2y>04?=lm168<6512d8914321>0q~:>a;297~;3800:?k5240a9<1=:<8h1hi5rs505>5<5s4>9:7jk;<611?>33ty?>:4?:2y>071=lm168?653e9>06d=;m1v9<7:18082503no70:=a;1g?824k39o7p};2883>6}:<;31hi5243:956`<5=9i65:4}r61e?6=;r7?>l4kd:?76f<4l27??i4u243a9`a=:<;o1?i5242g97a=z{=8o6=4<{<61`?bc34>9o7?5<4s4>8<7jk;<606?5c34>?<7=k;|q774<72:q68>?5de9>066=9:l019=i:968yv24:3:1?v3;338g`>;3;=08h63;4080`>{t<:91<7=t=510>ab<5=996<=i;<674?>33ty??94?:2y>062=lm168>853e9>014=;m1v9=::180824=3no70:<4;30b>;3<80386s|42494?4|5=9=6ij4=560>6b8;7>53z?7723g9>014=0=1v9=7:180825?3;8j63;3`8;0>;3;00oh6s|45694?4|5=>?6ij4=560>=2?97>52z?72=<3=27?=n4kd:p010=838p196::578915f2mn0q~:;7;296~;3>00?963;1e8g`>{t<=21<713<5=9i6ij4}r67=?6=:r7?:l4;5:?75`02=?019=l:ef8yv23j3:1>v3;6c871>;39o0oh6s|45a94?4|5=2369;4=51g>ab?h7>52z?72f<3=27?>=4kd:p01c=838p1966:578915b2mn0q~:;f;296~;3>m0?963;208g`>{t<<:1<713<5=9m6ij4}r665?6=:r7?:h4;5:?767e2=?019:?:ef8yv22;3:1>v3;6g871>;3::0oh6s|44694?4|5=2h69;4=562>ab>97>52z?735<3=27?>94kd:p000=838p196k:57891252mn0q~::7;296~;3?80?963;248g`>{t<<21<713<5=>86ij4}r66=?6=;r7?944kd:?71d<0=278j5483:p00d=839p19;m:ef8913f2>>01>h7:668yv22m3:1ov3;69827==:;1o1;>5239690==:;h91;95222g936=:::>1855227:931=:;o:1;8522``936=:;oo1;85240`937=:<0?1;>5rs57e>5=57?<8:?02wx8;>50;fx910f289370=60;50?85?>3>370=n5;57?84383=870<<6;6;?841i3=?70=i1;56?82>=3=?70:?d;50?87?<3=>70?78;56?84fi3=?7p};6083>a}:64=2;2>25<5:2<6964=2c5>22<5;>:6:=4=314>1><5=:m6:=4=0:7>20<5;22<5:on6:<4=3ca>22<5=3>6:;4}r656?6=mr7?:n4>399>7<4=?:16?565499>7d1=?=16>9<5729>66>=<116?hh5729>6de=?:1688m5759>5=4=?:1680<1=?:16>;m5749>5=1=?:1v98<:18e821l3;8463<92847>;4000?463;5<:0;4mo0<863=ab842>;3=j0<963>83840>;39:0;5>m0<963>86840>;3?:0<86s|47694?c|5=>o799;<6:2?1434;3>79:;<621?14349j579;;<647?123ty?:84?:gy>03`=9:201>7::61896>e2=201?:::618975e2=201>h?:61897gd2>>019;k:618913>2>901979:64891702>901<6<:66896gf2>?01?8i:67891142><0q~:96;29b~;3?90:?552384936=:;1i18552254936=:::i18552262931=:;o:1;9522`f931=:<91;>5rs544>5<=7?<8:?0=2<0;2784i4;8:?0ef<0<2798:483:?17a<30279;<484:?0b5<0>279mi486:?71a<0=27?94484:?7=3<0<27?>;483:?2<6<0;27?;94>3g9~w115290iw0:98;30=>;3>00:?45247c956?<5=389>03c=9:30198i:01:?82083;8563;70827<=:<>>1hi5rs550>5<5s4>020=lm168:95729~w11?2909w0:8a;fg?820?3;8j6s|46;94?4|5==26ij4=55b>255az?7<0<6;116>?o5729>676=<116>8=5759>7c7=?:16?oh5779>7cb=?:168>75739>6dg=?:1684?5729~w11d290hw0:76;30<>;5:k0;5==0<863;4jo0<963;28847>;60k0;3??0;3180<96s|46f94?b|5=2<6<=7;<01g?143489>7:7;<061?13349m=799;<1ab?14349mn79;;<61f?1434>2<79;;<3;f?12349mh79:;<64=?12348j5799;|q73`<72jq6856512:8974c2>901?<<:5:896`e2>?019e2>>01>hl:67897312>>01999:66897g>2>901>k=:67891?62>>0q~:8f;29`~;3000:?55223g936=::;>185523d6931=:<0>1;8523b2930=:;oh1;;5243d936=:91k1;>523gf933=::<=1;85246;936=::h31;95rs5:3>53m7?<8:?16c<0;279>84;8:?1e<<0=27?9o484:?7=1<0<278jo483:?774<0;27:4l484:?0bf<0>27995485:?733<0=278i?486:p0=7=83np196m:01;?84483=870<=6;6;?84213=?7083==70=ic;50?82013==70=j2;50?xu30;0;6hu249a956><5;9:6:=4=304>1><5=?i6:84=2g7>25<58226::4=2a3>22<5:l<6:=4=516>25<5=3;6:=4=2de>25<5;?j6:;4=55:>22<5;kj6:84}r6;7?6=mr7?4i4>399>664=?:16>?65499>00d=?<16?h:5749>0<2=?:16=575749>7f6=?:16?k95759>061=?:16?kh5749>60d=?<168:85779>6dd=??1v96;:18f82?m3;8463=32847>;5:00?463=5b840>;5ij0<963;5`847>;6000<:63;3<=0;4n10<963;7`827c=:<021;>523d0931=z{=2m6=4m{<6;1?74127?4;4>389>0=1=9:301967:01:?82?13;8563;8`827<=:<1h1=>74=5:`>45>34>3h7?<9:?7<`<6;0168465de9~w1?72909w0:60;fg?82>03=>7p};9583>7}:<0>1hi5248:931=z{=3>6=4={<6:1?bc34>2;79:;|q7=<<72jq685;53c9>0=0=;k1685953c9>0=>=;k1685753c9>0=g=;k1685l53c9>0=e=;k1685j53c9>0=c=;k168495de9>0<>=??1v>k8:18185b=3no70=j6;51?xu49h0;6?u230:9`a=:;831;?5rs20`>5<5s499m7jk;<11f?153ty8?:4?:3y>763=lm16?>85739~w66c2909w0=?b;fg?857k3=97p};9283>7}:<0;1hi52480937=z{:8=6=4={<110?bc3499979=;|q076<72;q6?>?5de9>764=?;1v>?i:181856l3no70=>e;51?x{ijj=1<7?tH071?k71j3:0b<:6:19~jge?290:wE?:2:l22g<63tdio44?:0yK504{ijjk1<7?tH071?k71j390qcllb;295~N6=;1e=;l54:mffe=93;pD<;=;o35f?3<,8<>6>?n;|laga<728qC=8<4n04a>3=zfkin6=4>{I366>h6>k0<7p`mcg83>4}O9<80b<8m:99~jgb7290:wE?:2:l22g<>3tdih<4?:0yK5045<6sA;>>6`>6c8a?xhel:0:6539m53d=l2weni;51;3xL4353g;=n7k4$046>64d3tdih;4?:0yK5045<6sA;>>6`>6c824>{ijm21=7?tH071?k71j3;:7)?95;107>{ijm31<7?tH071?k71j3;97p`md`82>4}O9<80b<8m:018 4022:9<7p`mdc83>4}O9<80b<8m:068ykdck3;1=vF>539m53d=9<1/=;;530d8ykdcl3:1=vF>539m53d=9?1vbojj:082M72:2d::o4>7:mfa`=83;pD<;=;o35f?7?3tdii=4?:0yK504{I366>h6>k0:n6*>64804a=zfko86=4>{I366>h6>k0:o6sabd694?7|@8?97c?9b;3g?xhem<0;6;|laa<<728qC=8<4n04a>7451zJ217=i9?h1>>5rncga>5<6sA;>>6`>6c810>{ijli1<7?tH071?k71j38>7p`mee83>4}O9<80b<8m:348ykdbm3:1=vF>539m53d=:>1vboki:182M72:2d::o4=8:mfc6=83;pD<;=;o35f?4>3tdij<4>:0yK5041?43tdij?4?:0yK504{I366>h6>k09h6sabg794?7|@8?97c?9b;0f?xhen?0;6:0yK50494$046>71?3tdijk4>:0yK50464$046>4?a3tdh<=4>:0yK50474$046>g>51zJ217=i9?h1?l5rnb21>5<6sA;>>6`>6c80f>{ik991<7?tH071?k71j39h7p`l0583>7}O9<80E<==:0y2>x\1k3;p4:4rn04a>6b52zJ217=i9?h1?h5rnb25>5<6sA;>>6`>6c80b>{ik9=1<7?tH071?k71j3>;7p`l0983>4}O9<80E<==:0y2>x\1k3;p4:4rn04a>1751zJ217=i9?h18?5rnb2b>5<6sA;>>6`>6c877>{ik9h1<7?tH071?k71j3>?7p`l0b83>4}O9<80b<8m:578yke7l3:1=vF>539m53d=j:182M72:2d::o4;7:mg5`=83;pD<;=;o35f?2?3tdh==4?:0yK504{I366>h6>k0?n6sac0194?7|@8?97c?9b;6`?xhd9=0:65;295~N6=;1e=;l54d9~jf71290:wE?:2:l22g<3n2weo<950;3xL4353g;=n7;?;|l`5=<728qC=8<4n04a>0751zJ217=i9?h19?5rnb3b>5<6sA;>>6`>6c867>{ik8h1<7?tH071?k71j3??7p`l1b83>4}O9<80b<8m:478yke6l3:1=vF>539m53d==?1vbn?j:182M72:2d::o4:7:mg4`=83;pD<;=;o35f?3?3tdh>=4?:0yK504{I366>h6>k0>n6sac3194?7|@8?97c?9b;7`?xhd:=0;63751zJ217=i9?h1:?5rnb0b>5<6sA;>>6`>6c857>{ik;h1<7?tH071?k71j34}O9<80b<8m:778yke5l3:1=vF>539m53d=>?1vbn{I366>h6>k0=n6sac2194?7|@8?97c?9b;4`?xhd;=0;6950;3xL4353g;=n79?;|l`7=<728qC=8<4n04a>2751zJ217=i9?h1;?5rnb1b>5<6sA;>>6`>6c847>{ik:h1<7?tH071?k71j3=?7p`l3b83>4}O9<80b<8m:678yke4l3;1=vF>539m53d=??1/=;;52858yke4m3:1=vF>539m53d=?>1vbn=i:182M72:2d::o488:mg16=93;pD<;=;o35f?1>3-;=97<69:mg17=83;pD<;=;o35f?1f3tdh8?4>:0yK5047?f3tdh8>4?:0yK504>6=4>{I366>h6>k00;6290:wE?:2:l22g=251zJ217=i9?h1485rnb6g>5<6sA;>>6`>6c8;2>{ik=o1<7?tH071?k71j32<7p`l4g83>4}O9<80b<8m:9:8yke283:1=vF>539m53d=001vbn;>:182M72:2d::o47a:mg04=83;pD<;=;o35f?>e3tdh9>4?:0yK5046=4>{I366>h6>k03i6sac4494?7|@8?97c?9b;:e?xhd=>0;6290:wE?:2:l22g<>:2weo8o50;3xL4353g;=n77<;|l`1g<728qC=8<4n04a><2o7>51zJ217=i9?h1585rnb7g>5<6sA;>>6`>6c8:2>{ik4}O9<80b<8m:8:8yke183:1=vF>539m53d=101vbn8>:182M72:2d::o46a:mg34=83;pD<;=;o35f??e3tdh:>4?:0yK5046=4>{I366>h6>k02i6sac7494?7|@8?97c?9b;;e?xhd>>0;6290:wE?:2:l22gd251zJ217=i9?h1m85rnb4g>5<6sA;>>6`>6c8b2>{ik?o1<7?tH071?k71j3k<7p`l6g83>4}O9<80b<8m:`:8yke083:1=vF>539m53d=i01vbn9>:182M72:2d::o4na:mg24=83;pD<;=;o35f?ge3tdh;>4?:0yK5046=4>{I366>h6>k0ji6sac6494?7|@8?97c?9b;ce?xhd?>0;6290:wE?:2:l22gg251zJ217=i9?h1n85rnb5g>5<6sA;>>6`>6c8a2>{ik>o1<7?tH071?k71j3h<7p`l7g83>4}O9<80b<8m:c:8yke?83:1=vF>539m53d=j01vbn6>:182M72:2d::o4ma:mg=4=83;pD<;=;o35f?de3tdh4>4?:0yK5046=4>{I366>h6>k0ii6sac9494?7|@8?97c?9b;`e?xhd0>0;6>290:wE?:2:l22gf251zJ217=i9?h1o85rnb:g>5<6sA;>>6`>6c8`2>{ik1o1<7?tH071?k71j3i<7p`l8g83>4}O9<80b<8m:b:8yke>83:1=vF>539m53d=k01vbn7>:182M72:2d::o4la:mg<4=83;pD<;=;o35f?ee3tdh5>4?:0yK5046=4>{I366>h6>k0hi6sac8494?7|@8?97c?9b;ae?xhd1>0;6290:wE?:2:l22ga251zJ217=i9?h1h85rnb;g>5<6sA;>>6`>6c8g2>{ik0o1<7?tH071?k71j3n<7p`l9g83>4}O9<80b<8m:e:8ykef83:1=vF>539m53d=l01vbno>:182M72:2d::o4ka:mgd4=83;pD<;=;o35f?be3tdhm>4?:0yK5046=4>{I366>h6>k0oi6sac`494?7|@8?97c?9b;fe?xhdi>0;6290:wE?:2:l22g`251zJ217=i9?h1i85rnbcg>5<6sA;>>6`>6c8f2>{ikho1<7?tH071?k71j3o<7p`lag83>4}O9<80b<8m:d:8ykee83:1=vF>539m53d=m01vbnl>:182M72:2d::o4ja:mgg4=83;pD<;=;o35f?ce3tdhn>4?:0yK5046=4>{I366>h6>k0ni6sacc494?7|@8?97c?9b;ge?xhdj>0;6290:wE?:2:l22gc251zJ217=i9?h1j85rnb`g>5<6sA;>>6`>6c8e2>{ikko1<7?tH071?k71j3l<7p`lbg83>4}O9<80b<8m:g:8yked83:1=vF>539m53d=n01vbnm>:182M72:2d::o4ia:mgf4=83;pD<;=;o35f?`e3tdho>4?:0yK5046<4>{I366>h6>k0mi6sacb495?7|@8?97c?9b;de?xhdk>0;64}O9<80b<8m:022?xhdk00;64}O9<80b<8m:020?xhdkk0:64}O9<80b<8m:026?xhdkm0;64}O9<80b<8m:024?xhdko0;64}O9<80b<8m:02:?xhdl80;64}O9<80b<8m:02a?xhdl:0;64}O9<80b<8m:02g?xhdl<0;64}O9<80b<8m:02e?xhdl>0;64}O9<80b<8m:032?xhdl00;64}O9<80b<8m:030?xhdlk0;64}O9<80b<8m:036?xhdlm0;64}O9<80b<8m:034?xhdlo0;64}O9<80b<8m:03:?xhdm80:64}O9<80b<8m:03a?xhdm:0;64}O9<80b<8m:03g?xhdm<0;64}O9<80b<8m:03e?xhdm>0;64}O9<80b<8m:002?xhdm00;64}O9<80b<8m:000?xhdmk0;64}O9<80b<8m:006?xhdmm0;64}O9<80b<8m:004?xhdmo0:64}O9<80b<8m:00:?xhdn80;64}O9<80b<8m:00a?xhdn:0;64}O9<80b<8m:00g?xhdn<0;64}O9<80b<8m:00e?xhdn>0;64}O9<80b<8m:012?xhdn00;64}O9<80b<8m:010?xhdnk0;64}O9<80b<8m:016?xhdnm0;64}O9<80b<8m:014?xhdno0;64}O9<80b<8m:01:?xhc880;64}O9<80b<8m:01a?xhc8:0;64}O9<80b<8m:01g?xhc8<0;64}O9<80b<8m:01e?xhc8>0;6;7p`k0983>4}O9<80b<8m:062?xhc800;697p`k0`83>4}O9<80b<8m:060?xhc8k0:6?7)?95;0b5>{il9i1<7?tH071?k71j3;?96sad1f95?7|@8?97c?9b;372>{il9o1<7?tH071?k71j3;?;6sad1d94?7|@8?97c?9b;37<>{il8:1<7?tH071?k71j3;?56sad0394?7|@8?97c?9b;37e>{il881<7?tH071?k71j3;?n6sad0194?7|@8?97c?9b;37g>{il8>1<7?tH071?k71j3;?h6sad0794?7|@8?97c?9b;37a>{il8<1<7?tH071?k71j3;?j6sad0594?7|@8?97c?9b;364>{il821<7?tH071?k71j3;>=6sad0;94?7|@8?97c?9b;366>{il8k1<7?tH071?k71j3;>?6sad0`94?7|@8?97c?9b;360>{il8i1<7?tH071?k71j3;>96sad0f94?7|@8?97c?9b;362>{il8o1<7?tH071?k71j3;>;6sad0d94?7|@8?97c?9b;36<>{il;:1<7?tH071?k71j3;>56sad3394?7|@8?97c?9b;36e>{il;81<7?tH071?k71j3;>n6sad3194?7|@8?97c?9b;36g>{il;>1<7?tH071?k71j3;>h6sad3794?7|@8?97c?9b;36a>{il;<1<7?tH071?k71j3;>j6sad3594?7|@8?97c?9b;354>{il;21<7?tH071?k71j3;==6sad3;94?7|@8?97c?9b;356>{il;k1<7?tH071?k71j3;=?6sad3`94?7|@8?97c?9b;350>{il;i1<7?tH071?k71j3;=96sad3f94?7|@8?97c?9b;352>{il;o1<7?tH071?k71j3;=;6sad3d95?7|@8?97c?9b;35<>"6><09i95rne13>5<6sA;>>6G>3382401vbi=>:182M72:2d::o4>6`9~ja55290:wE?:2:l22g<6>k1vbi=<:182M72:2d::o4>6b9~ja53290:wE?:2:l22g<6>m1vbi=::182M72:2d::o4>6d9~ja51290:wE?:2:l22g<6>o1vbi=8:182M72:2d::o4>719~ja5?290:wE?:2:l22g<6?81vbi=6:182M72:2d::o4>739~ja5f290:wE?:2:l22g<6?:1vbi=m:182M72:2d::o4>759~ja5d290:wE?:2:l22g<6?<1vbi=k:182M72:2d::o4>779~ja5b290:wE?:2:l22g<6?>1vbi=i:182M72:2d::o4>799~ja27290:wE?:2:l22g<6?01vbi:>:182M72:2d::o4>7`9~ja25290:wE?:2:l22g<6?k1vbi:<:182M72:2d::o4>7b9~ja23290:wE?:2:l22g<6?m1vbi:::182M72:2d::o4>7d9~ja21290:wE?:2:l22g<6?o1vbi:8:082M72:2d::o4>819'533=;j>0qcj;8;295~N6=;1e=;l51938ykb313:1=vF>539m53d=9180qcj;a;395~N6=;1e=;l51918 4022:i=7p`k4c83>4}O9<80b<8m:0:7?xhc7p`k4e83>4}O9<80b<8m:0:5?xhc4}O9<80b<8m:0:;?xhc=90;64}O9<80b<8m:0:b?xhc=;0;64}O9<80b<8m:0:`?xhc==0;64}O9<80b<8m:0:f?xhc=?0;64}O9<80b<8m:0;3?xhc=10;64}O9<80b<8m:0;1?xhc=h0;64}O9<80b<8m:0;7?xhc=j0;67p`k5e83>4}O9<80b<8m:0;5?xhc=l0;64}O9<80b<8m:0;;?xhc>90;64}O9<80b<8m:0;b?xhc>;0;64}O9<80b<8m:0;`?xhc>=0;64}O9<80b<8m:0;f?xhc>?0;64}O9<80b<8m:0c3?xhc>10;64}O9<80b<8m:0c1?xhc>h0;64}O9<80b<8m:0c7?xhc>j0;67p`k6e83>4}O9<80b<8m:0c5?xhc>l0;64}O9<80b<8m:0c;?xhc?90;64}O9<80b<8m:0cb?xhc?;0;64}O9<80b<8m:0c`?xhc?=0;64}O9<80b<8m:0cf?xhc??0;64}O9<80b<8m:0`3?xhc?10;64}O9<80b<8m:0`1?xhc?h0;64}O9<80b<8m:0`7?xhc?j0;67p`k7e82>4}O9<80b<8m:0`5?xhc?l0;64}O9<80b<8m:0`;?xhc090;64}O9<80b<8m:0`b?xhc0;0;64}O9<80b<8m:0``?xhc0=0;64}O9<80b<8m:0`f?xhc0?0;64}O9<80b<8m:0a3?xhc010;64}O9<80b<8m:0a1?xhc0h0;64}O9<80b<8m:0a7?xhc0j0;67p`k8e83>4}O9<80b<8m:0a5?xhc0l0;64}O9<80b<8m:0a;?xhc190;64}O9<80b<8m:0ab?xhc1;0;64}O9<80b<8m:0a`?xhc1=0;64}O9<80b<8m:0af?xhc1?0;64}O9<80b<8m:0f3?xhc110;64}O9<80b<8m:0f1?xhc1h0;64}O9<80b<8m:0f7?xhc1j0;67p`k9e83>4}O9<80b<8m:0f5?xhc1l0:64}O9<80b<8m:0f;?xhci90:6{ilh;1<7?tH071?k71j3;om6sad`094?7|@8?97c?9b;3gf>{ilh91<7?tH071?k71j3;oo6sad`694?7|@8?97c?9b;3g`>{ilh?1<7?tH071?k71j3;oi6sad`494?7|@8?97c?9b;3gb>{ilh=1<7?tH071?k71j3;n<6sad`:94?7|@8?97D?<2;3x6?{]>j0:w595}o35f?7b92wehl750;3xL4353g;=n7?j2:m`dg=83;pD<;=;H306?7|:3wQ:n4>{959yk71j3;n?6sad``94?7|@8?97c?9b;3f0>{ilhi1<7?tH071?k71j3;n96sad`f94?7|@8?97c?9b;3f2>{ilho1<7?tH071?k71j3;n;6sad`d94?7|@8?97c?9b;3f<>{ilk:1<7?tH071?k71j3;n56sadc394?7|@8?97c?9b;3fe>{ilk81<7?tH071?L74:3;p>7sU6b82=1=ug;=n7?jb:m`g5=83;pD<;=;o35f?7bk2weho:50;3xL4353@;8>7?t2;Y2f<6s1=1qc?9b;3f`>{ilk?1<7?tH071?k71j3;ni6sadc494?7|@8?97D?<2;3x6?{]>j0:w595}o35f?7bn2weho950;3xL4353g;=n7?i0:m`g>=83;pD<;=;o35f?7a92weho750;3xL4353g;=n7?i2:m`gg=93;pD<;=;o35f?7a;2.::84;5g9~jade290:wE?:2:l22g<6n=1vbill:082M72:2d::o4>f49'533=539m53d=9o=0(<8::542?xhcjo0;64}O9<80b<8m:0d:?!71=3>=>6sadb394?7|@8?97c?9b;3ee>{ilj81=7?tH071?k71j3;mn6*>648726=zfmi86=4>{I366>h6>k0:jn5rnea7>4<6sA;>>6`>6c82ba=#9??18;:4}of`1?6=9rB:9?5a17`95cc1023tdoo:4?:0yK504?;|lgg=<628qC=8<4n04a>7663-;=97:96:m`f?=83;pD<;=;o35f?47:2wehno51;3xL4353g;=n7>1vbimm:182M72:2d::o4=059~jaed290:wE?:2:l22g<58<1vbimk:082M72:2d::o4=079'533=<>i0qcjle;295~N6=;1e=;l52158ykbdn3;1=vF>539m53d=:920(<8::55g?xhcl90;64}O9<80b<8m:32b?!71=3>{ilm91=7?tH071?k71j38;o6*>64873c=zfmn?6=4>{I366>h6>k094<6sA;>>6`>6c814`=#9??185>4}ofg2?6=9rB:9?5a17`965`<>4$046>1>63tdoh54?:0yK504;|lg`<<628qC=8<4n04a>7753-;=97:72:m`ag=83;pD<;=;o35f?46;2wehil51;3xL4353g;=n7<>4:&220<30:1vbijl:182M72:2d::o4=149~jabc280:wE?:2:l22g<59?1/=;;54968ykbcm3:1=vF>539m53d=:8=0qcjkf;295~N6=;1e=;l520:8ykbb83:1=vF>539m53d=:830qcjj1;295~N6=;1e=;l520c8ykbb:3:1=vF>539m53d=:8h0qcjj3;295~N6=;1e=;l520a8ykbb<3:1=vF>539m53d=:8n0qcjj5;295~N6=;1e=;l520g8ykbb>3:1=vF>539m53d=:8l0qcjj7;295~N6=;1e=;l52328ykbb03:1=vF>539m53d=:;;0qcjj9;295~N6=;1e=;l52308ykbbi3:1=vF>539m53d=:;90qcjjb;295~N6=;1e=;l52368ykbbk3:1=vF>539m53d=:;?0qcjjd;295~N6=;1e=;l52348ykbbm3:1=vF>539m53d=:;=0qcjjf;295~N6=;1e=;l523:8ykba83:1=vF>539m53d=:;30qcji1;295~N6=;1e=;l523c8ykba:3:1=vF>539m53d=:;h0qcji3;295~N6=;1e=;l523a8ykba<3:1=vF>539m53d=:;n0qcji5;395~N6=;1e=;l523g8ykba>3;1=vF>539m53d=:;l0qcji7;395~N6=;1e=;l52228ykba03;1=vF>539m53d=::;0qcji9;395~N6=;1e=;l52208ykbai3;1=vF>539m53d=::90qcjib;395~N6=;1e=;l52268ykbak3;1=vF>539m53d=::?0qcjid;395~N6=;1e=;l52248ykbam3:1=vF>539m53d=::=0qcjif;295~N6=;1e=;l522:8ykc783:1=vF>539m53d=::30qck?1;295~N6=;1e=;l522c8ykc7:3:1=vF>539m53d=::h0qck?3;295~N6=;1e=;l522a8ykc7<3;1=vF>539m53d=::n0qck?5;395~N6=;1e=;l522g8ykc7>3;1=vF>539m53d=::l0qck?7;395~N6=;1e=;l52528ykc703;1=vF>539m53d=:=;0qck?9;395~N6=;1e=;l52508ykc7i3;1=vF>539m53d=:=90qck?b;395~N6=;1e=;l52568ykc7k3;1=vF>539m53d=:=?0qck?d;295~N6=;1e=;l52548ykc7m3:1=vF>539m53d=:==0qck?f;295~N6=;1e=;l525:8ykc683:1=vF>539m53d=:=30qck>1;295~N6=;1e=;l525c8ykc6:3;1=v`>6c810g=zfl;86<4>{o35f?43k2wei<:51;3xj40e2;>o7p`j1482>4}i9?h1>9k4}og22?7=9rd::o4=4g9~j`70280:wc?9b;064>{im821=7?tn04a>7363tdn=44>:0ym53d=:<80qck>a;395~h6>k099>5rn`g2>5<6sg;=n7<:4:~rQ0?290:6<4=2eyP01<6?o096<=<50f`>672;o1/=8:51268 45428;0D;k4H8`8L3`74esA<27)?;c;32?k0e2;8n7c?;3;01`>h6>k0;7b:?3;29?j2793:17d=;d;29?l70l3:17d?86;29?j27>3:17b=i2;29?j5a=3:17o?82;392?6=8r.=m7;n;%372?74?2.:9=4>5b9'51d=9=k0(:j53:J53>h6>k0:7d:::188m6`=831b8=4?::k273<722c8n7>5;n304>:283>5}#9<:1=8h4$065>16?3A<<7c?9b;08m=2=831b?i4?::mg`?6=3th?8?4>:283>5}#9<:1=8h4$065>16?3A<<7c?9b;18m=2=831b?i4?::mg`?6=3th??;4>:583>5}#9<:1=8j4$065>16?3A<<7c?9b;68m1>=831b?i4?::k27c<722eoh7>5;|`704<62:0;6=u+142950`<,8>=69>7;I44?k71j3?0e5:50;9j7a<722eoh7>5;|`771<62=0;6=u+142950b<,8>=69>7;I44?k71j3<0e9650;9j7a<722c:?k4?::mg`?6=3th?8=4>:283>5}#9<:1=8h4$065>16?3A<<7c?9b;58m=2=831b?i4?::mg`?6=3th???4>:583>5}#9<:1=8j4$065>16?3A<<7c?9b;:8m1>=831b?i4?::k27c<722eoh7>5;|`77c<62:0;6=u+142950`<,8>=69>7;I44?k71j330e5:50;9j7a<722eoh7>5;|`775<62=0;6=u+142950b<,8>=69>7;I44?k71j3k0e9650;9j7a<722c:?k4?::mg`?6=3th??h4>:283>5}#9<:1=8h4$065>16?3A<<7c?9b;`8m=2=831b?i4?::mg`?6=3th?>h4>:583>5}#9<:1=8j4$065>16?3A<<7c?9b;a8m1>=831b?i4?::k27c<722eoh7>5;|`77a<62:0;6=u+142950`<,8>=69>7;I44?k71j3n0e5:50;9j7a<722eoh7>5;|`76f<62=0;6=u+142950b<,8>=69>7;I44?k71j3o0e9650;9j7a<722c:?k4?::mg`?6=3th??n4>:283>5}#9<:1=8h4$065>16?3A<<7c?9b;d8m=2=831b?i4?::mg`?6=3th?>l4>:583>5}#9<:1=8j4$065>16?3A<<7c?9b;33?l2?2900e>j50;9j56`=831dhi4?::a06d=9391<7>t$073>43a3-;?:7:?8:J53>h6>k0:=6g74;29?l5c2900cij50;9~f14?280?6=4?{%364?72l2.:8;4;099K22=i9?h1=?5f4983>>o4l3:17d?5g9'510=<920D;94n04a>451<75f3e83>>icl3:17pl;2682>1<729q/=8>514f8 4212=:37E88;o35f?733`>36=44i2f94?=n9:l1<75`de83>>{e<;?1=7=50;2x 43728?m7)?;6;63<>N1?2d::o4>5:k;0?6=3`9o6=44oef94?=zj=8?6<4<:183!7283;>j6*>47874==O>>1e=;l5179j<1<722c8h7>5;nfg>5<:47?54;294~"6=90:9i5+154905><@?=0b<8m:058m1>=831b?i4?::k27c<722eoh7>5;|`766<62:0;6=u+142950`<,8>=69>7;I44?k71j3;37d6;:188m6b=831dhi4?::a040=93>1<7>t$073>43c3-;?:7:?8:J53>h6>k0:56g;8;29?l5c2900e<=i:188kab=831vn9<=:080>5<7s-;><7?:f:&203<3811C::5a17`95d=n0=0;66g4;390?6=8r.:9=4>5e9'510=<920D;94n04a>4d>o6;o0;66akd;29?xd3:80:6>4?:1y'506=95<>{e<881=7:50;2x 43728?o7)?;6;63<>N1?2d::o4>d:k75<4<4290;w)?:0;36b>"651821a=#9=<18=64H758j40e28l0e9650;9j7a<722c:?k4?::mg`?6=3th?=k4>:283>5}#9<:1=8h4$065>16?3A<<7c?9b;03?l>32900e>j50;9l`a<722wi8=k51;694?6|,8?;6<;k;%372?2702B=;6`>6c815>o303:17d=k:188m45a2900cij50;9~f17b28086=4?{%364?72n2.:8;4;099K22=i9?h1>?5f8583>>o4l3:17bjk:188yg27k3;187>50z&215<6=m1/=98541:8L313g83>>icl3:17pl;1e82>6<729q/=8>514d8 4212=:37E88;o35f?433`2?6=44i2f94?=hlm0;66sm41c95?2=83:p(<;?:07g?!73>3>;46F97:l22g<5=2c?47>5;h1g>5<j6*>47874==O>>1e=;l5279j<1<722c8h7>5;nfg>5<;57?54;294~"6=90:9i5+154905><@?=0b<8m:358m1>=831b?i4?::k27c<722eoh7>5;|`7<`<62?0;6=u+6`86e>"6i6<:n;%5g>6=O>>1e=;l5299j00<722c8j7>5;h63>5<4<1290;w)8n:4c8 4212=:37)?:0;36g>"65<>o6;?0;66g3<729q/:l4:a:&203<3811/=8>514a8 42e28>j7)9k:29K22=i9?h1>l5f4483>>o4n3:17d:?:188m4512900e>l50;9l56>=831vn96m:085>5<7s-16?3-;><7?:c:&20g<6

5;n30:783>5}#>h0>m6*>47874==#9<:1=8m4$06a>42f3-=o6>5G669m53d=:j1b884?::k0b?6=3`>;6=44i015>5<o6*>4c820d=#?m087E88;o35f?4c3`>>6=44i2d94?=n<90;66g>3783>>o4j3:17b?<8;29?xd3010:6;4?:1y'2d<2i2.:8;4;099'506=9650;9~f1>0280=6=4?{%4b>0g<,8>=69>7;%364?72k2.:8o4>4`9'3a<43A<<7c?9b;0e?l222900e>h50;9j05<722c:?;4?::k0f?6=3f;847>5;|`7<3<62?0;6=u+6`86e>"6i6<:n;%5g>6=O>>1e=;l5319j00<722c8j7>5;h63>5<4<1290;w)8n:4c8 4212=:37)?:0;36g>"6;h66>5<>o6;?0;66g3<729q/:l4:a:&203<3811/=8>514a8 42e28>j7)9k:29K22=i9?h1??5f4483>>o4n3:17d:?:188m4512900e>l50;9l56>=831vn99?:085>5<7s-16?3-;><7?:c:&20g<6

5;n30:783>5}#>h0>m6*>47874==#9<:1=8m4$06a>42f3-=o6>5G669m53d=;=1b884?::k0b?6=3`>;6=44i015>5<o6*>4c820d=#?m087E88;o35f?523`>>6=44i2d94?=n<90;66g>3783>>o4j3:17b?<8;29?xd3>m0:6;4?:1y'2d<2i2.:8;4;099'506=9650;9~f10d280=6=4?{%4b>0g<,8>=69>7;%364?72k2.:8o4>4`9'3a<43A<<7c?9b;14?l222900e>h50;9j05<722c:?;4?::k0f?6=3f;847>5;|`72g<62?0;6=u+6`86e>"6i6<:n;%5g>6=O>>1e=;l5399j00<722c8j7>5;h63>5<4<1290;w)8n:4c8 4212=:37)?:0;36g>"65<>o6;?0;66g3<729q/:l4:a:&203<3811/=8>514a8 42e28>j7)9k:29K22=i9?h1?l5f4483>>o4n3:17d:?:188m4512900e>l50;9l56>=831vn987:085>5<7s-16?3-;><7?:c:&20g<6

5;n30:583>5}#9<:1=8j4$065>6373A<<7c?9b;1`?l2?2900e>j50;9j56`=831dhi4?::a7d4=93>1<7>t$073>43c3-;?:7=:0:J53>h6>k08h6g;8;29?l5c2900e<=i:188kab=831vn>ol:080>5<7s-;><76n;%4b>4733-;?:7=:0:J53>h6>k08i6g83;29?l132900c<<7:188yg5f93;187>50z&215<6=m1/=9853428L31h4i5:94?=n;m0;66g>3g83>>icl3:17pl1<729q/=8>514f8 4212:?;7E88;o35f?273`>36=44i2f94?=n9:l1<75`de83>>{e;0l1=7:50;2x 43728?o7)?;6;164>N1?2d::o4;1:k75<4<3290;w)?:0;36`>"65;nfg>5<"64?::k40?6=3f;947>5;|`0=a<62=0;6=u+142950b<,8>=6>;?;I44?k71j3>?7d:7:188m6b=831b=>h50;9l`a<722wi?l951;194?6|,8?;65o4$7c9542<,8>=6>;?;I44?k71j3>>7d9<:188m22=831d=?650;9~f6?d280?6=4?{%364?72l2.:8;4<519K22=i9?h18;5f4983>>o4l3:17d?>o0<3:17b?=8;29?xd41k0:694?:1y'506=95<0(<:9:273?M003g;=n7:6;h50>5<>1<75`13:94?=zj:3j6<4;:183!7283;>h6*>478015=O>>1e=;l54`9j0=<722c8h7>5;h30b?6=3fno6=44}c1b0?7=;3:1518;e>"1i3;:86*>478015=O>>1e=;l54c9j36<722c<87>5;n31:583>5}#9<:1=8j4$065>6373A<<7c?9b;6`?l2?2900e>j50;9j56`=831dhi4?::a7d5=9391<7>t$073>=g<,?k1=<:4$065>6373A<<7c?9b;6g?l142900e::50;9l57>=831vn>9l:087>5<7s-;><7?:d:&203<4=91C::5a17`90`=n<10;66g50z&215<6=m1/=9853428L313g83>>icl3:17pl<7`82>1<729q/=8>514f8 4212:?;7E88;o35f?373`>36=44i2f94?=n9:l1<75`de83>>{e;>31=7:50;2x 43728?o7)?;6;164>N1?2d::o4:1:k75<4<3290;w)?:0;36`>"65;nfg>5<=831b?i4?::k27c<722eoh7>5;|`030<62=0;6=u+142950b<,8>=6>;?;I44?k71j3??7d:7:188m6b=831b=>h50;9l`a<722wi?:=51;694?6|,8?;6<;k;%372?5282B=;6`>6c861>o303:17d=k:188m45a2900cij50;9~f60c28086=4?{%364?72n2.:8;4<519K22=i9?h19;5f8583>>o4l3:17bjk:188yg51k3;1?7>50z&215<6=o1/=9853428L315<39><6F97:l22g<212c387>5;h1g>5<4<3290;w)?:0;36`>"65;nfg>5<:583>5}#9<:1=8j4$065>6373A<<7c?9b;7`?l2?2900e>j50;9j56`=831dhi4?::a73?=9391<7>t$073>43a3-;?:7=:0:J53>h6>k0>h6g74;29?l5c2900cij50;9~f631280?6=4?{%364?72l2.:8;4<519K22=i9?h19h5f4983>>o4l3:17d?5g9'510=;<:0D;94n04a>0`1<75f3e83>>icl3:17pl<5482>1<729q/=8>514f8 4212:?;7E88;o35f?073`>36=44i2f94?=n9:l1<75`de83>>{e;?=1=7=50;2x 43728?m7)?;6;164>N1?2d::o491:k;0?6=3`9o6=44oef94?=zj:??6<4;:183!7283;>h6*>478015=O>>1e=;l5639j0=<722c8h7>5;h30b?6=3fno6=44}c152?7=;3:151821c=#9=<1?8>4H758j40e2?90e5:50;9j7a<722eoh7>5;|`016<62=0;6=u+142950b<,8>=6>;?;I44?k71j3h50;9l`a<722wi?;;51;194?6|,8?;6<;i;%372?5282B=;6`>6c851>o?<3:17d=k:188kab=831vn>;=:087>5<7s-;><7?:d:&203<4=91C::5a17`923=n<10;66g50z&215<6=o1/=9853428L315<39><6F97:l22g<112c?47>5;h1g>5<39><6F97:l22g<1i2c5;h57>5<51821a=#9=<1?8>4H758j40e2?h0e9650;9j7a<722c:?k4?::mg`?6=3th85;4>:283>5}#9<:14l5+6`8251=#9=<1?8>4H758j40e2?i0e:=50;9j31<722e:>54?::a7=d=93>1<7>t$073>43c3-;?:7=:0:J53>h6>k0=h6g;8;29?l5c2900e<=i:188kab=831vn>7::080>5<7s-;><76n;%4b>4733-;?:7=:0:J53>h6>k0=i6g83;29?l132900c<<7:188yg5?i3;187>50z&215<6=m1/=9853428L313g83>>icl3:17pl<9582>6<729q/=8>58`9'2d<69=1/=9853428L314i6194?=n?=0;66a>2983>>{e;131=7:50;2x 43728?o7)?;6;164>N1?2d::o481:k75<4<4290;w)?:0;:b?!0f28;?7)?;6;164>N1?2d::o482:k47?6=3`=?6=44o00;>5<=831b?i4?::k27c<722eoh7>5;|`0=7<62:0;6=u+1429h0:=95+1549706<@?=0b<8m:668m25=831b;94?::m26=<722wi?5951;694?6|,8?;6<;k;%372?5282B=;6`>6c841>o303:17d=k:188m45a2900cij50;9~f6?628086=4?{%364?>f3-6c842>o0;3:17d9;:188k44?2900qo=76;390?6=8r.:9=4>5e9'510=;<:0D;94n04a>21>o6;o0;66akd;29?xd4190:6>4?:1y'506=0h1/:l4>159'510=;<:0D;94n04a>2>91<75f7583>>i6:10;66sm39795?2=83:p(<;?:07g?!73>39><6F97:l22g<012c?47>5;h1g>5<39><6F97:l22g<0i2c5;h57>5<51821a=#9=<1?8>4H758j40e2>h0e9650;9j7a<722c:?k4?::mg`?6=3th84h4>:283>5}#9<:14l5+6`8251=#9=<1?8>4H758j40e2>i0e:=50;9j31<722e:>54?::a7a1=93<1<7>t$7c913=#9=<1?8>4$073>43d3-;?n7=>;%5g>6=O>>1e=;l57e9j00<722c8j7>5;h63>5<4<1290;w)8n:448 4212:?;7)?:0;36g>"63:17d=m:188k45?2900qo=k5;392?6=8r.=m7;9;%372?5282.:9=4>5b9'51d=;81/;i4<;I44?k71j3=m7d:::188m6`=831b8=4?::k273<722c8n7>5;n30:783>5}#>h0>:6*>478015=#9<:1=8m4$06a>67<,>n1?6F97:l22g5;h1e>5<3983>>{e;m91=7850;2x 3g==?1/=9853428 43728?h7)?;b;12?!1c2:1C::5a17`9<4=n<<0;66g650;9~f6b5280=6=4?{%4b>00<,8>=6>;?;%364?72k2.:8o4<1:&4`?5<@?=0b<8m:908m13=831b?k4?::k74?6=3`;8:7>5;h1a>5<3:139><6*>51821f=#9=h1?<5+7e80?M003g;=n76<;h66>5<>o6;?0;66g3<729q/:l4:6:&203<4=91/=8>514a8 42e2:;0(:j53:J53>h6>k0386g;5;29?l5a2900e9>50;9j560=831b?o4?::m27=<722wi?nh51;494?6|,?k19;5+1549706<,8?;6<;l;%37f?563-=o6>5G669m53d=0<1b884?::k0b?6=3`>;6=44i015>5<o6*>4c805>"0l390D;94n04a>=0>o383:17d?<6;29?l5e2900c<=7:188yg47k3;187>50z&215<6=m1/=98519a8L313g83>>icl3:17pl=1482>1<729q/=8>514f8 421282h7E88;o35f?>?3`>36=44i2f94?=n9:l1<75`de83>>{e:8l1=7=50;2x 43721k0(;o51068 421282h7E88;o35f?>>3`=86=44i6694?=h9;21<75rb337>4<3290;w)?:0;36`>"65;nfg>5<=831b?i4?::k27c<722eoh7>5;|`157<62=0;6=u+142950b<,8>=6<6l;I44?k71j32h7d:7:188m6b=831b=>h50;9l`a<722wi>6c8;`>o303:17d=k:188m45a2900cij50;9~f77e28086=4?{%364?>f3-6c8;a>o0;3:17d9;:188k44?2900qo<>0;390?6=8r.:9=4>5e9'510=91i0D;94n04a>=`>o6;o0;66akd;29?xd59h0:6>4?:1y'506=0h1/:l4>159'510=91i0D;94n04a><691<75f7583>>i6:10;66sm21d95?2=83:p(<;?:07g?!73>3;3o6F97:l22g<>92c?47>5;h1g>5<3;3o6F97:l22g<>:2c5;h57>5<51821a=#9=<1=5m4H758j40e2090e9650;9j7a<722c:?k4?::mg`?6=3th9=54>:283>5}#9<:14l5+6`8251=#9=<1=5m4H758j40e20>0e:=50;9j31<722e:>54?::a65b=93>1<7>t$073>43c3-;?:7?7c:J53>h6>k0296g;8;29?l5c2900e<=i:188kab=831vn??8:080>5<7s-;><76n;%4b>4733-;?:7?7c:J53>h6>k02:6g83;29?l132900c<<7:188yg47j3;187>50z&215<6=m1/=98519a8L313g83>>icl3:17pl=1782>6<729q/=8>58`9'2d<69=1/=98519a8L312983>>{e9o>1=7:50;2x 43728?o7)?;6;3;g>N1?2d::o469:k75<4<3290;w)?:0;36`>"65;nfg>5<=831b?i4?::k27c<722eoh7>5;|`2bg<62=0;6=u+142950b<,8>=6<6l;I44?k71j33h7d:7:188m6b=831b=>h50;9l`a<722wi=ko51;694?6|,8?;6<;k;%372?7?k2B=;6`>6c8:`>o303:17d=k:188m45a2900cij50;9~f4`>280?6=4?{%364?72l2.:8;4>8b9K22=i9?h15h5f4983>>o4l3:17d?5e9'510=91i0D;94n04a><`>o6;o0;66akd;29?xd6n>0:694?:1y'506=95<3;3o6F97:l22g5;h1g>5<3;3o6F97:l22g5;h57>5<51821a=#9=<1=5m4H758j40e2h90e9650;9j7a<722c:?k4?::mg`?6=3th9<<4>:283>5}#9<:14l5+6`8251=#9=<1=5m4H758j40e2h>0e:=50;9j31<722e:>54?::a5c5=93>1<7>t$073>43c3-;?:7?7c:J53>h6>k0j96g;8;29?l5c2900e<=i:188kab=831vn5<7s-;><76n;%4b>4733-;?:7?7c:J53>h6>k0j:6g83;29?l132900c<<7:188yg42m3;187>50z&215<6=m1/=98519a8L313g83>>icl3:17pl=6682>1<729q/=8>514f8 421282h7E88;o35f?g?3`>36=44i2f94?=n9:l1<75`de83>>{e:>;1=7=50;2x 43721k0(;o51068 421282h7E88;o35f?g>3`=86=44i6694?=h9;21<75rb345>4<3290;w)?:0;36`>"65;nfg>5<"64?::k40?6=3f;947>5;|`120<62=0;6=u+142950b<,8>=6<6l;I44?k71j3kh7d:7:188m6b=831b=>h50;9l`a<722wi>;:51;694?6|,8?;6<;k;%372?7?k2B=;6`>6c8b`>o303:17d=k:188m45a2900cij50;9~f70b28086=4?{%364?>f3-6c8ba>o0;3:17d9;:188k44?2900qo<93;390?6=8r.:9=4>5e9'510=91i0D;94n04a>d`>o6;o0;66akd;29?xd5>;0:694?:1y'506=95<3;3o6F97:l22g5;h1g>5<h6*>4782>1e=;l5b39j0=<722c8h7>5;h30b?6=3fno6=44}c05e?7=;3:1518;e>"1i3;:86*>4782>1e=;l5b29j36<722c<87>5;n31:583>5}#9<:1=8j4$065>4>d3A<<7c?9b;`7?l2?2900e>j50;9j56`=831dhi4?::a63?=9391<7>t$073>=g<,?k1=<:4$065>4>d3A<<7c?9b;`6?l142900e::50;9l57>=831vn?;k:087>5<7s-;><7?:d:&203<60j1C::5a17`9f3=n<10;66g50z&2154:&203<60j1C::5a17`9f2=n?:0;66g84;29?j7503:17pl=4882>1<729q/=8>514f8 421282h7E88;o35f?d?3`>36=44i2f94?=n9:l1<75`de83>>{e:<81=7:50;2x 43728?o7)?;6;3;g>N1?2d::o4m9:k75<4<4290;w)?:0;:b?!0f28;?7)?;6;3;g>N1?2d::o4ma:k47?6=3`=?6=44o00;>5<=7?54;294~"6=90:9i5+15495=e<@?=0b<8m:c`8m1>=831b?i4?::k27c<722eoh7>5;|`115<62=0;6=u+142950b<,8>=6<6l;I44?k71j3hh7d:7:188m6b=831b=>h50;9l`a<722wi>9h51;694?6|,8?;6<;k;%372?7?k2B=;6`>6c8a`>o303:17d=k:188m45a2900cij50;9~f73>28086=4?{%364?>f3-6c8aa>o0;3:17d9;:188k44?2900qo<;e;390?6=8r.:9=4>5e9'510=91i0D;94n04a>g`>o6;o0;66akd;29?xd55<3;3o6F97:l22g5;h1g>5<i6<4;:183!7283;>h6*>4782>1e=;l5c39j0=<722c8h7>5;h30b?6=3fno6=44}c061?7=;3:1518;e>"1i3;:86*>4782>1e=;l5c29j36<722c<87>5;n31:583>5}#9<:1=8j4$065>4>d3A<<7c?9b;a7?l2?2900e>j50;9j56`=831dhi4?::a602=9391<7>t$073>=g<,?k1=<:4$065>4>d3A<<7c?9b;a6?l142900e::50;9l57>=831vn?:7:087>5<7s-;><7?:d:&203<60j1C::5a17`9g3=n<10;66g50z&2154:&203<60j1C::5a17`9g2=n?:0;66g84;29?j7503:17pl>e482>1<729q/=8>514f8 421282h7E88;o35f?e?3`>36=44i2f94?=n9:l1<75`de83>>{e9l>1=7:50;2x 43728?o7)?;6;3;g>N1?2d::o4l9:k75<4<3290;w)?:0;36`>"65;nfg>5<7?54;294~"6=90:9i5+15495=e<@?=0b<8m:b`8m1>=831b?i4?::k27c<722eoh7>5;|`2a5<62=0;6=u+142950b<,8>=6<6l;I44?k71j3ih7d:7:188m6b=831b=>h50;9l`a<722wi=ik51;694?6|,8?;6<;k;%372?7?k2B=;6`>6c8``>o303:17d=k:188m45a2900cij50;9~f4bc280?6=4?{%364?72l2.:8;4>8b9K22=i9?h1oh5f4983>>o4l3:17d?5e9'510=91i0D;94n04a>f`>o6;o0;66akd;29?xd5;m0:694?:1y'506=95<0(<:9:0:`?M003g;=n7j>;h50>5<>1<75`13:94?=zj;9h6<4;:183!7283;>h6*>4782>1e=;l5d39j0=<722c8h7>5;h30b?6=3fno6=44}c072?7=;3:1518;e>"1i3;:86*>4782>1e=;l5d29j36<722c<87>5;n31:583>5}#9<:1=8j4$065>4>d3A<<7c?9b;f7?l2?2900e>j50;9j56`=831dhi4?::a613=9391<7>t$073>=g<,?k1=<:4$065>4>d3A<<7c?9b;f6?l142900e::50;9l57>=831vn?=n:087>5<7s-;><7?:d:&203<60j1C::5a17`9`3=n<10;66g50z&2154:&203<60j1C::5a17`9`2=n?:0;66g84;29?j7503:17pl=3882>1<729q/=8>514f8 421282h7E88;o35f?b?3`>36=44i2f94?=n9:l1<75`de83>>{e:=91=7=50;2x 43721k0(;o51068 421282h7E88;o35f?b>3`=86=44i6694?=h9;21<75rb31;>4<3290;w)?:0;36`>"65;nfg>5<7?53;294~"6=903m6*9a;320>"64?::k40?6=3f;947>5;|`172<62=0;6=u+142950b<,8>=6<6l;I44?k71j3nh7d:7:188m6b=831b=>h50;9l`a<722wi>9?51;194?6|,8?;65o4$7c9542<,8>=6<6l;I44?k71j3no7d9<:188m22=831d=?650;9~f751280?6=4?{%364?72l2.:8;4>8b9K22=i9?h1hh5f4983>>o4l3:17d?8b9K22=i9?h1hk5f7283>>o0<3:17b?=8;29?xd5;<0:694?:1y'506=95<0(<:9:0:`?M003g;=n7k>;h50>5<>1<75`13:94?=zj;9?6<4;:183!7283;>h6*>4782>1e=;l5e39j0=<722c8h7>5;h30b?6=3fno6=44}c00a?7=;3:1518;e>"1i3;:86*>4782>1e=;l5e29j36<722c<87>5;n3144>:583>5}#9<:1=8j4$065>4>d3A<<7c?9b;g7?l2?2900e>j50;9j56`=831dhi4?::a665=9391<7>t$073>=g<,?k1=<:4$065>4>d3A<<7c?9b;g6?l142900e::50;9l57>=831vn?<7:087>5<7s-;><7?:d:&203<60j1C::5a17`9a3=n<10;66g50z&2154:&203<60j1C::5a17`9a2=n?:0;66g84;29?j7503:17pl=2682>1<729q/=8>514f8 421282h7E88;o35f?c?3`>36=44i2f94?=n9:l1<75`de83>>{e::;1=7=50;2x 43721k0(;o51068 421282h7E88;o35f?c>3`=86=44i6694?=h9;21<75rb305>4<3290;w)?:0;36`>"65;nfg>5<"64?::k40?6=3f;947>5;|`160<62=0;6=u+142950b<,8>=6<6l;I44?k71j3oh7d:7:188m6b=831b=>h50;9l`a<722wi>?h51;194?6|,8?;65o4$7c9542<,8>=6<6l;I44?k71j3oo7d9<:188m22=831d=?650;9~f743280?6=4?{%364?72l2.:8;4>8b9K22=i9?h1ih5f4983>>o4l3:17d?8b9K22=i9?h1ik5f7283>>o0<3:17b?=8;29?xd5::0:694?:1y'506=95<0(<:9:0:`?M003g;=n7h>;h50>5<>1<75`13:94?=zj;896<4;:183!7283;>h6*>4782>1e=;l5f39j0=<722c8h7>5;h30b?6=3fno6=44}c01g?7=;3:1518;e>"1i3;:86*>4782>1e=;l5f29j36<722c<87>5;n31<4>:583>5}#9<:1=8j4$065>4>d3A<<7c?9b;d7?l2?2900e>j50;9j56`=831dhi4?::a67d=9391<7>t$073>=g<,?k1=<:4$065>4>d3A<<7c?9b;d6?l142900e::50;9l57>=831vn?5<7s-;><7?:d:&203<60j1C::5a17`9b3=n<10;66g50z&2154:&203<60j1C::5a17`9b2=n?:0;66g84;29?j7503:17pl>d`82>6<729q/=8>514d8 421282h7E88;o35f?`?3`2?6=44i2f94?=hlm0;66sm1e;95?5=83:p(<;?:07e?!73>3;3o6F97:l22g5;h1g>5<4<3290;w)?:0;36`>"65;nfg>5<:583>5}#9<:1=8j4$065>4>d3A<<7c?9b;d`?l2?2900e>j50;9j56`=831dhi4?::a5a1=9391<7>t$073>43a3-;?:7?7c:J53>h6>k0mh6g74;29?l5c2900cij50;9~f4e3280?6=4?{%364?72l2.:8;4>8b9K22=i9?h1jh5f4983>>o4l3:17d?5g9'510=91i0D;94n04a>c`1<75f3e83>>icl3:17pl>c282>1<729q/=8>514f8 421282h7E88;o35f?7782c?47>5;h1g>5<6<4<:183!7283;>j6*>4782>1e=;l51138m=2=831b?i4?::mg`?6=3th:o?4>:583>5}#9<:1=8j4$065>4>d3A<<7c?9b;336>o303:17d=k:188m45a2900cij50;9~f4b328086=4?{%364?72n2.:8;4>8b9K22=i9?h1===4i9694?=n;m0;66akd;29?xd6k80:694?:1y'506=95<4<4290;w)?:0;36b>"65;|`2g5<62=0;6=u+142950b<,8>=6<6l;I44?k71j3;;:6g;8;29?l5c2900e<=i:188kab=831vn5<7s-;><7?:f:&203<60j1C::5a17`95511<75f3e83>>icl3:17pl>bg82>1<729q/=8>514f8 421282h7E88;o35f?7702c?47>5;h1g>5<j6*>4782>1e=;l511;8m=2=831b?i4?:I5e?>icl3:17pl>bd82>1<729q/=8>514f8 421282h7E88;o35f?77i2c?47>5;h1g>55<7s-;><7?:f:&203<60j1C::5a17`955d1<75f3e83>>icl3:17pl>bb82>6<729q/=8>514d8 421282h7E88;o35f?77k2c387>5;h1g>5<4<3290;w)?:0;36`>"6:283>5}#9<:1=8h4$065>4>d3A<<7c?9b;33a>o?<3:17d=k:188kab=831vn5<7s-;><7?:d:&203<60j1C::5a17`955`>o6;o0;66akd;29?xd6jh0:6>4?:1y'506=90:k;0?6=3`9o6=44oef94?=zj8k<6<4;:183!7283;>h6*>4782>1e=;l51038m1>=831b?i4?::k27c<722eoh7>5;|`2f<<62:0;6=u+142950`<,8>=6<6l;I44?k71j3;:>6g74;29?l5c2900cij50;9~f4g1280?6=4?{%364?72l2.:8;4>8b9K22=i9?h1=<=4i5:94?=n;m0;66g>3g83>>icl3:17pl>b982>6<729q/=8>514d8 421282h7E88;o35f?76<2c387>5;h1g>5<4<3290;w)?:0;36`>"6:283>5}#9<:1=8h4$065>4>d3A<<7c?9b;322>o?<3:17d=k:188kab=831vn5<7s-;><7?:d:&203<60j1C::5a17`9541>o6;o0;66akd;29?xd6j?0:6>4?:1y'506=98:k;0?6=3`9o6=44oef94?=zj8k86<4;:183!7283;>h6*>4782>1e=;l510;8m1>=831b?i4?::k27c<722eoh7>5;|`2f0<62:0;6=u+142950`<,8>=6<6l;I44?k71j3;:m6g74;29?l5c2900cij50;9~f4g5280?6=4?{%364?72l2.:8;4>8b9K22=i9?h1=3g83>>icl3:17pl>b582>6<729q/=8>514d8 421282h7E88;o35f?76k2c387>5;h1g>58b9K22=i9?h1=5;|`1e4<62?0;6=u+6`862>"6i6>?4$6f97>N1?2d::o4>1d9j00<722c8j7>5;h63>5<4<1290;w)8n:448 421282h7)?:0;36g>"65<>o6;?0;66g3<729q/:l4:6:&203<60j1/=8>514a8 42e2:;0(:j53:J53>h6>k0:>=5f4483>>o4n3:17d:?:188m4512900e>l50;9l56>=831vn?h?:085>5<7s-4>d3-;><7?:c:&20g<492.5;n30:783>5}#>h0>:6*>478267<,>n1?6F97:l22g<6:;1b884?::k0b?6=3`>;6=44i015>5<o6*>4c805>"0l390D;94n04a>4443`>>6=44i2d94?=n<90;66g>3783>>o4j3:17b?<8;29?xd5mm0:6;4?:1y'2d<2>2.:8;4>8b9'506=96c8261=n<<0;66g650;9~f7cd280=6=4?{%4b>00<,8>=6<6l;%364?72k2.:8o4<1:&4`?5<@?=0b<8m:006?l222900e>h50;9j05<722c:?;4?::k0f?6=3f;847>5;|`1ag<62?0;6=u+6`862>"6i6>?4$6f97>N1?2d::o4>279j00<722c8j7>5;h63>5<4<1290;w)8n:448 421282h7)?:0;36g>"65<>o6;?0;66g3<729q/:l4:6:&203<60j1/=8>514a8 42e2:;0(:j53:J53>h6>k0:>55f4483>>o4n3:17d:?:188m4512900e>l50;9l56>=831vn?l7:085>5<7s-4>d3-;><7?:c:&20g<492.5;n30:783>5}#>h0>:6*>478267<,>n1?6F97:l22g<6:h1b884?::k0b?6=3`>;6=44i015>5<o6*>4c805>"0l390D;94n04a>44e3`>>6=44i2d94?=n<90;66g>3783>>o4j3:17b?<8;29?xd5j<0:6;4?:1y'2d<2>2.:8;4>8b9'506=96c826f=n<<0;66g650;9~f7d3280=6=4?{%4b>00<,8>=6<6l;%364?72k2.:8o4<1:&4`?5<@?=0b<8m:00g?l222900e>h50;9j05<722c:?;4?::k0f?6=3f;847>5;|`1f6<62?0;6=u+6`862>"6i6>?4$6f97>N1?2d::o4>2d9j00<722c8j7>5;h63>5<4<1290;w)8n:448 421282h7)?:0;36g>"65<>o6;?0;66g3<729q/:l4:6:&203<60j1/=8>514a8 42e2:;0(:j53:J53>h6>k0:?=5f4483>>o4n3:17d:?:188m4512900e>l50;9l56>=831vn?oi:085>5<7s-4>d3-;><7?:c:&20g<492.5;n30:783>5}#>h0>:6*>478267<,>n1?6F97:l22g<6;;1b884?::k0b?6=3`>;6=44i015>5<o6*>4c805>"0l390D;94n04a>4543`>>6=44i2d94?=n<90;66g>3783>>o4j3:17b?<8;29?xd4m00:694?:1y'506=95<4<2290;w)?:0;:b?!0f28;h7)?;6;164>N1?2d::o4>349j36<722c<87>5;h56>5<<1<75`13:94?=zj:oj6<4;:183!7283;>h6*>478015=O>>1e=;l51248m1>=831b?i4?::k27c<722eoh7>5;|`0b5<62<0;6=u+1429h0:=n5+1549706<@?=0b<8m:014?l142900e::50;9j30<722c<:7>5;n31:583>5}#9<:1=8j4$065>6373A<<7c?9b;30<>o303:17d=k:188m45a2900cij50;9~f6`6280>6=4?{%364?>f3-6c827<=n?:0;66g84;29?l122900e:850;9l57>=831vn>kl:087>5<7s-;><7?:d:&203<4=91C::5a17`956g>o6;o0;66akd;29?xd5i00:684?:1y'506=0h1/:l4>1b9'510=91i0D;94n04a>45e3`=86=44i6694?=n?<0;66g86;29?j7503:17pl=a582>1<729q/=8>514f8 421282h7E88;o35f?74k2c?47>5;h1g>5<3;3o6F97:l22g<6;m1b;>4?::k40?6=3`=>6=44i6494?=h9;21<75rb3c6>4<3290;w)?:0;36`>"6:483>5}#9<:14l5+6`825f=#9=<1=5m4H758j40e289m7d9<:188m22=831b;84?::k42?6=3f;947>5;|`1e3<62=0;6=u+142950b<,8>=6<6l;I44?k71j3;?<6g;8;29?l5c2900e<=i:188kab=831vn?ol:086>5<7s-;><76n;%4b>47d3-;?:7?7c:J53>h6>k0:8<5f7283>>o0<3:17d9::188m20=831d=?650;9~f7g0280?6=4?{%364?72l2.:8;4>8b9K22=i9?h1=9<4i5:94?=n;m0;66g>3g83>>icl3:17pl=ae82>0<729q/=8>58`9'2d<69j1/=98519a8L315<>1<75f7483>>o0>3:17b?=8;29?xd5i10:694?:1y'506=95<4<3290;w)?:0;:b?!0f28;m7)?;6;63<>N1?2d::o4>449j36<722c<87>5;h56>5<518;e>"1i3;;56*>47874==O>>1e=;l51548m25=831b;94?::k41?6=3`==6=44o00;>5<>n7?55;294~"6=903m6*9a;336>"65;h55>5<518;e>"1i3o;7)?;6;63<>N1?2d::o4>499j36<722c<87>5;h56>5<518;e>"1i3;;n6*>47874==O>>1e=;l515;8m25=831b;94?::k41?6=3f;947>5;|`007<62:0;6=u+1429h0:=h9;21<75rb3g5>4<4290;w)?:0;:b?!0f28887)?;6;3;g>N1?2d::o4>4c9j36<722c<87>5;n31:483>5}#9<:14l5+6`825g=#9=<1?8>4H758j40e28>h7d9<:188m22=831b;84?::k42?6=@<907b?=8;29?xd6mj0:684?:1y'506=0h1/:l4>1b9'510=91i0D;94n04a>42c3`=86=44i6694?=n?<0;66g86;29?j7503:17pl;9482>0<729q/=8>58`9'2d<69j1/=98541:8L315<>1<75f7483>>o0>3:17b?=8;29?xd31?0:684?:1y'506=0h1/:l4>1b9'510=<920D;94n04a>42a3`=86=44i6694?=n?<0;66g86;29?j7503:17pl;9582>1<729q/=8>58`9'2d<68k1/=98541:8L315<>1<75f7483>>i6:10;66sm48:95?3=83:p(<;?:9c8 3g=no1/=98541:8L31;h50>5<>1<75f7483>>o0>3:17b?=8;29?xd6n80:684?:1y'506=0h1/:l4jc:&203<60j1C::5a17`950491<75f7583>>o0=3:17d99:188k44?2900qo?i0;391?6=8r.:9=47a:&5e?76j2.:8;4>8b9K22=i9?h1=8=4i6194?=n?=0;66g85;29?l112900c<<7:188yg7?13;197>50z&215c:&203<6;>1C::5a17`950291<75f7583>>o0=3:17d99:188k44?2900qo?72;390?6=8r.:9=47a:&5e?77;2.:8;4>369K22=i9?h1=8;4i6194?=n?=0;66g85;29?j7503:17pl>8482>1<729q/=8>58`9'2d<68k1/=9851258L315<>1<75f7483>>i6:10;66sm3g195?2=83:p(<;?:9c8 3g=9830(<:9:014?M003g;=n7?:7:k47?6=3`=?6=44i6794?=h9;21<75rb2`e>4<2290;w)?:0;:b?!0f2o30(<:9:273?M003g;=n7?:8:k47?6=3`=?6=44i6794?=n??0;66a>2983>>{e;j:1=7:50;2x 43721k0(;o51118 4212:?;7E88;o35f?7212c5;h57>5<?1<75`13:94?=zj:i:6<4::183!72832j7)8n:021?!73>39><6F97:l22g<6=h1b;>4?::k40?6=3`=>6=44i6494?=h9;21<75rb0;7>4<2290;w)?:0;:b?!0f2lh0(<:9:0:`?M003g;=n7?:b:k47?6=3`=?6=44i6794?=n??0;66a>2983>>{e9091=7:50;2x 43721k0(;o5e49'510=91i0D;94n04a>43d3`=86=44i6694?=n?<0;66a>2983>>{e;>;1=7;50;2x 43721k0(;o5ec9'510=;<:0D;94n04a>43c3`=86=44i6694?=n?<0;66g86;29?j7503:17pl>9382>6<729q/=8>58`9'2d<69:1/=98519a8L315<>1<75`13:94?=zj;n=6<4;:183!72832j7)8n:d58 421282h7E88;o35f?72n2c5;h57>5<?1<75`13:94?=zj;n?6<4;:183!72832j7)8n:d58 421282h7E88;o35f?7182c5;h57>5<?1<75`13:94?=zj;n96<4;:183!72832j7)8n:d38 421282h7E88;o35f?7192c5;h57>5<?1<75`13:94?=zj;n;6<4;:183!72832j7)8n:02a?!73>3;3o6F97:l22g<6>;1b;>4?::k40?6=3`=>6=44o00;>5<"65;n31:583>5}#9<:14l5+6`8f5>"60e:=50;9j31<722c<97>5;n31:583>5}#9<:14l5+6`8f5>"65;n31:583>5}#9<:14l5+6`825==#9=<1=5m4H758j40e28<=7d9<:188m22=831b;84?::m26=<722wi>n851;694?6|,8?;65o4$7c954><,8>=6<6l;I44?k71j3;=;6g83;29?l132900e:;50;9l57>=831vn?m;:087>5<7s-;><76n;%4b>47>3-;?:7?7c:J53>h6>k0::55f7283>>o0<3:17d9::188k44?2900qoh6>k0::45f7283>>o0<3:17d9::188k44?2900qo?65;391?6=8r.:9=47a:&5e?`?3-;?:7?7c:J53>h6>k0::l5f7283>>o0<3:17d9::188m20=831d=?650;9~f7>3280?6=4?{%364?>f3-4$065>4>d3A<<7c?9b;35f>o0;3:17d9;:188m23=831d=?650;9~f4??280?6=4?{%364?>f3-4>d3A<<7c?9b;35g>o0;3:17d9;:188m23=831d=?650;9~f7>628086=4?{%364?>f3-6c822a=n?:0;66g84;29?j7503:17pl=8182>0<729q/=8>58`9'2d<6:81/=98519a8L315<>1<75f7483>>o0>3:17b?=8;29?xd61>0:6>4?:1y'506=0h1/:l4>129'510=91i0D;94n04a>40a3`=86=44i6694?=h9;21<75rb21:>4<2290;w)?:0;:b?!0f28;>7)?;6;3;g>N1?2d::o4>719j36<722c<87>5;h56>5<<1<7F:3:9l57>=831vn>>i:086>5<7s-;><76n;%4b>4723-;?:7?7c:J53>h6>k0:;<5f7283>>o0<3:17d9::188m20=831d=?650;9~f6d>28086=4?{%364?>f3-8;%372?5282B=;6`>6c8237=n?:0;66g84;29?j7503:17pl0<729q/=8>58`9'2d<6891/=9853428L315<>1<75f7483>>o0>3:17b?=8;29?xd4?90:694?:1y'506=0h1/:l4j5:&203<4=91C::5a17`952291<75f7583>>o0=3:17b?=8;29?xd60o0:694?:1y'506=0h1/:l4j5:&203<60j1C::5a17`952391<75f7583>>o0=3:17b?=8;29?xd4>o0:6>4?:1y'506=0h1/:l4>129'510=;<:0D;94n04a>4113`=86=44i6694?=h9;21<75rb2g;>4<3290;w)?:0;:b?!0f28:87)?;6;164>N1?2d::o4>769j36<722c<87>5;h56>550z&2153:&203<60j1C::5a17`952>91<75f7583>>i6:10;66sm41695?3=83:p(<;?:9c8 3g=98?0(<:9:014?M003g;=n7?89:k47?6=3`=?6=44i6794?=n??0;66a>2983>>{e:1o1=7;50;2x 43721k0(;o5ec9'510=91i0D;94n04a>41f3`=86=44i6694?=n?<0;66g86;29L05<3f;947>5;|`1h0n96*>4782>1e=;l516`8m25=831b;94?::k41?6=3f;947>5;|`1=7<62<0;6=u+1429h0:5;n31:483>5}#9<:14l5+6`8240=#9=<1=>94H758j40e28=o7d9<:188m22=831b;84?::k42?6=3f;947>5;|`0b2<62:0;6=u+1429h0:<:5+1549561<@?=0b<8m:05f?l142900e::50;9l57>=831vn>hn:086>5<7s-;><76n;%4b>ac<,8>=6<=8;I44?k71j3;54?::a51<7>t$073>=g<,?k1===4$065>4>d3A<<7c?9b;3;4>o0;3:17d9;:188m23=831d=?650;9~f7`a280>6=4?{%364?>f3-6c82<4=n?:0;66g84;29?l122900e:850;9l57>=831vn>:j:081>5<7s-;><798;%372?74?2.3>796;I44?k71j3;3>6g82;29?jbc2900qo?8e;396?6=8r.:9=487:&203<6;>1/4?489:J53>h6>k0:4>5f7383>>icl3:17pl;0482>7<729q/=8>51378 421289<7)6=:00;?M003g;=n7?74:k46?6=3fno6=44}c636?7=:3:1518260=#9=<1=>94$90957><@?=0b<8m:0:6?l152900cij50;9~f16028096=4?{%364?75=2.:8;4>369'<7<6:11C::5a17`95=081<75`de83>>{e;o>1=7<50;2x 437288>7)?;6;303>"?:3;946F97:l22g<60>1b;?4?::mg`?6=3th?<=4>:383>5}#9<:1=?;4$065>4503-296<<7;I44?k71j3;346g82;29?jbc2900qo8b9K22=i9?h1=574i6194?=n?=0;66g85;29?l112900c<<7:188yg47>3;187>50z&2152:&203<60j1C::5a17`95=g91<75f7583>>o0=3:17b?=8;29?xd59l0:684?:1y'506=0h1/:l4>119'510=91i0D;94n04a>4>e3`=86=44i6694?=n?<0;66g86;29?j7503:17pl=1e82>1<729q/=8>58`9'2d<69;1/=98519a8L315<>1<75f7483>>i6:10;66sm20a95?5=83:p(<;?:9c8 3g=9890(<:9:0:`?M003g;=n7?7d:k47?6=3`=?6=44o00;>5<"65;h55>5<518;e>"1i3o87)?;6;3;g>N1?2d::o4>8g9j36<722c<87>5;h56>5<<1<75`13:94?=zj;:?6<4;:183!72832j7)8n:031?!73>3;3o6F97:l22g<6191b;>4?::k40?6=3`=>6=44o00;>5<"654?::a51<7>t$073>=g<,?k1=?<4$065>4>d3A<<7c?9b;3:6>o0;3:17d9;:188m23=831d=?650;9~f76f280>6=4?{%364?>f3-4>d3A<<7c?9b;3:7>o0;3:17d9;:188m23=831b;;4?::m26=<722wi?il51;794?6|,8?;65o4$7c955g<,8>=6>;?;I44?k71j3;286g83;29?l132900e:;50;9j33<72A?876a>2983>>{e9lk1=7:50;2x 43721k0(;o51308 421282h7E88;o35f?7>=2c5;h57>5<?1<75`13:94?=zj:?j6<4;:183!72832j7)8n:d78 4212:?;7E88;o35f?7>>2c5;h57>5<?1<75`13:94?=zj:?h6<4;:183!72832j7)8n:d78 4212:?;7E88;o35f?7>?2c5;h57>5<?1<75`13:94?=zj:?o6<4;:183!72832j7)8n:d78 4212:?;7E88;o35f?7>02c5;h57>5<?1<75`13:94?=zj:?n6<4;:183!72832j7)8n:d78 4212:?;7E88;o35f?7>12c5;h57>5<?1<75`13:94?=zj:?m6<4;:183!72832j7)8n:d78 4212:?;7E88;o35f?7>i2c5;h57>5<?1<75`13:94?=zj:<;6<4;:183!72832j7)8n:d78 4212:?;7E88;o35f?7>j2c5;h57>5<?1<75`13:94?=zj:<:6<4;:183!72832j7)8n:d78 4212:?;7E88;o35f?7>k2c5;h57>5<?1<75`13:94?=zj:<96<4;:183!72832j7)8n:d78 4212:?;7E88;o35f?7>l2c5;h57>5<?1<75`13:94?=zj:286<4::183!72832j7)8n:002?!73>39><6F97:l22g<61l1b;>4?::k40?6=3`=>6=44i6494?=h9;21<75rb2:1>4<3290;w)?:0;:b?!0f2o?0(<:9:273?M003g;=n7?6f:k47?6=3`=?6=44i6794?=h9;21<75rb2:2>4<3290;w)?:0;:b?!0f28:?7)?;6;164>N1?2d::o4>a19j36<722c<87>5;h56>5<518;e>"1i3l?7)?;6;3;g>N1?2d::o4>a09j36<722c<87>5;h56>5<<1<75`13:94?=zj;:>6<4::183!72832j7)8n:033?!73>3;3o6F97:l22g<6i;1b;>4?::k40?6=3`=>6=44i6494?=h9;21<75rb0a4>4<4290;w)?:0;:b?!0f28;87)?;6;3;g>N1?2d::o4>a29j36<722c<87>5;n31:283>5}#9<:14l5+6`8256=#9=<1=5m4H758j40e28k?7d9<:188m22=831d=?650;9~f4e?28086=4?{%364?>f3-6c82e0=n?:0;66g84;29?j7503:17pl>ac82>6<729q/=8>58`9'2d<69=1/=98519a8L315<>1<75`13:94?=zj8i26<4<:183!72832j7)8n:037?!73>3;3o6F97:l22g<6i>1b;>4?::k40?6=3f;947>5;|`2ef<62:0;6=u+1429h0:=95+15495=e<@?=0b<8m:0c;?l142900e::50;9l57>=831vn5<7s-;><76n;%4b>4733-;?:7?7c:J53>h6>k0:m45f7283>>o0<3:17b?=8;29?xd6im0:6>4?:1y'506=0h1/:l4>159'510=91i0D;94n04a>4gf3`=86=44i6694?=h9;21<75rb0aa>4<4290;w)?:0;:b?!0f28;?7)?;6;3;g>N1?2d::o4>ac9j36<722c<87>5;n31:283>5}#9<:14l5+6`8251=#9=<1=5m4H758j40e28kh7d9<:188m22=831d=?650;9~f644280>6=4?{%364?>f3-?;%372?7?k2B=;6`>6c82ea=n?:0;66g84;29L05<3`=>6=44i6494?=h9;21<75rb3g;>4<2290;w)?:0;:b?!0f2oh0(<:9:0:`?M003g;=n7?ne:k47?6=3`=?6=44i6794?=n??0;6E;<;:m26=<722wi>4?51;794?6|,8?;65o4$7c9577<,8>=6<6l;I44?k71j3;jj6g83;29?l132900e:;50;9j33<722e:>54?::a6<6=93?1<7>t$073>=g<,?k1j55+15495=e<@?=0b<8m:0`3?l142900e::50;9j30<722c<:7>5;n31:483>5}#9<:14l5+6`8264=#9=<1=5m4H758j40e28h:7d9<:188m22=831b;84?::k42?6=3f;947>5;|`14=<62<0;6=u+1429h0m>6*>4782>1e=;l51c08m25=831b;94?::k41?6=3`==6=44o00;>5<"65;h55>5<518;e>"1i3;9=6*>4782>1e=;l51c68m25=831b;94?::k41?6=3`==6=44o00;>5<"654?::a5d`=9391<7>t$073>=g<,?k1=<:4$065>4>d3A<<7c?9b;3a2>o0;3:17d9;:188k44?2900qo=kc;391?6=8r.:9=47a:&5e?cf3-;?:7=:0:J53>h6>k0:n:5f7283>>o0<3:17d9::188m20=831d=?650;9~f6bc28086=4?{%364?>f3-6c82f==n?:0;66g84;29?j7503:17pl<5c82>0<729q/=8>58`9'2d50z&2156c82fd=n?:0;66g84;29L05<3`=>6=44i6494?=h9;21<75rb265>4<2290;w)?:0;:b?!0f2o=0(<:9:0:`?M003g;=n7?mb:k47?6=3`=?6=4G5298m23=831b;;4?::m26=<722wi?9:51;794?6|,8?;65o4$7c9bf=#9=<1=5m4H758j40e28hh7d9<:188m22=831b;84?::k42?6=@<907b?=8;29?xd4<80:684?:1y'506=0h1/:l4i7:&203<60j1C::5a17`95gb91<75f7583>M3432c<97>5;h55>5<518;e>"1i3lh7)?;6;3;g>N1?2d::o4>bd9j36<722c<87>5H418?l122900e:850;9l57>=831vn?hj:086>5<7s-;><76n;%4b>4663-;?:7?7c:J53>h6>k0:nk5f7283>>o0<3:17d9::18K16=<1<75`13:94?=zj:9i6<4::183!72832j7)8n:022?!73>3;3o6F97:l22g<6k91b;>4?::k40?6=3`=>6=44i6494?=h9;21<75rb22b>4<2290;w)?:0;:b?!0f28:o7)?;6;3;g>N1?2d::o4>c09j36<722c<87>5;h56>5<<1<75`13:94?=zj:9o6<4::183!72832j7)8n:gf8 421282h7E88;o35f?7d:2c5;h57>5<?1<7F:3:9j33<722e:>54?::a735=93>1<7>t$073>=g<,?k1i85+1549706<@?=0b<8m:0a0?l142900e::50;9j30<722e:>54?::a5`>=93?1<7>t$073>=g<,?k1i55+15495=e<@?=0b<8m:0a7?l142900e::50;9j30<722c<:7>5;n31:283>5}#9<:14l5+6`8251=#9=<1=5m4H758j40e28i>7d9<:188m22=831d=?650;9~f4d728086=4?{%364?>f3-6c82g3=n?:0;66g84;29?j7503:17pl>cd82>6<729q/=8>58`9'2d<69=1/=98519a8L315<>1<75`13:94?=zj8h:6<4<:183!72832j7)8n:037?!73>3;3o6F97:l22g<6k11b;>4?::k40?6=3f;947>5;|`2gc<62:0;6=u+1429h0:=95+15495=e<@?=0b<8m:0a:?l142900e::50;9l57>=831vn5<7s-;><76n;%4b>4733-;?:7?7c:J53>h6>k0:ol5f7283>>o0<3:17b?=8;29?xd4890:694?:1y'506=95<4<2290;w)?:0;:b?!0f2ml0(<:9:0:`?M003g;=n7?lc:k47?6=3`=?6=44i6794?=n??0;66a>2983>>{e;;=1=7:50;2x 43728?n7)?;6;3;g>N1?2d::o4>ce9j36<722c<87>5;h30b?6=3fno6=44}c11518;e>"1i3o?7)?;6;3;g>N1?2d::o4>cd9j36<722c<87>5;h56>5<518;e>"1i3;:h6*>4782>1e=;l51bd8m25=831b;94?::k41?6=3`==6=44o00;>5<1<7>t$073>=g<,?k1i95+15495=e<@?=0b<8m:0f2?l142900e::50;9j30<722e:>54?::a77`=93?1<7>t$073>=g<,?k1=4>d3A<<7c?9b;3g6>o0;3:17d9;:188m23=831b;;4?::m26=<722wi?>:51;794?6|,8?;65o4$7c9b3=#9=<1=5m4H758j40e28n87d9<:188m22=831b;84?:I70?>o0>3:17b?=8;29?xd49k0:694?:1y'506=95<4<2290;w)?:0;:b?!0f2o<0(<:9:0:`?M003g;=n7?k5:k47?6=3`=?6=44i6794?=n??0;66a>2983>>{e;;:1=7:50;2x 43728?n7)?;6;3;g>N1?2d::o4>d79j36<722c<87>5;h30b?6=3fno6=44}c115?7==3:1518;e>"1i3;:h6*>4782>1e=;l51e58m25=831b;94?::k41?6=3`==6=44o00;>5<7?54;294~"6=903m6*9a;g7?!73>3;3o6F97:l22g<6l11b;>4?::k40?6=3`=>6=44o00;>5<1<7>t$073>=g<,?k1==k4$065>4>d3A<<7c?9b;3ge>o0;3:17d9;:188m23=831d=?650;9~f661280?6=4?{%364?>f3-j;%372?7?k2B=;6`>6c82`g=n?:0;66g84;29?l122900c<<7:188yg57?3;187>50z&215<6=l1/=98519a8L315<>1<75f12d94?=hlm0;66sm31:95?2=83:p(<;?:9c8 3g=99o0(<:9:0:`?M003g;=n7?kd:k47?6=3`=?6=44i6794?=h9;21<75rb22:>4<3290;w)?:0;:b?!0f28:n7)?;6;3;g>N1?2d::o4>dd9j36<722c<87>5;h56>5<518;e>"1i3;;46*>47874==O>>1e=;l51ed8m25=831b;94?::k41?6=@<907d99:188k44?2900qo?je;391?6=8r.:9=47a:&5e?7782.:8;4>8b9K22=i9?h1=h>4i6194?=n?=0;66g85;29?l112900c<<7:188yg7bl3;197>50z&215b:&203<60j1C::5a17`95`791<75f7583>>o0=3:17d99:188k44?2900qo=82;391?6=8r.:9=47a:&5e?7592.:8;4<519K22=i9?h1=h<4i6194?=n?=0;66g85;29?l112900c<<7:188yg7f83;187>50z&21591<75f7583>>o0=3:17b?=8;29?xd6100:684?:1y'506=0h1/:l4>219'510=91i0D;94n04a>4c33`=86=44i6694?=n?<0;66g86;29?j7503:17pl>8c82>1<729q/=8>58`9'2d369K22=i9?h1=h;4i6194?=n?=0;66g85;29?j7503:17pl>8`82>0<729q/=8>58`9'2d<69k1/=9851258L315<>1<75f7483>>o0>3:17b?=8;29?xd60=0:684?:1y'506=0h1/:l4>019'510=9:=0D;94n04a>4c03`=86=44i6694?=n?<0;66g86;29?j7503:17pl>8282>0<729q/=8>58`9'2d<68<1/=9851258L315<>1<75f7483>>o0>3:17b?=8;29?xd4nm0:684?:1y'506=0h1/:l4j2:&203<6;>1C::5a17`95`?91<75f7583>>o0=3:17d99:188k44?2900qo=ic;391?6=8r.:9=47a:&5e?76>2.:8;4>369K22=i9?h1=ho4i6194?=n?=0;66g85;29?l112900c<<7:188yg5an3;187>50z&215f:&203<6;>1C::5a17`95`d91<75f7583>>o0=3:17b?=8;29?xd4nl0:684?:1y'506=0h1/:l4>169'510=9:=0D;94n04a>4cd3`=86=44i6694?=n?<0;66g86;29?j7503:17pl>d182>6<729q/=8>58`9'2d<69=1/=98519a8L315<>1<75`13:94?=zj8h86<4<:183!72832j7)8n:037?!73>3;3o6F97:l22g<6ml1b;>4?::k40?6=3f;947>5;|`7=2<62<0;6=u+1429h0:=l5+154905><@?=0b<8m:0ge?l142900e::50;9j30<722c<:7>5;n31:483>5}#9<:14l5+6`8255=#9=<1?8>4H758j40e28l;7d9<:188m22=831b;84?::k42?6=3f;947>5;|`0ed<62=0;6=u+1429h0:=?5+1549706<@?=0b<8m:0d2?l142900e::50;9j30<722e:>54?::a7d?=9391<7>t$073>=g<,?k1=<=4$065>6373A<<7c?9b;3e6>o0;3:17d9;:188k44?2900qo<9f;391?6=8r.:9=47a:&5e?7682.:8;4>8b9K22=i9?h1=k=4i6194?=n?=0;66g85;29?l112900c<<7:188yg41l3;197>50z&2150:&203<60j1C::5a17`95c291<75f7583>>o0=3:17d99:188k44?2900qo<9c;390?6=8r.:9=47a:&5e?76:2.:8;4>8b9K22=i9?h1=k;4i6194?=n?=0;66g85;29?j7503:17pl=6c82>6<729q/=8>58`9'2d<69:1/=98519a8L315<>1<75`13:94?=zj;?i6<4::183!72832j7)8n:g08 421282h7E88;o35f?7a?2c5;h57>5<?1<75f7783>>i6:10;66sm24c95?2=83:p(<;?:9c8 3g=98;0(<:9:0:`?M003g;=n7?i8:k47?6=3`=?6=44i6794?=h9;21<75rb37;>4<2290;w)?:0;:b?!0f28;;7)?;6;3;g>N1?2d::o4>f89j36<722c<87>5;h56>5<<1<75`13:94?=zj;?<6<4;:183!72832j7)8n:031?!73>3;3o6F97:l22g<6nh1b;>4?::k40?6=3`=>6=44o00;>5<:7?53;294~"6=903m6*9a;327>"654?::a5=>=93>1<7>t$073>=g<,?k1i=5+1549561<@?=0b<8m:0d`?l142900e::50;9j30<722e:>54?::a5=1=93?1<7>t$073>=g<,?k1=4503A<<7c?9b;3e`>o0;3:17d9;:188m23=831b;;4?::m26=<722wi?k851;794?6|,8?;65o4$7c955g<,8>=6<=8;I44?k71j3;mi6g83;29?l132900e:;50;9j33<722e:>54?::a7c?=93?1<7>t$073>=g<,?k1jh5+1549561<@?=0b<8m:0de?l142900e::50;9j30<722c<:7>5;n31:483>5}#9<:14l5+6`825f=#9=<1=>94H758j40e2;:;7d9<:188m22=831b;84?::k42?6=3f;947>5;|`075<62<0;6=u+1429h0m=6*>4782>1e=;l52138m25=831b;94?::k41?6=3`==6=44o00;>5<7?56;294~"1i3?=7)?;6;3;g>"6=90:9n5+15`974=#?m087E88;o35f?47:2c?97>5;h1e>5<3983>>{e9>k1=7<50;2x 4372>=0(<:9:014?!>52>30D;94n04a>7643`=96=44oef94?=zj=926<4=:183!72832j7)8n:007?!73>3>;46F97:l22g<58=1b;>4?::m26=<722wi8=69>7;I44?k71j38;96g83;29?j7503:17pl<8182>7<729q/=8>58`9'2d<6:=1/=9853428L319;h50>5<518;e>"1i3;986*>478015=O>>1e=;l52158m25=831d=?650;9~f4c028096=4?{%364?>f3-6c814==n?:0;66a>2983>>{e;lo1=7<50;2x 43721k0(;o51368 4212:?;7E88;o35f?4712c5;n31:383>5}#9<:14l5+6`8261=#9=<1=5m4H758j40e2;:j7d9<:188k44?2900qo?66;396?6=8r.:9=47a:&5e?75<2.:8;4>8b9K22=i9?h1>=l4i6194?=h9;21<75rb24f>4<5290;w)?:0;:b?!0f288?7)?;6;164>N1?2d::o4=0b9j36<722e:>54?::a5=b=9381<7>t$073>=g<,?k1=?:4$065>4>d3A<<7c?9b;03`>o0;3:17b?=8;29?xd3?00:684?:1y'506=0h1/:l4>0b9'510=<920D;94n04a>76b3`=86=44i6694?=n?<0;66g86;29?j7503:17pl;7`82>1<729q/=8>514g8 4212=:37E88;o35f?47n2c5;h57>5<3>;46F97:l22g<5991b;>4?::k40?6=3`=>6=44i6494?=h9;21<75rb554>4<3290;w)?:0;36a>"64>:483>5}#9<:14l5+6`8240=#9=<18=64H758j40e2;;97d9<:188m22=831b;84?::k42?6=3f;947>5;|`731<62=0;6=u+142950c<,8>=69>7;I44?k71j38:?6g83;29?l132900e<=i:188kab=831vn>?;:086>5<7s-;><76n;%4b>47d3-;?:7?7c:J53>h6>k09=95f7283>>o0<3:17d9::188m20=831d=?650;9~f6c5280>6=4?{%364?>f3-6c8150=n?:0;66g84;29?l122900e:850;9l57>=831vn>??:086>5<7s-;><76n;%4b>4463-;?:7?7c:J53>h6>k09=;5f7283>>o0<3:17d9::188m20=831d=?650;9~f6bb280>6=4?{%364?>f3-n;%372?5282B=;6`>6c8152=n?:0;66g84;29?l122900e:850;9l57>=831vn>?9:087>5<7s-;><76n;%4b>4643-;?:7?7c:J53>h6>k09=55f7283>>o0<3:17d9::188k44?2900qo=>2;391?6=8r.:9=47a:&5e?77:2.:8;4>8b9K22=i9?h1><74i6194?=n?=0;66g85;29?l112900c<<7:188yg7>k3;187>50z&21591<75f7583>>o0=3:17b?=8;29?xd4m<0:684?:1y'506=0h1/:l4jf:&203<4=91C::5a17`964d91<75f7583>>o0=3:17d99:188k44?2900qo=>8;397?6=8r.:9=47a:&5e?77?2.:8;4>8b9K22=i9?h1>2983>>{e;;k1=7:50;2x 43721k0(;o5e79'510=91i0D;94n04a>77c3`=86=44i6694?=n?<0;66a>2983>>{e;:?1=7:50;2x 43721k0(;o5e79'510=91i0D;94n04a>77b3`=86=44i6694?=n?<0;66a>2983>>{e;981=7:50;2x 43721k0(;o511g8 421282h7E88;o35f?46n2c5;h57>5<?1<75`13:94?=zj::i6<4::183!72832j7)8n:02g?!73>3;3o6F97:l22g<5:91b;>4?::k40?6=3`=>6=44i6494?=h9;21<75rb5;2>4<3290;w)?:0;:b?!0f2l:0(<:9:52;?M003g;=n7<=1:k47?6=3`=?6=44i6794?=h9;21<75rb207>4<2290;w)?:0;:b?!0f2lo0(<:9:0:`?M003g;=n7<=2:k47?6=3`=?6=44i6794?=n??0;66a>2983>>{e;:;1=7:50;2x 43721k0(;o5f`9'510=91i0D;94n04a>7443`=86=44i6694?=n?<0;66a>2983>>{e;8n1=7;50;2x 43721k0(;o5f09'510=91i0D;94n04a>7433`=86=44i6694?=n?<0;66g86;29?j7503:17pl>7782>4<729q/=8>5829'<7<012.3;7?<0:J53>h6>k09>85`15794?=zj8=o6<4>:183!7283287)6=:6;8 =1=9:;0D;94n04a>7413f;?97>5;|`00a<6280;6=u+1429<6=#0;0<56*77;31b>N1?2d::o4=269l513=831vn>h=:082>5<7s-;><7?=7:&;6?7502.3;7?=e:J53>h6>k09>55f15694?=zj:l>6<4>:183!7283;9;6*72;31<>"??3;9h6F97:l22g<5:01b=9:50;9~f166280:6=4?{%364?75?2.3>7?=8:&;3?75k2B=;6`>6c816d=n9=>1<75rb520>4<6290;w)?:0;313>"?:3;946*77;31f>N1?2d::o4=2c9j512=831vn9>9:082>5<7s-;><7?=7:&;6?7502.3;7?=a:J53>h6>k09>n5f15694?=zj:>i6<4=:080!7283=:7E?;f:l22g<5:m1b=:=51;9l524=931i=::50;694?6|,8?;6<;m;%372?74?2B=;6`>6c816`=n?:0;66g84;29?l74n3:1D:h4;nfg>5<52z\236=:9>>1;>5rs051>5<5sW;<>63>758g`>{t9>?1<7?t=057>45a3twi?9m51;095?5|,8?;6:?4H06e?k71j389j6g>7`82>>i6?>0:66l>7983>1<729q/=8>514`8 421289<7E88;o35f?4482c5H418?l132900e<=i:18K3c=5<5sW;79847>{t9>=1<77883>4}:9>21=>h4}|`77?7=83:1659'506=<;1C=9j4Hea8j40e2;9:7pl>3c82>5<729qC=;84$073>45f3A;?h6Fkc:l22g<5;;1vl=50;3e870:3>>70:=7;6;?82713>370=69;6;?85>039o70=8c;6;?850i3>370=88;6;?850=3>370=83;1g?85?<39o70370?j0;6;?87cl3>370?kb;1g?844<39o70<=0;1g?85b139o707683>7}:9>81?k5235a952153z?237<3827:;?4>399>71d=9>90q~:74;29a~;3<:03863;8d827==::522`a930=:<5248:936=:9131;;523gc933=:;oo1;>523g:930=:<>k1=>h4=2g1>228:7>52z?706<4l27??;4kd:p00>=838p19:<:ef891>b2=?0q~:73;29b~;3<;03863;37827c=:<1n1=>64=30;>1><5;996:=4=3ca>20<5=?i6:;4=2g7>23<5=3?6:=4=0::>23<5:i;6:=4=2d4>22<5:lm6:;4=37a>23<5===6:84}r600?6=;r7?8?4c2=?0q~o>:1812~;3;?0?463;3587<>;3;;0?463;3187<>;3:l0?463;2b87<>;3:h0?463;2987<>;3;h08h63;2680`>;3910?463;1787<>;39=0?463;1387<>;3990?463;0d87<>;38j0?463;0`87<>;39j08h63;0880`>;4?k0?463<7887<>;4??0?463<7287<>;6m=0?463>e387<>;6ll0?463>dc87<>;4m00?463;4mk0?463;5i=0?463=a487<>;5i?0?463=a687<>;5i10?463;75847>{t<181<7ht=562>=2<5=9?6<=i;<6;g?740279>:4;8:?174<0;279ml486:?71g<0>278i9483:?2<<<0<278o=484:?0b2<0;27?5=483:?0bc<0;2799l485:?73<<0<2wx8><50;1x91262:n019=;:2f891552mn0q~::4;296~;3<80oh63;8b871>{t<1;1<7kt=563>=2<5=996<=i;<6;f?74027994484:?163<30279?=483:?1ea<0=27?9o483:?2<<<0;278jl483:?7=5<0>278jn483:?73<<0>278i?483:p066=839p19:?:2f891552:n019=?:ef8yv22:3:1>v3;418g`>;30k0?96s|49294?c|5=9m65:4=513>45a34>3m7?<8:?160<30279>k483:?1e<<0=27?9o484:?7=1<0<278jo483:?22wx8?k50;1x915a2:n019=?:2f8914b2mn0q~::0;296~;3;o0oh63;8`871>{t<>l1<7kt=51f>=2<5=8n6<=i;<6;=?740279>94;8:?16`<0;279m4484:?0a1<0<27?59485:?0g5<0=278jo486:?2v3;3d8g`>;3000?96s|46g94?b|5=9o65:4=50`>45a34>347?<8:?166<30279>i483:?1e<<0;278jo485:?2v3;3e8g`>;3010?96s|46f94?c|5=9h65:4=50b>45a34>3;7?<8:?110<0<279>?4;8:?16f<0;278j<486:?1e<<0>278nk483:?0bg<0<27?5=484:?2{t<>i1<7jt=51a>=2<5=836<=i;<6;2?74027999484:?164<30279>o483:?0b4<0<279ml485:?0fc<0=27:4o483:?0ba<0<27?;;483:?7=4<0=2wx8?950;1x915e2:n019<7:2f891402mn0q~:;8;296~;3;k0oh63;87871>{t<:21<7=t=51b>=2<5=8<6<=i;<60=?7502wx89850;0x915f2mn0196::578yv21?3:1jv3;248;0>;3?80:?5523`a931=:;1n18552385936=::>;1;95222f90==::==1;>523g2933=::hn1;;5244f930=:<<31;952484931=:9191;>52466956`:47>52z?760<4l27?=54kd:p001=838p19<::ef891162=?0q~:96;2955}:<;>1495240:956`<5==;6<=7;<1;g?2?3492:79<;<044?133488o7:7;<072?14349m<79;;<0b`?1334>>h79;;<66=?1234>2:79:;<3;7?12349jn79:;<647?143ty?=;4?:2y>072=;m168<653e9>040=lm1v9;::181825<3no70:80;66?xu3><0;6<>t=500>=2<5=;=6<=i;<65b?7402784o4;8:?0=0<0;279?o4;8:?100<0;278j=483:?1ef<0<27?9i483:?71<<0;27?5;486:?2<6<0<278ml485:?12c<0=27?;>486:p042=839p19<<:2f891712:n019?;:ef8yv22;3:1>v3;228g`>;3>o0?96s|47694?`|5=8965:4=537>45a34>=i7?<8:?0485:p044=839p19<=:2f891732:n019?=:ef8yv2293:1>v3;238g`>;3>l0?96s|47194?77s4>9=76;;<626?74n27?:i4>399>7d>=?=16?575499>7<5=?:16>>75499>615=?:16?hh5759>6de=??1688m5749>5=4=?=168495759>63b=?<16=595759>025=?=1v9??:180825939o70:>2;1g?82683no7p};4g83>7}:<;;1hi5247f900=z{=<96=4i{<614?>334>:<7?7=>=<116?4<5729>66>=<116>9<5729>7``=?:16>lm5729>00e=?=16=5<5729>0<1=?:16>;m5749>5=1=?:1v9>j:180825839o70:>0;1g?827m3no7p};4e83>7}:<;:1hi5247a900=z{=<:6=4j{<62b?>334>;i7?7=1=<116?4?5729>661=<116>9?5729>6dd=?=1684;5749>5=2=??16>;l5759>5=>=?=16?hk5729~w16d2908w0:>f;1g?827m39o70:?c;fg?xu35:i76;;<63g?74n27?:l4>399>7d3=?=16?585499>7<6=?:16>;o5759>660=<116>9>5729>7c7=?<16>lo5759>0<3=?=16=5:5749>5=>=?<1v9>n:180826m39o70:?c;1g?827i3no7p};4883>7}:<8o1hi5247c900=z{=?m6=4i{<62`?>334>;m7?7=3=<116?5h5729>63?=?=16>>;5499>66`=?:16?hh5749>6dd=?<1684;5779>5=2=?=16?kk5779>5=>=?:1v9>6:180826l39o70:?a;1g?82713no7p};4683>7}:<8n1hi5247;900=z{=;j6=4<{<62g?>334>;57?8t=5:f>6`<5=2o6>h4=5:`>6`<5=2i6>h4=5:b>6`<5=226>h4=5:;>6`<5=2<6>h4=5:5>6`<5=2>6>h4=552>6`<5==;6>h4=54e>6`<5=h4=54g>6`<5=
h4=54a>6`<5=h4=54:>6`<5=<36>h4=2f4>6`<5:n=6>h4=2f6>6`<5:n?6>h4=2f0>6`<5:n96>h4=2f2>6`<5:n;6>h4=2ae>6`<5:in6>h4=3c2>6`<5;l96>h4=3d2>6`<5;l;6>h4=3ge>6`<5;on6>h4=3gg>6`<5;oh6>h4=3ga>6`<5;oj6>h4=3g:>6`<5;h36>h4=3`4>6`<5;h=6>h4=3`6>6`<5;h?6>h4=3`0>6`<5;h:6>h4=3`3>6`<5;km6>h4=3cf>6`<5;o?6>h4=3`1>6`<5:>i6<9=;|q70=b=<91685m5419>0=d=<91685o5419>0=?=<9168565419>0=1=<9168585419>0=3=<916846513:8yv2>13:1ov3;8d80f>;30m08n63;8b80f>;30k08n63;8`80f>;30008n63;8980f>;30>08n63;8780f>;30<08n63;99842>;31>0:>55rs55a>5397?<8:?116<0<279>=4;8:?16d<0;278j<483:?1ed<0;278nk486:?0ba<0;27??4483:?7=4<0;2wx8:<50;`x91162=:0199?:528910a2=:0198j:528910c2=:0198l:528910e2=:0198n:528910>2=:01987:52891132mn0q~::e;29g~;3>10:?5523`1931=:;1>1855239g936=::?21;95222690==:::o1;>523g2930=::hh1;>52487936=:;oo1;85240`936=z{:k96=4={<1:=?5c349j>7jk;|q037<72;q6?47512d8961528837p}7}:;031hi523b3936=z{:hn6=49{<1b6?2?349jo79<;<14f?74n279=84;8:?15c<0<278;<4>299~w6g62909w0=n2;1g?85f93no7p}7}:;h81=>h4=2c`>44?3ty8ni4?:3y>7d7=<116?:>513:8yv5f83:1>v3;4i90oh6s|3``94?4|5:k:6<=i;<1bf?7502wx?om50;0x96g72=201>8i:00;?xu41o0;6?u23`297a=:;0l1hi5rs2cb>5<5s49j<7?lm:18185>n3>370=9e;31<>{t;0o1<76b<5:3n6ij4}r1b=?6=:r785k4>3g9>7d?=9;20q~=l9;29f~;41l0?463;4=?0?463<92840>;4l:0:?55220390==::8h1;>5234d931=:;1;1;952217930=:;mo1;>5rs2;g>5<5s492i7=k;<1:`?bc3ty8m54?:3y>7o7:00;?xu4k10;6ou238f90==:;h=1;>5234790==:;081;9523e0956><5;;;6964=33b>25<5;:?6:;4=27f>22<5:2:6:=4=2ff>2252z?0=a<4l2785n4kd:p7d1=838p1>7k:01e?85f?3;946s|3b594?d|5:3h6964=2c5>25<5:??6964=2;2>22<5:n:6<=7;<03b?2?348:579<;<1ge?14348;?79;;<16`?133493<79<;|q0=g<72;q6?4m53e9>7o9:18185>k3;8j634;8:?0=5<0<278h=4>399>65c=<116><65729>654=?=16?io5779>70e=?=1v>7n:18185>j39o70=6a;fg?xu4i<0;6?u238`956`<5:k>6<<7;|q0g0<72jq6?4o5499>7d2=?:16?8<5499>7=`=?=16?nh512:8976c2=201??8:61897662>>01>jn:67896bd2>901>jk:618961b2>90q~=68;296~;41h08h63<998g`>{t;h>1<745a349j87?=8:p7f2=83hp1>77:5:896g42>901>9<:01e?85293>370=7e;57?85dm3;8463=0c87<>;59?0fd840>;4lh0<863<5`840>{t;h91<745a349j?7?=8:p72d=838p1>9l:2f8961e2mn0q~=73;296~;4?j0:?k52391957>52z?03f9m:2f8961f2mn0q~=89;296~;4?h08h63<788g`>{t;181<745a3493>7?=8:p72>=838p1>96:2f8961?2mn0q~=71;296~;4?00:?k52393957>52z?03=<4l278;;4kd:p72`=838p1>97:01e?85?83;946s|36794?4|5:==6>j4=256>ab52z?030<4l278;>4kd:p72b=838p1>9::01e?850m3;946s|37194?4|5:44?3ty8944?:3y>73b=;m16?875de9~w6d?2909w0=9d;fg?85c?3>>7p}<6383>6}:;?i1495234;956`<5:<96<<7;|q01=<72:q6?;m53e9>70?=;m16?865de9~w6d02909w0=9c;fg?85c>3>>7p}c}:;<318552384931=:;m<1=>64=252>22<5:=;6::4=0:e>22<5;;n6:=4=0;3>20<5:<96::4=2:0>22<5:296:=4=32;>23<5:=96:84=2ca>25<5:nn6:84}r155?6=;r78:o474:?01=<6;o16?;?513:8yv52?3:1?v3<6c80`>;4=108h63<568g`>{t;k<1<7ab<5:n>69;4}r1`f?6=9=q6?865499>7<3=?=16?i;512:896162>?01>9?:67894>a2>?01>8i:61894>b2>901?>8:678977b2><01??k:61894?72>?01>jm:61896062>>01>6<:67896>52>>01>jl:66896152>?01>om:64896gf2>90q~=90;297~;4>h03863<56827c=:;?:1=?64}r162?6=;r78:l48n:ef896b32=?0q~=la;295<}:;<=18552386931=:;m>1=>64=252>20<5:=;6:=4=0:e>25<5:22<5;:=6::4=33f>22<5;;o6::4=33`>25<583;6::4=2fa>22<5:<;6::4=2:0>20<5:296:;4=2:2>23<5:nh6:;4=251>22<5:ki6::4=2cb>22<5:k26:=4=24f>25<582o6:=4}r16b?6=;r78:4474:?013<6;o16?8h513:8yv52=3:1?v3<6880`>;4=?08h63<548g`>{t;k>1<7ab<5:n869;4}r16a?6=;r78:5474:?010<6;o16?8k513:8yv52<3:1?v3<6980`>;4=<08h63<558g`>{t;k91<7ab<5:n969;4}r16`?6=;r78::474:?011<6;o16?8j513:8yv52;3:1?v3<6680`>;4==08h63<528g`>{t;k81<7ab<5:n:69;4}r16g?6=;r78:;474:?016<6;o16?8m513:8yv52:3:1?v3<6780`>;4=:08h63<538g`>{t;k;1<7ab<5:n;69;4}r16f?6=;r78:8474:?017<6;o16?8l513:8yv5293:1?v3<6480`>;4=;08h63<508g`>{t;k:1<7ab<5:im69;4}r16e?6=;r78:9474:?014<6;o16?8o513:8yv5ei3:1?v3<6580`>;4=808h637=e=lm1v>78:18185?l3;8j63<96826==z{:i96=4={<1;`?bc349h=79;;|q0ga<720q6?495759>7a1=9:201>9>:61894?72>901?>n:61896>42>901>8<:66896152>901>jj:678yv5?j3:1>v3<8b80`>;40k0oh6s|38494?4|5:2h6<=i;<1:2?7502wx?5o50;0x96>e2:n01>6n:ef8yv5>=3:1>v3<8c827c=:;0?1=?64}r1;=?6=:r784l4f289m70=64;31<>{t;121<76b<5:236ij4}r1:7?6=:r78444>3g9>7<5=9;20q~=77;296~;40108h63<868g`>{t;081<745a3492>7?=8:p7=0=838p1>68:2f896>12mn0q~=61;296~;40>0:?k52383957>52z?0<3<4l278484kd:p7<6=838p1>69:01e?85>83;946s|39694?4|5:2>6>j4=2:7>ab52z?0<0<6;o16?5h513:8yv5?m3:1>v3<85827c=:;1o1=?64}r1g4299~w7722909w09183>7}::9i1=>h4=0;3>44?3ty9;l4?:cy>65e=lm16>h65729>71>=?<16?985749>712=?:16?9?5749>76`=?:16?>j5729>752=9:l01>>8:01e?856:3=>7p}=1583>7}::8?1?i522069`a=z{;;m6=4={<021?74n279=k4>299~w7g7290jw0<>f;50?843?3=?70;58h0<863>e`840>;5190<863=01847>;6mm0<863>a1840>;6j:044?3ty9=>4?:3y>642=;m16><=5de9~w77b2909w0<>4;30b>;59l0:>55rs355>5<5s48:?7:7;<3;a?7502wx><<50;0x97742:n01??=:ef8yv46l3:1>v3=12827c=::8n1=?64}r041?6=:r79=?4;8:?2:181846:39o70<>1;fg?xu59j0;6?u2200956`<5;;h6<<7;|q155<72;q6>646=lm1v??m:18184693;8j63=1c826==z{;3h6=4i{<02f?13348??79;;<3b2?2?348i87?<8:?2af<0=27:55483:?1=h50;0x97772:n01?>i:ef8yv46i3:1>v3=11827c=::8k1=?64}r0:f?6=9;q6>614=?=16=l;5499>6g5=9:201>01<78:61897>f2>>01?>;:61897622><01?01?>6:66894`a2>>01<01?8l:61894?d2>>0q~{t:831<745a348:57?=8:p69;57?87b83;8j63=40840>;5j80:?55218:930=:90=1;95229c933=::9>1;952211936=::9?1;952283933=::931;;521gd930=:9l21;9521dg936=::?n1;95227a931=::?h1;>52184936=z{;:o6=4={<03a?5c348;h7jk;|q15=<72;q6>=k512d8977?28837p}=9883>g}::821;9521g490==::981;>5227290==::?k1;>521eg956`<5;>;6::4=0c0>1><5;h;6<=7;<3bg?1434;ni799;|q14g<72;q6>=j53e9>65d=lm1v??8:181847l3;8j63=16826==z{;336=4m{<023?1334;m97:7;<035?14348>j7:7;<05=?143488j79;;<3b6?2?348jj7?<8:?2eg<0;27:ih485:?2a2<0;2wx><850;0x976e289m70<>6;31<>{t:0=1<7lt=335>22<58l86964=0df>25<5;?o6964=34;>25<58ni6<=i;<00a?1334;j=7:7;<0ba?74027:ml483:?2a`<0<2wx=kj50;0x94`32:n01v3>f5827c=:9ol1=?64}r04=?6=jr7:j94kd:?1a=<0<27885483:?003<0;27889485:?004<0;278?k485:?1b`<0<278?o484:?07a<0<278=?486:p6=`=83?p1:61894c3289m70<60;31<>{t9oi1<76b<58lh6ij4}r03e?6=:r7:ji4>3g9>65g=9;20q~<7d;290~;6nj0?463=6787<>;5?906}:9oh1855227790==::1i1=?64}r3ee?6=:r7:jo4=950;0x94`e289m70{t:131<7:t=0db>1><5;25<5;2j6<<7;|q2b<<72;q6=ko53e9>5c?=lm1v?>9:18187ai3;8j63=07826==z{;236=4<{<3e=?2?348=?7:7;<3:2:n01v3>f8827c=::9?1=?64}r0;3?6=;r7:j54;8:?127<3027:5:4>299~w4`02909w0?i8;1g?87a?3no7p}=0583>7}:9o21=>h4=327>44?3ty94;4?:2y>5c1=<116>;?5499>5<0=9;20q~?i6;296~;6n>08h63>f78g`>{t:991<745a348;?7?=8:p5c3=838p152z?2b0<4l27:j>4kd:p657=838p1;950;0x973b2:n01?88:ef8yv7f83:1>v3=5d827c=:9h:1=?64}r0:2?6=:r799h4kd:?1=7<0>2wx>;850;0x97002:n01?89:ef8yv4093:1>v3=66827c=::>;1=?64}r051?6=:r79:;4:>50;0x9701289m70<80;31<>{t:?>1<76b<5;3g9>63`=9;20q~<93;296~;5>=08h63=628g`>{t:?o1<745a348=i7?=8:p634=838p1?8<:2f897052mn0q~<9d;296~;5>:0:?k5227f957>52z?127<4l279:<4kd:p63e=838p1?8=:01e?841k3;946s|27294?4|5;<:6>j4=343>ab52z?124<6;o16>;l513:8yv42n3:1>v3=6180`>;5=o0oh6s|27c94?4|5;<;6<=i;<05e?7502wx>8j50;0x973a2:n01?;k:ef8yv4113:1>v3=5g827c=::?31=?64}r053g9>63>=9;20q~<:2;296~;5<008h63=538g`>{t9031<745a34;257?=8:p6<3=838p1?:6:ef897?52>>0q~<75;297~;5=;0?463=5b847>;61<0:>55rs372>5<5s48>>7=k;<065?bc3ty99n4?:3y>604=9:l01?;l:00;?xu50:0;6?u224390==::1>1=?64}r064?6=:r799<48l50;0x9736289m70<:b;31<>{t:>l1<71><5;2:6<<7;|q10c<72;q6>8>53e9>61`=lm1v?;n:18184283;8j63=5`826==z{;=n6=4<{<07b?2?348>579<;<3:0?7502wx>9k50;0x972a2:n01?:j:ef8yv4213:1>v3=4g827c=::<31=?64}r04`?6=:r798h4;8:?2=6<6:11v?:k:181843m39o70<;d;fg?xu5=10;6?u225g956`<5;?36<<7;|q13f<72;q6>9j5499>5<4=9;20q~<;c;296~;5{t:<=1<745a348>;7?=8:p62d=838p1?:l:5:894?628837p}=4c83>7}::=i1?i5225`9`a=z{;?=6=4={<07g?74n2799;4>299~w7bd290370;6n80c8847>{t:=k1<76b<5;>j6ij4}r061?6=:r798o4>3g9>603=9;20q~;5:k0<863>bg87<>;5mh0:?5521g3931=:9j21;>5rs36;>5<5s48?m7=k;<0761g=9:l01?;;:00;?xu5lh0;6:u225:90==::<91;>5223c931=:9ko185522d;956><58l:6:;4=0a4>25?7>52z?10=<6;o16>8=513:8yv7b<3:1>v3>e480`>;6m=0oh6s|1dc94?4|58o>6<=i;<3fe?7502wx=k<50;ax94c22mn01?j9:67897b32>?01?j=:67897b72>?01?mj:67897ed2>?01?mn:67897e?2>?01?m9:67897e32>?01?o<:678yv7b;3:1>v3>e580`>;6m:0oh6s|1d094?4|58o86>j4=0g1>ab52z?2a6<6;o16=h7513:8yv7b83:1>v3>e380`>;6m90oh6s|1d:94?4|58o96<=i;<3fv3>dd80`>;6lm0oh6s|1e`94?4|58no6>j4=0fa>ab52z?2`a<6;o16=h9513:8yv44k3:1>v3=3e80`>;5;j0oh6s|25594?4|5;9o6<=i;<073?7502wx>4:50;0x975c2mn01?7=:678yv44j3:1>v3=3b80`>;5;k0oh6s|25494?4|5;9h6<=i;<072?7502wx>4h50;fx97212>>01i3=?70<>e;56?87>j3=870?j9;50?84>93=8707}:::h1?i5222c9`a=z{;>>6=4={<00f?74n279884>299~w7?b290:;6i10?463=b7827==:9li1;>5229g930=::1i1;95218c936=::9=1;>5220f930=:90h1;9521d;931=::0;1;95221;936=::9:1;8521c3936=::?l1;>5rs31:>5<5s488m7=k;<00=?bc3ty9894?:3y>66g=9:l01?:;:00;?xu51m0;6<:t=367>22<58k<6964=3`6>45?34;no79;;<0;a?133483o79<;<033?13348;:79<;<02g?133483m79:;<3:f?1234;nm79<;<3f=?123482=79:;<03=?12348;<799;<3f>753e9>66>=lm1v?:<:18184413;8j63=42826==z{;9<6=4={<00>6512d8972528837p}=3783>7}:::=1?i522249`a=z{;>:6=4={<003?74n2798<4>299~w7522909w0<<6;1g?844=3no7p}=4183>7}:::<1=>h4=363>44?3ty9?94?:3y>663=;m16>>:5de9~w75a2909w0<<5;30b>;5;o0:>55rs31f>5<5s48887??75de9>6<4=?:1v?k<:1825~;5;:0<863=f3827==:;=81;>52187931=:;9k1;>52317936=:;9<1;>5231:936=:;931;>5218;931=:9m:1;>52322930=:;8>1;;52310936=:;9h1;>52336936=:;8n1;85rs304>5<5s48947=k;<013?bc3ty9??4?:3y>67>=9:l01?==:00;?xu5m;0;6lu2220931=:9j<185522g3956><58l;6:=4=3:7>25<5;lm6:84=0ae>25<5;?i6::4=233>22<5:;=6::4}r012?6=:r79>:4>?50;0x9740289m70<<1;31<>{t:l;1<7mt=312>22<58i>6964=3d3>45?34;m<79;;<0;0?133483=79<;<0eb?1434;hi79<;<06f?14348>m79<;<124?11349::79:;|q160<72;q6>?853e9>673=lm1v?=?:181845>3;8j63=31826==z{;o;6=4n{<004?1334;h87:7;<0fb?74027:59483:?1<5<0>279jk484:?2ga<0;278<<484:?051<0;278==483:p672=838p1?<::2f897432mn0q~<=f;296~;5:<0:?k5223d957>5cz?16c<0<27:o>4;8:?1a`<6;116=4:5779>5<5=?=16>5>5749>6c`=?<16=nm5729>757=??16>865729>742=?=16?<>5749~w7442909w0<=4;1g?845;3no7p}=2d83>7}::;>1=>h4=30f>44?3ty9hh4?:dy>67c=?=16=n<5499>6`b=9:201<7;:66894?42>901<7=:61894?22>901?6?:66894ee2>901>>>:61894?>2>?01?;7:66897302>901>?;:678yv45:3:1>v3=2280`>;5:;0oh6s|23f94?4|5;886<=i;<01`?7502wx>ij50;32845l3=?70?l1;6;?84bk3;8463>f0842>;61=0<963>92841>;61;0<863>94841>;5090c`847>;4880<963>98847>;5=10<:63=56840>;5=?090847>;49?0j4=302>ab52z?167<6;o16>?m513:8yv4583:1>v3=2080`>;5:90oh6s|23`94?4|5;8:6<=i;<01f?7502wx>?o50;0x9747289m70<=a;31<>{t9m:1<7=2<58n;6<<7;|q2g3<72;q6=io53e9>5f0=lm1v?hl:18187ci3no70u21e;9<1=:9j<1=>h4=0ae>44?3ty:o84?:2y>5a?=;m16=n853e9>5f3=lm1v?hm:18187c13no70=?f;57?xu6kl0;6>u21e:9<1=:9j?1=>h4=0af>44?3ty:o94?:2y>5a>=;m16=n;53e9>5f2=lm1v?hn:18187c03no70=<9;57?xu6km0;6>u21e59<1=:9j>1=>h4=0ag>44?3ty:o>4?:2y>5a1=;m16=n:53e9>5f5=lm1v?h6:18187c?3no70=u21e49<1=:9j91=>h4=0a`>44?3ty:o?4?:2y>5a0=;m16=n=53e9>5f4=lm1v?h7:18087c>3no70=?a;56?857j3=>7p}>cc83>6}:9m?149521b0956`<58ii6<<7;|q2g4<72:q6=i;53e9>5f4=;m16=n?5de9~w7`02908w0?k5;fg?85583;8j63<1e847>{t9jk1<7=t=0f7>=2<58i:6<=i;<3`e?7502wx=n>50;1x94b32:n01:2f894e72mn0q~5rs0a:>5<4s4;o?76;;<3`4?74n27:o44>299~w4da2908w0?k3;1g?87d839o70?mf;fg?xu5n<0;6>u21e19`a=:;::1;>52323936=z{8i36=4<{<3g6?>334;ij7?6}:9m81hi5233f956`<5:8j6:=4}r3`3?6=;r7:h<474:?2f`<6;o16=n9513:8yv4b<3:1iv3>d080`>;6jl08h63=e5827==::l<1;>521b5931=:9j21;9521b;931=:9jk1;9521b`931=:9ji1;9521bf931=:9jo1;9521bd931=:9m:1;95rs3d0>5<4s4;o=7jk;<113?74n278>9485:p5g5=838p1a883>7}:9kn1?i521`;9`a=z{;i96=4={<3a`?bc348h879;;|q2f7<72:q6=om5859>5d?=9:l01u21ca97a=:9h31?i521`:9`a=z{;i:6=4={<3ag?bc348h:79;;|q2f4<72:q6=ol5859>5d>=9:l01:00;?xu6i>0;6>u21c`97a=:9h21?i521`59`a=z{;i;6=4={<3af?bc348h479;;|q2f5<72:q6=oo5859>5d1=9:l01u21cc97a=:9h=1?i521`49`a=z{;hm6=4={<3ae?bc348hm79<;|q2ec<72:q6=o75859>5d0=9:l01u21c;97a=:9h<1?i521`79`a=z{;hn6=4={<3a=?bc348ho79<;|q2e`<72:q6=o65859>5d3=9:l01u21c:97a=:9h?1?i521`69`a=z{;ho6=4={<3a5d2=9:l01u21c597a=:9h>1?i521`19`a=z{;hh6=4={<3a3?bc348o<79;;|q1f7<72=q6=l:5499>5db=?:16>o<512:894?d2>?0q~?nc;297~;6j?03863>a2827c=:9hi1=?64}r3b6?6=;r7:n;490q~?nb;297~;6j<03863>a3827c=:9hh1=?64}r3b5?6=;r7:n8490q~?na;297~;6j=03863>a0827c=:9hk1=?64}r0b5?6=lr7:n94399>5dg=?=16=ll5759>5de=?=16=lj5759>5dc=?=16=lh5759>5g6=?=16=o?5759>5g4=?=16=o=5759~w7d>2909w0?m4;fg?84c>3=87p}>ec83>a}::h;188522e4931=::m>1;9522e0931=::m:1;>522bg931=::ji1;9522bc931=::j21;>522b4936=::j>1;>522`1936=:9ln1=?64}r0b6?6=:r79m<4>70{t:m31<7?6{<0e6?5e348m=7=m;<0e4?5e348nj7=m;<0fa?5e348nh7=m;<0fg?5e348nn7=m;<0fe?5e348n57=m;<0a7=m;<126c7=<<16?=h513:8yv5403:1>v3=f1871>;4;00:>55rs21b>5<5s48nj7::;<10f?7502wx?>m50;0x97cb2=?01>=k:00;?xu4;l0;6?u22df900=:;:l1=?64}r174?6=:r79in4;5:?004<6:11v>:<:18184bj3>>70=;4;31<>{t;=?1<713<5:>=6<<7;|q002<72;q6>h75449>71>=9;20q~6=4={<0a3?22348h:7?=8:p6f1=838p1?l9:57897e?28837p}=c883>7}::k?188522bc957>52z?1f1<3=279on4>299~w7ec2909w044?348i>7::;|q1`4<72;q6>o>5449>6a4=9;20q~6=4={<0ba?22348o:7?=8:p6`3=838p1?k;:57897c128837p}=e683>7}::l>1?o522d:957>52z?0a<<6;o16?hk513:8yv5b13:1>v3;4mh08h6s|3dd94?4|5:om6<<7;<1fe?74n2wx?ho50;0x96cf2mn01>km:2f8yv5a83:1>v3h4}r1ff?6=:r78io4kd:?0af<4l2wx?k?50;0x96`6288370=jc;30b>{t;m31<7ab<5:o36:=4}r0b=?6=:r79m44>299>6d2=9:l0q~{t:hk1<744?348j97?5522`4956`52z?1e36b52z?1ea<6:116>l6512d8yvg52909w0::d;31<>;3=j000d=9;2019;n:66896`?2>>0q~::9;297~;3=00:>55244c930=:;o21;>5rs052>5<4s4>>m7?=8:?0b6<0;27?<9483:p624=83hp1>:=:66894?22><01>>n:66896622>>01>>7:66894?>2><01>=?:6689677288370=?2;57?855<3=?70=>d;57?xu5?=0;6lu2350957><5:926:;4=22e>23<5:826:=4=20e>25<5:9?6:=4=23`>25<5:8:6:=4=20b>22<5:9>6::4}r3fb?6=9jq6>h85759>5c6=9;201>=6:618966a2>901><<:648966f2><01><7:678964>2><01><01>=;:648967e2>901>?l:64896462><01><=:67896622>?01>>9:678966?2>?01>>6:67896572><01>?7:668964f2>?01>=::67896652>?01>>m:64896432><01>=>:668967c2><0q~=ne;297~;4m=0<:63;4m;0:>55rs2g3>5<5s49n87?=8:?0a=<0<2wx=hm50;0x94cd288370?jd;55?xu31<0;6?u2487957><5=3<6:;4}r3;2?6=;r7?5;4>299>0<1=??16=595779~w1?32909w0:64;31<>;3110<86s|48294?4|5=336:;4=5;3>44?3tyi?7>52z?2b4<6:116=k>5749~w714290hw0?i0;55?855;3=?70==8;50?85513=?70==e;50?855n3=?70=<4;57?856k3=?70==1;57?855:3=870=>4;31<>;49;05=4=9;201<6;:618yv7??3:1?v3>84847>;60>0:>5523g4931=z{82j6=4<{<3;1?1334;3m7?=8:?0b3<0=2wx=5=50;1x94>22>?01<6<:00;?85a>3==7p}>8083>6}:91?1=?64=2d0>23<5=:?6:84}r34g?6=484:?0g4<6:1168=:5749>7c0=?:1v>h;:18185a;3;9463{t;kl1<744?349h=79:;|q0g5<72;q6?n>513:896e62><0q~<72;291~;50=0<963=80840>;5090:>55224`933=::5<4s4985799;<13b?11349:>7?=8:p52c=83op1>l6:618941b2mn01>;n:618963d2>901>;k:618963b2>901>;i:61896072>901>8>:61896052>901>jl:64896bc2>>01>8<:61896c22>>0q~=nd;29g~;4j00<863?01>k::00;?xu6?k0;6>u2416931=::081=?64=2d:>20;97>52z?741<6:1168=;5739~w4?a2902w0<7e;55?84?k3=>70?6a;56?847?3==70{t;oh1<744?349mm79:;|q0b2<72;q6?k9513:896`f2>>0q~=ia;296~;4nh0:>5523g;936=z{83n6=4<{<3:e?75027:il485:?2e5<0=2wx>kh50;0x97`a288370=?0;30b>{t;=n1<7=t=26f>24<5:>o6<::;_17`>{t;=o1<7ab<5:o>6:=4}r34`?6=;r7:;h482:?23a<6<<1U=:j4}r637?6=;r7?<84kd:?746<6<=1U8==4}r635?6=;r7?9:067?[27>2wx?k<50;1x96`32mn01>h=:067?[5a:2wx8=>50;0x91672>801>h7:00;?xu4n<0;6>u24129`a=:;o?1=9:4^2d6?xuf<3:1>v3>9c826==::9k1;85rs2ff>5<4s49on799;<16f?13349oi7?=8:pg4<72kq6??=5749>77>=?=16??75749>77c=?=16??h5749>762=?<16?777=?<16??<5759>740=9;201>?=:668yvg02909w0==3;31<>;5m10<96s|30c94?4|5;o36:84=23;>44?3tyj47>52z?1=4<6:116>4>5779~wd?=838p1?>6:00;?84703==7p}na;296~;5890:>5521gd933=z{hh1<744?349>n799;|qbg?6=:r78hi4>299>70d=?:1v><9:18185303=?70==4;31<>{til0;6?u235:933=:;;=1hi5rs20`>5<5s49?:79;;<11e?7502wxn=4?:3y>710=??16??j5de9~wg7=838p1>:;:668965728837p}<3283>7}:;=>1;;52323957>52z?004<0<278?84>299~wg3=838p1>:>:648967e2mn0q~=>f;296~;4;o0<863<1e826==z{k=1<720<5:8;6ij4}r`;>5<4s48mi79:;<10f?12349;>7?=8:pf<<72;q6>kk5779>752=lm1voo50;0x965e2><01>>8:ef8yvde2909w0=?a;31<>;4;m0<:6s|31f94?4|5:9o6:;4=22a>44?3tyih7>52z?045<0;278<<4>299~wd3=83>p1>>?:ef896612>>01>>6:668966e2>>0q~lj:181855?3=870==8;31<>{tjo0;6?u2335931=:;;31=?64}ra3>5<5s499h79<;<11a?7502wxo?4?:3y>77b=?=16??h513:8yve42909w0=<4;31<>;4;80<96s|c583>7}:;8h1;95230a957>6=4={<114?143499=7?=8:pg3<72;q6??>5759>774=9;20q~m8:181857<3=870=?5;31<>{tk10;6?u2316931=:;9<1=?64}ra:>5<5s49;;79<;<13751=?=16?=7513:8yv2>;3:1>v3;91841>;3180:>55rsba94?4|58on6<<7;<3f`?123tyhh7>52z?25523ga931=z{:lh6=4={<1eg?750278jk484:p`5<72;q6?kh513:896`b2>>0q~=ie;296~;4nl0:>5523g;930=z{m;1<744?34;3;79:;|q0b3<72;q6?k8513:896`>2>>0q~j=:18185a13;9463{t9><1<7=t=05b>24<58==6<::;_342>{t9>k1<7ab<5:>h6<9n;|q73<<72;q68:7513:8911f2>90q~:88;296~;3?h0oh63;76827c=z{==>6=4={<642?75027?;:483:p025=838p199<:00;?820<3=?7psa1c56>5<6sg;897?4n04a>5=zf8h<:7>52zl22g<63td:n:950;0xj40e2;1vbk087p`>b6;94?7|f86c84?xh6j>n1<7?tn04a>==zf8h51zl270<73g;=n774}o3a3c<728qe=;l5a:m5g>7290:wc?9b;`8yk7e080;6{i9k296=4>{o35f?b4?:0ym53d=m2we=o6;:182k71j3l0qc?m8483>4}i9?h1==5rn0`;2?6=9rd::o4>1:m5g>0290:wc?9b;31?xh6j121<7?tn04a>45k0:96sa1c:a>5<6sg;=n7?9;|l2f=e=83;pb<8m:058yk7e0m0;651zl22g<612we=o6i:182k71j3;j7p`>b8294?7|f8:3:1=v`>6c82`>{i9k386=4>{o35f?7b3td:n4:50;3xj40e28l0qc?m9483>4}i9?h1>=5rn0`:2?6=9rd::o4=1:m5g?0290:wc?9b;01?xh6j021<7?tn04a>75k0996sa1c;a>5<6sg;=n7<9;|l2f51zl22g<512we=o7i:182k71j38j7p`>b`294?7|f86c81`>{i9kk86=4>{o35f?4b3td:nl:50;3xj40e2;l0qc?ma483>4}i9?h1?=5rn0`b2?6=9rd::o4<1:m5gg0290:wc?9b;11?xh6jh21<7?tn04a>65k0896sa1cca>5<6sg;=n7=9;|l2fde=83;pb<8m:258yk7eim0;651zl22g<412we=ooi:182k71j39j7p`>bc294?7|f8l4}o3af4<728qe=;l53b9~j4de:3:1=v`>6c80`>{i9kh86=4={o35f?5b3td:no:50;3xj40e2:l0qc?mb483>4}i9?h18=5rn0`a2?6=9rd::o4;1:m5gd0290:wc?9b;61?xh6jk21<7?tn04a>15k0?96sa1c`a>5<6sg;=n7:9;|l2fge=83;pb<8m:558yk7ejm0;651zl22g<312we=oli:182k71j3>j7p`>bb294?7|f86c87`>{i9ki86=4>{o35f?2b3td:nn:50;3xj40e2=l0qc?mc483>4}i9?h19=5rn0``2?6=9rd::o4:1:m5ge0290:wc?9b;71?xh6jj21<7?tn04a>05k0>96sa1caa>5<6sg;=n7;9;|l2ffe=83;pb<8m:458yk7ekm0;651zl22g<212we=omi:182k71j3?j7p`>be294?7|f86c86`>{i9kn86=4>{o35f?3b3td:ni:50;3xj40e24}i9?h1:=5rn0`g2?6=9rd::o491:m5gb0290:wc?9b;41?xh6jm21<7?tn04a>35=1vbk0=96sa1cfa>5<6sg;=n789;|l2fae=83;pb<8m:758yk7elm0;651zl22g<112we=oji:182k71j3bd294?7|f86c85`>{i9ko86=4>{o35f?0b3td:nh:50;3xj40e2?l0qc?me483>4}i9?h1;=5rn0`f2?6=9rd::o481:m5gc0290:wc?9b;51?xh6jl21<7?tn04a>25k0<96sa1cga>5<6sg;=n799;|l2f`e=83;pb<8m:658yk7emm0;651zl22g<012we=oki:182k71j3=j7p`>bg294?7|f86c84`>{i9kl86=4>{o35f?1b3td:nk:50;3xj40e2>l0qc?mf483>4}i9?h14=5rn0`e2?6=9rd::o471:m5g`0290:wc?9b;:1?xh6jo21<7?tn04a>=5k0396sa1cda>5<6sg;=n769;|l2fce=83;pb<8m:958yk7enm0;651zl22gc1294?7|f86c8;`>{i9j:86=4>{o35f?>b3td:o=:50;3xj40e21l0qc?l0483>4}i9?h15=5rn0a32?6=9rd::o461:m5f60290:wc?9b;;1?xh6k921<7?tn04a><5k0296sa1b2a>5<6sg;=n779;|l2g5e=83;pb<8m:858yk7d8m0;651zl22g<>12we=n>i:182k71j33j7p`>c0294?7|f86c8:`>{i9j;86=4>{o35f??b3td:o<:50;3xj40e20l0qc?l1483>4}i9?h1m=5rn0a22?6=9rd::o4n1:m5f70290:wc?9b;c1?xh6k821<7?tn04a>d5a;295~h6>k0j96sa1b3a>5<6sg;=n7o9;|l2g4e=83;pb<8m:`58yk7d9m0;651zl22gc3294?7|f86c8b`>{i9j886=4>{o35f?gb3td:o?:50;3xj40e2hl0qc?l2483>4}i9?h1n=5rn0a12?6=9rd::o4m1:m5f40290:wc?9b;`1?xh6k;21<7?tn04a>g544?:0ym53d=j=1vbk0i96sa1b0a>5<6sg;=n7l9;|l2g7e=83;pb<8m:c58yk7d:m0;651zl22gc2294?7|f86c8a`>{i9j986=4>{o35f?db3td:o>:50;3xj40e2kl0qc?l3483>4}i9?h1o=5rn0a02?6=9rd::o4l1:m5f50290:wc?9b;a1?xh6k:21<7?tn04a>f5k0h96sa1b1a>5<6sg;=n7m9;|l2g6e=83;pb<8m:b58yk7d;m0;651zl22gc5294?7|f86c8``>{i9j>86=4>{o35f?eb3td:o9:50;3xj40e2jl0qc?l4483>4}i9?h1h=5rn0a72?6=9rd::o4k1:m5f20290:wc?9b;f1?xh6k=21<7?tn04a>a5k0o96sa1b6a>5<6sg;=n7j9;|l2g1e=83;pb<8m:e58yk7d51zl22gc4294?7|f86c8g`>{i9j?86=4>{o35f?bb3td:o8:50;3xj40e2ml0qc?l5483>4}i9?h1i=5rn0a62?6=9rd::o4j1:m5f30290:wc?9b;g1?xh6k<21<7?tn04a>`5k0n96sa1b7a>5<6sg;=n7k9;|l2g0e=83;pb<8m:d58yk7d=m0;6i7>51zl22gc7294?7|f86c8f`>{i9j<86=4>{o35f?cb3td:o;:50;3xj40e2ll0qc?l6483>4}i9?h1j=5rn0a52?6=9rd::o4i1:m5f00290:wc?9b;d1?xh6k?21<7?tn04a>c5k0m96sa1b4a>5<6sg;=n7h9;|l2g3e=83;pb<8m:g58yk7d>m0;651zl22gc6294?7|f86c8e`>{i9j=86=4>{o35f?`b3td:o::50;3xj40e2ol0qc?l7483>4}i9?h1==>4}o3`33<728qe=;l51138yk7d?>0;64}i9?h1==:4}o3`3d<728qe=;l51178yk7d?k0;64}i9?h1==64}o3`3`<728qe=;l511;8yk7d?o0;64}i9?h1==m4}o3`<7<728qe=;l511f8yk7d0:0;64}i9?h1=<>4}o3`<3<728qe=;l51038yk7d0>0;64}i9?h1=<:4}o3`4}i9?h1=<64}o3`<`<728qe=;l510;8yk7d0o0;64}i9?h1=4}i9?h1=?>4}o3`=3<728qe=;l51338yk7d1>0;64}i9?h1=?:4}o3`=d<728qe=;l51378yk7d1k0;64}i9?h1=?64}o3`=`<728qe=;l513;8yk7d1o0;64}i9?h1=?m4}o3`e7<728qe=;l513f8yk7di:0;64}i9?h1=>>4}o3`e3<728qe=;l51238yk7di>0;64}i9?h1=>:4}o3`ed<728qe=;l51278yk7dik0;64}i9?h1=>64}o3`e`<728qe=;l512;8yk7dio0;64}i9?h1=>m4}o3`f7<728qe=;l512f8yk7dj:0;64}i9?h1=9>4}o3`f3<728qe=;l51538yk7dj>0;64}i9?h1=9:4}o3`fd<728qe=;l51578yk7djk0;64}i9?h1=964}o3`f`<728qe=;l515;8yk7djo0;64}i9?h1=9m4}o3`g7<728qe=;l515f8yk7dk:0;64}i9?h1=8>4}o3`g3<728qe=;l51438yk7dk>0;64}i9?h1=8:4}o3`gd<728qe=;l51478yk7dkk0;64}i9?h1=864}o3`g`<728qe=;l514;8yk7dko0;64}i9?h1=8m4}o3``7<728qe=;l514f8yk7dl:0;64}i9?h1=;>4}o3``3<728qe=;l51738yk7dl>0;64}i9?h1=;:4}o3``d<728qe=;l51778yk7dlk0;64}i9?h1=;64}o3```<728qe=;l517;8yk7dlo0;64}i9?h1=;m4}o3`a7<728qe=;l517f8yk7dm:0;64}i9?h1=:>4}o3`a3<728qe=;l51638yk7dm>0;690qc?le883>4}i9?h1=::4}o3`ad<728qe=;l51678yk7dmk0;6=0qc?lee83>4}i9?h1=:64}o3`a`<728qe=;l516;8yk7dmo0;6h0qc?lf083>4}i9?h1=:m4}o3`b7<728qe=;l516f8yk7dn:0;6l0qc?lf483>4}i9?h1=5>4}o3`b3<728qe=;l51938yk7dn>0;64}i9?h1=5:4}o3`bd<728qe=;l51978yk7dnk0;64}i9?h1=564}o3`b`<728qe=;l519;8yk7dno0;64}i9?h1=5m4}o3g47<728qe=;l519f8yk7c8:0;64}i9?h1=4>4}o3g43<728qe=;l51838yk7c8>0;64}i9?h1=4:4}o3g4d<728qe=;l51878yk7c8k0;64}i9?h1=464}o3g4`<728qe=;l518;8yk7c8o0;64}i9?h1=4m4}o3g57<728qe=;l518f8yk7c9:0;64}i9?h1=l>4}o3g53<728qe=;l51`38yk7c9>0;64}i9?h1=l:4}o3g5d<728qe=;l51`78yk7c9k0;64}i9?h1=l64}o3g5`<728qe=;l51`;8yk7c9o0;6=4?:0ym53d=9hh0qc?k2083>4}i9?h1=lm4}o3g67<728qe=;l51`f8yk7c::0;694?:0ym53d=9hl0qc?k2483>4}i9?h1=o>4}o3g63<728qe=;l51c38yk7c:>0;654?:0ym53d=9k90qc?k2883>4}i9?h1=o:4}o3g6d<728qe=;l51c78yk7c:k0;6n4?:0ym53d=9k=0qc?k2e83>4}i9?h1=o64}o3g6`<728qe=;l51c;8yk7c:o0;64}i9?h1=om4}o3g77<728qe=;l51cf8yk7c;:0;64}i9?h1=n>4}o3g73<728qe=;l51b38yk7c;>0;64}i9?h1=n:4}o3g7d<728qe=;l51b78yk7c;k0;64}i9?h1=n64}o3g7`<728qe=;l51b;8yk7c;o0;64}i9?h1=nm4}o3g07<728qe=;l51bf8yk7c<:0;64}i9?h1=i>4}o3g03<728qe=;l51e38yk7c<>0;64}i9?h1=i:4}o3g0d<728qe=;l51e78yk7c4}i9?h1=i64}o3g0`<728qe=;l51e;8yk7c4}i9?h1=im4}o3g17<728qe=;l51ef8yk7c=:0;64}i9?h1=h>4}o3g13<728qe=;l51d38yk7c=>0;64}i9?h1=h:4}o3g1d<728qe=;l51d78yk7c=k0;64}i9?h1=h64}o3g1`<728qe=;l51d;8yk7c=o0;64}i9?h1=hm4}o3g27<728qe=;l51df8yk7c>:0;64}i9?h1=k>4}o3g23<728qe=;l51g38yk7c>>0;64}i9?h1=k:4}o3g2d<728qe=;l51g78yk7c>k0;64}i9?h1=k64}o3g2`<728qe=;l51g;8yk7c>o0;64}i9?h1=km4}o3g37<728qe=;l51gf8yk7c?:0;64}i9?h1>=>4}o3g33<728qe=;l52138yk7c?>0;64}i9?h1>=:4}o3g3d<728qe=;l52178yk7c?k0;64}i9?h1>=64}o3g3`<728qe=;l521;8yk7c?o0;64}i9?h1>=m4}o3g<7<728qe=;l521f8yk7c0:0;64}i9?h1><>4}o3g<3<728qe=;l52038yk7c0>0;64}i9?h1><:4}o3g4}i9?h1><64}o3g<`<728qe=;l520;8yk7c0o0;64}i9?h1>4}i9?h1>?>4}o3g=3<728qe=;l52338yk7c1>0;64}i9?h1>?:4}o3g=d<728qe=;l52378yk7c1k0;6?ua17`96704}i9?h1>?64}o3g=`<728qe=;l523;8yk7c1o0;63482?k71j389o6sr}|BCG~7akm0=c7f2l>o7pu>6383>5<52on:6h:j;|y227<729096k?6:d6e?x}6>;0;6=4=:gd1>`373tq::?4?:181>`5e2l?:7pu>6383>5<52o;m6h;=;|y227<729096h87:d70?x}6>;0;6=4=:0252?`a<2wp=;<50;296?77>>0n>=5r{041>5<72;0:<;65e3;8y~71:3:1<7<5114:>`4b3tq::?4?:181>`552l??7pu>6383>5<528:=m7k<6:x534=83:1>7??6c8f00=zs8<96=4?:38243e=m;=0qv?92;294?4=999e;g04>{|9?81<7>52;331c<68;l0qv?92;294?4=99?n6<>=d:x534=83:1>7??5e8247d7>50;09553d28:956st17094?6=:3;;9o4>0358y~71:3:1<7<5117b>465=2wp=;<50;296?77=00:{|9?81<7>52;3315<688i0qv?92;294?4=99>m6<>>a:x534=83:1>7??4d8244>7>50;09552c28:::6st17094?6=:3;;8n4>0068y~71:3:1<7<5116a>466:2wp=;<50;296?774}z356?6=8381==:6:023a>{|9?81<7>52;df3?`>m2wp=;<50;296?`b=3l2n6st17094?6=:3l?>7k64:x534=83:1>7h;0;g:5>{|9?81<7>52;3325<68:;0qv?92;294?4=99?:6<>>e:x534=83:1>7h;3;g:2>{|9?81<7>52;d55?`?;2wp=;<50;296?`4=3l>j6st17394?6=:3;;;o4>a:x537=83:1>7??83817>{|9?;1<7>52;33<3<5?2wp=;?50;296?770h09n6st17394?6=:3;;4k4<0:x537=83:1>7??92800>{|9?;1<7>52;33==<412wp=;?50;296?771j08h6st17394?6=:3;;m<4;2:x537=83:1>7??a4872>{|9?;1<7>52;33ed<3j2wp=;?50;296?77il0?j6st17394?6=:3;;n>4:4:x537=83:1>7??b686<>{|9?;1<7>52;33ff<2l2wp=;?50;296?77k90==6st17394?6=:3;;o8496:x537=83:1>7??c885e>{|9?;1<7>52;33g`<1n2wp=;?50;296?77l;07??dc84g>{|9?;1<7>52;33`c7??ee8;a>{|9?;1<7>52;33b4<>:2wp=;?50;296?77n?02;6st17394?6=:3;;jl46b:x537=83:1>7??fg8b4>{|9?;1<7>52;32467?>108a6>{|9?;1<7>52;32502wp=;?50;296?769h0in6st17394?6=:3;:=h4mf:x537=83:1>7?>228`0>{|9?;1<7>52;32624k6:x537=83:1>7?>3`8gb>{|9?;1<7>52;32047?>578246=zs8<:6=4?:38250b=99i0qv?91;294?4=98{|9?;1<7>52;3237<6:>1vw<8>:183>7<69>31=>>4}z355?6=8381=<6?:01:?x}6>80;6=4=:03;3?73:2wp=;?50;296?760l0:8o5r{042>5<72;0:=4;51468y~7193:1<7<510;`>43c3tq::<4?:181>47f;3;=:6st17394?6=:3;:ml4>6g9~406290;6?4>1c3952>50;0954d?282:7pu>6083>5<528;ih7?76:x537=83:1>7?>c382{|9?;1<7>52;32`0<61l1vw<8>:183>7<69mk1=l=4}z355?6=8381=80;6=4=:03f7?7fk2wp=;?50;296?76m>0:n=5r{042>5<72;0:=hm51c78y~7193:1<7<510d3>4d>3tq::<4?:181>47a=3;ii6st17394?6=:3;:j44>c39~406290;6?4>1gg95f150;09576528ii7pu>6083>5<5288;;7?k0:x537=83:1>7?=0c82`1=zs8<:6=4?:382646=9m30qv?91;294?4=9;;>6a;3f7>{|9?;1<7>52;315c<6m11vw<8>:183>7<6:;>1=hj4}z355?6=8381=?<6:0d1?x}6>80;6=4=:001a?7a?2wp=;?50;296?75;:0:jn5r{042>5<72;0:>>952128y~7193:1<7<5131a>7633tq::<4?:181>443838;56st17394?6=:3;9894=0e9~406290;6?4>25;964450;09572c2;;=7pu>6083>5<5288>>7<>b:x537=83:1>7?=57815c=zs8<:6=4?:38260d=:;>0qv?91;294?4=9;?m6?<7;|y224<729096<<94;01`>{|9?;1<7>52;312=<5;81vw<8>:183>7<6:?n1>>84}z355?6=8381=?9>:31b?x}6>80;6=4=:0042?44n2wp=;?50;296?75?h098>5r{042>5<72;0:>:h525:8y~7193:1<7<513:0>72d3tq::<4?:181>44?038>=6st17394?6=:3;94i4=579~406290;6?4>283960g50;0957?12;?m7pu>6083>5<52882m7<93:x537=83:1>7?=9g812==zs8<:6=4?:3826d5=:?i0qv?91;294?4=9;k36?9>;|y224<729096<{|9?;1<7>52;31f4<5?h1vw<8>:183>7<6:k?1>:k4}z355?6=8381=?ln:3:0?x}6>80;6=4=:00aa?4??2wp=;?50;296?75k:094n5r{042>5<72;0:>n952828y~7193:1<7<513a`>7?23tq::<4?:181>44c838256st17394?6=:3;9h84=9d9~406290;6?4>2e;96d450;0957bb2;k<7pu>6083>5<5288n>77?=e881f7=zs8<:6=4?:3826c6=:k30qv?91;294?4=9;l<6?m?;|y224<729096<{|9?;1<7>52;3040<5kl1vw<8>:183>7<6;9i1>i;4}z355?6=8381=>?<:3f`?x}6>80;6=4=:012e?4b;2wp=;?50;296?74:809il5r{042>5<72;0:??652g38y~7193:1<7<5120g>7`13tq::<4?:181>454:38mn6st17394?6=:3;8?;4=fg9~406290;6?4>32`975250;0956272::27pu>6083>5<5289?97=?e:x537=83:1>7?<4`8056=zs8<:6=4?:38271c=;8=0qv?91;294?4=9:?86>?l;|y224<729096<=:7;114>{|9?;1<7>52;301f<4:<1vw<8>:183>7<6;?:1??74}z355?6=8381=>8::20f?x}6>80;6=4=:015=?54:2wp=;?50;296?74>l08?:5r{042>5<72;0:?:<532`8y~7193:1<7<51254>6273tq::<4?:181>450j39?86st17394?6=:3;84=4<489~406290;6?4>397971c50;0956>f2:?87pu>6083>5<52893j7=:8:x537=83:1>7?<95801a=zs8<:6=4?:382788;|y224<729096<=n3;15g>{|9?;1<7>52;30e=<4?81vw<8>:183>7<6;hi1?:;4}z355?6=8381=>l>:25b?x}6>80;6=4=:01a1?50m2wp=;?50;296?74jh084>5r{042>5<72;0:?ok53958y~7193:1<7<512a0>6>d3tq::<4?:181>45d0392=6st17394?6=:3;8on4<949~406290;6?4>3e39750;0956b22:3n7pu>6083>5<5289om7=n3:x537=83:1>7?l>;|y224<729096<=jd;1a2>{|9?;1<7>52;30b7<4jk1vw<8>:183>7<6;o=1?n>4}z355?6=8381=>hm:2a7?x}6>80;6=4=:0634?5d12wp=;?50;296?738=08oi5r{042>5<72;0:8=753e08y~7193:1<7<5152g>6b13tq::<4?:181>426:39on6st17394?6=:3;?=:440`97`250;0951472:o27pu>6083>5<528>997=je:x537=83:1>7?;2`80b6=zs8<:6=4?:38207c=;o=0qv?91;294?4=9=986>hl;|y224<729096<:<8;635>{|9?;1<7>52;377a<38?1vw<8>:183>7<6<=818=l4}z355?6=8381=9:9:52e?x}6>80;6=4=:067f?26<2wp=;?50;296?735<72;0:88:540f8y~7193:1<7<5157;>1463tq::<4?:181>422l3>9:6st17394?6=:3;?:?4;2c9~406290;6?4>475906650;09510d2=9>7pu>6083>5<528><=7:7?;77877c=zs8<:6=4?:38202d=<=>0qv?91;294?4=9=2;69:6;|y224<729096<:75;67a>{|9?;1<7>52;37<<<3=;1vw<8>:183>7<6<1o18894}z355?6=8381=97=:57a?x}6>80;6=4=:06:3?2182wp=;?50;296?731k0?:95r{042>5<72;0:8l>547;8y~7193:1<7<515c7>10c3tq::<4?:181>42f13><>6st17394?6=:3;?mi4;779~406290;6?4>4c0902d50;0951d12==m7pu>6083>5<528>in7:74:x537=83:1>7?;bg87<==zs8<:6=4?:3820f2=<1n0qv?91;294?4=9=i3697>;|y224<729096<:ld;6:2>{|9?;1<7>52;37`4<31h1vw<8>:183>7<680;6=4=:06gb?2f02wp=;?50;296?73m:0?mn5r{042>5<72;0:8h654c38y~7193:1<7<515g`>1d23tq::<4?:181>42a93>im6st17394?6=:3;?j84;bd9~406290;6?4>4gc90f550;0951`b2=i<7pu>6083>5<528?;?7:lc:x537=83:1>7?:0687`5=zs8<:6=4?:38215e=5;6ga>{|9?;1<7>52;365<<3m;1vw<8>:183>7<6=8o18h94}z355?6=8381=8<=:5ga?x}6>80;6=4=:0713?2a82wp=;?50;296?72:k0?j95r{042>5<72;0:9>>54g;8y~7193:1<7<51417>1`c3tq::<4?:181>43403?;=6st17394?6=:3;>?n4:049~406290;6?4>553915g50;0950222<:n7pu>6083>5<528??m7;>3:x537=83:1>7?:4d8652=zs8<:6=4?:382105==8i0qv?91;294?4=9{|9?;1<7>52;3625<2:01vw<8>:183>7<6=??19?k4}z355?6=8381=886:411?x}6>80;6=4=:075a?34?2wp=;?50;296?72?;0>?o5r{042>5<72;0:9:955528y~7193:1<7<5145a>0233tq::<4?:181>43?83??56st17394?6=:3;>494:4e9~406290;6?4>59;910450;0950>c26083>5<528?2=7;:a:x537=83:1>7?:97861c=zs8<:6=4?:3821{|9?;1<7>52;36e=<2?81vw<8>:183>7<6=hi19:;4}z355?6=8381=8l>:45b?x}6>80;6=4=:07a1?30m2wp=;?50;296?72jh0>4>5r{042>5<72;0:9ok55958y~7193:1<7<514a0>0>d3tq::<4?:181>43d?3?2<6st17394?6=:3;>on4:949~406290;6?4>5e29150;0950b22<3n7pu>6083>5<528?o57;n2:x537=83:1>7?:dd86e2=zs8<:6=4?:3821`3==ho0qv?91;294?4=9{|9?;1<7>52;36bd<2k:1vw<8>:183>7<6>9;19no4}z355?6=8381=;>7:4f2?x}6>80;6=4=:043b?3c02wp=;?50;296?719?0>hk5r{042>5<72;0::0cc3tq::<4?:181>405j3?m86st17394?6=:3;=??4:fc9~406290;6?4>62;925450;0953272?:27pu>6083>5<5280:x537=83:1>7?94d8552=zs8<:6=4?:382203=>8o0qv?91;294?4=9??h6;<:;|y224<729096<893;41g>{|9?;1<7>52;352d<1;:1vw<8>:183>7<6>>;1:>o4}z355?6=8381=;97:762?x}6>80;6=4=:044`?03>2wp=;?50;296?710:0=8n5r{042>5<72;0::5656438y~7193:1<7<517:f>3303tq::<4?:181>40>;3<>o6st17394?6=:3;=5449639~406290;6?4>68g923150;0953g32?6083>5<5287?9ag853==zs8<:6=4?:3822g2=>>n0qv?91;294?4=9?hj6;6<;|y224<729096<8mf;4;<>{|9?;1<7>52;35g0<10l1vw<8>:183>7<6>jk1:4=4}z355?6=8381=;j?:7;:?x}6>80;6=4=:04g1?0>m2wp=;?50;296?71lh0=m>5r{042>5<72;0::h>56`;8y~7193:1<7<517g5>3ga3tq::<4?:181>40bj36g692gb50;0953`?2?i:7pu>6083>5<5287?80585ga=zs8<:6=4?:38235g=>m90qv?91;294?4=9>;;6;j6;|y224<729096<9>5;4ga>{|9?;1<7>52;345g<1m=1vw<8>:183>7<6?;;1:ho4}z355?6=8381=:<8:7d3?x}6>80;6=4=:051`?0a>2wp=;?50;296?70;;0=jo5r{042>5<72;0:;>957128y~7193:1<7<5161`>2623tq::<4?:181>413:3=;n6st17394?6=:3;<8:48119~406290;6?4>75f934050;0952342>;h7pu>6083>5<528=>479=1:x537=83:1>7?85d8462=zs8<:6=4?:382334=?;h0qv?91;294?4=9><<6:=?;|y224<729096<99c;501>{|9?;1<7>52;3434<0;h1vw<8>:183>7<6?><1;>h4}z355?6=8381=:9m:667?x}6>80;6=4=:05;4?1312wp=;?50;296?700<0<8h5r{042>5<72;0:;5o57418y~7193:1<7<516:e>23?3tq::<4?:181>41><3=>h6st17394?6=:3;<5448639~406290;6?4>78d933>50;0952g32>6083>5<528=j57982:x537=83:1>7?8ae8433=zs8<:6=4?:3823g5=?>i0qv?91;294?4=9>h<6:6?;|y224<729096<9md;5;2>{|9?;1<7>52;34g6<00j1vw<8>:183>7<6?j=1;4>4}z355?6=8381=:mk:6;5?x}6>80;6=4=:05g6?1>j2wp=;?50;296?70l>05<72;0:;il57`68y~7193:1<7<516g3>2g>3tq::<4?:181>41b<3=jh6st17394?6=:3;7g293g?50;0952`22>hn7pu>6083>5<528=mn79l4:x537=83:1>7?70084gd=zs8<:6=4?:382<53=?jo0qv?91;294?4=91:i6:j;;|y224<729096<6>0;5g=>{|9?;1<7>52;3;53<0lo1vw<8>:183>7<60831;h<4}z355?6=8381=5?l:6g6?x}6>80;6=4=:0:2b?1b02wp=;?50;296?7?:;05<72;0:4?;57dg8y~7193:1<7<5190;>2`63tq::<4?:181>4>5j32?o6st17394?6=:3;3?<47539~406290;6?4>8249<0150;095=5d21?o7pu>6083>5<5282?=7692:x537=83:1>7?7448;23=zs8<:6=4?:382<1d=0?i0qv?91;294?4=91?:659=;|y224<729096<6:6;:43>{|9?;1<7>52;3;1d:183>7<604}z355?6=8381=58::9:5?x}6>80;6=4=:0:5f?>?k2wp=;?50;296?7??9035<5r{042>5<72;0:4:;58848y~7193:1<7<5195b>=?e3tq::<4?:181>4>0n32j<6st17394?6=:3;34947a49~406290;6?4>89;950;095=>b21km7pu>6083>5<52822?76m4:x537=83:1>7?7998;f<=zs8<:6=4?:382<{|9?;1<7>52;3;e`:183>7<60k>14i;4}z355?6=8381=5l7:9f:?x}6>80;6=4=:0:ag?>cl2wp=;?50;296?7?k903i<5r{042>5<72;0:4n:58d78y~7193:1<7<519a;>=c>3tq::<4?:181>4>dk32nh6st17394?6=:3;3h=47f09~406290;6?4>8e6950;095=b?21l27pu>6083>5<5282oo76id:x537=83:1>7?7e38:46=zs8<:6=4?:382<`>=1930qv?91;294?4=91on64>i;|y224<729096<6i4;;21>{|9?;1<7>52;3;bd<>9k1vw<8>:183>7<619:15??4}z355?6=8381=4>9:804?x}6>80;6=4=:0;3g??5l2wp=;?50;296?7>9902?<5r{042>5<72;0:5<:59278y~7193:1<7<5183b><5e3tq::<4?:181>4?6m338j6st17394?6=:3;2>946449~406290;6?4>93c9=1d50;095<5720?:7pu>6083>5<52838:77:7:x537=83:1>7?63b8:1a=zs8<:6=4?:382=14=1?90qv?91;294?4=90>36486;|y224<729096<7;e;;5b>{|9?;1<7>52;3:11<>?<1vw<8>:183>7<6180;6=4=:0;51???>2wp=;?50;296?7>>0024l5r{042>5<72;0:5;j599g8y~7193:1<7<51852>4?0=332:6st17394?6=:3;2;4469`9~406290;6?4>96f9=50;095<>520k87pu>6083>5<52833477n9:x537=83:1>7?68e8:e`=zs8<:6=4?:382=<4=1k90qv?91;294?4=903364l6;|y224<729096<76d;;aa>{|9?;1<7>52;3:e7<>k:1vw<8>:183>7<61h215n74}z355?6=8381=4oj:8ae?x}6>80;6=4=:0;a7??c<2wp=;?50;296?7>j002hl5r{042>5<72;0:5ok59ed8y~7193:1<7<518a7>4?d133nm6st17394?6=:3;2oh46eg9~406290;6?4>9e19=c250;0956083>5<5283oh77ie:x537=83:1>7?6e38b46=zs8<:6=4?:382=`1=i920qv?91;294?4=90oo6l>j;|y224<729096<7i3;c20>{|9?;1<7>52;3:b<:183>7<61ol1m?>4}z355?6=8381=l>;:`06?x}6>80;6=4=:0c3e?g5j2wp=;?50;296?7f8o0j?=5r{042>5<72;0:m<;5a248y~7193:1<7<51`3a>d5d3tq::<4?:181>4g593k?>6st17394?6=:3;j>:4n499~406290;6?4>a3f9e1c50;095d552h?87pu>6083>5<528k847o:9:x537=83:1>7?n3b8b1a=zs8<:6=4?:382e16=i?;0qv?91;294?4=9h>=6l88;|y224<729096{|9?;1<7>52;3b14:183>7<6i80;6=4=:0c55?g?:2wp=;?50;296?7f>?0j4:5r{042>5<72;0:m;o5a9`8y~7193:1<7<51`53>d?63tq::<4?:181>4g0=3k2:6st17394?6=:3;j;o4n9b9~406290;6?4>a929ed750;095d>32hk>7pu>6083>5<528k357ona:x537=83:1>7?n8g8bf5=zs8<:6=4?:382e<3=ik<0qv?91;294?4=9h3i6lll;|y224<729096{|9?;1<7>52;3be2:183>7<6iho1mi74}z355?6=8381=ll>:`g1?x}6>80;6=4=:0ca0?gb=2wp=;?50;296?7fj>0ji55r{042>5<72;0:moo5ad`8y~7193:1<7<51``g>dcb3tq::<4?:181>4gd83km=6st17394?6=:3;jo>4nf`9~406290;6?4>ab49ecb50;095de>2k:;7pu>6083>5<528kho7l?3:x537=83:1>7?ncg8a43=zs8<:6=4?:382ea3=j9i0qv?91;294?4=9hnj6o?>;|y224<729096{|9?;1<7>52;3ba0:183>7<6ilh1n?<4}z355?6=8381=lh?:c0b?x}6>80;6=4=:0ce2?d4;2wp=;?50;296?7fnj0i?n5r{042>5<72;0:n=<5b578y~7193:1<7<51c2;>g2b3tq::<4?:181>4d7l3h>:6st17394?6=:3;i=>4m5g9~406290;6?4>b0:9f3150;095g7b2k=;7pu>6083>5<528h9>7l87:x537=83:1>7?m268a3c=zs8<:6=4?:382f7e=j1=0qv?91;294?4=9k9:6o6i;|y224<729096{|9?;1<7>52;3a7f:183>7<6j=81nl74}z355?6=8381=o:8:c`2?x}6>80;6=4=:0`7`?de?2wp=;?50;296?7e5<72;0:n8?5bc`8y~7193:1<7<51c70>gdc3tq::<4?:181>4d2=3hij6st17394?6=:3;i9:4mc09~406290;6?4>b4;9ff550;095g3e2ki>7pu>6083>5<528h>h7ok3:x535=83:1>7?m5d8b`1=zs8<86=4?:382f0`=im?0qv?91;294?4=9k<36ljl;|y226<729096{|9?91<7>52;3a2d:183>6<6j>91=;4>8:x537=83:1>o4>b669f71=j::1n>75b509f1d=j<91n8m5b769f3b=j>>1n:m5b969f=e=j0?1n4j5b`49fdc=?o>1;k657ga9<56=09>14=6581a9<46=08>14<6580a9<76=0;>14?6583a9<66=0:>14>6582a9<16=0=>14965ab09ec2=io=0qpNOPzCD \ No newline at end of file Index: github/VHDL-Pong-master-2/Pong_pad.csv =================================================================== --- github/VHDL-Pong-master-2/Pong_pad.csv (revision 428) +++ github/VHDL-Pong-master-2/Pong_pad.csv (nonexistent) @@ -1,131 +0,0 @@ -#Release 12.3 - par M.70d (lin) -#Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -#Thu May 8 17:21:04 2014 - -# -## NOTE: This file is designed to be imported into a spreadsheet program -# such as Microsoft Excel for viewing, printing and sorting. The | -# character is used as the data field separator. This file is also designed -# to support parsing. -# -#INPUT FILE: Pong_map.ncd -#OUTPUT FILE: Pong_pad.csv -#PART TYPE: xc3s200a -#SPEED GRADE: -4 -#PACKAGE: vq100 -# -# Pinout by Pin Number: -# -# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, -Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,Drive (mA),Slew Rate,Termination,IOB Delay,Voltage,Constraint,IO Register,Signal Integrity, -P1,,,TMS,,,,,,,,,,,, -P2,,,TDI,,,,,,,,,,,, -P3,,DIFFMLR,IO_L01P_3,UNUSED,,3,,,,,,,,, -P4,,DIFFSLR,IO_L01N_3,UNUSED,,3,,,,,,,,, -P5,,DIFFMLR,IO_L02P_3,UNUSED,,3,,,,,,,,, -P6,,DIFFSLR,IO_L02N_3,UNUSED,,3,,,,,,,,, -P7,,DIFFSI_NDT,IP_3/VREF_3,UNUSED,,3,,,,,,,,, -P8,,,GND,,,,,,,,,,,, -P9,,DIFFMLR,IO_L03P_3/LHCLK0,UNUSED,,3,,,,,,,,, -P10,,DIFFSLR,IO_L03N_3/LHCLK1,UNUSED,,3,,,,,,,,, -P11,,,VCCO_3,,,3,,,,,2.50,,,, -P12,vgaVS,IOB,IO_L04P_3/LHCLK2,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P13,vgaR,IOB,IO_L04N_3/IRDY2/LHCLK3,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P14,,,GND,,,,,,,,,,,, -P15,vgaHS,IOB,IO_L05P_3/TRDY2/LHCLK6,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P16,vgaG,IOB,IO_L05N_3/LHCLK7,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P17,,,VCCINT,,,,,,,,1.2,,,, -P18,,,GND,,,,,,,,,,,, -P19,,DIFFMLR,IO_L06P_3,UNUSED,,3,,,,,,,,, -P20,vgaB,IOB,IO_L06N_3,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P21,,DIFFMI_NDT,IP_3,UNUSED,,3,,,,,,,,, -P22,,,VCCAUX,,,,,,,,2.5,,,, -P23,,DIFFMTB,IO_L01P_2/M1,UNUSED,,2,,,,,,,,, -P24,,DIFFMTB,IO_L02P_2/M2,UNUSED,,2,,,,,,,,, -P25,,DIFFSTB,IO_L01N_2/M0,UNUSED,,2,,,,,,,,, -P26,,,VCCO_2,,,2,,,,,any******,,,, -P27,,DIFFSTB,IO_L02N_2/CSO_B,UNUSED,,2,,,,,,,,, -P28,,DIFFMTB,IO_L03P_2/RDWR_B,UNUSED,,2,,,,,,,,, -P29,,DIFFSTB,IO_L03N_2/VS2,UNUSED,,2,,,,,,,,, -P30,,DIFFMTB,IO_L04P_2/VS1,UNUSED,,2,,,,,,,,, -P31,,DIFFSTB,IO_L04N_2/VS0,UNUSED,,2,,,,,,,,, -P32,,DIFFMTB,IO_L05P_2,UNUSED,,2,,,,,,,,, -P33,,DIFFSTB,IO_L05N_2,UNUSED,,2,,,,,,,,, -P34,,DIFFMTB,IO_L06P_2/D7,UNUSED,,2,,,,,,,,, -P35,,DIFFSTB,IO_L06N_2/D6,UNUSED,,2,,,,,,,,, -P36,,DIFFMTB,IO_L07P_2/D5,UNUSED,,2,,,,,,,,, -P37,,DIFFSTB,IO_L07N_2/D4,UNUSED,,2,,,,,,,,, -P38,,,VCCINT,,,,,,,,1.2,,,, -P39,rightBtn,IBUF,IP_2/VREF_2,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE, -P40,,DIFFMTB,IO_L08P_2/GCLK14,UNUSED,,2,,,,,,,,, -P41,,DIFFSTB,IO_L08N_2/GCLK15,UNUSED,,2,,,,,,,,, -P42,,,GND,,,,,,,,,,,, -P43,clk50,IBUF,IO_L09P_2/GCLK0,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE, -P44,,DIFFSTB,IO_L09N_2/GCLK1,UNUSED,,2,,,,,,,,, -P45,,,VCCO_2,,,2,,,,,any******,,,, -P46,,DIFFSTB,IO_2/MOSI/CSI_B,UNUSED,,2,,,,,,,,, -P47,,,GND,,,,,,,,,,,, -P48,,DIFFMTB,IO_L10P_2/INIT_B,UNUSED,,2,,,,,,,,, -P49,,DIFFSTB,IO_L10N_2/D3,UNUSED,,2,,,,,,,,, -P50,leftBtn,IBUF,IO_L11P_2/D2,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE, -P51,,DIFFMTB,IO_L12P_2/D0/DIN/MISO,UNUSED,,2,,,,,,,,, -P52,,DIFFSTB,IO_L11N_2/D1,UNUSED,,2,,,,,,,,, -P53,,DIFFSTB,IO_L12N_2/CCLK,UNUSED,,2,,,,,,,,, -P54,,,DONE,,,,,,,,,,,, -P55,,,VCCAUX,,,,,,,,2.5,,,, -P56,,DIFFMLR,IO_L01P_1,UNUSED,,1,,,,,,,,, -P57,,DIFFSLR,IO_L01N_1,UNUSED,,1,,,,,,,,, -P58,,,GND,,,,,,,,,,,, -P59,,DIFFMLR,IO_L02P_1/RHCLK0,UNUSED,,1,,,,,,,,, -P60,,DIFFSLR,IO_L02N_1/RHCLK1,UNUSED,,1,,,,,,,,, -P61,,DIFFMLR,IO_L03P_1/RHCLK2,UNUSED,,1,,,,,,,,, -P62,,DIFFSLR,IO_L03N_1/TRDY1/RHCLK3,UNUSED,,1,,,,,,,,, -P63,,,GND,,,,,,,,,,,, -P64,,DIFFMLR,IO_L04P_1/IRDY1/RHCLK6,UNUSED,,1,,,,,,,,, -P65,,DIFFSLR,IO_L04N_1/RHCLK7,UNUSED,,1,,,,,,,,, -P66,,,VCCINT,,,,,,,,1.2,,,, -P67,,,VCCO_1,,,1,,,,,any******,,,, -P68,,DIFFMI_NDT,IP_1/VREF_1,UNUSED,,1,,,,,,,,, -P69,,,GND,,,,,,,,,,,, -P70,,DIFFMLR,IO_L05P_1,UNUSED,,1,,,,,,,,, -P71,,DIFFSLR,IO_L05N_1,UNUSED,,1,,,,,,,,, -P72,,DIFFMLR,IO_L06P_1,UNUSED,,1,,,,,,,,, -P73,,DIFFSLR,IO_L06N_1,UNUSED,,1,,,,,,,,, -P74,,,GND,,,,,,,,,,,, -P75,,,TDO,,,,,,,,,,,, -P76,,,TCK,,,,,,,,,,,, -P77,,DIFFMTB,IO_L01P_0/VREF_0,UNUSED,,0,,,,,,,,, -P78,,DIFFSTB,IO_L01N_0,UNUSED,,0,,,,,,,,, -P79,,,VCCO_0,,,0,,,,,any******,,,, -P80,,,GND,,,,,,,,,,,, -P81,,,VCCINT,,,,,,,,1.2,,,, -P82,,IBUF,IP_0/VREF_0,UNUSED,,0,,,,,,,,, -P83,,DIFFMTB,IO_L02P_0/GCLK4,UNUSED,,0,,,,,,,,, -P84,,DIFFSTB,IO_L02N_0/GCLK5,UNUSED,,0,,,,,,,,, -P85,,DIFFMTB,IO_L03P_0/GCLK6,UNUSED,,0,,,,,,,,, -P86,,DIFFSTB,IO_L03N_0/GCLK7,UNUSED,,0,,,,,,,,, -P87,,,GND,,,,,,,,,,,, -P88,,DIFFMTB,IO_L04P_0/GCLK8,UNUSED,,0,,,,,,,,, -P89,,DIFFSTB,IO_L04N_0/GCLK9,UNUSED,,0,,,,,,,,, -P90,,DIFFSTB,IO_0/GCLK11,UNUSED,,0,,,,,,,,, -P91,,,GND,,,,,,,,,,,, -P92,,,VCCAUX,,,,,,,,2.5,,,, -P93,,DIFFMTB,IO_L05P_0,UNUSED,,0,,,,,,,,, -P94,,DIFFSTB,IO_L05N_0,UNUSED,,0,,,,,,,,, -P95,,,GND,,,,,,,,,,,, -P96,,,VCCO_0,,,0,,,,,any******,,,, -P97,,IBUF,IP_0,UNUSED,,0,,,,,,,,, -P98,,DIFFMTB,IO_L06P_0/VREF_0,UNUSED,,0,,,,,,,,, -P99,,DIFFSTB,IO_L06N_0/PUDC_B,UNUSED,,0,,,,,,,,, -P100,,,PROG_B,,,,,,,,,,,, - -# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, -# -#* Default value. -#** This default Pullup/Pulldown value can be overridden in Bitgen. -#****** Special VCCO requirements may apply. Please consult the device -# family datasheet for specific guideline on VCCO requirements. -# -# -# \ No newline at end of file Index: github/VHDL-Pong-master-2/Pong.vhd =================================================================== --- github/VHDL-Pong-master-2/Pong.vhd (revision 428) +++ github/VHDL-Pong-master-2/Pong.vhd (nonexistent) @@ -1,110 +0,0 @@ -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use pongConstants.ALL; - -entity Pong is port( - clk50: in STD_LOGIC; - leftBtn: in STD_LOGIC; - rightBtn: in STD_LOGIC; - vgaHS: out STD_LOGIC; - vgaVS: out STD_LOGIC; - vgaR: out STD_LOGIC; - vgaG: out STD_LOGIC; - vgaB: out STD_LOGIC); -end Pong; - -architecture Behavioral of Pong is - component VGA port ( - clk25 : in STD_LOGIC; - hcnt : inout cnt_t; - vcnt : inout cnt_t; - hSync : out STD_LOGIC; - vSync : out STD_LOGIC; - blanking: out boolean - ); - end component; - - component paddle port ( - clk25: in STD_LOGIC; - hcnt: in cnt_t; - vcnt: in cnt_t; - leftBtn: in STD_LOGIC; - rightBtn: in STD_LOGIC; - paddlePos: inout cnt_t; - isPaddle: out boolean - ); - end component; - - component ball port ( - clk25: in STD_LOGIC; - hcnt: in cnt_t; - vcnt: in cnt_t; - paddlePos: in cnt_t; - isBall: out boolean - ); - end component; - - signal clk25: STD_LOGIC; - signal hcnt: cnt_t; - signal vcnt: cnt_t; - signal blanking: boolean; - signal paddlePos: cnt_t; - signal isPaddle: boolean; - signal isBall: boolean; - -begin - video: VGA port map (clk25, hcnt, vcnt, vgaHS, vgaVS, blanking); - user: Paddle port map (clk25, hcnt, vcnt, leftBtn, rightBtn, paddlePos, isPaddle); - orb: Ball port map (clk25, hcnt, vcnt, paddlePos, isBall); - - genClk25: process(clk50) - begin - if rising_edge(clk50) then - clk25 <= not clk25; - end if; - end process; - - render: process(blanking, isPaddle, isBall) - impure function isBorder return boolean is - begin - return vcnt < BORDER_WIDTH or -- top border - hcnt < BORDER_WIDTH or -- left border - hcnt > H_PIXELS - BORDER_WIDTH - 1; -- right border - end isBorder; - - impure function isGoalLine return boolean is - begin - return vcnt >= GOAL_Y_BEGIN and - vcnt <= GOAL_Y_END and - hcnt mod 4 /= 0; - end isGoalLine; - - begin - if blanking then - vgaR <= '0'; - vgaG <= '0'; - vgaB <= '0'; - elsif isBorder then - vgaR <= '1'; - vgaG <= '1'; - vgaB <= '1'; - elsif isPaddle then - vgaR <= '0'; - vgaG <= '1'; - vgaB <= '1'; - elsif isBall then - vgaR <= '1'; - vgaG <= '0'; - vgaB <= '0'; - elsif isGoalLine then - vgaR <= '0'; - vgaG <= '1'; - vgaB <= '0'; - else - vgaR <= '0'; - vgaG <= '0'; - vgaB <= '0'; - end if; - end process; -end Behavioral; -

github/VHDL-Pong-master-2/Pong.vhd Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: github/VHDL-Pong-master-2/Pong_guide.ncd =================================================================== --- github/VHDL-Pong-master-2/Pong_guide.ncd (revision 428) +++ github/VHDL-Pong-master-2/Pong_guide.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###4292:XlxV32DM 3fdc 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###2992:XlxV32DM 3ffd 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###4748:XlxV32DM 3fff 1274eNqtmllvHDcSx7+MHmTrIbzZx2ahSSQhXmjtXUs5vA8W+gSEBaRknTWy0Hg++/KqZrGnKc+04gDRdBXrTzb5Kx7d/fm+Hx6/+dw9/P4X/lcq6s/4+u7Xpvv3HaNkZv7P7z22iFmgCIE0DRR7gXIWKJdrlHuBahaolmtUe4F6FqiXa9R7gcUssFiusdgLLGeB5XKNpQ3skOWOJFc0uWLJFU+uRHIlkyuVXOnkqkiuSsrwZfPQE/MvuZXJSGmxaL67+ZnQJuv65h2jzzihf/lCGUblojVj5zpjLxdviHOqsPnh8Xfbrrv7h8+0zzhCa001v1FCivqPjn9ihDRC1tuLy+vNh7vNxd/uNre3779790t19eaXy4vqzXc/Xt1550+b6x8vq4vrD6R6c3WxZ9xu2Wh03r/56RIkKKvevIOL65++//u7Gyarm+vLn83/3v283Qpdb6+ufrl78/bNrau4sr9IZW03773l5r0pWl1vbr//4e7d+7urK+Otbj68/d67N/anUVIvVgpCrkkfFoQ+rGrSi5S8kBmx7X4/Gjuttzc/3vzj8u1FxW9uN7eXZgyMbXvx7enp6W5DX59u2OsNf/Xq7NRcbJj5sRGvtnRIyuw23JqNj756vTOltlTjAkbAuZynWPL4IBVcuF5X3dxh6jo3Xtd+cBiFsz0bN+WsMou23VQwMdqGpG2wkaY67qpDsszL0ldbMQab6wXzf2bLn+1cX9iCtmdceOjAUAasvgxEmH9bLvYUXdNA0hqdNbTXi2/ZgMO8qHf5GBNx5kYpVBQHeTnA3THjszITB07PtusVGundfNTYnifeg78Cjb2admlVoaZisdRSVedIwFyZmzuHG+9xyWQ0pvJnp4HnvZ6F8qEfISD23vxedunNhO5ZKHU2JREaAc5QKYvtKebsdYLZ2YQQfzZotxzFFqOgewCbZLAtgjArpCNghzbW6q/CnZv2SVRyN2vgLk2fWLWpoUnikip2UMcuVELRsAFH4WbDXNP5AssZNU0DE6zcgRaF0/Q8DW2YRWIypgkEcTBNQRjomUKEcUt5dExgbeON4PnL3nbScFYgxy4Z2LMZwlgjbQBNHL61SHcXSkNXzF27qMRSl5PqJ5sfoun6/DRIh14+T2/cTfGxsA+Gpsbsj5V6m5OaVJhbUPrpamcneLiDHXCwiyA02JVO1FOeoNl1Noa7dMhZYk17Ns48kaVy7tpFX7PkgyGJDZvxgSTP4q2G2+HIB1N/GjEf32bJB40QM+cOD7+12hH0EJLwl259yzd067vFFNvaZm2/nJ//Stu+qc/P/6CKV1eVrujTzTBWZsteUdJVlHNJ+67iVPLx6YERXtHa/BGVqk8YMYXGsf5yM/RLEYLUN5S0lSBFRRIHr23Ivr1ZtmuZseuMvah7ez/G+Nz91CfUukZSn3SjUenqB0rGSnLVDtrMVieUDM58Yg5xNtz8UOaHMmeCWyoaq27+Y7p9to5RVa2o/0ml6aSnL+Zva/+eUFlUraxPBm3dphgPdY2skgW1P6j5QewPYXudKgptG+v/UTlWfCjsj8H9uKakr9TTCaOqMrKsall9witGdH0iKmawOlHmj2lR4f58+YGas9+/6Kgr9jSSqmeVNQkjJEzjvZAJMm6D/kNpmvCl9b1aVI1pEVVPv1HJ6t+oGGoTyetH0Vv3D3SU9X+pbEzltTXSPeO9IOW+kdF2wWiGZEFTLRg7umQUe8aWtdIMnKpEVT4ZCIZqtCMgxqrQ9X1PTED9aKzmz73pHvfHImbGzHRDp0yrxi5ed/a6j9eDq8H2hK2aPDll2hEzhFJXpRkPKkkle/vD1NJ39X0zulpaXzUVpbukra3FdLtt70yNBjU5V+sPULO3xrEaC2pFUGvHoDbU953vgS72QG964HGUoDbO1ZqgpmZqAwtqQaaoU9kmyn7iwo4we/rW6ndF/XHsjVUUthO4tcqqGWvv1cbbGa9vCvNec7vebUbeyglwDV6ucHIU5HiQG7ycdnIDxHCQG7ycHxCoSZT1x2FwZo4ijNn5W2JclNDoMpaPvbHa3tMZEov6fmj2SAyIiTqD5BKJKkciX0OizpFIV5DYkRyJIkdiYEXUzyDpJoJFJFkOyedILMPIGVlEYgPoaI+O9U4kdtNo64COsQQSNcgRTKIGORXkCCLR9RPz3iBHgESNakIkKhThSdSeRMSuciQOjSexzJBYLpIYAFzkrnfcFRnuinENd2WGu6Jfwx3LcUe+MgNiyniGsmI4jLIyoQzmLiOLKBsAizJgwTFl03RTAhYcKOtBjmHKOpArghzDlDGQK0COAWUlqglRVqAIT1kJlOnowpSZ3U9VmpHsZpQ1X6GsjLBl1t3WXodYN/6mtibHYLeGwTbHYLuGQZFhkE5IdwxB6PuhK2cQ7u0MGlCBW+woohDhp2uQu+8arPqJS1gtjbwR/Gh3+Z9ESZHVMDAOziqRFcDlbJoAW0saACujNRAmA7ByEhdevHTiBFkBY86m6bDx4h5fEa1BXAC+LaoX4dugCI9vC/iW0YXx7TP4trBxfIbiwKmsA8548W5mi/tX95ddjuxmDdl9juxiDdl27ZGLZEOitP2zG0wJaMbV3TMaV3+UAjo3D5eQAXzFBlTC3GYi4oSsp2W/DzOoxhPyNOn1gKD2CKI0UT6lGleJQtYF6hWavMsSWeOkPS30HVSpgPoetQZR36EIT30P1LfRBdSbdGPRatPNb12tmVbNQi50kATZ01M5o72ZZUeX7CU8/WFyj5P9I/WLwiMjbsxa2vTuzB9JaIeAWVM/elwt7CKXOmuOZpxnYYdtQE++dppKYBcz2CWGnbPcfK/hKFjSZdrLOl1F4jIwQS9KANjUg6b4BlmnVJiWA85iCkRYuNs7fDSDk8Br+mvCPyaFte7jzznGv0PWCX8+bYFEwJ/DFogp5LL4UyeP0kJEyGkTDnsWImN1XgO7BV3bpwWF2Ru3Kehl++c8LTAgFwnITOTObJSGvUHLD0dU5M5slK7ZuIgxt3FpplPbcPjzA0ky0zdtXvAAweiWM91+uusu7cNB7t+0BpVmrgI3a5+ouWiVi/7ENSAo7QZDQzZYooK99HbqNzYKskQStJdyNNg1R4SjpiRozfH9570+A6Q7G7pKLcFGFpYyMaJdlIBnKSIcOcWIEsuPsvd6WTEG2dLLsukkK5KjZ2wuHD2F9iklG7/OcNnEIt5ToociXOtMwnWrHooclXlFNvPKFauDKLOpp1eknqTZ1Cte8sBEsmwONi/KwTabg/ON5bNJ2GWTUB2QhEC/7NIkBHztE3mchFN5tNpxBSdxEU7ikuEkZNEbkpCFbOlCEkpYRCXFSQjPfUQ4kUuKklDS6A2yNMi2kIQwZYjkZO44hkifhGVItS5s9nDV3tOiow7XZSYJ+8OfBy0lWJNNMLkmwdpsgvE1CcazCdYd/mRIilw6teorj4awSp9NHnFM8gzZ5GEHJM9E7ZAmz7RS9ZnkEXgFmxaTNlAucPKI6A2Ui0D5sJc8HCdPD4FNkMVbQz+W3htkeZDtIXngvCOS5wKiQZE+edqQIgMkTxmLeE+fJE+bSZ7hgOTJP99aSKcum050TTrlHgRQMq5JJ5lLp04dkU4ql05dceTqpJPVacwmGDkiwRTJJRgZDkgwONbYV8s4wQBN08rlBFMowWIKhccSUuEEU9EbMkH5TDCVzhNMogSL608XZCVOMBm9QVaGBBshweCsJZJHEKJDkT7BwqnLNCgkWBuL+AQbkwSzD+K0OXg3swQbFx8+fH1ZGnJ5RPoVeSR1FvzmCPCLHPjDcPg6omgOc9IdgznLYt4egDm8SzUyCeYAiGnlMuYFxhxbI97I6qlme1RrTPWUDkOgWmOqdfQGqnWQpUA13Iwt4vA1NQZs+xjtgaYIW8HH5ZdsnD/3KCH7clfwHLa6PZ5a27rl2V+Xx0/+QhSZHNCHb6WEKDMpoJvjX+X6zwfMwFnZiSpJw9be3r/lwXmBLgEbbDd2lgdr8c90CwpyRcRL0g7khiBXRLx8rzDvDXJFkOMjqmmaLP0YQ4SlzfrTjwqsK56fhaAZysSf8FGBfbkrBMlxt+IZqm1vhju5hrsmx91LzshCtDkS1fGve/3nAw6dFpHIwopqe8Sj02ISW4ihgE4LJGpwNYhExkCOBLkGkzg1gYBcE+QERTVFEgVBEY5EQT2JkV1B8HwneIZE+RISLYAsByBfAyDPAUjXANjlABQvArDPAcgO3QyEDwrcOPWYuxZA4QGUHnPXQwwHUHrgrgNXh7krQI4FuQ5zN8UwkOuAO45qQtwxFOG544G7SCpLuMt84MfVMdzJBe5yL4/UuIa73Hd9ql/DXe67Pk2O5E4m3OU+8FPD4dzBmx4h0Od8klMARQZQRszdNKdIAGWEt6nTTDRg7mAhFyLIDZi7CX0BcgNwJ1FNiDuBIjx3Erjj0YW407n9nT6GO57/nE9kXw2pNTu+7KshtWbHJ3Of86nuSAA5BlDmPudTa/aA8B5RSIpJ1ICOf8ciJPqcT8hplgnvWISkQCJsDyX6nE9yAXIqyKHP+Xw/Me8NcuFzPgtQrAmRqFCEJ1EDiTK6EIlSLJMo9QEnjcwTKLf1k7mVV6z4pkpIkQFQNGsA7DMAiv7wI8feA1PATbRHPm3COz5/ynCgDAsfNNuO8KAMmLspBqYsGaYsf8pwrn7hg2Y7Sl6ux9zBQi5h5ZWwkMMXX66myN3/AUdrMrs=###4184:XlxV32DM 3fff 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###4396:XlxV32DM 3fff 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###3844:XlxV32DM 3fff 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###4448:XlxV32DM 3fff 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###3832:XlxV32DM 3fff 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###4064:XlxV32DM 3fff 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###6816:XlxV32DM 3fff 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###4364:XlxV32DM 3fff 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###4412:XlxV32DM 3fff 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###4412:XlxV32DM 3fff 1124eNqNW0uW7SgO3BIfY8OrdfQCbIOHNetRndp7+1oKPnFxZufkvUhkEEgKhCCN2Uzx1/2TjTnN/bNYUz7/brGcn98DZ8WbNfGDgylH3w75kKT9/tmf35O8Dfd/759knH1+z/LmKk8/xq0fzPJntNvz3ZWf/k5rj0fOFDvTj+V5PB+vdD2KyTxckvGWz/8efOVPczEuzPRjee4f6+ONOWS8XMbxivvAGGX9WZ83+aDywLDfb/J7yssHG9UH2L3ox/KLlXna6Pzn3xJHjPlive55XT3m9WL5f//9227n+cf88f/8bcP2J5W//mvD+sf99R8bwh/r/vHW75d2G015zAO8K5Zu8t1Nlm68Gbpxh//HL977/fj41sfBvBo8iiJpE4djjAVY9fdv2ES3/ISdYh+u7QkkI44Kh8sRATnKY8Fd7U8XMIpD1gVX3NplZVq7YARQ0gA20eYesz4IgFwdEO0yXmsfx9/UgTD+Rg4YFV8mhx6j/SagJIEn7cBw8DVK4GUzYrRfxiYZVwgP+AhX0IBOP80nRrfN5E8retSANxLA5ZB5h3Ctjz7Glpk898/yN3NEIb5rIFzYj8dj+UMJMB0lTu39izzi4/7qmtmT/ZflL3M949jkzpk8z5/lF5sf7Gt8jPYFYQULQhnl2V5f8lHkTdZ4J3/Zo2wkIc/luX+W32M2lzB0mfk79/cmn5XZOB6MzmfPYi+WzwlxI3qxPPsjyzM/Mt/w/FlemLjcTFyEiV0emTg9TGwbEzsPpsXWKZ5R1DOupJFkhAGDF8ZifG8pTlIQN8Vg1k2/g2fcdh88bY+q1wvezOiJ+D4dZ5EURCKLMSxVjKw4f4/229OPfqXvSHnWpwRYTjydMffH34Ppjijr8oa97jRsn6ipzh0p6wzDfkEj9Q2/zY/HA1PsxMRV/mV+b/6zGmGiHKyfYXx/mnHnw/jcH2NnhMmvo2ySYo34nrfsAJtEIuPm/6X0Ox38DTsdMMtjpwVmf8NOCsz+hp0YGOPf/rX3Oykw+8vNYwNme0O/1v9oL6w38Js9gLGzZcpkcs1kkGrbNJsPmNtle46pucizfVme+aqtv2DmG2RywGx/no8w6dWlxvtPqfH9WelT401PFk832bTU2O0vhLxmydV34yRANTWIhwYc4XjpGSD6Ka6psHl+XQ24Gi8BSOMxXvKlgSTjMoaDhPs00m+tKZil31rXfBkJZMIaALdjnz/holsvHABbNY/H+NDxKiETRv9BA/oNbzV1kPm31GHUhzEc+gAB0/d1AzC0IRD2cFjVq84XBE+ExHilWgNjpEY4K57RlZm+PD7O+usm9vzCGlCXps7cP/rj/t/Ge5v/pUT/hk9N2RmDMIBZXxBa03/091absFN/AaHz0avQ0arUo5X0v0fvxg1QMNsr1kP5F6GPG4Ji9kdsaEc9uo32edMH/PHlL6hhqDz0a/qPfARCBv6tP2FS26W220uRwWoqdvOgeCSlgutLauhj2R+GoO8rM0RiCsJZPfTte1SNkDodOlOrqWTULekLU3+MYdlTx783NNmCrRuKBrZ6whw7xZuVyEdqia3yHm2b4e6QekpqKjtRMLozKW6p64iXQ3A9dBMuOLzqIYpxrUoqA7/hRVMznp/3gjEeY6TGq1e7Ea7ME4mJCN9b9D7TB0yz1NRTiyKUegGj3USJRLQDsz3BBI6Y4KTU9jRf/nz2TAbM/h5a+/A94gntwLweYArgL39qRaxp/LytR1SmYnm2P683xw/Lc/8sX+en7XV+isGEgZgQ2CoTbtGvs/54fJbn+OPxkEmWwx8zee6P5YWJXZcarz+lxocRs9ZUWbF04ztCX36pGp9eFEKV+NzUAQhjwom2HsaeUmXGQXE96xpdENKHMQxmo19GLIQChwAGISAVASEAw0FXSj2AuwBYiBAWSh1OSh0GQnF0lgTurj0GwuCAR5W6EgZdg5xEGEw4jggDmNf/bb6HYpZne7T198vM3jUgFVu1Q95Gwq/281JjuO0l124knzI2DvFPlmf9WX7XAER/7C88HsvHWnU2aeYP/D3Ld7WqdeYvqDJvlFBAHlXmJfk0k+f5s3ytHVBtpG5Alxz9MtUKIY8qbNZ1ZXm2x7e8JIwumjzz9/9XPrzFwy/yp5X2TavEHC88Psu/2Qf8IUy8dExsRybebib2Pt2ptV4Qn+SZYF7GiKyLtj7Gu249SC138nSkBqgKOb2vQJXPHSLPmPtj3A7FYyRm9UQeDyvts6S+xosnIVVkjKJHCnpfwBipkhktif6rp3zdB4p+uL9KWrRhjPsG3M8w/poP4Zoq6lEHF+74vjLJi3441G2VuRmrJxKT43v2N8asz5d/1J1CPJ/XEzvVGc1UHjuhoaoq5lt30shFlnGnB2Z719RYcaffuFPS/epJh/jWPl/PtdpDixiRihiKOd6QiTT86p90yzDutCvttIh37LTA7B/cX7svHlN36I9bBRPGIhvk2T9YXpgwdKntmJOGO7UNLbU1mvljmheZHfjeoWVjj6IO41NPqiVojYowzO7MuAEC43IAWKaxtmmEPMvQN7XuZ5SH/RRviqWbretm+6mbPeqrIJxn+1J6jl039qdu8Mqkdtu/Msmp68bMbBOjk6pJfXKgb5+MXWY4eBv6agPj+tTkkromY4QMP/EoVEcElmnsbRrLaJvFfU49e40M7Fi4Z0TkEU7HqDZjqOX1ooIx1AQWNY9OzeMno+H+o7rQcP/RvTBaxvsP7z6Zxd6KrRowaqSo/MsY2u9UvN3pBAUsauROjeWn2eDIWmc3HFlL1806c0HTPpOCVhZXS6o9493oM7Awx1mf0yE/ZAw68EQPnujBD/Twuds6ZRp2nIYzT77n7mDSkwBOQsBHXI4ZbkX4IN6FE8pltvHkoJptki8V5WfkRyHYZYprZix8b7UE6Iz0z/0xxsoU8wuONkz11f0SeE9iqK3e4l6mx/VBn5amkG8At0sJlG5HzPpgv2/66UlITzL1ZK645Rso8SDfGB8Qwl5t4wrHbL2h/9v6sz5Yj0s9j+XXqOt3J6wzf+HvWf7wks+2Uv5oj9/k06F5lPoH+zvrw/LXJictS/kl7Mv+y/LcP/sD+sMrEbbn2/iQbyd9n3v/Aub5svzDFKW7vrZ+ZIr41OiM35f60klOVtDsgCcQ7jLHwVPAaavR+3QrlmPM4zGOesZP2j/j7mXbMRsfZ+li9Ek24XbdNv/eWzmJRWPtDN/ut8r3/ppivOzzq5/h9hR8HTLxw4yRetD1ZNb0pdXoBLM9upNHGp8uj0wCzOuJPQe4e48yMN0a2dO97fs/yDMx33opUOev/lFPdmKPSCe7tifivYu+rCT74KSz5nHnSXipSOv9m3w7OfoyRKJi2PcmjmMm/+YfkGf/5PVo18/umq13a1fmIvnVaE0puDyT5/mzPOYDvdkf8J7tpHbI49/Ly3sxlv+KL5LvmHGd+fPb/FieMeJHmNJ2TElv4Jcnp1rvw+Mmmlq1JGpS5+aPGe7OwOUnnF8wPA34xEOYIC+pziwzv3CLQbi77kvj9SLlRPQQIVGNARieeVKknuSp1ow5kzWUMxGTnN/X8YmuJ4f54I8grvoyb2TCQExaHzrV8UcmOomJ8UcaGB8Y8110pzgRuZgHYV7Pdr28hpk+8J+wjTsF5N/8D/I8Pssjp4nZrzN7FSOnkxpJJO+09nlF/DHKKM/rw/Itss068wfWn+XxEAxv0tlerA/Lv30P/+H4Yn/i+XzH49z+9Y+G8D0xPccT1o/lhalcx1TpJafL+kAnn8q5+jAC1VDG6yEYexbj+lpdL5IZtzedftD8zqmG04VVj8VMM60M5N++R06DPdPH8XRq6Y2spdOfpZwm0ekJ+Gs8M2KeD9qB2z0P5VyKa45BpzNmWks5LuufqZrN97aHoZysVmv1jb4ZT6fAbJ8z6Xrr6Y/X/01ffM/y0N/FsM/s0XLmsM7kozKbM/IugOVZX5aPGrlndmW2XhEPkLSd5b/7G+XbaXqsTtT1Vf9OmvOwPK8fy0OfhCet7G96ZsKbcpbn/lgezOY5J4a/0vcsjyeln4vMmb8yP7E898/yzDdgXD+cfj8vVHYt91Hher+Z0n1yOmSfwZjhnqLWhVSTtbbrH4pSnYexwx/OUv/txYlZZ7iulN7wwzIGj8jrY2y7zDAstdccCDka6rPjfBjzeIzr331pHetrfnraMYhMxjrf4kOYYcx/0Uhn/cEkqCczBhM5zJe+Z32/10uYcq8vdEZ7gimBeT3QDsz2rExNj7kxX7QDv80HmP0VO91GO0V7ITNirH/w4+Pd2v5iP8hLpC1dRfoccxL7nJ6833dfs9Ghp/VwZYa7Z8R2hjETYGRfMeI5Pv7Cbnz8CbxtqAjK7xm37PR/mlox/Q==###4600:XlxV32DM 3fff 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###4340:XlxV32DM 3fff 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###4516:XlxV32DM 3fff 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###4476:XlxV32DM 3fff 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###1540:XlxV32DM 1300 5eceNrVl0luJDcQRS/jA3AeojYNe9sL9xHIJLkUoIVXBd3d8X+lbQopbQyjXRIg6ikjk4zgEPF5f7Exi63r9oeNSdzt7cXaPMWIvT9MzeymlnaT3U3D7ia3mVzqu+nYTfndV3031byb2m463G4q70xlN+XdNMduSrtp+d0UN5O37zoM70zvJsrvJr9/VfeQfdy/qntcvh17h2MzhVn/Mc30znKIVQufWDxJaRvhqNu7Sad7f1d/vn377W67s5LnasdaVZ/8erfhbiOXRqwXW9pEFAd2SseemJiKigmOaBqahaUIaIo206FBGPCvLYMGX6CDbvS9rtOnI5vbq43+9qZjcn3EeQwz0OBLg46MRaM+V+NBGNDCYGGw6NdiVIdhLDxxCS7CO+1WG3QV4V1EBxFRRPSS0EHGyxnPCjoo+GywwRcD7w2MMRD3RAeTMaLnlf4OYGH51CsnTdRPDdJEUa+N7mTdD7qC+lzPgr6moeoiLTHW6K/OtH5krL/9YteUbtHbQG9BdAq7+CFxSbHSmqhPxuHFIbPgb5febt/tapLu33WR/P316LcXu3TM24uDD7e3jw32M4P7zOA/M4TPDBH7TMMZDCcJltbpXEed0YLo4jWe9Ign/r/xwO0ZT7exHlgcp3vMBScuXdfhcM/jdzp3jyt6+FsRdzRxeha91Y/9uvrun38PzcyggvhUxFd9c5jr5lmPQPx/GQhHL+dW8HrQg28ScpHQk4T1wVaIz7MV6ul3dEWiloCoRy5qMk6aVi5+m+fxu51+Jy0wqR+S9PjlYCQXd/X7iVJGP49e7k2ypo1ijBSn+Vurbikf7NjxBY7eoxpFKSpSyjGlaEmv7oNaVB7BhJ8SDCvLPPdJ1fmtKkFqd1KnEeiUi3v5afbJWKffzUdpqtVartJql9av57L359nf5tzfTSPoKs26i9JVXHW9Odhw5Kvz8wtscHuuRm9Gut4w+gyaDZMW9XIN6Hie1XDnahyhaRY8VPEbOfqSY+pqpJCuztcvsBqeUXkZKrdG6Nd8Hx5BuH8RBAcIZz4benEZzco4dLBlrwPZn5vP9P71+/2HZltz+6FpwOrtwuKfV94Q9A8ua9YQ3F+go3VCVklEqFrhCV2vDYShlweCxhsA3qkWJwQoclKCLicVqHNSg0YnDahg0oIWBgULRUzy0MWkCHVMKtCfpAYVSjqgRUkTihQUDXQpyUGdkiJkHilD7JEqJB/pgAwjTYgxUDKQZCQHYUYKkGekDAFEqpBBpA4xRMINi5GnBWEEyg7SgxQgQEgJMoRUIEZIDZKENFD0SQulH6S3tsL168VDBpAixAApQxKQGmoriRWWxDoLqqy2JI9CR4ood6SMokeqKH2kjgJImigxIE1tWmhIDuWGFFB0SAmlh1SR3EkdKZ40kOhJC+ke1C2SPskz9ROZQ0kFmZTUkE9JB7IqaSK3gg6L7EXyyGGkiExGyshnpIqsRurMbcSJJAEaTBUkj3NNijjdpIwzTqo46Tc9aX8Cik1rng== \ No newline at end of file Index: github/VHDL-Pong-master-2/Pong.twx =================================================================== --- github/VHDL-Pong-master-2/Pong.twx (revision 428) +++ github/VHDL-Pong-master-2/Pong.twx (nonexistent) @@ -1,338 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -]> -Release 12.3 Trace (lin)Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved./opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/trce -intstyle ise -v 3 -s 4 -n 3 --fastpaths -xml Pong.twx Pong.ncd -o Pong.twr Pong.pcf -ucf Pong.ucf - -Pong.ncdPong.ncdPong.pcfPong.pcfxc3s200a-4PRODUCTION 1.41 2010-09-153INFO:Timing:2698 - No timing constraints found, doing default enumeration.INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.INFO:Timing:3390 - This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.clk50clk502.157Thu May 8 17:21:06 2014 TraceTrace Settings - -Peak Memory Usage: 100 MB - Index: github/VHDL-Pong-master-2/Pong.unroutes =================================================================== --- github/VHDL-Pong-master-2/Pong.unroutes (revision 428) +++ github/VHDL-Pong-master-2/Pong.unroutes (nonexistent) @@ -1,9 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 17:21:04 2014 - -All signals are completely routed. - - - Index: github/VHDL-Pong-master-2/Pong_usage.xml =================================================================== --- github/VHDL-Pong-master-2/Pong_usage.xml (revision 428) +++ github/VHDL-Pong-master-2/Pong_usage.xml (nonexistent) @@ -1,468 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Index: github/VHDL-Pong-master-2/Pong.gise =================================================================== --- github/VHDL-Pong-master-2/Pong.gise (revision 428) +++ github/VHDL-Pong-master-2/Pong.gise (nonexistent) @@ -1,184 +0,0 @@ - - - - - - - - - - - - - - - - - - - - 11.1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Index: github/VHDL-Pong-master-2/usage_statistics_webtalk.html =================================================================== --- github/VHDL-Pong-master-2/usage_statistics_webtalk.html (revision 428) +++ github/VHDL-Pong-master-2/usage_statistics_webtalk.html (nonexistent) @@ -1,696 +0,0 @@ -Device Usage Statistics Report - -

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Software Version and Target Device
Product Version:ISE:12.3 (WebPack) - M.70dTarget Family:Spartan3A and Spartan3AN
OS Platform:LINTarget Device:xc3s200a
Project ID (random number)b896e776ba214d59bb421262ecc6d5ed.91BBC70763BD469ABAEF0ED0236BC31E.2Target Package:vq100
Registration ID0_0_320Target Speed:-4
Date Generated2014-05-08T17:21:11Tool FlowISE

- - - - - - - - - - - - - - -
User Environment
OS NameDebianOS ReleaseDebian GNU/Linux 7.4 (wheezy)
CPU NameAMD Athlon(tm) II X2 255 ProcessorCPU Speed1900.000 MHz

- - - - - - - - - - - - -
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
-Accumulators=1 -
    -
  • 10-bit updown accumulator=1
  • -
-
-Adders/Subtractors=6 -
    -
  • 10-bit adder carry out=4
  • -
  • 10-bit addsub=2
  • -
-
-Comparators=31 -
    -
  • 10-bit comparator greatequal=7
  • -
  • 10-bit comparator greater=2
  • -
  • 10-bit comparator less=10
  • -
  • 10-bit comparator lessequal=4
  • -
  • 11-bit comparator greatequal=3
  • -
  • 11-bit comparator less=1
  • -
  • 11-bit comparator lessequal=4
  • -
-
-Counters=2 -
    -
  • 10-bit up counter=2
  • -
-
-Registers=23 -
    -
  • Flip-Flops=23
  • -
-
-
-MiscellaneousStatistics -
    -
  • AGG_BONDED_IO=8
  • -
  • AGG_IO=8
  • -
  • AGG_SLICE=154
  • -
  • NUM_4_INPUT_LUT=298
  • -
  • NUM_BONDED_IBUF=3
  • -
  • NUM_BONDED_IOB=5
  • -
  • NUM_BUFGMUX=2
  • -
  • NUM_CYMUX=155
  • -
  • NUM_LUT_RT=23
  • -
  • NUM_SLICEL=154
  • -
  • NUM_SLICE_FF=54
  • -
  • NUM_XOR=50
  • -
-
-
-NetStatistics -
    -
  • NumNets_Active=276
  • -
  • NumNets_Gnd=1
  • -
  • NumNets_Vcc=1
  • -
  • NumNodesOfType_Active_CLKPIN=34
  • -
  • NumNodesOfType_Active_CNTRLPIN=39
  • -
  • NumNodesOfType_Active_DOUBLE=569
  • -
  • NumNodesOfType_Active_DUMMY=839
  • -
  • NumNodesOfType_Active_DUMMYESC=3
  • -
  • NumNodesOfType_Active_GLOBAL=22
  • -
  • NumNodesOfType_Active_HFULLHEX=2
  • -
  • NumNodesOfType_Active_HUNIHEX=8
  • -
  • NumNodesOfType_Active_INPUT=934
  • -
  • NumNodesOfType_Active_IOBOUTPUT=3
  • -
  • NumNodesOfType_Active_OMUX=289
  • -
  • NumNodesOfType_Active_OUTPUT=271
  • -
  • NumNodesOfType_Active_PREBXBY=219
  • -
  • NumNodesOfType_Active_VFULLHEX=14
  • -
  • NumNodesOfType_Active_VUNIHEX=20
  • -
  • NumNodesOfType_Vcc_CNTRLPIN=2
  • -
  • NumNodesOfType_Vcc_INPUT=13
  • -
  • NumNodesOfType_Vcc_PREBXBY=13
  • -
  • NumNodesOfType_Vcc_VCCOUT=14
  • -
-
-SiteStatistics -
    -
  • IBUF-DIFFMTB=2
  • -
  • IOB-DIFFMLR=2
  • -
  • IOB-DIFFSLR=3
  • -
  • SLICEL-SLICEM=64
  • -
-
-
-SiteSummary -
    -
  • BUFGMUX=2
  • -
  • BUFGMUX_GCLKMUX=2
  • -
  • BUFGMUX_GCLK_BUFFER=2
  • -
  • IBUF=3
  • -
  • IBUF_DELAY_ADJ_BBOX=3
  • -
  • IBUF_INBUF=3
  • -
  • IBUF_PAD=3
  • -
  • IOB=5
  • -
  • IOB_OUTBUF=5
  • -
  • IOB_PAD=5
  • -
  • SLICEL=154
  • -
  • SLICEL_C1VDD=7
  • -
  • SLICEL_C2VDD=8
  • -
  • SLICEL_CYMUXF=83
  • -
  • SLICEL_CYMUXG=72
  • -
  • SLICEL_F=149
  • -
  • SLICEL_F5MUX=10
  • -
  • SLICEL_FFX=28
  • -
  • SLICEL_FFY=26
  • -
  • SLICEL_G=149
  • -
  • SLICEL_GNDF=21
  • -
  • SLICEL_GNDG=12
  • -
  • SLICEL_XORF=25
  • -
  • SLICEL_XORG=25
  • -
-
-

- - - - - - - -
Configuration Data
-BUFGMUX -
    -
  • S=[S_INV:2] [S:0]
  • -
-
-BUFGMUX_GCLKMUX -
    -
  • DISABLE_ATTR=[LOW:2]
  • -
  • S=[S_INV:2] [S:0]
  • -
-
-IBUF_DELAY_ADJ_BBOX -
    -
  • DELAY_ADJ_ATTRBOX=[FIXED:3]
  • -
  • IBUF_DELAY_VALUE=[DLY0:3]
  • -
  • IFD_DELAY_VALUE=[DLY0:3]
  • -
  • SEL_IN=[SEL_IN:3] [SEL_IN_INV:0]
  • -
-
-IBUF_PAD -
    -
  • IOATTRBOX=[LVCMOS25:3]
  • -
-
-IOB -
    -
  • O1=[O1_INV:0] [O1:5]
  • -
-
-IOB_OUTBUF -
    -
  • IN=[IN_INV:0] [IN:5]
  • -
  • SUSPEND=[3STATE:5]
  • -
-
- -IOB_PAD -
    -
  • DRIVEATTRBOX=[12:5]
  • -
  • IOATTRBOX=[LVCMOS25:5]
  • -
  • SLEW=[SLOW:5]
  • -
-
-SLICEL -
    -
  • BX=[BX_INV:2] [BX:29]
  • -
  • BY=[BY:9] [BY_INV:0]
  • -
  • CE=[CE:28] [CE_INV:0]
  • -
  • CIN=[CIN_INV:0] [CIN:66]
  • -
  • CLK=[CLK:34] [CLK_INV:0]
  • -
  • SR=[SR:11] [SR_INV:0]
  • -
-
-SLICEL_CYMUXF -
    -
  • 0=[0:83] [0_INV:0]
  • -
  • 1=[1_INV:2] [1:81]
  • -
-
- -SLICEL_CYMUXG -
    -
  • 0=[0:72] [0_INV:0]
  • -
-
-SLICEL_F5MUX -
    -
  • S0=[S0:10] [S0_INV:0]
  • -
-
-SLICEL_FFX -
    -
  • CE=[CE:23] [CE_INV:0]
  • -
  • CK=[CK:28] [CK_INV:0]
  • -
  • D=[D:28] [D_INV:0]
  • -
  • FFX_INIT_ATTR=[INIT0:28]
  • -
  • FFX_SR_ATTR=[SRLOW:28]
  • -
  • LATCH_OR_FF=[FF:28]
  • -
  • SR=[SR:10] [SR_INV:0]
  • -
  • SYNC_ATTR=[ASYNC:18] [SYNC:10]
  • -
-
- -SLICEL_FFY -
    -
  • CE=[CE:20] [CE_INV:0]
  • -
  • CK=[CK:26] [CK_INV:0]
  • -
  • D=[D:26] [D_INV:0]
  • -
  • FFY_INIT_ATTR=[INIT0:26]
  • -
  • FFY_SR_ATTR=[SRLOW:26]
  • -
  • LATCH_OR_FF=[FF:26]
  • -
  • SR=[SR:11] [SR_INV:0]
  • -
  • SYNC_ATTR=[ASYNC:15] [SYNC:11]
  • -
-
-SLICEL_XORF -
    -
  • 1=[1_INV:2] [1:23]
  • -
-
-

- - - - - - - -
Pin Data
-BUFGMUX -
    -
  • I0=2
  • -
  • O=2
  • -
  • S=2
  • -
-
-BUFGMUX_GCLKMUX -
    -
  • I0=2
  • -
  • OUT=2
  • -
  • S=2
  • -
-
-BUFGMUX_GCLK_BUFFER -
    -
  • IN=2
  • -
  • OUT=2
  • -
-
-IBUF -
    -
  • I=3
  • -
  • PAD=3
  • -
-
-IBUF_DELAY_ADJ_BBOX -
    -
  • IBUF_OUT=3
  • -
  • SEL_IN=3
  • -
-
-IBUF_INBUF -
    -
  • IN=3
  • -
  • OUT=3
  • -
-
-IBUF_PAD -
    -
  • PAD=3
  • -
-
-IOB -
    -
  • O1=5
  • -
  • PAD=5
  • -
-
-IOB_OUTBUF -
    -
  • IN=5
  • -
  • OUT=5
  • -
-
-IOB_PAD -
    -
  • PAD=5
  • -
-
- -SLICEL -
    -
  • BX=31
  • -
  • BY=9
  • -
  • CE=28
  • -
  • CIN=66
  • -
  • CLK=34
  • -
  • COUT=72
  • -
  • F1=146
  • -
  • F2=129
  • -
  • F3=83
  • -
  • F4=56
  • -
  • G1=148
  • -
  • G2=132
  • -
  • G3=89
  • -
  • G4=53
  • -
  • SR=11
  • -
  • X=66
  • -
  • XB=6
  • -
  • XQ=28
  • -
  • Y=65
  • -
  • YQ=26
  • -
-
- -SLICEL_C1VDD -
    -
  • 1=7
  • -
-
-SLICEL_C2VDD -
    -
  • 1=8
  • -
-
-SLICEL_CYMUXF -
    -
  • 0=83
  • -
  • 1=83
  • -
  • OUT=83
  • -
  • S0=83
  • -
-
-SLICEL_CYMUXG -
    -
  • 0=72
  • -
  • 1=72
  • -
  • OUT=72
  • -
  • S0=72
  • -
-
-SLICEL_F -
    -
  • A1=140
  • -
  • A2=129
  • -
  • A3=83
  • -
  • A4=56
  • -
  • D=149
  • -
-
-SLICEL_F5MUX -
    -
  • F=10
  • -
  • G=10
  • -
  • OUT=10
  • -
  • S0=10
  • -
-
-SLICEL_FFX -
    -
  • CE=23
  • -
  • CK=28
  • -
  • D=28
  • -
  • Q=28
  • -
  • SR=10
  • -
-
- -SLICEL_FFY -
    -
  • CE=20
  • -
  • CK=26
  • -
  • D=26
  • -
  • Q=26
  • -
  • SR=11
  • -
-
-SLICEL_G -
    -
  • A1=142
  • -
  • A2=132
  • -
  • A3=89
  • -
  • A4=53
  • -
  • D=149
  • -
-
-SLICEL_GNDF -
    -
  • 0=21
  • -
-
-SLICEL_GNDG -
    -
  • 0=12
  • -
-
-SLICEL_XORF -
    -
  • 0=25
  • -
  • 1=25
  • -
  • O=25
  • -
-
-SLICEL_XORG -
    -
  • 0=25
  • -
  • 1=25
  • -
  • O=25
  • -
-
-

- - -
Tool Usage
Command Line History
    -
  • xst -intstyle ise -ifn <ise_file>
  • -
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200a-vq100-4 <fname>.ngc <fname>.ngd
  • -
  • map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • -
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • -
  • trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • -
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • -
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200a-vq100-4 <fname>.ngc <fname>.ngd
  • -
  • map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • -
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • -
  • trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • -
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • -

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Software Quality
Run Statistics
_impact8800000
bitgen171700000
map201900000
netgen1100000
ngdbuild252500000
par191720000
trce171700000
xst262600000
- - 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Project Statistics
PROP_Enable_Message_Filtering=falsePROP_FitterReportFormat=HTML
PROP_LastAppliedGoal=BalancedPROP_LastAppliedStrategy=Xilinx Default (unlocked)
PROP_ManualCompileOrderImp=falsePROP_ProjectDescription=A simple Pong game.
PROP_PropSpecInProjFile=Store non-default values onlyPROP_Simulator=ISim (VHDL/Verilog)
PROP_SynthTopFile=changedPROP_Top_Level_Module_Type=HDL
PROP_UseSmartGuide=falsePROP_UserConstraintEditorPreference=Text Editor
PROP_intProjectCreationTimestamp=2011-01-09T11:19:47PROP_intWbtProjectID=91BBC70763BD469ABAEF0ED0236BC31E
PROP_intWbtProjectIteration=2PROP_intWorkingDirLocWRTProjDir=Same
PROP_intWorkingDirUsed=NoPROP_AutoTop=true
PROP_DevFamily=Spartan3A and Spartan3ANPROP_DevDevice=xc3s200a
PROP_DevFamilyPMName=spartan3aPROP_DevPackage=vq100
PROP_Synthesis_Tool=XST (VHDL/Verilog)PROP_DevSpeed=-4
PROP_PreferredLanguage=VHDLFILE_UCF=1
FILE_VHDL=5

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Unisim Statistics
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=1NGDBUILD_NUM_BUFGP=1NGDBUILD_NUM_FDE=33NGDBUILD_NUM_FDR=11
NGDBUILD_NUM_FDRE=10NGDBUILD_NUM_GND=1NGDBUILD_NUM_IBUF=2NGDBUILD_NUM_INV=12
NGDBUILD_NUM_LUT1=23NGDBUILD_NUM_LUT2=93NGDBUILD_NUM_LUT2_L=1NGDBUILD_NUM_LUT3=58
NGDBUILD_NUM_LUT3_D=3NGDBUILD_NUM_LUT3_L=4NGDBUILD_NUM_LUT4=93NGDBUILD_NUM_LUT4_D=5
NGDBUILD_NUM_LUT4_L=4NGDBUILD_NUM_MUXCY=155NGDBUILD_NUM_MUXF5=10NGDBUILD_NUM_OBUF=5
NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=50
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=2NGDBUILD_NUM_FDE=33NGDBUILD_NUM_FDR=11NGDBUILD_NUM_FDRE=10
NGDBUILD_NUM_GND=1NGDBUILD_NUM_IBUF=2NGDBUILD_NUM_IBUFG=1NGDBUILD_NUM_INV=12
NGDBUILD_NUM_LUT1=23NGDBUILD_NUM_LUT2=93NGDBUILD_NUM_LUT2_L=1NGDBUILD_NUM_LUT3=58
NGDBUILD_NUM_LUT3_D=3NGDBUILD_NUM_LUT3_L=4NGDBUILD_NUM_LUT4=93NGDBUILD_NUM_LUT4_D=5
NGDBUILD_NUM_LUT4_L=4NGDBUILD_NUM_MUXCY=155NGDBUILD_NUM_MUXF5=10NGDBUILD_NUM_OBUF=5
NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=50

Index: github/Snake-master/base_pad.csv =================================================================== --- github/Snake-master/base_pad.csv (revision 428) +++ github/Snake-master/base_pad.csv (nonexistent) @@ -1,131 +0,0 @@ -#Release 12.3 - par M.70d (lin) -#Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -#Thu May 8 19:59:22 2014 - -# -## NOTE: This file is designed to be imported into a spreadsheet program -# such as Microsoft Excel for viewing, printing and sorting. The | -# character is used as the data field separator. This file is also designed -# to support parsing. -# -#INPUT FILE: base_map.ncd -#OUTPUT FILE: base_pad.csv -#PART TYPE: xc3s200a -#SPEED GRADE: -4 -#PACKAGE: vq100 -# -# Pinout by Pin Number: -# -# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, -Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,Drive (mA),Slew Rate,Termination,IOB Delay,Voltage,Constraint,IO Register,Signal Integrity, -P1,,,TMS,,,,,,,,,,,, -P2,,,TDI,,,,,,,,,,,, -P3,,DIFFMLR,IO_L01P_3,UNUSED,,3,,,,,,,,, -P4,,DIFFSLR,IO_L01N_3,UNUSED,,3,,,,,,,,, -P5,,DIFFMLR,IO_L02P_3,UNUSED,,3,,,,,,,,, -P6,,DIFFSLR,IO_L02N_3,UNUSED,,3,,,,,,,,, -P7,,DIFFSI_NDT,IP_3/VREF_3,UNUSED,,3,,,,,,,,, -P8,,,GND,,,,,,,,,,,, -P9,,DIFFMLR,IO_L03P_3/LHCLK0,UNUSED,,3,,,,,,,,, -P10,,DIFFSLR,IO_L03N_3/LHCLK1,UNUSED,,3,,,,,,,,, -P11,,,VCCO_3,,,3,,,,,2.50,,,, -P12,vsync,IOB,IO_L04P_3/LHCLK2,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P13,R<0>,IOB,IO_L04N_3/IRDY2/LHCLK3,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P14,,,GND,,,,,,,,,,,, -P15,hsync,IOB,IO_L05P_3/TRDY2/LHCLK6,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P16,G<0>,IOB,IO_L05N_3/LHCLK7,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P17,,,VCCINT,,,,,,,,1.2,,,, -P18,,,GND,,,,,,,,,,,, -P19,,DIFFMLR,IO_L06P_3,UNUSED,,3,,,,,,,,, -P20,B<0>,IOB,IO_L06N_3,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P21,,DIFFMI_NDT,IP_3,UNUSED,,3,,,,,,,,, -P22,,,VCCAUX,,,,,,,,2.5,,,, -P23,B<1>,IOB,IO_L01P_2/M1,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,UNLOCATED,NO,NONE, -P24,G<2>,IOB,IO_L02P_2/M2,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,UNLOCATED,NO,NONE, -P25,B<2>,IOB,IO_L01N_2/M0,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,UNLOCATED,NO,NONE, -P26,,,VCCO_2,,,2,,,,,2.50,,,, -P27,G<1>,IOB,IO_L02N_2/CSO_B,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,UNLOCATED,NO,NONE, -P28,,DIFFMTB,IO_L03P_2/RDWR_B,UNUSED,,2,,,,,,,,, -P29,,DIFFSTB,IO_L03N_2/VS2,UNUSED,,2,,,,,,,,, -P30,R<1>,IOB,IO_L04P_2/VS1,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,UNLOCATED,NO,NONE, -P31,R<2>,IOB,IO_L04N_2/VS0,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,UNLOCATED,NO,NONE, -P32,R<3>,IOB,IO_L05P_2,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,UNLOCATED,NO,NONE, -P33,,DIFFSTB,IO_L05N_2,UNUSED,,2,,,,,,,,, -P34,led<3>,IOB,IO_L06P_2/D7,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P35,led<2>,IOB,IO_L06N_2/D6,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P36,led<0>,IOB,IO_L07P_2/D5,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P37,RIGHT,IBUF,IO_L07N_2/D4,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE, -P38,,,VCCINT,,,,,,,,1.2,,,, -P39,UP,IBUF,IP_2/VREF_2,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE, -P40,B<3>,IOB,IO_L08P_2/GCLK14,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,UNLOCATED,NO,NONE, -P41,G<3>,IOB,IO_L08N_2/GCLK15,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,UNLOCATED,NO,NONE, -P42,,,GND,,,,,,,,,,,, -P43,clk_50,IBUF,IO_L09P_2/GCLK0,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE, -P44,,DIFFSTB,IO_L09N_2/GCLK1,UNUSED,,2,,,,,,,,, -P45,,,VCCO_2,,,2,,,,,2.50,,,, -P46,led<1>,IOB,IO_2/MOSI/CSI_B,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, -P47,,,GND,,,,,,,,,,,, -P48,,DIFFMTB,IO_L10P_2/INIT_B,UNUSED,,2,,,,,,,,, -P49,LEFT,IBUF,IO_L10N_2/D3,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE, -P50,DOWN,IBUF,IO_L11P_2/D2,INPUT,LVCMOS25*,2,,,,IBUF,,LOCATED,NO,NONE, -P51,,DIFFMTB,IO_L12P_2/D0/DIN/MISO,UNUSED,,2,,,,,,,,, -P52,,DIFFSTB,IO_L11N_2/D1,UNUSED,,2,,,,,,,,, -P53,,DIFFSTB,IO_L12N_2/CCLK,UNUSED,,2,,,,,,,,, -P54,,,DONE,,,,,,,,,,,, -P55,,,VCCAUX,,,,,,,,2.5,,,, -P56,,DIFFMLR,IO_L01P_1,UNUSED,,1,,,,,,,,, -P57,,DIFFSLR,IO_L01N_1,UNUSED,,1,,,,,,,,, -P58,,,GND,,,,,,,,,,,, -P59,,DIFFMLR,IO_L02P_1/RHCLK0,UNUSED,,1,,,,,,,,, -P60,,DIFFSLR,IO_L02N_1/RHCLK1,UNUSED,,1,,,,,,,,, -P61,,DIFFMLR,IO_L03P_1/RHCLK2,UNUSED,,1,,,,,,,,, -P62,,DIFFSLR,IO_L03N_1/TRDY1/RHCLK3,UNUSED,,1,,,,,,,,, -P63,,,GND,,,,,,,,,,,, -P64,,DIFFMLR,IO_L04P_1/IRDY1/RHCLK6,UNUSED,,1,,,,,,,,, -P65,,DIFFSLR,IO_L04N_1/RHCLK7,UNUSED,,1,,,,,,,,, -P66,,,VCCINT,,,,,,,,1.2,,,, -P67,,,VCCO_1,,,1,,,,,any******,,,, -P68,,DIFFMI_NDT,IP_1/VREF_1,UNUSED,,1,,,,,,,,, -P69,,,GND,,,,,,,,,,,, -P70,,DIFFMLR,IO_L05P_1,UNUSED,,1,,,,,,,,, -P71,,DIFFSLR,IO_L05N_1,UNUSED,,1,,,,,,,,, -P72,,DIFFMLR,IO_L06P_1,UNUSED,,1,,,,,,,,, -P73,,DIFFSLR,IO_L06N_1,UNUSED,,1,,,,,,,,, -P74,,,GND,,,,,,,,,,,, -P75,,,TDO,,,,,,,,,,,, -P76,,,TCK,,,,,,,,,,,, -P77,,DIFFMTB,IO_L01P_0/VREF_0,UNUSED,,0,,,,,,,,, -P78,,DIFFSTB,IO_L01N_0,UNUSED,,0,,,,,,,,, -P79,,,VCCO_0,,,0,,,,,any******,,,, -P80,,,GND,,,,,,,,,,,, -P81,,,VCCINT,,,,,,,,1.2,,,, -P82,,IBUF,IP_0/VREF_0,UNUSED,,0,,,,,,,,, -P83,,DIFFMTB,IO_L02P_0/GCLK4,UNUSED,,0,,,,,,,,, -P84,,DIFFSTB,IO_L02N_0/GCLK5,UNUSED,,0,,,,,,,,, -P85,color<0>,IBUF,IO_L03P_0/GCLK6,INPUT,LVCMOS25*,0,,,,IBUF,,LOCATED,NO,NONE, -P86,,DIFFSTB,IO_L03N_0/GCLK7,UNUSED,,0,,,,,,,,, -P87,,,GND,,,,,,,,,,,, -P88,color<1>,IBUF,IO_L04P_0/GCLK8,INPUT,LVCMOS25*,0,,,,IBUF,,LOCATED,NO,NONE, -P89,,DIFFSTB,IO_L04N_0/GCLK9,UNUSED,,0,,,,,,,,, -P90,color<2>,IBUF,IO_0/GCLK11,INPUT,LVCMOS25*,0,,,,IBUF,,LOCATED,NO,NONE, -P91,,,GND,,,,,,,,,,,, -P92,,,VCCAUX,,,,,,,,2.5,,,, -P93,,DIFFMTB,IO_L05P_0,UNUSED,,0,,,,,,,,, -P94,,DIFFSTB,IO_L05N_0,UNUSED,,0,,,,,,,,, -P95,,,GND,,,,,,,,,,,, -P96,,,VCCO_0,,,0,,,,,any******,,,, -P97,,IBUF,IP_0,UNUSED,,0,,,,,,,,, -P98,,DIFFMTB,IO_L06P_0/VREF_0,UNUSED,,0,,,,,,,,, -P99,,DIFFSTB,IO_L06N_0/PUDC_B,UNUSED,,0,,,,,,,,, -P100,,,PROG_B,,,,,,,,,,,, - -# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, -# -#* Default value. -#** This default Pullup/Pulldown value can be overridden in Bitgen. -#****** Special VCCO requirements may apply. Please consult the device -# family datasheet for specific guideline on VCCO requirements. -# -# -# \ No newline at end of file Index: github/Snake-master/prng11.vhd =================================================================== --- github/Snake-master/prng11.vhd (revision 428) +++ github/Snake-master/prng11.vhd (nonexistent) @@ -1,41 +0,0 @@ ---------------------------------------------- --- A Linear Feedback Shift Register of 11 bits - --- Sources: --- http://en.wikipedia.org/wiki/Linear_feedback_shift_register ---------------------------------------------- - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity prng11 is - Port ( - clk : in STD_LOGIC; - rst : in STD_LOGIC; - en : in STD_LOGIC; - v : out STD_LOGIC_VECTOR(10 downto 0) - ); -end prng11; - -architecture Behavioral of prng11 is - signal fb : STD_LOGIC; - signal val : STD_LOGIC_VECTOR(10 downto 0) := "10011100001"; -begin - - -- TODO: Not sure if I need to use 10/8 or 0/2 for maximal-length - fb <= (val(10) xor val(8)); - v <= val; - - process(clk, rst) - begin - if(rst = '1') then - val <= (others => '0'); - elsif(rising_edge(clk)) then - if(en = '1') then - val <= val(9 downto 0) & fb; - end if; - end if; - end process; - -end Behavioral; - Index: github/Snake-master/base_guide.ncd =================================================================== --- github/Snake-master/base_guide.ncd (revision 428) +++ github/Snake-master/base_guide.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###4004:XlxV32DM 3fff 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###4008:XlxV32DM 3ffe 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###3328:XlxV32DM 3ff6 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###2260:XlxV32DM 3617 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###3788:XlxV32DM 3fff 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###4100:XlxV32DM 3fff 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###3608:XlxV32DM 3fff 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###3964:XlxV32DM 3fff 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###3804:XlxV32DM 3fff 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###4528:XlxV32DM 3fff 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###4064:XlxV32DM 3fff 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###3320:XlxV32DM 3fff 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###3488:XlxV32DM 3fff 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###3504:XlxV32DM 3fff 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###3660:XlxV32DM 3fff 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###3888:XlxV32DM 3fff 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###3972:XlxV32DM 3fff f6ceNq1W0uS5LYOvMwcQPyKrApfxRHiL+Jt3iy8nPDdTVECmVSRXZ+eWdjTkdVNqsAEkAAoZv39f0yk23L7IVZ5k2a9Z0CaBqgC2AboAmwZuP9kLuz//uu0jvkHlf9bfv0QervJ1d3/L1Z+4265Z8TdtsjvP+TGbmxjl03jdVNXN6W9zg/8vW36j5b7pvzXX/vu+dfuf7NoC+4QtztudlwxxM2Orxm3qwVcpB3XGRcM13fh/rf0cv99rQkPtK8s+1rEy74i49wbxMu+vKwfAD/2Zfs6GvGyr8vP+a8TbF/I3vjN/jpM6fz9MLfJhorsMFjk1WBrOa0ozg90+yCUD9YG+AK0Q1hTAdrJr/mYfuYnKSefLbH/67Tdz1HiwfPz4E06Dz6s58E3tl3O27TzBk4Vsw05pWlpG2ac8nNOdawDcoWOXGY9DyE/BpLLbIg3chmOeCWXih7wRi5dSbHjjVzaEkmzZYFE2ljEK4m0UYA3Eh1cIRxIpAo9/E3cwoVEhmeDbKfXueXkDGsEKLEA2SUqu05AFkAC3S4si1eWhcqynVypI5cquBqRS3mKKuaBXN8LZYd9OkbbY1NmI9FO0aYJTLadzD5W+inFRivKCZGZT/PgeHn6cH16P3j6f1g4Y9++KdBWbgHxSltrPOJAWw54o62KCvBGWxXrvvyWv/lOt1RwSbjFWKmiRrzS3BqHeKV5jbkFbzRXhX2E5+cpD7D/kL+zh2fKp5P/Jiej/DfJI77vnf+3u0bYP9hyfN0ursEYu/iCvE8jbecc8uo+r8VgdIr14hRs4hTMO4q4ceYU+j4NvZ2XbJ0fgXeEaaJX5tx9kx8E5QGXVeVC6PK7KrGp4pXLyhnEG5edBBy4HBLgwOUQiR+s42wIiFfOKm8Rr5xVcQEcOBs84Bia1yNQsJt64F98Fouf80+2/F23GrAoP9AZk5aXQ+tzFmlMrkqRJVfZWVgviDcLqw3xZmG1Ag4WXiXgaOGgpx6u37awvFpYXD08Xj08zfNfiwGPPh+2qc8z8nn1+Wnp62mpzudXNRVi6rr7g8+H6+5xnshaVACutLNUXRRQC+ItCpD6P/AWBVYNOESBdQUcowB5dTZ+FwVWxBtHg0G8cXQVgGMU0IADR7VKE47mtPokCYlO7stH2Z8qIa+Z5gv6HU801GH5EQ4COPVyylFfBH2nVz6tJPm5l/fPK8lJAdlRy5qTQvumqPFVhzeNLwPilVpaccCxgEyAg8avhahKfQEZEW+iqBaoqtf+tdBVnfY/zovwTvvPqLUuTwvIWdBrsuZKrXcKyePRxgmJgozbXi8kZ5GtVzNxpmaYI2J7M1XmbxWUcquqolMzrKmBTs1wLhAHZb4Bjsoc129kA+XfkU1KgXglG1QQqVczHHBU4Anwjmx+Umjq9KTQfD+uPU+0/srCcB9UnIlPK073tOJ8L9Id9hkXmumzQjMtU3G+UaL2nydqd/+qHdeIkB8DCN5KzIIPCF7wx45JwYHgiQEOiTpVIvuu9EwkEBLvS8+I+KD0THxceibeEz8AfpSe/rH09JPS03elp2DLJDLr8FJrT169ZxSQ51WlcdMUn0PmGX7FG328ufp3xvoZTQ2/7jWPuoOK0dqzO7FvgRS0AfHW/RAe8dYRZgvgkNCXDXDoCBta33Ux1lqNeKMaxd4Db1TjHHBs5i2AY0d4sSWGsJu80CZHjpdjaiNUrQ9NaUOO+ros1kDIPgiEjSmow+rx9IV1Uog3M5G+KTiYabGAo6ROs7Jve6Ov86zY+0xbl0cbOp4mCbKng7fbOV9VdDqt04pOkO6Rv0dka6pz9k1RZFN35MCbyA4b4k1kU1em4OCTMgHefFJUMZ0t3IV/j3hrsFN358CbyA4ccBDZSQMOZLNGF90jH3WPy4YylMTf0T+PGvtB5jxXN/lfVThnzf4HYsi52vx5vZ7rJPXx7ceqhgKH5e+oGmvEdcWNVqTKwGoi6/LCilq7Kf2piRnFs4Z8fG1I2YaODunPvUUcaswOHw0pXTdH0g5wTElnitl/OFSRVwXXhGtUS3VIuZMDY+0qAG/0tzQfK/iufvYFUf2IY49d/ZSHeJBB9Rcs/QLpoabFCr5/q+w3u3OZ4qVrjuTr1bm2L3XSME7PRp9hVKCmOHEa5ihmJvuCQgIhlNyV2cRDWZNs+mBqPiKiSWc83HdFDVSTZuoJR0QpOMRbYQBvhDOJ+mUpdgRaFsAbgUztpqd4SdZspmnSJU7qcZzsm+BV09DCgxMMVB5tfBb2zCDsPfS8we9TTW+9pokccSivPeCYZhjgXXm9TFvZ4hM7zYqI514zTD3X9s4y7WDba1vvM9t/UXEcPdtxnyddpx2/63qK2qhREzrho2ojKKz9+IojDuMrBTiOr1bAoR6uY7C4dMKnjs3i0jFy84g3RtaxWVz6uhfX6YYrdjq+ks8YKV7pM7bxVbBTOtF9o6RfppN6q22Ig8Z+qO0c4s3CLiLeLOwZ4DgasIBjaBR+YmGpXjfwF2NB2mCU5PTTsaB53v8aTQM1I2kjfNcOZx7xptSZRrwpdSYBhxAqcJ3OnG4SQqV+TZEPI+hnUv1SFoppP0aRRE9vSfRZvMSyUIRpmFyvXvVdXVxnIyJ0ZSG1QA686WKuEG9lITeAgy4WEXCYvQgq80Q/e2kk6e9dcYd4IxuPgCPZ8PexLNRHD0Lc3IVsKjwpB5/48rAN/jiDeWh2W21md/c03XQK5hNnh3JQz8vB8FGT225iIpqZp+5his+b3I/Dm8HN0n2zrjhziMMAkAHeSGg3CfjgBun+AxRhduOEm+EN0gOH4swCDsWZXgEvxZnW19Z0gQat6QNvrWmdZrdOzbeSzgcDnEH3zEy7Z3QxYm87/M58lTe1s7JNV/FhvjVmwfZZbYfZvk2mEYc2GQcc22S4Dl7cq3WH6dtkHPFRm6yjoqh9i2T6+sUA3l12MpMyjy0J+mRnQJSlId16WPTnj12heifFrl1TaBhPaN39ngaJrrxyRvOD2uMukQG8NVK0XBoOfsQZAxxaF6Ic+nDEY37L5a4/csFbxqmHKUoSMf6hi2J596kiiWd33C3Ln7oDbqiG3x8DNIqhCeKBV580dAn2wKtPGvKBgjeflDRBLDi2UlbCO580NA858OqThnoEB1590tBEtuAwJ5EGcPBJWb7YyCe3+EkBV+sKWng0TlJ0juxb9RoeG6evF3szacSbmZr5ug6VbMd86VDp/ZuY7MnmWjeIP+DJo9T4mAm1nGZCe+1p/3Y/5Txcm6NVlMXrROGllIhi7AzM+yaY6UiMFbx5FSdlXvDRrSvdXTqF13O6S6ece8QfRVfB8bUdCXg3ENo31pku+qr8S6I71eh4MASqnYY3bKqo5YcDlmU6YBGfDFjaxbr9h274QPo2fwnQvTAwYDQwWCYDA0YDg6UfGLC2Y8u6OAZhhJvjUFzxYfGgarNsaIfyUJa9eH1/cGxuemz6s2PTfHps/qO5mC0MHpZWoXqx/+Ry/+DOpdX9iyhWI97GEBpxKK00HazmVOLIs8Q5LHN+1tGvTpXchH7upJ/mE/q5k36a9/TTbWWk2fF6lss081ffXz6/BNflkrfeMJlUVX1jQPs47QLSXGoL37r88cWlTG3txFO4+chRtE8zWjua+6TXXyR8Uq+19wBT191v7wGmfswmAMd6zQEOWYyYtpsJWwf1pVQfMbsJ2eGDOZSP4/cJfSeGtA+A7/62P8ClpVCgQUvhwFtLYTXrpI8d/HWK+3Dxs4pKWmVA00R5a1PTiewX98hWGqrvW4AxV70hXo25aot4NeaqDeDNmCsNzwuOytKJ2Ru+69szvXdeT3ml11Kebagw62XpLX4+wvv6JRTtZrmJ1/H7fFY+bftdfJc47mT/bq9FvCnQ6iuuy02CM8DRd8nnnOh6z1Yh3not9V1gJ7peC18ABx91AvBuMudGdd1/YFqXLw==###6080:XlxV32DM 3fff 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###6564:XlxV32DM 3fff 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###4296:XlxV32DM 3fff 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###4440:XlxV32DM 3fff 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###4500:XlxV32DM 3fff 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###4424:XlxV32DM 3fff 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###4460:XlxV32DM 3fff 1154eNqFW0u25CgO3RJ/i+x19AKMMcOa9ahO7b0dIV0bFODKHMS5TyD052tjjDlMqNePadWcn9/DRvv5JbpI179s4vf3qMabl/bFNGrXv0TR9fihWzJfxtH3uAo/Z+0x8mN6szy+N3abtd9N3D+/qZkwa//PP3+5ffd/zJ/0919+c3+cyf/5n9/sH/ef//rN/LHJ/+3d9X+3MQXuWu1H9Lox68O0MsOniEKx1RmGKom4/UZiysymRHtfbZhh9DfGphmGqTUd48HUwFo/jNdijVNcQvmamuo5w9QYH8JfY8izSShpDPmAtX28j5XbuTDDtjAm8ucbPinSDO/wV01+htvG+kSzhRmGPpm2+OWv7GtEXthLY61vMOkbsmTY/pqfHg/2A+ZQD32olyHUjyvS7SfSTWVNsrHMsbDFYFmND2LL7M2dUyySWeMDJ6//RmayiGT/jdBIYxHwn3z+ZvUoj8aa34r/JcE+w4Y8zeiPPEwH1vpAXmDQm7G5p99Yya/bs6di56mdBk/tX08Zv18xwuXYmq/GbgscM8Q5rzFG8sZJbo39nxzk8ryiW6Ny+Ir+vrwDa343ncbyD36PJUf+kBf9/1V+GR/8royus/Zs6XRZ2rOl7XEMlvbub++vjBA+jkLjHASfkGYYcpzk2wyDHzDLsfVytEGOeMkRHzmCyHHrk3lcjRtxpPnImbjCNVo/w5B7l8jUGPLsQ+RSr8c5TqfuE7mfuBW/3HrI+LHjkzs+1b355eL0lfuMLP81V03xHU/k6wzf8SWY5dh7OeJrfIgczYodZVyNn2XUKAcpOWiQo/RyhDe7epHjtrNg5nP0fMbKEi4+4dEnyhzkpGJZ4sqqsefV1aUHx5XGwQb+A09lP/ikEbOctZezvMmJudLHsPNcGdMMh8oz17VaPadY5Ao0ygkMOYFZzrOXc3/zC4mc211PUrcMbT2f8y3//RUhfb6fmSc0jQuJ/sWXGcb80IjrqcaIy2pcmmHIA/zVo5hOj9O+xpfoEbLUJamHGpvGcllZcWjczRPbDD92c4+9i+3lNG9+S4+92Y+CmY/r+YQ3fYlS7uvsEWOcYcgfTNjfcKI5Rv0AZjl9L+f2JucucmJeO624W2GT2Q9F6prGz3ZtlBP42Q72coZezvTmlyJyPnWuW+uW2PPJ73VuS/18ca21p7hJ3TtQ1xV+5hPUvxHfcSiY5fysP+Ihgg5iXuXmo6//rMpP47jG1SPxWspvvL6vhvdnc3qiw/N+gW0cyR/DHGN4H7GibxKbmv7kPvs8XevRfnzUyv2qRrJ/3Hr6nROmfemr/o3OfdYf8oGeI8sF+dDff4Z4ke+y3hdv1sn+L8fZeCv7bqYeb+M7iW0bXRvtx7uKTXYrq/7ZOCdrmKn+GH/lH/Bf0YNx8c3/AfvlBf0wrNeKfq0R7Bv9lLlmJV+TXFnpf1Ctb/EN/y/jn0xm+5vU06+/N1nzn290I0dMK/q1hipvdC/7+hX9mjOoPz/IZPf+PODa25SevtP5PQ8APVF1/XnBQefJ+cZ8D1PPPv9MjN94IgpyjvDdqrVA7VtHYP9rj/Xt502ub/39FehM50Uh+j/6ZT7vqO2bd9Af/DPx2kLn78Ofx9f9r7z+/h35k4xtY/673NePq1SHMT7s0cenzk+Mb+UocpXfB3k/o6N+ID9W9SWYrznbij/ss6Ijv1f1z1OZ1jf0r9S+9jno2Hv/RzmHQn0vn5PEju5p+/od9l3RUX9XdIezgxhr799ISeQ2O8/Vae/p6I/8X9Fv+y/oRc5OVnTUvxX9irv4Rm9G6v2Cjvhb0Z/5Ye6/qx7UPn9b3UOfH0bOK1fzzy3fIj6f+juPb8i3yh/U1xV/aw73Nv8Ws2+z+nISX0Fc5X2f1SfoVwxJ/ZrXB/CH/NXsqbdPlEPKVX6dpoTZ+gb6J7Pvb+sfjL/S39Ce3/gX2kvfH/rf+S15p+mPf1N48y/iO0dnx/hh+yF+tX2J3N7Xl1X83fmH099bPivt6eD4LnWcn46vXoj/Juf6oOv5cEXHfOoqz+OQT8/Hrcg6XNaPWp5o9sr3IMfXH7r9UQ2fAh/8q9tf8yWvd1r2s/agk3Nx1v53fTvak+SMBOPp9j/jLdpbk85pvkv7bZN1r2q/4q/bQx4dT7/jj/Go6Tqef+0z5sPv+GO+rfqv5RvryYr/evyx3iGewF/Xe8QT7Knbr+Jv5S+9nia71Z6fnq80P91eYz3fgw799XrhJ19U+598U+uZX/3G9aC2l15v/ub/uF4+C5nef7re6HzS7YExvq5HOl90+9/4G/cbq/0Vzhf4rOJzR0F8VKGO9qz53kolvz8zb+IdROGRXMhthq/2fHkfS57hvTo5JauRZwy+vPeeNbbFym0Hj6dxMbzDM1uSWxcln2F8n2oprMf76W9N5JMS5q/xVnkF2gx7XGPwNz5M9b1XGlTNTB/spLLsFFd07Ai1PqAn2amu6FZ2ulreeycrKzmOlM8tUOBIaeNl1ub+9pvfb7ZyCWTErHuWSx2Fg2XsiMVY4d3EbRAb/MUtpxGvK4z+SdTQ/OB2YN3+MWNvhvyY4dopDnZIlx3SYwcvcloJv93iMnTEGJdo1BP9Nylc18I6zzD6FzPqCQx+mo7x7vAXzHp2l2TO19mhbbsPRFhO4OHSr5TeXnF6CSJ+CySXOiJfVn5vEq66/ap/UHGUld+z8jva3+klmPU4ej22Nz2un8HOWfnVyuWdbr/sb5Qeym/Auv1dRkyvR+392t78ikvH28/9pWM5e3v4tzwI98MXKwfI7DaNIb+VMqz7b/cELhOjwp3+ZYY7e5TZeLAnMOvZej3zm55J5ESdq3Jpp/E9Lo16JqWnkTjQGP0bKT1I6SFYt6cnTx9/Ht0lnwv2LS5w6dnuA9Xu0vOwvb3KLE+gD8l0etd95XeHSzrVftU/iRyaH+wBrNs/9u/1cL0e7U0Pb9LgB6P8GpQeaL/qX82oh1F+NcqvaI/xgFkP3/vVv/kVB8i3n/tL0SN09rB2Nv974oufYEd9otJH48+BRC//JpeAyJsrvc8Z1uOtxq+yjNL4tpeiQx7YG5jtEPu4qDM73POR8msx4zga7/SODzPaKcNOarzV+Fbaa363noJ1+2fdlZ55/fhecrId0miGfJkh+x3nSbu87cqGX+FZ644Zxis9vMXQ+D4/V285iFzszx+B0f95a8b9gU/rRQ4+V9D8tPy6fZP9fiZn38ZDf92ezbh1ZhyrTPluv+xjSBJGIfMjotO4MsNJnnPuctGnMRR1H0+/YP2IBvi5aBX+Wr7qZJ4QwyoMQ1Vc5Kj+pxgq4MBR4Uee0dGaH7Buj0ABZkd02xvrF+uZIHnFFzSl4kBMDpYVvp+dyiMo3f+oODgXu2gs/Z3B450RQ09Nx3igx/4R1tHvX3x80zOJnNQkDuRiRePbviq+gO94EQw5T+Uv4BJxADLaAXQtn27PevaP/HyYz3vMZxM+wLl//HSUJ0E3O3+1S5kVa/IyHwawxoU3vAl+XlY4ef0oNzXWnjOsx1uNj8BYjbcpefDqq0uQKb/nJYS82pWTQ1Q2zU/rp9uzoY/O0GP+Vc+PZnYjjGx2ctTKz97qltwM4+G63eI5xfdz5lj+BW9vuJk5vp+03DvN2zBurKRjpfLktt4RwFWefkQ7VkLQJ/YZ2mM8VFrdHvwKjY6HfKvx0Z4dWfsKM90pQ68scqPyRSOVU+F7apaA1f1vO6iAJxXwpALeq4AGZj36Ha7P8xMvXMmxHMlKYEsl0hiflCSpnCt8yBMtjZ9n53GIj6LscJBzs/bPE504bQ87ALMd+mesnt4q6SFyACd5TvzlU02X4HHxpYrcYZLxw1LHGp9nGEubKgbSuErCX3PEOcPPu9KYZ/h5cxRz/wbjlg9LG1nLaoypq0n7FbaCNX+sOQ/jzhl+EtC7N37Auv0TQL2jbOeoMX/p8jdd/o540qPUJNf6YZMMq9uv+h9SX3R/rAA3kpWAwt3zcz+TBysM4NV4wJrf/XRKMJupPyHw5a0+bJAry9NBCV+N8Qx6l/DV+Hl+qsKVVLjedNhh1BMYK6di3Dlrr+XX7dkOvrdDndV7zBt7HsexamWcZRzdftV/V2G/Kz3337QYVsa2XxnX/pmyP97q3I64Rt0b4iJ26ZPnK8Yijr9CbQjgrLZYXt4hY8VU5WpDY/Rv8nZ3hfG9gOav5dE4RS83ZDxRaXwnDPnwpk9WW0otz/09g+L3vF30z1FM7Y4gtjLfO8NQuCvBh0Op+jLDQT50iVVWHho/S+Eww93SeKBHMyoCDHpShrgf/mOPDfkUP62fbg9+VgXSc3ckH8CY0fAY/9/asyO2vgJMz0rJS8CoPWJR4wI/j6VGOqk9JTDdKxCxsxpvNT4w69GfAYTp3R62Yk4OrbAX3jCOwrc9tX2VnpruSMUTPpRR4+v2sMMpduja/x/E4hiy###4432:XlxV32DM 3fff 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###4728:XlxV32DM 3fff 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###4712:XlxV32DM 3fff 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###4472:XlxV32DM 3fff 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###4440:XlxV32DM 3fff 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###4464:XlxV32DM 3fff 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###4456:XlxV32DM 3fff 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###4440:XlxV32DM 3fff 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###2040:XlxV32DM 18f5 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 \ No newline at end of file Index: github/Snake-master/base_summary.html =================================================================== --- github/Snake-master/base_summary.html (revision 428) +++ github/Snake-master/base_summary.html (nonexistent) @@ -1,189 +0,0 @@ -Xilinx Design Summary - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
base Project Status (05/08/2014 - 19:59:30)
Project File:snake.xiseParser Errors: No Errors
Module Name:baseImplementation State:Programming File Generated
Target Device:xc3s200a-4vq100
  • Errors:
-No Errors
Product Version:ISE 12.3
  • Warnings:
11 Warnings (8 new)
Design Goal:Balanced
  • Routing Results:
-All Signals Completely Routed
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
-All Constraints Met
Environment: - -System Settings -
  • Final Timing Score:
0  (Timing Report)
- - - - 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Device Utilization Summary [-]
Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Flip Flops1623,5844% 
Number of 4 input LUTs3693,58410% 
Number of occupied Slices2521,79214% 
    Number of Slices containing only related logic252252100% 
    Number of Slices containing unrelated logic02520% 
Total Number of 4 input LUTs4613,58412% 
    Number used as logic369   
    Number used as a route-thru92   
Number of bonded IOBs266838% 
Number of BUFGMUXs2248% 
Number of MULT18X18SIOs21612% 
Number of RAMB16BWEs21612% 
Average Fanout of Non-Clock Nets2.98   
- - - - 
- - - - - - - - - - - - - - - - - -
Performance Summary [-]
Final Timing Score:0 (Setup: 0, Hold: 0)Pinout Data:Pinout Report
Routing Results: -All Signals Completely RoutedClock Data:Clock Report
Timing Constraints: -All Constraints Met  
- - - - 
- - - - - - - - - - -
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis ReportCurrentjue may 8 19:58:18 201408 Warnings (8 new)7 Infos (7 new)
Translation ReportCurrentjue may 8 19:58:54 2014000
Map ReportCurrentjue may 8 19:58:59 2014002 Infos (1 new)
Place and Route ReportCurrentjue may 8 19:59:22 201403 Warnings (0 new)5 Infos (3 new)
Power Report     
Post-PAR Static Timing ReportCurrentjue may 8 19:59:25 2014005 Infos (5 new)
Bitgen ReportCurrentjue may 8 19:59:29 2014000

- - - - -
Secondary Reports [-]
Report NameStatusGenerated
WebTalk ReportCurrentjue may 8 19:59:29 2014
WebTalk Log FileCurrentjue may 8 19:59:30 2014
- - -
Date Generated: 05/08/2014 - 19:59:30
- \ No newline at end of file Index: github/Snake-master/base.vhd =================================================================== --- github/Snake-master/base.vhd (revision 428) +++ github/Snake-master/base.vhd (nonexistent) @@ -1,224 +0,0 @@ ---------------------------------------------- --- Single player snake without walls. --- TODO: Refactoring ---------------------------------------------- - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; - -entity base is - Port ( - clk_50 : in STD_LOGIC; - color : in STD_LOGIC_VECTOR(0 to 2); - UP : in STD_LOGIC; - DOWN : in STD_LOGIC; - RIGHT : in STD_LOGIC; - LEFT : in STD_LOGIC; - - vsync : out STD_LOGIC; - hsync : out STD_LOGIC; - R : out STD_LOGIC_VECTOR(3 downto 0); - G : out STD_LOGIC_VECTOR(3 downto 0); - B : out STD_LOGIC_VECTOR(3 downto 0); - led : out STD_LOGIC_VECTOR(3 downto 0) - ); -end base; - -architecture Behavioral of base is - - constant TICK_PERIOD : INTEGER range 0 to 50000000 := 6250000; -- Our delay in 20ns periods (50MHz clock) - - -- Direction states. We are always moving, pressing a button or not. - constant DIRECTION_UP : STD_LOGIC_VECTOR(0 to 1) := "00"; - constant DIRECTION_DOWN : STD_LOGIC_VECTOR(0 to 1) := "01"; - constant DIRECTION_RIGHT : STD_LOGIC_VECTOR(0 to 1) := "10"; - constant DIRECTION_LEFT : STD_LOGIC_VECTOR(0 to 1) := "11"; - - -- Simple state machine for the game logic - -- I had to break to more states for RAM synchronization - -- TODO: LEARN RAM SYNCHRONIZATION!!! - constant STATE_INIT : INTEGER range 0 to 15 := 0; -- Initialize all values for new game. Don't reset prng! - constant STATE_PLAY_DECREMENT : INTEGER range 0 to 15 := 1; -- Decrement all parts of snake by one - constant STATE_PLAY_EVENTS : INTEGER range 0 to 15 := 2; -- Check for events - constant STATE_PLAY_MOVE : INTEGER range 0 to 15 := 3; -- Event or not we always move - constant STATE_PLAY_SET : INTEGER range 0 to 15 := 4; -- Write our position to RAM - constant STATE_PLAY_NEXT : INTEGER range 0 to 15 := 5; -- Check for collisions - constant STATE_PLAY_WAIT : INTEGER range 0 to 15 := 6; -- Delay. Humans are slow. - constant STATE_PLAY_INCREASE : INTEGER range 0 to 15 := 7; -- Increase size of snake if we ate the food - constant STATE_PLAY_RAND : INTEGER range 0 to 15 := 8; -- Get a new random value from our prng that is inside our RAM range - constant STATE_PLAY_CHECK : INTEGER range 0 to 15 := 9; -- Check if the position indicated by our random value is free to place the food. If it's not, just go to the next one - constant STATE_LOSE : INTEGER range 0 to 15 := 15; -- Lose "animation" - - constant RAM_NOP : STD_LOGIC_VECTOR(1 downto 0) := "00"; - constant RAM_RESET : STD_LOGIC_VECTOR(1 downto 0) := "01"; - constant RAM_DECREMENT : STD_LOGIC_VECTOR(1 downto 0) := "10"; - - signal viewColor : STD_LOGIC_VECTOR(0 to 2); - signal clk_25 : STD_LOGIC; - signal clk_draw : STD_LOGIC; - signal clk_tick : STD_LOGIC; - signal direction : STD_LOGIC_VECTOR(0 to 1) := DIRECTION_LEFT; - signal posx : INTEGER range 0 to 39; - signal posy : INTEGER range 0 to 29; - signal size : INTEGER range 0 to 1200; - signal inc : INTEGER range 0 to 10; - signal state : INTEGER range 0 to 15 := STATE_INIT; - - signal ram_action : STD_LOGIC_VECTOR(1 downto 0) := RAM_NOP; - signal ram_counter : INTEGER range 0 to 1200 := 1200; - signal ram_we : STD_LOGIC := '0'; - signal ram_read : STD_LOGIC := '0'; - signal ram_addr_pri : STD_LOGIC_VECTOR(10 downto 0); - signal ram_addr_sec : STD_LOGIC_VECTOR(10 downto 0); - signal ram_data_in : STD_LOGIC_VECTOR(10 downto 0); - signal ram_data_pri : STD_LOGIC_VECTOR(10 downto 0); - signal ram_data_sec : STD_LOGIC_VECTOR(10 downto 0); - signal tick_counter : INTEGER range 0 to 50000000; - - signal prng_rst : STD_LOGIC := '0'; - signal prng_en : STD_LOGIC := '0'; - signal prng_val : STD_LOGIC_VECTOR(10 downto 0); -begin - led <= std_logic_vector(to_unsigned(state, 4)); - - div2: entity WORK.fdiv port map(clk_50, 2, clk_25); -- Divide our 50MHz clock by 2 to create 25MHz clock for the VGA controller - controller: entity WORK.vga port map(clk_25, viewColor, ram_addr_sec, ram_data_sec, clk_draw, vsync, hsync, R, G, B); -- The VGA controller using our RAM to draw - mem: entity WORK.ram port map(clk_50, ram_we, ram_addr_pri, ram_addr_sec, ram_data_in, ram_data_pri, ram_data_sec); -- Instantiation of RAM - prng: entity WORK.prng11 port map(clk_50, prng_rst, prng_en, prng_val); -- The pseudo-random sequence generator for the food location - - ram_events: process(clk_50) -- Had to merge all processes to one - begin - if(rising_edge(clk_50)) then - if(ram_read = '1') then -- TODO: probably we don't need this since we use asynchronous read RAM, but I had to make it work as soon as possible. - ram_read <= '0'; - else - if(ram_we = '1') then - ram_we <= '0'; - if(ram_counter < 1200) then - ram_counter <= ram_counter + 1; - ram_read <= '1'; - end if; - else - if(ram_counter < 1200) then - case ram_action is - when RAM_RESET => - ram_data_in <= "00000000000"; - ram_we <= '1'; - when RAM_DECREMENT => - if((unsigned(ram_data_pri) > 0) and (ram_data_pri /= "11111111111")) then - ram_data_in <= std_logic_vector(to_unsigned(to_integer(unsigned(ram_data_pri)), 11) - 1); - ram_we <= '1'; - else - ram_counter <= ram_counter + 1; - ram_addr_pri <= std_logic_vector(to_unsigned(ram_counter, 11)); - ram_read <= '1'; - end if; - when others => ram_counter <= ram_counter + 1; - end case; - else - case state is - when STATE_INIT => - ram_action <= RAM_RESET; - ram_counter <= 0; - viewColor <= color; - direction <= DIRECTION_LEFT; - posx <= 19; - posy <= 14; - size <= 5; - inc <= 1; - state <= STATE_PLAY_INCREASE; - when STATE_PLAY_DECREMENT => - viewColor <= color; - ram_action <= RAM_DECREMENT; - ram_counter <= 0; - ram_addr_pri <= std_logic_vector(to_unsigned(ram_counter, 11)); - ram_read <= '1'; - state <= STATE_PLAY_EVENTS; - when STATE_PLAY_EVENTS => - if(LEFT = '1' and not(direction = DIRECTION_RIGHT)) then direction <= DIRECTION_LEFT; - elsif(UP = '1' and not(direction = DIRECTION_DOWN)) then direction <= DIRECTION_UP; - elsif(DOWN = '1' and not(direction = DIRECTION_UP)) then direction <= DIRECTION_DOWN; - elsif(RIGHT = '1' and not(direction = DIRECTION_LEFT)) then direction <= DIRECTION_RIGHT; - end if; - state <= STATE_PLAY_MOVE; - when STATE_PLAY_MOVE => - case direction is - when DIRECTION_UP => if(posy = 0) then posy <= 29; else posy <= posy - 1; end if; - when DIRECTION_DOWN => if(posy = 29) then posy <= 0; else posy <= posy + 1; end if; - when DIRECTION_RIGHT => if(posx = 39) then posx <= 0; else posx <= posx + 1; end if; - when DIRECTION_LEFT => if(posx = 0) then posx <= 39; else posx <= posx - 1; end if; - when others => null; - end case; - state <= STATE_PLAY_SET; - when STATE_PLAY_SET => - ram_addr_pri <= std_logic_vector(to_unsigned(posy * 40 + posx, 11)); - ram_read <= '1'; - state <= STATE_PLAY_NEXT; - when STATE_PLAY_NEXT => - if(ram_data_pri = "11111111111") then - state <= STATE_PLAY_INCREASE; - ram_data_in <= std_logic_vector(to_unsigned(size + 1, 11)); - ram_we <= '1'; - elsif(ram_data_pri /= "00000000000") then - state <= STATE_LOSE; - inc <= 1; - else - state <= STATE_PLAY_WAIT; - ram_data_in <= std_logic_vector(to_unsigned(size, 11)); - ram_we <= '1'; - end if; - when STATE_PLAY_WAIT => - if(tick_counter < TICK_PERIOD) then - tick_counter <= tick_counter + 1; - else - tick_counter <= 0; - state <= STATE_PLAY_DECREMENT; - end if; - when STATE_PLAY_INCREASE => - size <= size + 1; - prng_en <= '1'; - state <= STATE_PLAY_RAND; - when STATE_PLAY_RAND => - if(unsigned(prng_val) < 1200) then - prng_en <= '0'; - ram_addr_pri <= prng_val; - ram_read <= '1'; - state <= STATE_PLAY_CHECK; - end if; - when STATE_PLAY_CHECK => - if(ram_data_pri /= "00000000000") then - if(unsigned(ram_addr_pri) + 1 < 1200) then - ram_addr_pri <= std_logic_vector(unsigned(ram_addr_pri) + 1); - ram_read <= '1'; - else - ram_addr_pri <= "00000000000"; - ram_read <= '1'; - end if; - else - ram_data_in <= "11111111111"; - ram_we <= '1'; - state <= STATE_PLAY_WAIT; - end if; - when STATE_LOSE => - if(tick_counter < TICK_PERIOD) then - tick_counter <= tick_counter + 1; - else - tick_counter <= 0; - viewColor <= not(viewColor); - if(inc < 10) then - inc <= inc + 1; - else - state <= STATE_INIT; - end if; - end if; - when others => null; - end case; - end if; - end if; - end if; - end if; - end process; - -end Behavioral; - Index: github/Snake-master/base.twx =================================================================== --- github/Snake-master/base.twx (revision 428) +++ github/Snake-master/base.twx (nonexistent) @@ -1,338 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -]> -Release 12.3 Trace (lin)Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved./opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/trce -intstyle ise -v 3 -s 4 -n 3 --fastpaths -xml base.twx base.ncd -o base.twr base.pcf -ucf cons.ucf - -base.ncdbase.ncdbase.pcfbase.pcfxc3s200a-4PRODUCTION 1.41 2010-09-153INFO:Timing:2698 - No timing constraints found, doing default enumeration.INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.INFO:Timing:3390 - This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.clk_50DOWN2.759-0.462LEFT2.289-0.772RIGHT2.674-0.819UP2.663-0.600color<0>2.053-0.802color<1>1.842-0.644color<2>3.418-1.868clk_50clk_50clk_509.355Thu May 8 19:59:25 2014 TraceTrace Settings - -Peak Memory Usage: 102 MB - Index: github/Snake-master/README.md =================================================================== --- github/Snake-master/README.md (revision 428) +++ github/Snake-master/README.md (nonexistent) @@ -1,12 +0,0 @@ -###Single player Snake with food without walls. - -Uses a clock @50MHz. It can also work with all multiples of 25MHz including 25MHz.
-Reads input from 4 push-buttons.
-Reads 3 switches for color. Optional.
-Outputs current state in 4 leds. Optional.
-Outputs a VGA signal at 640x480 resolution. - -Tested with Spartan-3A Starter Kit.
-Check cons.ucf for all constraints - -This is my first project in VHDL. Any feedback is appreciated! \ No newline at end of file Index: github/Snake-master/base_usage.xml =================================================================== --- github/Snake-master/base_usage.xml (revision 428) +++ github/Snake-master/base_usage.xml (nonexistent) @@ -1,1140 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Index: github/Snake-master/vga.vhd =================================================================== --- github/Snake-master/vga.vhd (revision 428) +++ github/Snake-master/vga.vhd (nonexistent) @@ -1,108 +0,0 @@ ---------------------------------------------- --- Customized 640x480 VGA Controller - --- It reads a RAM buffer of 1200x11bit as a --- serialized 40x30 viewport and scales it to --- 640x480. - --- Values: --- "00000000000": Empty --- "11111111111": Food --- Other: Snake part ---------------------------------------------- - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; - -entity vga is - Port ( - clk_in : in STD_LOGIC; - color : in STD_LOGIC_VECTOR(0 to 2); -- The RGB color of the background in 1 bit depth per channel (3 switches). The snake is the inverse. - ram_addr : out STD_LOGIC_VECTOR(10 downto 0); - ram_data : in STD_LOGIC_VECTOR(10 downto 0); - clk_out : out STD_LOGIC; - vsync : out STD_LOGIC; - hsync : out STD_LOGIC; - R : out STD_LOGIC_VECTOR(3 downto 0); - G : out STD_LOGIC_VECTOR(3 downto 0); - B : out STD_LOGIC_VECTOR(3 downto 0) - ); -end vga; - -architecture Behavioral of vga is - signal vcount : INTEGER range 0 to 525 := 0; - signal hcount : INTEGER range 0 to 800 := 0; - signal pixelx : INTEGER range 0 to 39; - signal pixely : INTEGER range 0 to 29; -begin - - inc: process(clk_in) -- Counts clocks needed to do the VGA synchronization - begin - if(rising_edge(clk_in)) then - - if(hcount = 799) then - if(vcount = 520) then - vcount <= 0; - clk_out <= '1'; - else - clk_out <= '0'; - vcount <= vcount + 1; - end if; - hcount <= 0; - else - clk_out <= '0'; - hcount <= hcount + 1; - end if; - - end if; - end process; - - sync: process(clk_in) -- Outputs the necessary clocks for the VGA synchronization - begin - if(rising_edge(clk_in)) then - if(vcount >= 490 and vcount <= 491) then - vsync <= '0'; - else - vsync <= '1'; - end if; - - if(hcount >= 655 and hcount <= 751) then - hsync <= '0'; - else - hsync <= '1'; - end if; - end if; - end process; - - draw: process(clk_in) -- Our main draw process - begin - if(rising_edge(clk_in)) then - if(vcount < 480 and hcount < 640) then -- We're inside our VGA viewport - pixelx <= hcount / 16; -- Scale x axis - pixely <= vcount / 16; -- Scale y axis - ram_addr <= std_logic_vector(to_unsigned(pixely * 40 + pixelx, 11)); -- Read data from RAM - -- TODO: Probably we need +1 so we compare the correct values - if(ram_data = "11111111111") then -- Draw the food - R <= color(0) & not(color(0) & color(0) & color(0)); - G <= color(1) & not(color(1) & color(1) & color(1)); - B <= color(2) & not(color(2) & color(2) & color(2)); - elsif(ram_data /= "00000000000") then -- Draw background - R <= not(color(0) & color(0) & color(0) & color(0)); - G <= not(color(1) & color(1) & color(1) & color(1)); - B <= not(color(2) & color(2) & color(2) & color(2)); - else -- Draw snake part - R <= color(0) & color(0) & color(0) & color(0); - G <= color(1) & color(1) & color(1) & color(1); - B <= color(2) & color(2) & color(2) & color(2); - end if; - else - R <= "0000"; - G <= "0000"; - B <= "0000"; - end if; - end if; - end process; - -end Behavioral; - Index: github/Snake-master/ram.vhd =================================================================== --- github/Snake-master/ram.vhd (revision 428) +++ github/Snake-master/ram.vhd (nonexistent) @@ -1,45 +0,0 @@ ---------------------------------------------- --- Dual-Port RAM With Asynchronous Read - --- Sources: --- http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_7/xst.pdf ---------------------------------------------- - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; - -entity ram is - Port ( - clk : in STD_LOGIC; - we : in STD_LOGIC; - addr_pri : in STD_LOGIC_VECTOR(10 downto 0); - addr_sec : in STD_LOGIC_VECTOR(10 downto 0); - data_in : in STD_LOGIC_VECTOR(10 downto 0); - data_pri : out STD_LOGIC_VECTOR(10 downto 0); - data_sec : out STD_LOGIC_VECTOR(10 downto 0) - ); -end ram; - -architecture Behavioral of ram is - type BUFF is ARRAY(1199 downto 0) of STD_LOGIC_VECTOR(10 downto 0); - signal RAM : BUFF; - --signal read_addr_pri : STD_LOGIC_VECTOR(10 downto 0); - --signal read_addr_sec : STD_LOGIC_VECTOR(10 downto 0); -begin - - process(clk) - begin - if(rising_edge(clk)) then - if(we = '1') then - RAM(to_integer(unsigned(addr_pri))) <= data_in; - end if; - --read_addr_pri <= addr_pri; - --read_addr_sec <= addr_sec; - end if; - end process; - - data_pri <= RAM(to_integer(unsigned(addr_pri))); - data_sec <= RAM(to_integer(unsigned(addr_sec))); - -end Behavioral; \ No newline at end of file Index: github/Snake-master/_xmsgs/par.xmsgs =================================================================== --- github/Snake-master/_xmsgs/par.xmsgs (revision 428) +++ github/Snake-master/_xmsgs/par.xmsgs (nonexistent) @@ -1,45 +0,0 @@ - - - -No user timing constraints were detected or you have set the option to ignore timing constraints ("par -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". - - -Partially locked IO Bus is found. - Following components of the bus are not locked: - Comp: B<3> - Comp: B<2> - Comp: B<1> - - - -Partially locked IO Bus is found. - Following components of the bus are not locked: - Comp: G<3> - Comp: G<2> - Comp: G<1> - - - -Partially locked IO Bus is found. - Following components of the bus are not locked: - Comp: R<3> - Comp: R<2> - Comp: R<1> - - - -Only a subset of IOs are locked. Out of 18 IOs, 9 are locked and 9 are not locked. If you would like to print the names of these IOs, please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1. - - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - -N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - - - Index: github/Snake-master/_xmsgs/bitgen.xmsgs =================================================================== --- github/Snake-master/_xmsgs/bitgen.xmsgs (revision 428) +++ github/Snake-master/_xmsgs/bitgen.xmsgs (nonexistent) @@ -1,9 +0,0 @@ - - - - - Index: github/Snake-master/_xmsgs/ngdbuild.xmsgs =================================================================== --- github/Snake-master/_xmsgs/ngdbuild.xmsgs (revision 428) +++ github/Snake-master/_xmsgs/ngdbuild.xmsgs (nonexistent) @@ -1,9 +0,0 @@ - - - - - Index: github/Snake-master/_xmsgs/pn_parser.xmsgs =================================================================== --- github/Snake-master/_xmsgs/pn_parser.xmsgs (revision 428) +++ github/Snake-master/_xmsgs/pn_parser.xmsgs (nonexistent) @@ -1,12 +0,0 @@ - - - - - - - - - - - - Index: github/Snake-master/_xmsgs/trce.xmsgs =================================================================== --- github/Snake-master/_xmsgs/trce.xmsgs (revision 428) +++ github/Snake-master/_xmsgs/trce.xmsgs (nonexistent) @@ -1,19 +0,0 @@ - - - -No timing constraints found, doing default enumeration. - -To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. - -The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. - -This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation. - -This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error. - - - Index: github/Snake-master/_xmsgs/map.xmsgs =================================================================== --- github/Snake-master/_xmsgs/map.xmsgs (revision 428) +++ github/Snake-master/_xmsgs/map.xmsgs (nonexistent) @@ -1,15 +0,0 @@ - - - -No environment variables are currently set. - - -All of the single ended outputs in this design are using slew rate limited output drivers. The delay on speed critical single ended outputs can be dramatically reduced by designating them as fast outputs. - - - - Index: github/Snake-master/_xmsgs/xst.xmsgs =================================================================== --- github/Snake-master/_xmsgs/xst.xmsgs (revision 428) +++ github/Snake-master/_xmsgs/xst.xmsgs (nonexistent) @@ -1,53 +0,0 @@ - - - -"/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd" line 35: Index value(s) does not match array range, simulation mismatch. - - -"/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd" line 42: Index value(s) does not match array range, simulation mismatch. - - -"/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd" line 43: Index value(s) does not match array range, simulation mismatch. - - -Signal <prng_rst> is used but never assigned. This sourceless signal will be automatically connected to value 0. - - -Signal <clk_tick> is never used or assigned. This unconnected signal will be trimmed during the optimization process. - - -Signal <clk_draw> is assigned but never used. This unconnected signal will be trimmed during the optimization process. - - -HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. - - -Node <ram_action_0> of sequential type is unconnected in block <base>. - - -The RAM <mem/Mram_RAM> will be implemented as a BLOCK RAM, absorbing the following register(s): - -HDL ADVISOR - You can improve the performance of the multiplier Mmult_ram_addr_pri_mult0000 by adding 1 register level(s). - - -HDL ADVISOR - You can improve the performance of the multiplier Mmult_ram_addr_mult0000 by adding 1 register level(s). - - -Node <ram_action_0> of sequential type is unconnected in block <base>. - - -The FF/Latch <controller/R_0> in Unit <base> is equivalent to the following 2 FFs/Latches, which will be removed : <controller/R_1> <controller/R_2> - - -The FF/Latch <controller/B_0> in Unit <base> is equivalent to the following 2 FFs/Latches, which will be removed : <controller/B_1> <controller/B_2> - - -The FF/Latch <controller/G_0> in Unit <base> is equivalent to the following 2 FFs/Latches, which will be removed : <controller/G_1> <controller/G_2> - - - - Index: github/Snake-master/base.ut =================================================================== --- github/Snake-master/base.ut (revision 428) +++ github/Snake-master/base.ut (nonexistent) @@ -1,28 +0,0 @@ --w --g DebugBitstream:No --g Binary:no --g CRC:Enable --g Reset_on_err:No --g ConfigRate:25 --g ProgPin:PullUp --g DonePin:PullUp --g TckPin:PullUp --g TdiPin:PullUp --g TdoPin:PullUp --g TmsPin:PullUp --g UnusedPin:PullDown --g UserID:0xFFFFFFFF --g StartUpClk:CClk --g DONE_cycle:4 --g GTS_cycle:5 --g GWE_cycle:6 --g LCK_cycle:NoWait --g Security:None --g DonePipe:No --g DriveDone:No --g en_sw_gsr:No --g en_porb:Yes --g drive_awake:No --g sw_clk:Startupclk --g sw_gwe_cycle:5 --g sw_gts_cycle:4 Index: github/Snake-master/base_map.xrpt =================================================================== --- github/Snake-master/base_map.xrpt (revision 428) +++ github/Snake-master/base_map.xrpt (nonexistent) @@ -1,470 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
-
- - - - - - -
- -
- - - - - -
-
- - - - - - -
-
- - - - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - -
-
- - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- -
-
-
-
-
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - Index: github/Snake-master/base_xst.xrpt =================================================================== --- github/Snake-master/base_xst.xrpt (revision 428) +++ github/Snake-master/base_xst.xrpt (nonexistent) @@ -1,218 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - -
-
- - - -
-
-
-
-
-
-
-
- - - - - -
-
- -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
- - - - - - - - -
-
-
-
-
- - - -
- - - Index: github/Snake-master/base.prj =================================================================== --- github/Snake-master/base.prj (revision 428) +++ github/Snake-master/base.prj (nonexistent) @@ -1,5 +0,0 @@ -vhdl work "vga.vhd" -vhdl work "ram.vhd" -vhdl work "prng11.vhd" -vhdl work "fdiv.vhd" -vhdl work "base.vhd" Index: github/Snake-master/iseconfig/snake.projectmgr =================================================================== --- github/Snake-master/iseconfig/snake.projectmgr (revision 428) +++ github/Snake-master/iseconfig/snake.projectmgr (nonexistent) @@ -1,89 +0,0 @@ - - - - - - - - - 2 - - - base - Behavioral (/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.vhd) - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000016f000000020000000000000000000000000000000064ffffffff0000008100000000000000020000016f0000000100000000000000000000000100000000 - true - base - Behavioral (/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.vhd) - - - - 1 - Design Utilities - - - 0 - 0 - - false - - - - - 1 - - - 0 - 0 - 000000ff0000000000000001000000000000000001000000000000000000000000000000000000039f000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004f0000000100000000000000290000000100000000000000840000000100000000000002a30000000100000000 - false - - - - - 1 - work - - - 0 - 0 - 000000ff0000000000000001000000000000000001000000000000000000000000000000000000017e000000010001000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - work - - - - 1 - Configure Target Device - Design Utilities - Implement Design - Synthesize - XST - User Constraints - - - Generate Programming File - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - Generate Programming File - - - - 1 - User Constraints - - - - - 0 - 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017e000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017e0000000100000000 - false - - - 000000ff0000000000000002000001440000011d01000000060100000002 - Implementation - Index: github/Snake-master/iseconfig/base.xreport =================================================================== --- github/Snake-master/iseconfig/base.xreport (revision 428) +++ github/Snake-master/iseconfig/base.xreport (nonexistent) @@ -1,217 +0,0 @@ - - -
- 2014-05-08T19:51:19 - base - Unknown - /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/iseconfig/base.xreport - /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master - 2014-05-08T19:51:19 - false -
- - - - - - - - - - - - - - - - - - - - - - - -
Index: github/Snake-master/base_envsettings.html =================================================================== --- github/Snake-master/base_envsettings.html (revision 428) +++ github/Snake-master/base_envsettings.html (nonexistent) @@ -1,481 +0,0 @@ -Xilinx System Settings Report - -
System Settings

-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Environment Settings
Environment Variablexstngdbuildmappar
LD_LIBRARY_PATH/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin/opt/Xilinx/12.3/ISE_DS/ISE//lib/lin
PATH/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
/opt/Xilinx/12.3/ISE_DS/ISE//bin/lin:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/games:
/usr/games
XILINX/opt/Xilinx/12.3/ISE_DS/ISE//opt/Xilinx/12.3/ISE_DS/ISE//opt/Xilinx/12.3/ISE_DS/ISE//opt/Xilinx/12.3/ISE_DS/ISE/
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn base.prj 
-ifmt mixedMIXED
-ofn base 
-ofmt NGCNGC
-p xc3s200a-4-vq100 
-top base 
-opt_modeOptimization GoalSpeedSpeed
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyNoNO
-netlist_hierarchyNetlist HierarchyAs_OptimizedAs_Optimized
-rtlviewGenerate RTL SchematicYesNO
-glob_optGlobal Optimization GoalAllClockNetsAllClockNets
-read_coresRead CoresYESYES
-write_timing_constraintsWrite Timing ConstraintsNONO
-cross_clock_analysisCross Clock AnalysisNONO
-bus_delimiterBus Delimiter<><>
-slice_utilization_ratioSlice Utilization Ratio100100%
-bram_utilization_ratioBRAM Utilization Ratio100100%
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-fsm_style LUTLUT
-ram_extract YesYES
-ram_style AutoAUTO
-rom_extract YesYES
-shreg_extract YESYES
-rom_style AutoAUTO
-auto_bram_packing NONO
-resource_sharing YESYES
-async_to_sync NONO
-mult_style AutoAUTO
-iobuf YESYES
-max_fanout 500500
-bufg 2424
-register_duplication YESYES
-register_balancing NoNO
-optimize_primitives NONO
-use_clock_enable YesYES
-use_sync_set YesYES
-use_sync_reset YesYES
-iob AutoAUTO
-equivalent_register_removal YESYES
-slice_utilization_ratio_maxmargin 50%
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Translation Property Settings
Switch NameProperty NameValueDefault Value
-intstyle iseNone
-dd _ngoNone
-p xc3s200a-vq100-4None
-uc cons.ucfNone
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Map Property Settings
Switch NameProperty NameValueDefault Value
-irUse RLOC ConstraintsOFFOFF
-cmOptimization Strategy (Cover Mode)areaarea
-intstyle iseNone
-o base_map.ncdNone
-prPack I/O Registers/Latches into IOBsoffoff
-p xc3s200a-vq100-4None
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Place and Route Property Settings
Switch NameProperty NameValueDefault Value
-t 11
-intstyle ise 
-olPlace & Route Effort Level (Overall)highstd
-w truefalse
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedAMD Athlon(tm) II X2 255 Processor/800.000 MHzAMD Athlon(tm) II X2 255 Processor/1900.000 MHzAMD Athlon(tm) II X2 255 Processor/800.000 MHzAMD Athlon(tm) II X2 255 Processor/800.000 MHz
Hostcudar75cudar75cudar75cudar75
OS NameDebianDebianDebianDebian
OS ReleaseDebian GNU/Linux 7.4 (wheezy)Debian GNU/Linux 7.4 (wheezy)Debian GNU/Linux 7.4 (wheezy)Debian GNU/Linux 7.4 (wheezy)
- \ No newline at end of file Index: github/Snake-master/fdiv.vhd =================================================================== --- github/Snake-master/fdiv.vhd (revision 428) +++ github/Snake-master/fdiv.vhd (nonexistent) @@ -1,36 +0,0 @@ ---------------------------------------------- --- Simple frequency divider ---------------------------------------------- - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity fdiv is - Port ( - clk_in : in STD_LOGIC; - div : in INTEGER range 2 to 1000; - - clk_out : out STD_LOGIC - ); -end fdiv; - -architecture Behavioral of fdiv is - signal temp_out : STD_LOGIC := '0'; - signal count : INTEGER range 1 to 1000 := 1; -begin - clk_out <= temp_out; - - process(clk_in) - begin - if(rising_edge(clk_in)) then - if(count = div - 1) then - count <= 1; - temp_out <= not(temp_out); - else - count <= count + 1; - end if; - end if; - end process; - -end Behavioral; - Index: github/Snake-master/snake.xise =================================================================== --- github/Snake-master/snake.xise (revision 428) +++ github/Snake-master/snake.xise (nonexistent) @@ -1,81 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Index: github/Snake-master/webtalk.log =================================================================== --- github/Snake-master/webtalk.log (revision 428) +++ github/Snake-master/webtalk.log (nonexistent) @@ -1,16 +0,0 @@ -Release 12.3 - WebTalk (M.70d) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Project Information --------------------- -ProjectID=CD4206F80301AC7C78B8795C5D1329A8 -ProjectIteration=1 - -WebTalk Summary ----------------- -INFO:WebTalk:2 - WebTalk is enabled. - -INFO:WebTalk:8 - WebTalk Install setting is ON. -INFO:WebTalk:6 - WebTalk User setting is ON. - -INFO:WebTalk:5 - /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/12.3/ISE_DS/ISE/data/reports/webtalk_introduction.html Index: github/Snake-master/base.xpi =================================================================== --- github/Snake-master/base.xpi (revision 428) +++ github/Snake-master/base.xpi (nonexistent) @@ -1,3 +0,0 @@ -PROGRAM=PAR -STATE=ROUTED -TIMESPECS_MET=OFF Index: github/Snake-master/base.pad =================================================================== --- github/Snake-master/base.pad (revision 428) +++ github/Snake-master/base.pad (nonexistent) @@ -1,130 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 19:59:22 2014 - - -# NOTE: This file is designed to be imported into a spreadsheet program -# such as Microsoft Excel for viewing, printing and sorting. The | -# character is used as the data field separator. This file is also designed -# to support parsing. -# -INPUT FILE: base_map.ncd -OUTPUT FILE: base.pad -PART TYPE: xc3s200a -SPEED GRADE: -4 -PACKAGE: vq100 - -Pinout by Pin Number: - ------|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| -Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity| -P1|||TMS|||||||||||| -P2|||TDI|||||||||||| -P3||DIFFMLR|IO_L01P_3|UNUSED||3||||||||| -P4||DIFFSLR|IO_L01N_3|UNUSED||3||||||||| -P5||DIFFMLR|IO_L02P_3|UNUSED||3||||||||| -P6||DIFFSLR|IO_L02N_3|UNUSED||3||||||||| -P7||DIFFSI_NDT|IP_3/VREF_3|UNUSED||3||||||||| -P8|||GND|||||||||||| -P9||DIFFMLR|IO_L03P_3/LHCLK0|UNUSED||3||||||||| -P10||DIFFSLR|IO_L03N_3/LHCLK1|UNUSED||3||||||||| -P11|||VCCO_3|||3|||||2.50|||| -P12|vsync|IOB|IO_L04P_3/LHCLK2|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P13|R<0>|IOB|IO_L04N_3/IRDY2/LHCLK3|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P14|||GND|||||||||||| -P15|hsync|IOB|IO_L05P_3/TRDY2/LHCLK6|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P16|G<0>|IOB|IO_L05N_3/LHCLK7|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P17|||VCCINT||||||||1.2|||| -P18|||GND|||||||||||| -P19||DIFFMLR|IO_L06P_3|UNUSED||3||||||||| -P20|B<0>|IOB|IO_L06N_3|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| -P21||DIFFMI_NDT|IP_3|UNUSED||3||||||||| -P22|||VCCAUX||||||||2.5|||| -P23|B<1>|IOB|IO_L01P_2/M1|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||UNLOCATED|NO|NONE| -P24|G<2>|IOB|IO_L02P_2/M2|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||UNLOCATED|NO|NONE| -P25|B<2>|IOB|IO_L01N_2/M0|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||UNLOCATED|NO|NONE| -P26|||VCCO_2|||2|||||2.50|||| -P27|G<1>|IOB|IO_L02N_2/CSO_B|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||UNLOCATED|NO|NONE| -P28||DIFFMTB|IO_L03P_2/RDWR_B|UNUSED||2||||||||| -P29||DIFFSTB|IO_L03N_2/VS2|UNUSED||2||||||||| -P30|R<1>|IOB|IO_L04P_2/VS1|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||UNLOCATED|NO|NONE| -P31|R<2>|IOB|IO_L04N_2/VS0|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||UNLOCATED|NO|NONE| -P32|R<3>|IOB|IO_L05P_2|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||UNLOCATED|NO|NONE| -P33||DIFFSTB|IO_L05N_2|UNUSED||2||||||||| -P34|led<3>|IOB|IO_L06P_2/D7|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| -P35|led<2>|IOB|IO_L06N_2/D6|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| -P36|led<0>|IOB|IO_L07P_2/D5|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| -P37|RIGHT|IBUF|IO_L07N_2/D4|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE| -P38|||VCCINT||||||||1.2|||| -P39|UP|IBUF|IP_2/VREF_2|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE| -P40|B<3>|IOB|IO_L08P_2/GCLK14|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||UNLOCATED|NO|NONE| -P41|G<3>|IOB|IO_L08N_2/GCLK15|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||UNLOCATED|NO|NONE| -P42|||GND|||||||||||| -P43|clk_50|IBUF|IO_L09P_2/GCLK0|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE| -P44||DIFFSTB|IO_L09N_2/GCLK1|UNUSED||2||||||||| -P45|||VCCO_2|||2|||||2.50|||| -P46|led<1>|IOB|IO_2/MOSI/CSI_B|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| -P47|||GND|||||||||||| -P48||DIFFMTB|IO_L10P_2/INIT_B|UNUSED||2||||||||| -P49|LEFT|IBUF|IO_L10N_2/D3|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE| -P50|DOWN|IBUF|IO_L11P_2/D2|INPUT|LVCMOS25*|2||||IBUF||LOCATED|NO|NONE| -P51||DIFFMTB|IO_L12P_2/D0/DIN/MISO|UNUSED||2||||||||| -P52||DIFFSTB|IO_L11N_2/D1|UNUSED||2||||||||| -P53||DIFFSTB|IO_L12N_2/CCLK|UNUSED||2||||||||| -P54|||DONE|||||||||||| -P55|||VCCAUX||||||||2.5|||| -P56||DIFFMLR|IO_L01P_1|UNUSED||1||||||||| -P57||DIFFSLR|IO_L01N_1|UNUSED||1||||||||| -P58|||GND|||||||||||| -P59||DIFFMLR|IO_L02P_1/RHCLK0|UNUSED||1||||||||| -P60||DIFFSLR|IO_L02N_1/RHCLK1|UNUSED||1||||||||| -P61||DIFFMLR|IO_L03P_1/RHCLK2|UNUSED||1||||||||| -P62||DIFFSLR|IO_L03N_1/TRDY1/RHCLK3|UNUSED||1||||||||| -P63|||GND|||||||||||| -P64||DIFFMLR|IO_L04P_1/IRDY1/RHCLK6|UNUSED||1||||||||| -P65||DIFFSLR|IO_L04N_1/RHCLK7|UNUSED||1||||||||| -P66|||VCCINT||||||||1.2|||| -P67|||VCCO_1|||1|||||any******|||| -P68||DIFFMI_NDT|IP_1/VREF_1|UNUSED||1||||||||| -P69|||GND|||||||||||| -P70||DIFFMLR|IO_L05P_1|UNUSED||1||||||||| -P71||DIFFSLR|IO_L05N_1|UNUSED||1||||||||| -P72||DIFFMLR|IO_L06P_1|UNUSED||1||||||||| -P73||DIFFSLR|IO_L06N_1|UNUSED||1||||||||| -P74|||GND|||||||||||| -P75|||TDO|||||||||||| -P76|||TCK|||||||||||| -P77||DIFFMTB|IO_L01P_0/VREF_0|UNUSED||0||||||||| -P78||DIFFSTB|IO_L01N_0|UNUSED||0||||||||| -P79|||VCCO_0|||0|||||any******|||| -P80|||GND|||||||||||| -P81|||VCCINT||||||||1.2|||| -P82||IBUF|IP_0/VREF_0|UNUSED||0||||||||| -P83||DIFFMTB|IO_L02P_0/GCLK4|UNUSED||0||||||||| -P84||DIFFSTB|IO_L02N_0/GCLK5|UNUSED||0||||||||| -P85|color<0>|IBUF|IO_L03P_0/GCLK6|INPUT|LVCMOS25*|0||||IBUF||LOCATED|NO|NONE| -P86||DIFFSTB|IO_L03N_0/GCLK7|UNUSED||0||||||||| -P87|||GND|||||||||||| -P88|color<1>|IBUF|IO_L04P_0/GCLK8|INPUT|LVCMOS25*|0||||IBUF||LOCATED|NO|NONE| -P89||DIFFSTB|IO_L04N_0/GCLK9|UNUSED||0||||||||| -P90|color<2>|IBUF|IO_0/GCLK11|INPUT|LVCMOS25*|0||||IBUF||LOCATED|NO|NONE| -P91|||GND|||||||||||| -P92|||VCCAUX||||||||2.5|||| -P93||DIFFMTB|IO_L05P_0|UNUSED||0||||||||| -P94||DIFFSTB|IO_L05N_0|UNUSED||0||||||||| -P95|||GND|||||||||||| -P96|||VCCO_0|||0|||||any******|||| -P97||IBUF|IP_0|UNUSED||0||||||||| -P98||DIFFMTB|IO_L06P_0/VREF_0|UNUSED||0||||||||| -P99||DIFFSTB|IO_L06N_0/PUDC_B|UNUSED||0||||||||| -P100|||PROG_B|||||||||||| - ------|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| - -* Default value. -** This default Pullup/Pulldown value can be overridden in Bitgen. -****** Special VCCO requirements may apply. Please consult the device - family datasheet for specific guideline on VCCO requirements. - - Index: github/Snake-master/base.ncd =================================================================== --- github/Snake-master/base.ncd (revision 428) +++ github/Snake-master/base.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###4004:XlxV32DM 3fff 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###4008:XlxV32DM 3ffe f90eNqNW9ly27gS/ZX5gZGJjUs45SpKohDeaBtScuQnlizJM77jJddxWJmqfPwFKG4gGwAfBAPnHDQazSbYZCrhmpJwTdFRNvm34+mfHEmASoB2AC8QTTtmWDZENtIAY7Jxj7KpRDhcuwJ0HdmUPf8om4oW5iqltORJlSdVnlR5lQoLI74AfUn7UuhTMbFmvXAd775u0i80XG/WMQo3ONwI7zb7HQ63jviJwRbJjrC0JeJHxY+Jnyt+nvj54he44TaafYnnQhzN5ZQozWer+TJZx/lmu0s26wyxcDvP8ulyM/uS8/U8nybrebLmYh8gnqfxQlpquO0ymsWreL177ID7LOKxYvpuNgNNd/DSdH+OXHrFU/RQ4vPNepfP0jjaxfl+vc/ieb5N1tl1aRGzPBUhEqZODbC738bH64jP82R+lW7nq/wuTjMRAXE9SyRZ51GWJXydr6Jt5YYE48MuXs/FQtISuhq+S+KvSlDu4lnpPWppuVx2v551p3Q3W09hHfrqUR6ls89VjBU8XYidCJem+yyZHx7q/jpaxYiG2y/5PC43sOarPNvs01n8KFEx3CWrONtFq+2DBGRS7D6ne+SE2/e3j7fZ28s3hCdiQwsZjR40cSAQSQcHoAOisBaDKAFRCqIMRF0Q9UDUB9EA2jDoLgY3jMENY9gCgRYDQQqBDAJdCPQg0IfAYJgYfKjjUGJwKDE4mBgcTAwOJgYHE4ODicHBxOBgYnAwMTiYGBxMDD4B3cXghjG4YQxbINBiIEghkEGgC4EeBPoQGMgDrgHpZIbu5nPF+QoTl9ZR0EEK0TqFujo2PIMYdAax+mABQDy0yIc6DlnkkEXes+gOfXRrH7vR8YDoeGB0vKGPHhQdf7iyX6880PGhjvc8DAAPg8ZDCFUjEQzXCaDYBlBsKxA/tlj1BEJ9RHW7PqT7E/lAxIGJXI09dgYxrSA1phgNdUir40Nd71ri4dMWg5slkxnuXaMa6+2EDC0SyCJw12IKXfUGxSBK+lYxYBWDVjFoFQ+tuoCvLnQPYXcYdxeKuzeMkgecMDWIIBBDIIFACoFs6BAf6jjkEIcc4pBDHHKIQw7xnkPDUwb7UIT8prjrgMCRggPwgg0PD9wcHg8tSMhktV/ukH9AvqiBJ1szi2S1rWfNk7GRJUaWGllmZF0j6xlZ38gG4vW3ZekkjVZT5E6/xpP5JnJMJDKR2EQSE0lNJDORron0DOTUtM+paZ9T0z6npn1OTfucmvY5Ne1zquaCSm4jx8T2wsBMucBMucBM0e2R3Yc08SaLybx7BtTPDDyAlKc7HZQFFHjysqExBhhjA2MMMOYOjbmAMXdgrK4EOyJvIPI0Ij4Q9Z5efnW64gGkuOUPjPmAsWBorD2pwz9pmP7h3MoWlS2+dUVLPl0xciuO+OZS3zQ9FqYJ/7x7vP6ZzONldJ9H8/8cr0CeTPcyFO2g+mgmbGa7qGynZbv1wzR38o2QyB5qerjpkbIXhOnl+4/nD+Hsse2iTh83EtRKUEeCOhLcSnBHgjsS0kpIR0I6EtpKaEdCOxLWSlhHwjoSt5W4HYnbkXitxOtIvI7EbyV+R+J3JEErCTqS4BajMMNh5rhhFi/zZC0uepas8tlmuUzKL1Kzz/HsyynMlsksXt6U2dOO5P1ybkb3q/1hoQ65Xw/FZax7qO3itkvaLm1WWDBh5KEZRev5sRksDp3+fbMQb+zwdiHeLsTbhThtTHNhuh2Im7c74M3gsEkX3UHD5IubCHUHuDsg3QE9NwOx6I2jDlsjvGuRdy3yrkXetchVi+VQ3P9ZSsMsS6OynXph9vfx2yV36g7y647T9FBN4rpD6g6tO6zuuHXHqzt+3QnEnZ/9midZNF3GebTbpZ+Wm6+/fl3CXfMVWH53fSzH1efN8kMs7SP5PotTsZsrfCd2WH7njHgzucLS3VkBVuJU7IyzbRzPK0h+YE1WWxGuZHe1slM+HO/qL7SlA8tkKu6W/fYkfu3R54lRedRd6s71zCM0/BpHTtmissVlW+LTEp+W+LTERb0RHnB4mB7Dw/zzNTSZX/ZFEGZXNPscbeMKlS49lL3a33N4SJbJ+vD7YT79zZmg37Ld/tqJslmSCON/uuHh/JAnZzHx/JJPn17PT69/iWDI0er437f3u8v796e31xp6em2h8B6H9+K5cXy/HGn4cPx+OZVt/nL8Nnn968UNT8//5Ew+hK6dNkanCslFdLgo4pXhjWzFzTrAJny2/CJOAHEhFC4pJxAFrJ4zXnh6OT8/vV6O4ent+e39D+cTvvXr/q20VHVb52oatTQCaNzS+FbZmsTEs6x89hFlWHlVa5CqQZAGqxrc1TwK8PXj/e35+fJ+MxWb6AHk9qIAudMbExmgLvDy46fjOESGJtAysj7UcES8cp0M5M0GEyNd7cy0gocuBjLPvhpcyB/OZ6x3oaSr21UXGqINDTGERlQLiBhIjA0kZbodlaQ+qBVtCapUeeLoUcjXtw9BYsRgWMk03k893k893ks93o8v16YeV1NPM4toZ8mrgt0utzqez/n78SUXf99lI3ROfvpX1o7jhGisEI8VkrFCOlbIxgrdsUJvrNAfKxQVp2cXPv8oy/qRSjRaiUcryWglHa1ko5Q/y4cT9scpkTPaKBqtxKOVZLSSjlaOD5M7WumNVvqjleJFSjnhVqe3H68f+d/XP+XhYhEgmwDbBMQmoDYBswlcm8CzCcRL6aNeUN3rzKYQL6d3uWOydL1zbApkVWCrglgV1KpgVoVrVXhWhW9VaNK4sKVxYUvjwpbGhS2NC1saF7Y0LmxpXNjSuLClcWFN42JkGhfWNC6saVxY07iwpnFhTePCmsaFNY0LaxoX1jQuummMsaJ4+fH80R7cciRPbsVK2q9i034Vm/aq2LRfxabaKjY1VLGptopN1XcLpUS/3rTS3AMI10U/NAnBk1A1Cav+tez7B2gOw+Zw44MHsxpzBDZHGnMmFvadaBej8GLU6DvVmmOwOWb0jmnNubA51+idqzXnweY8o3ee1pwPm/ON3vlacwFsLjB6F5TmyJDJHRBFIIpBlIAoBVEGoi6IeiDqg2igvvrXddTLt/zyP3mEqY+pIe1ZBNhFZ6OAYmLk62vtKKrv/76ekD+A8uNrWTKrnyIUivS3pJLy+w5GJgH0sUPRUKKm37enn5fnn/IkhWAEwxiGCQxTGGa36jW/wv3MrVAEohhECYhSEGXqM6dC6wv1YOCwicW++gjus+Bh3hMFair0X7wGhdFAgPrl6lBhE2CbgNgE1CZgNoFrE3g2gW8TBLpQ1rWSrGcds0J+fiBWiTyvLUshqzPYqiBWBbUqmFXh9gpNSDFiw57VjDfGjG81448xE1jNBMOHeAHXo4WxHi3gerTo16MmtleDF9pqtYCr1aJfrZpYDC6GtYsReDFiXIwYFyPaxSi8mKaWLbS1bAHXsoWxli20tWwB17KFsZYttLVsAdeyRb+WNbEUXMzTLubDi/nGy+gbE9TXLhbAiwXGuAN1cAHWwQVYBxdgHVyAdXAB1sEFWAcXYB1cgHVwAdbBRV0HBwAKVik9jqqloMpi01TCTCzDas1bDGveQl/zqpSJpL5a7/bIOgMDrYYZjHvyv42cn94vp4+nt9d8ka3yxeKMEAPA35NXkXowTkR5oWHamwAUMHllNUy+MHBcZ9F3wFltoHQchmKBoVhgTSxKnOoY5ugYF0PxuzKG+EmBh6Ed4XpHek6+BbWcfKG7PtyV/XZgxVQHL//F/UHP3WwwNrHtEQqJxrAYoQ57/SdqpFzLGhNHCwCWoS1w/R2x+61bwyAtg7UM0TJUyzAt42oZT8uIp5gPMNdP0WUCwlT1lRqaW32e1lFIT2E9RfQU1VNMT7l6ytNTvp4Kbs9Xpil6qTqu0lZRoZ6qLW+dHvz+oUzEvYltqer04N5E0ptI4BXJYCLtTaTwinQwkfUmMnhFNpjo9ia68IruYKInJ/4fyS9ZnQ==###3328:XlxV32DM 3ff6 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###2260:XlxV32DM 3617 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###3788:XlxV32DM 3fff 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###4100:XlxV32DM 3fff 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###3608:XlxV32DM 3fff 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###3964:XlxV32DM 3fff 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###3804:XlxV32DM 3fff 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###4528:XlxV32DM 3fff 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###4064:XlxV32DM 3fff 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###3320:XlxV32DM 3fff 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###3488:XlxV32DM 3fff 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###3504:XlxV32DM 3fff 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###3660:XlxV32DM 3fff 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###3888:XlxV32DM 3fff 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###3972:XlxV32DM 3fff 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###6080:XlxV32DM 3fff 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###6564:XlxV32DM 3fff 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###4296:XlxV32DM 3fff 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###4440:XlxV32DM 3fff 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###4500:XlxV32DM 3fff 117ceNqFW0u23iwO3BIPY0R6Hb0ADHjYsx79p/feXEuyoS52kkFORVigEuiB/f1J7h+ffC4h0tn/RON30/8kG90PTsa7L2zI/0Bj7R5+sCW//eBA+z5iHb8LrhT9iFGfF7liU/fjBxPx+nA+HX+Y9fg3+/aX53H8//73H5dd/WP+hH/+46P7s+V//ddH+8f9698+mj9A40n+ANrqZJbxbZxGx789X8mfq+cPMbN0yQorTeq2N2zADTqfukkxjlc34/Nor2KmsQ00li8as9n8qNbRrLaJWhz/9vxmNrN63gSmreNjhW/aCGgE3DVvX+tVjOtRmhWjvptmwUzj2Wl0mXm05zERGfftH2/7X/LZW90Uro2zW3IXO29yZ9w12xFKu/akaQdbUfjs1tMscSrx0ketfuHTMN7Mma5/D5d+5tus4cNBzjE7Nl7/f8v5X13faSUGGR5XLJ/Zk2z4+TeLl1J0djX+7fksz+P6XDrryE/fapc91sRtlGfB+Hwz5+XNHHxeYdV3UKAvrPO9ze/+gjd9PrH/s3EQdJj/JHJrfBrlUYLQK5bdrru36OkAfcXuhTHzr8+r/97k6p/nNHk7Yc0lL/vbaKx/0e/J0hcOsi+RP9T3pt8AxvVnwcgf2vNm3y8+AAfBRPt1vvvqp/WULrr0hysY9f0j9sL4t+f7bqUvTKL/iXZmirb9EJYveRSM/ON6Eb/pR+xFvze7vfTXk6O+8kMn8bi1PP5Nbvjcdvm1P4JtcTX+7Xn38vzDL8dr5KeXNJn9e17/j3ixnqV+L/oxP+RUN84LLfO441r3Fh3Ht4P16HyIN6qsL0icAozzvc2v+eYNN9MSx5E5P2j8r8aFVf7B8W/PO3JxFf9RH+Ji7eWnKPkFn98Dnxvdz4gP0afnEXHXw1USCd+I7/zaPrH6/y0/an2B8Qv346/zC/sF8a964WV+L/xjPdBr/jhijOdarSnGeKVyjF8aL9Rexb/ihTyv+Fe8EHlEuZ53lQv+FQ+02hSM+2knW0aM9Vj82QljfQb1WKMZYz3WaMZYTyn/irGeqmbGWE+pPL/UMypX/KveFX4UY73j76bHubmecVOvqBjr5Yf/WR6hG4jQDXiQe5DrflU57t8M8l/1EfTWirEeGppMs6pXHjnUY1JP3PO/1Bv3+QJ5gfNV4HxFOF8RzpfG/+d8MsZ8dfP7kq+CqWHEmC9UrhjzRW+GacSYD1R+5weIp3p+FGM81f2tGONdjwttxPf+N+v99pwPwDr+pf7H8YZwvzu4+3FhNf6t/9DxV7frTe92PTe7Z517XfePjz7vPbBwOt2u8GTDvjEdvEzEifbreAcrZgHebeAwSSavsK/x+rf/577CNSXi7crb+A1HKTsRO5PyiJkG+9BQw0TD3mnYfdbdUeX07tVwFyzsIu5dwTUwR82mgAOblcwanzZx1Ly76RmrWUSzmYrVTMVsphu8XT69bWZvE3hbcTLi3STeBmxNkKsgLuIQq9mdm3OF1SxtUt5wARoK0FAmGvzg7TjRQJ0Geryt95bdJ0Fyc1vhs3LsOYsrK7xT4prTc62F+LS5zt6esafM3q9miW9aCGgCXOBQFDgUZToUW6dpY5p6kbriSZ/r1rJbbeRaXg4rYnX/FrjnesMt1CtH6HZLsq7N7FF8f8zbscpNH8urqe5Ln453IV7y05hpuyfgRe3D8cxTGHiyduIp+5/7QvMwFUCTI/bgL3wEjiumWb4FmLE92LIst4SIk+WDWIiz7GOZTSOTmWYmEzDZn3ff+irMZ/Osj+drYl/HUp3M45EfHM9M78/B7fFjYtq6H6ZzEj276FFs5JaJ9cQhDs43vKGrCU8cLJD1EsTB9CT/yhvP0wr3bO+Zdk7OiO8sZiyt8E2PGc2gIY6lVTi/a369UQ8St+ROC3GQLEXS8yE+ayhjT4x4k2S9SS+EWM3SXfGGd6BhBxr2iYY0eDOvkvftTchqGbypuNcccpj4RQfis3IyJim13/BD04zVrAxmZjAzT2bmwdvHl7eNeLvRed0wOctZCPEe2nWWU7HnGnP03jbJWoAjH907SyHeLHt/L7IbAKvZB9BwAA3HRMMxRttt9Z5Ln7Oyq44j8Zkz7argETcbid8YFLPC5pQsQpXfxIB+e8dutguxxrpmmCDEz/ZjfTjfI+dYi/iO1Zr1QN8d6yVrMo9l5DF+Zy0vmrJhD7Vk2wqfKUqxzZYj1pUdok+ZqCRZ7V55Myt853+aLT3A0iRZzXtm8hAmcT5dXyL2NI7ffbLjTsHxyA+OZ6brmLX2r6y1KS9PnEuPx9oQ59JXnDsgax0Q5xSTDfLqjF/IIi51m664EBsNCHKgEd9xjebwrviOczSaeQ5xbtmSaiduaJuy2qnvlQFrFjsOvjFArFkq0xpr+A76ZhSwmqVvst5whiyneAj3Dw3b2JnTp7chq1XwtuJNsph+BYH4JGkxqzQ1L7hWfrOLWM06wcwTzDwnM8fO+1yZqd5utNmx09bSCrET74YovRpgLy3mTmvczwAnffEu4qcF5eiNWM1UzGa6Mdrmr15qvyvoJPdSJq2wxqJA3Dv9wsS1ma2Nxlil+u+OA2JzwVgKvdaBsViyDs6H4/82H/PkR57qiicj27aXwnzvfvC8+p4FcQuMg+H3Xc/NiJQroA+xi5wTLOl+0HW7feSJoJMqwFOWnIXrwfF/m4952sacUr5yShI7093LDvd/WxiiTPzqhDLklAZRRrFP2iJzVEGsF1n7/ZnJjJ+WQF4TAlb3KWYzhsbw3L+CZYZgeYIZ521GkBsRjiqIa9qOedkzPqtUCpt2TjNWsxqY2cDMNpkZx9NxfkWRTXaL7mYycgF3JwXYvXDKK+5eOeVam1qad6+O/9t8Wltbw2+XUZ/W5vrWGsejfTieeaKBJ/eXG5ldNGl8CnIDi1hrx+uO5gP3cwu9qnhCWn79XgXxKZZWuStD3Pwc156DN3sy0i9P1tGTSePQiz4dj/bgfMz00Hs793kjE+W59BzgQc/Q3J7hKw4lwh5+yyusNzCdmH2FW+C4o6/TEN8Hkty+wvcBpdGMYzBj+zTDYIk+m6FYw6czW1phDZ9Jrx4AP7Woiyv83HAyZjPG3tD57/OTVLPh3scdXH8g1rvgzayx3tXaMNcPJ+5viFQN9zfc/aK+Bvu7yfpxvnv/w/l+xkt8oDk+6HjkB8cz03VkOnwzfYimdt9C87smxM/J9eELd91LPORCP1aahznN7BlmEvFTEc1duupT+a6RynAk7E33tpovyS3DKbcMOB75wfHMdBsj1fYVqcqjRypmvk9jPUN7evqvI04QqQocccXahzniI41Y+6y9zn2a4qer5mUifrrswYxgxo23r9psTeWHXA5r4bpJwkKcoPDG59Xu+3PuY52AjFyeIH5KlXlbqb57W0mAeN708rbD9TAPP/1nla+94TrRxn4Cnfc+F8P3Qe0gvhghrkZCiG2FN6PVTGzjt0DSuhn9FkixfutdpcdTuSWOoYfM//M2f5SfJpUv+UaJe1wv91V0xFHuTM5f8kj5kJtFw98URs4y8q1GMXFf2avyROS+5PpDFOT31k90fsmd5Bj9VrERtRWfyA+OP03ZOSek/YvPSnJBC+MRI597KJb5JLvi8wgH02GorMbj/Dge5cgXynE/8lH4uaOwkc9CmatmV/pR2PrfXE9WvdHe+PsNWQplLgNAnkzhV68p86umaqycWd4aFPgztsiNFeJy8u2K6kNsLb8Y7QVP+dJ/xCKfwc5Y9fWttC+x4Z8HhMQuVvtUnnocvI6+yHF+S5k/JZD5EKO+3/qZP5xf7W/2sPJboDLOd/PZC8ZxPOKS+DPl+3ljNOS0Ee/8deEvjPa+2a/rQYz2/N5fZ5jWB/6+vz/S66cXeZbrOPTncE118Yjz6fXjSZxsUP58NsYF95u8GHeu+NYfKRFx1YD+DObIHAq2YzxPuv471PYdvdqPQYqoaGpZ7afns7tjW/HxZNVfL5fymHUDlOXqD62GotnKKh7o+q3RVydr+SGp4E3eJJW8yUlS0at+SWUYz+5UaDgVvsn7vs5f8s2k9iVvxKn8Vb/ww6H655o0atUyV6GH55+oZX3ykKDfJElFS2mFz8hJq+eMfZxZn4+B5TtxkkOsScceKS2xFEkU5iJKsZek3ak8vtZD4ok3uRNP4PwqD+KJN/kpnsD1PEXT6InhI6keelZXj6EWjhCSrDX5kqH2hc8XXM1avkmxOBR//EOnl/lxfD8bbjWe7QzPr0stvC6WfkGLkkLJj/NkKbIG/txYxOj41+f1IkF5IOCBZh4yyDPKzWx3vovI9fyqn3kYP0HyftVGtufEy2t+OeFSrLOen6vcXfmc3+aW6wS73vjLCjzNDCSwCOVa1mYrnpQTiuOHsrCuxiPeKpelScpSxM9PUmmpX3dWZyatsD7fd0hd6VOPFphPxw87rK740Ocb8JdAniaP0+ipY+0pjaX5agD+D4fnV3U=###4424:XlxV32DM 3fff 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###4460:XlxV32DM 3fff 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###4432:XlxV32DM 3fff 1138eNqFW0mS7agO3RKtEa/W8RdgGzOsWY0qau8fW5INJ7EzJxknEOolGnN30/7+++9vt5b8x/zx//7tk/tjg/nrH5/sH/fX/3wyf6z711u/VgrxpK/kA/9nnMnXh8/a+ETmk8rAZvUnG+NXkomewjVxz2E9/ycT/RTbaC9BJqYZXii6HiN/Y8KlMNmwzHCwjA8xRPFO4ej5KUZ6HVdcjL/0OWw0M36GGK+Z7UP6H/oDPTt66xxdvx1thdES2bGFWBBidWylSDOsjlashiVwVAJHOQqDYYpbBl0GUR4DgfSq/xt9NKM8lb8Xv1+ONWMglB75Iz07em+ODloZfvB0apWRHj+vhvXWBA6G9UR8bGFjA2Oe4TvBCRKeIOEFq10bxGGDhEvgN6RX/ZGe/VB6P8TBD0vzw+LXlPwhib5xQYRyxa3GMsN3fhnINwP5JvguRNET5SFWOxfIxwXsVsx2Hn0nDF+dsJg7j7kzCmY+9SlQsrO00XTbDKe1qtfq3oq7JB5+SGelf5/vS49JsPIjGPcw7n+Msz5dX7Jf8pX/5YbD9GmzDH6IzQ/xKZ8KfFs/3Wb41suMemYz2pFh3MO4YpSP/NgO+4TTujEtcrMj+9XHvdT2t1DiMqbdnHjLtM6wy3s6caTMaWRKPPHaEvnSz5btxJXSNsNrLuGEqa1k0/mgD2KdX01aZvqkpnGPUV4UeYqRn+qjmP3oej+O+UDNj9TaiGE+3qa957vTaOcudiL92/z0Mr+Usl5xMcnOsM73xPSIlR+Oq77qJ8Woz+1Hwewn/7Qh5/KsDS2mLOe8Q+xQTIbcwyf0/qZZ3tZHvu/zzBEHHrGh3Z/YRtpnWPMoQN4UItf7S7GOL+APxchP81KxxouIli95ah/Sv81fhnjE3o/rrJ8rnxaPY9BD6uNtnKB+M+ip9G/zrWF65Kd+JKhPpVd5OL/AfMXsh6XPy+0rLzexQ3ERzHxS47MwnzLuquq1f40Xo0uhIIWcqeaL0cYNDLGP9UqUxSzLDIfC+DCyPwC83PR+CEhzQBBDBn0QP4Wf3Bc+KMW+IWdIPGfIzOQj/cf8MLPH5VpYvqszXMyIUV/VRzHqqwmjGPWpz3h4EoG6RBjXA2uuTGg7SyctaNOlr+2Zr6XOS4sGnCxbbiXyiBfDOMdAX9hHd/SeLlB6BixF3FqmgZYfoCWFGf3tSZhfIRKK70gaiKRgtAfp3+xDfStkItIXSstM3x/xA3rkj/ScKblvPeWr9bSWFvvWsxl67iiOtcu4cUW09sq41syr3Q9Z1OXMWC4c0+JnOBjuRWaLxxRLBlbtPS84S60ifmrVc1MlWaQyr4KoL+KnuY+9CHFbXIYMW2WRRXmI78WFxt61wiLdIrPP8LN5pKE3hRf7cVwzXjHy6zKsftmrGO3RilTMmXRewiTJpHFPYEPLJHfewhTpTUv1S695Jr720Voy4okjcuR0O4j46RUpfOEVesWukdDaJTYFcbdNMLP5e+bItXPTPsNBarfIbQZi9McP7I/M+vOxF/GaL3c0fXz9wmSCnemP/kas9kfD9Bgvxe2oYmdYM1HxWzxWWEUtrKL2XkvQnmp6jPoqf8WcqXvfO+vncUIrXrCh3G3/Spfx+0vG62q8bbzapMS9LEkEEasHrVwcvGI5kOvqcEjG7oUrZJEKQKweyrAa6fyUdbXhDEWM9iA+Ns5QEnsQawQzZGTbwbqZPojv3QXsFhBvcMBV/prhrQL8DHcZZKf+Fuwg4zJkdIbdiNInU49xPvqDMzoD/w0OhBt0QNW3y/guU48uUw9I+GuVJ79aWcVr4qslL6t2qXOsliW5kjsMZ9pqk9ygM9ZVHTHKQ3zXOoEnwFLF9wkDjmz7feQb+d29Q/BTaWOkLMjPsEoqRn8gv/sqBTIN7XGSqW/0EY6wap+ewJIddyERjtTKH+kxfmjvb/Scad1N7zre9G5XorXmGoqTbzm7vSJSPEOzLTPs5RNI2TY/w/dVttC/4cNsfAQkz3dltPORFfRBvFtejKvc7eB8Ml4OVtsxwzrfmy1/8VOM+t7f1AQjP0OeenwFopouEH521aViI7ih6JUSYBW7GVZTcQI1FD9fsLbcm6EY+ak+ituKyPzz6Eblj/ojfbORv7CYrcz0wflIz2603ReQNH4L9s2P3q9q9yF8s4R/kfAjvsMPfqzgB8Wsh+v1cF96GNquvhHEnpYWxwyr3JVGPVZIS8Wsh+/1sLO9UxI9rOiheBM/M5/uKnaN8z7xKFCuOjwkIa3ZtxlWAxqOQ4LRYfoEVYz0Oo7znchXfRTreDZln8qTPraaQjN6tO+Nvh0v/UyfGvlb0L2pB3rkj/QciO4ud03zQOjEQNzxgzbgpJfPgO+PZfvyhTHzWiUOgVCM9PrRCucXKqY3XLE25NWOiaL8lZ7EUW/0m/BDeegfpEf+SM+BWPrKCl8VvkuCamfJoifiO2HMmLCK74QWzHqkXo/ls+OJHiSdN2eWi1jlHmb0n2LVQzHrQb0e8avTVNEjPQW8PHzyc8O15vnjkMcRsgJLq1yks/zA91IyBnSHpTLT7mbjOl87DcpH+jf+ixQUylN+ilFfLSDFqM/9GAQ6ocrTBFDMju6uEtfxY8h+Odo9jjZi6GHZsCbIzvCzlWNBP+arY4gdg/jpPGXK7371YLBTjY7y0PJXMzpC8d1ZzBgIxRpIC1vL9cW+N3rCzvkLfxrW8K2vrPHS17nz3uKu8MYnwV7NzXC3d3OPnL2Xs37J8SAn0CgnQGIrZjmll5O/OkUQOenes/Wd7+gSuHwnMLXjWb8HCbJmIw7WyUUfLAUyX5eaYpke8b3Xp+Jm87VgkpFrEsB35cMSYKiUIeEEo7wnQMXN+N2dxGiBjfYeNGI9VHhz+C991D6k50DVLlD1O1ALMGqbo22Gn1346AjEbTO196eFeAda3omZ4r9wls0Zzr8r1YyBVv2R/k2+h0Crvt1mcJ/RPw+Bxk6p+tL9wnXcjKq+miiKz0BtxvSVOV6RhlaZ4ekAVebp3mHPUhCAda/pEycE4udwPW7KK/hBMes5nPKOrz2P1XiK/7P6H/DTIeFwAJt/xazHcMorX53MiR53ZxPMfPxTIJudF4g6zqSyjHuAsdIRt6WkDgZQOfrjd5FAIe4SZljakhYk6vOi391JQJ/nRR/j+3Qn+qm+ilGfLoH9TJ7yV4z6v8lT/yA9Byp0gfLzQOmepmXscBqy0JIRH3D68GoI8Hvj76ETeWzhehoE/Dh2DESh0dGKUd79tNLM7dFAHHAaU3nPEsL4vs6Tbzioz5t9Ss+Bil1l0uc9UCC9N5XOJUs54nvpM2NCKn72guV49OhPieS+9lT6Vfq+N4PCSFDIillOfwqkz3ueRPo+QveMpeNznuJIEjy+fHm4fxQApR0kA1qZyONVybgXesRqkZaek03E8yOE8SKordV1vMlkjPTPjyCOqTzNUMVoj84PkOEFMrxAhkfIDMVoH9rT3YdM6d/mK38b5c26+Bfjscpb9pS4tSG9dhhHzB/pUT+kf4u/+oczrf/VDvmvymh2Dn5doAIX8PMyVGD3q559fcloH+X8SoF3W0XOY+TtDFva+OBd3RS3fcV1bimZ8fO8ebt6iS36Bok/iSB2kZ9bn9+KZhj1fcOH6ItY9d2ryzPsRf+2ROWZ/lW+wga5m0ZMlDiz/G5muMVq5R57HDO8l2Vnf/BDAMTn7Tw/kzZphrctip3ysQvikSmzPuTizF4dL+Q4o4GfpUj8OtHez8M3053T9332I5N6v2plN+rzlTbVzHArIClgsi/Yyhe2/QsXTWPAGvY1uRe85t4NbGb3oyKOXT1mT+HJ80sJZ/glw/NuL0k3NoesAvL99Rvv1fBtaMh1hr28qF0yv2pDjPq86Yffh1Vf/b58wHiGccXsrPKLs+T9dXM7/34BlKnyxO15Mpb8+GQs+Rm9jisOqfLHZHEWyrOW6dXZSL+ZcRzp3/RX/dgZxy/OuC949dv/+U25fwtQ5JEq4ihvA3CcYJxgHPn/GDfjuNKHxK9kXDt9TOnjQTzu4ow+GR6nLdOMPlvVp0zp/W0PjyM9u7u7dpm6+7jcHc5nfvw8bLPLsKEIZlm+8Ab43MT2GzLFz330MtyfK+74peHLn2CU9xzGGb/pr/MXeeIRIo8jffVyGSB9E+k3OduGKMs50AdZZZJxcUZ/mHEc6d/0V/+9jav/rnBb80u4yxXudvJ5brFH9+7izpV/E2dqDjTeeo/0iNMv8ystn7jNz+wukhdMTn6j8I0j8Tvl0nrdDKM+b/pt9MMeeCmx5Jm/NB13KIcE4wnLhyDdBXM4uzsut9D8x4du5Xnrzt3BWdEjz/BuOO/2wmmJ2MiKecQ3rPv9OW4N3v3YLtjujszV6W+Q7xOwWfm7qLxNVL0Rn7+x/j9ejXtr###4728:XlxV32DM 3fff 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###4712:XlxV32DM 3fff 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###4472:XlxV32DM 3fff 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###4440:XlxV32DM 3fff 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###4464:XlxV32DM 3fff 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###4456:XlxV32DM 3fff 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###4440:XlxV32DM 3fff 1140eNqNmkma3LwNhq/ESRycc+QAHMSld1n5+e8edVHsegGrOrEXNvhxADGDqt8+uV8ulH/9xyf7y/3r3z6ZX9798d5Xk30215+ez3Nef4rx8Yt2dvonuttFpzzbE7332/Q///x23cRf5pftf36/GElWMBKi/+OTN74Wm9xrz3yO19k3nfP52quWEb7+9easX/8eZhyk93x/z/c5edJ6v41nhSczM/FNf/On8E1bG1/8uGzalwyOHCPp7/2zecnoe/+b3njM3hLf9MarwqvCQ7aJ+KY3bo03xDe98SNLfNMbb8YexDe98anwqfBg/BD83fS3fhSeFe6NC8Q3/davxDe98dM4R3zTG3fZeeKbdqWn131u+0yX15De602eifax6bd8Jb7pbT9R2U9U9tOU/TRlP5e8o5L/iw6lv/is5sxP/rTvs2OBnv/JP7/9y8TXujimeZofRimv8XDjav5f56v53sT+wssyWC1vvZ+e/2n9ofRTzSyP8eDDej1f0zo+1GzK13odL2J7kX/hm9b30/M/rdf2c/33OR59ku89/xO+7e9sCzfK377jmVr/ab7/EO/+3/nnbe/aH0pe8gvThqf5Wn5/zf+wfsfXT/zp+HrFm/kUbz+t1/NzlvH0O/5+4O97/gd8x+d9/qWv8ylea/4+zdd0Vuv/1/ym4vGO75/ks+d/wnP+eb2O/+1DPtDxSeeDVeukq9Y5VqnTD1Hp1KvQsV+Fzg6osfWXI5u5ArDNtTzRPo9zOU5bCc+eZRnqMjy937WBWYEnrESu5mt63rQx65xPdDXdP9Hh5q+Nmz/F77wLtWpaYkLZ/B43v9mM/rTfLvSmaeEt6HwJ2i9BXxYia8qruA2+ntmcqxjNK2Efy/BNLv6JDnbNd3nl9U/0VTX3J3qft+nFZyGf4akIfxey+WV4Md+JuaT+RO/EPU06nuj5La9FLz7qmw+X/E98HDcf7tgFQI6P9O0Ax4qXf9HbYTa9+GgXH+HmI5uf9HY54svxtpy3o37C/e3Yn+ZvWs/f+KYXnx3yiknw6S4+na9XYKlf80eutz24ddEP9J6/6XXOoF7Op3OGWXIdOQs5xyuyP9F7/qbXOec7MLnUxDnlOqdczeAdd447INey3N/l5SZ736n4GEaeu/HzmPYVLkIaT/R3IdqO44nW/Hziz39p9IHfHQc3/YnfTev99vpNLzlO2G/qT/a719kcHPcNRvL1F57lPfT8Tev533gGn9bArsqjXW27H6ZmxjPzgd7zN73OsYhv/oP9znEn3mPpYdE7sWt6z9/0OsfhPtk+nbMfH6aJhY8T7gO95296nePpj+MpTn7719V5rPjRV6NWcnmit90lI/01KTvc9OIjkI/5U1z4jtd7XxUXkooLiXHBHu9z+mMa3ct2egrH6suOns5HeqS6zDGFJ7ruPqZE/0SPu2+96tX8ZjNSHD+m0R0m552ufF5q0PQWT1DpK6j0FZi+bCIfx09qMTmf3Ndkec6m9zmbXudknhN/OiffZviuR5/p93vFotc5heekH/WfS6N5l1LiE73Pvexl/ERPzbdRfBryyfIlPoaZq49ItzsnuvuRVx+s6T1/0+ucxnP6T+cEdY418pxN7/mbXud0loXxp3NOxb/9QH+fI+4zeM755Dc5h1U2XvXJKotDXf16Op/oYENY91nzNb332/Ti46Rc5xMfO70cl0bW+js9rfb8L3qnp5ZjeKK/y8SbXnxM2rv5KS1ecengvsPIczb9TqM4xzH9pvx8zi4P3X3Oonfa0/SeL9KiY/o17fmcpZ9wxUXqK+U0n+g9f9PrHKTfYZ+rnrt7yCmya8kjnk/0tP1c7fdSt6ZDvrvNER7pw7R6m1t7i0Nkb/fsVrazK7wqmLZqmtW1aXrP3/Q6B9nZB/PcHZhX+MqmWFZT7UonT/Sev+l1zkH1PqabLfd0y313g1V1h1V1h5XdoYu8z6Pc0lV+r/S6upddtZkP9J6Pbuf4CgJ2nWLDd3D953f0BAaATCADqATKG6gWwBEARAIHgETAAOgELADe43Bv4DwI4IIn2T1wwbMRwAWnIYALTkegvgF7xYLr75/XgHkNOD1AGUTPtWQwGiKUQoQU7NHU9kdXA5GXiLiEjYFIJCJ4TEAypRshXXvpVh5cKdazcep4I84RmFxCuTbz3t1+DQwt6EH7PSfWDhrwOYhQ4udJpKvzpr7e5K6Tt5jY1VOsk2qcVOM85XHOnvI4dyVNOeDgZAHHO2FgMxARLMP9XPBq9wA9h8iZ9NSZiBQimUhTt4sMEBN6dpdNyqkZMnMGanV5EoEpumKJQOGuQTXOUgKNNmkpz8ZzLBzWnZlII9KIUBanOAfu6G2QOvBXW6gGIPsZuRSip068FXzAwK8EozbXJuBpAjQjTxOgFXlagKNj+wOSmhChp3qt5Z3yIMLNsnIFT31by/sX3MF5SyQR4falShP0nanGwlp9Z7yimr0OT35oeQ9GXxqPF/FKmAjjlfPQSbCM8nYQEcLpRJTGg7bAYBFKGK+CBSOMfcHSXRn8wsGcaScRRm4aaTiUB4Qj6gHI6hSbgpFpCJzkEDoLwhYdryts0XE3bYtB2CJ9INAWmWtCieQI9hWa1lDDHgcnMipnGkZjVM5ijbLzMLS0h5Y2LRN2GWiXieOUaAZyGHWzwwQ9AKkMrgQPjePM5RncHV754uGdHhC+yKV0AI7TRTPZO5RBHNHoAVE8YqmoxzwBZvRC/hLLMcq3qDLwKAxiiAJHZeQokQgZLdy9emk6R6/quN70APMePOgQMRRucQx6UqGqr9JLbj6LHmDeC1z6ofS82jGeBl1Hp7wguqQHmPfYIDmyEQkwoFToOh7KD6KOgfFg5mIDd5CNSoCVeE1s7ZQnxOz1ACzMGy4lGycBRpwKvcWmXCE2qweQCpjfIgMfs3UUka9Sb0OV0HEo14wnLIyZIJ7+uYKPorls0FsyyuGSGXoAFuaht8QMzfCfLBNjg96SV/6WfNMDsLBguJRsQG8p0N8a9Jai8rcUix6AhYXApeO5S0mR/tagt1SUv6WS9AAsjA8SqbTnriUV+lun3prWW0Og5PtI6giUgWrqFFynmk4tuFML7mQ1YpAN0qTyJwU0WdQa6DZb5c6ZdZozYDrr+j8HZp8+OJXpp4tNmAZ7I1JkhsiJQhrQQE686OB1RHYblkjS22c1UGhfA+VAFq9TIxIRnCQih9qerx2vAeY3O6CuLBLcgEVlkeEGRT5Ugs1D9cV5itcVGE5mirOnIyJSLXRQjJXbF+PUgGgwTjhdEXGD5lgCCoh5ElBJrggTM5ZTRcvTiIhWoxNRrykl8eIGKi+Z1zKBiHKQolNjEW9hJnEtUyC9umRWBAy/hQ8Q1lDAjEjWQKGlq4RautUDNGlTuJaJ09AYOusI5o4ijM6Qe/G0xUBTpkrDZao0XI0wILhONULCjQirDyaW6sVunYjoQPnI7JU9Vi/scXAq2wz2CtWLmlO8eivLqRH3irxwpFL4XlEjTYoVUI2qj6tFGUEtTg8g1ieovrIVTTy+MNKzJKpNq1MbZe2Qe0p82ycb/BrQKX6WRPVUVVU9lXlVPtonmgwf7RO/VkzRd8Gqm1VVVbNdD8A3EzTZHNkYBGiFtNxGy80QfhMdozuI0L2dJ6LahiZMi81IY/HmXCIiuqVMZEqja6KNdBBvE/lWbF+VX7Qa9AANgT1Nq/RDwRo/GTmxZjCQsnVqgwnCwWraqZypnU4PwHxYTjQ+D7PiafwS5chHt+IJ6iQinqAGEeWAX9+91QDsZ0AgnZ8KRibAl23Bh3jGY0PYxTOem0SULXa2sEPsDlNkqdQPkex5bFY20zMuxda6Z8awyGOz+PJG1rP6dNGbUn/Xb3SdrSqb7c5WtR4EGNsZj/upVanNsbNVZbPd2arWQoCBgPF4GBVLh1GmNdiqstkebFXrICBeqMDg8CqWDj/0ALySzfZgydksAdog4/Gg3TYIf9AqHIvwId5lWdKPGvj2QQaa44sPxD7YProILxwz8tEDvJ2G50QI7xTv7JEfs2n+HaydfH/mt0cbGs/PhCg1z0/89nIgQAc/c9qkP9baIvbht2DLysKz4baWwvT8ucAFBX3E4GT68AVRwHR8a2fhEWIVi11+/LdXz81F3E98lfXUywUlQryoY/vjplglupwpzhIakL8kSIEQP047Ie9DsFGESpv4AQKvzKeVCxLmyx8LOMYgL357IL6Q+kij80Z8P+eGnl2oFz9Z8I7vWpHG4r1gIxA6KCj+jOSCyMZB8fpEKEwBkQ3+ksX6IiBx5SrYEFfugvkmIFiUZLAL6fKHFP7sPEpAU/gb1RUYxh1foy4IXLQuEB7FlwEbgvBWKyC6pPgZTIhC7vSTkISB0k9CwaomfuaSRBfJW0V+/HDiqGSQZBpPSg4OVLDmv433c0o=###2040:XlxV32DM 18f5 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 \ No newline at end of file Index: github/Snake-master/base_ngdbuild.xrpt =================================================================== --- github/Snake-master/base_ngdbuild.xrpt (revision 428) +++ github/Snake-master/base_ngdbuild.xrpt (nonexistent) @@ -1,122 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
- -
- - - - -
-
- -
- - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
-
- - - - Index: github/Snake-master/base.bgn =================================================================== --- github/Snake-master/base.bgn (revision 428) +++ github/Snake-master/base.bgn (nonexistent) @@ -1,120 +0,0 @@ -Release 12.3 - Bitgen M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. -Loading device for application Rf_Device from file '3s200a.nph' in environment -/opt/Xilinx/12.3/ISE_DS/ISE/. - "base" is an NCD, version 3.2, device xc3s200a, package vq100, speed -4 -Opened constraints file base.pcf. - -Thu May 8 19:59:27 2014 - -/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/bitgen -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:25 -g ProgPin:PullUp -g DonePin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g en_sw_gsr:No -g en_porb:Yes -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 base.ncd - -Summary of Bitgen Options: -+----------------------+----------------------+ -| Option Name | Current Setting | -+----------------------+----------------------+ -| Compress | (Not Specified)* | -+----------------------+----------------------+ -| Readback | (Not Specified)* | -+----------------------+----------------------+ -| CRC | Enable** | -+----------------------+----------------------+ -| DebugBitstream | No** | -+----------------------+----------------------+ -| ConfigRate | 25 | -+----------------------+----------------------+ -| StartupClk | Cclk** | -+----------------------+----------------------+ -| DonePin | Pullup** | -+----------------------+----------------------+ -| ProgPin | Pullup** | -+----------------------+----------------------+ -| TckPin | Pullup** | -+----------------------+----------------------+ -| TdiPin | Pullup** | -+----------------------+----------------------+ -| TdoPin | Pullup** | -+----------------------+----------------------+ -| TmsPin | Pullup** | -+----------------------+----------------------+ -| UnusedPin | Pulldown** | -+----------------------+----------------------+ -| GWE_cycle | 6** | -+----------------------+----------------------+ -| GTS_cycle | 5** | -+----------------------+----------------------+ -| LCK_cycle | NoWait** | -+----------------------+----------------------+ -| DONE_cycle | 4** | -+----------------------+----------------------+ -| Persist | No* | -+----------------------+----------------------+ -| DriveDone | No** | -+----------------------+----------------------+ -| DonePipe | No** | -+----------------------+----------------------+ -| Security | None** | -+----------------------+----------------------+ -| UserID | 0xFFFFFFFF** | -+----------------------+----------------------+ -| ActivateGclk | No* | -+----------------------+----------------------+ -| ActiveReconfig | No* | -+----------------------+----------------------+ -| PartialMask0 | (Not Specified)* | -+----------------------+----------------------+ -| PartialMask1 | (Not Specified)* | -+----------------------+----------------------+ -| PartialMask2 | (Not Specified)* | -+----------------------+----------------------+ -| PartialGclk | (Not Specified)* | -+----------------------+----------------------+ -| PartialLeft | (Not Specified)* | -+----------------------+----------------------+ -| PartialRight | (Not Specified)* | -+----------------------+----------------------+ -| drive_awake | No** | -+----------------------+----------------------+ -| Reset_on_err | No** | -+----------------------+----------------------+ -| suspend_filter | Yes* | -+----------------------+----------------------+ -| en_sw_gsr | No** | -+----------------------+----------------------+ -| en_suspend | No* | -+----------------------+----------------------+ -| en_porb | Yes** | -+----------------------+----------------------+ -| sw_clk | Startupclk** | -+----------------------+----------------------+ -| sw_gwe_cycle | 5** | -+----------------------+----------------------+ -| sw_gts_cycle | 4** | -+----------------------+----------------------+ -| glutmask | Yes* | -+----------------------+----------------------+ -| next_config_addr | 0x00000000* | -+----------------------+----------------------+ -| next_config_new_mode | No* | -+----------------------+----------------------+ -| next_config_boot_mode | 001* | -+----------------------+----------------------+ -| next_config_register_write | Enable* | -+----------------------+----------------------+ -| ICAP_Enable | Auto* | -+----------------------+----------------------+ -| IEEE1532 | No* | -+----------------------+----------------------+ -| Binary | No** | -+----------------------+----------------------+ - * Default setting. - ** The specified setting matches the default setting. - -There were 0 CONFIG constraint(s) processed from base.pcf. - - -Running DRC. -DRC detected 0 errors and 0 warnings. -Creating bit map... -Saving bit stream in "base.bit". -Bitstream generation is complete. Index: github/Snake-master/base.ngc =================================================================== --- github/Snake-master/base.ngc (revision 428) +++ github/Snake-master/base.ngc (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$9714=712:;<=>?01274>6789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123452<89::86>?0368456?<2:;>=<40068416D<2:=6?<2:N6A8?>04;KMTPR=IMNYN1?<:1<24>7?2@D[YY4N<0594;773821EC^ZT;@?52<76890=54FNQWW>GENF5;<6=0>5:3;>JSSX\^1NNAZT=34>58338L;J<5=2:030>4789>0>?55;9;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=56=4:3E^X][[:EMB867=87;87><5OTVSQQ14:1056763:<1CXZ_UU8S863=87;97>4FNQWW>fikg{6;6?0;;2C@E1=39=>?79:J45971@@53=<:=6:5IORVP?BNXH686=0>1:69MKVR\3NB\O2<:1<24>2=AGZ^X7YJA=194;773=0BB][[:VGA86<76o1?6B[[PTV9F95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=b>2=G\^[YY4H<283:c=32F__\XZ5R=194;763=0DYY^ZT;nf`95=87>08KKI4:76114<=?>09;7N4:75ED4<=>>09:HI1:42?22LN>69I4:5EBC7<0;13<9570127?=4C:=1345O;;9:E<1=?IKK?7476859:=A353H2?7L67859BG;984>7L2>2?78E9746<1J0<:15:C?50823H6::394A=34>5823H6:;3:4A=3=0>G;:7>0M1=14:C?0;2GF:H>0ML6N4:CBE=219:CG@WG;9:437LJKR@>2:==FLMXJ0?07;@FGVD:4611JHI\N<5<;?DBCZH6>255NDEPB838?3HNO^L28>99B@ATF41437LJKR@>::==FLMXI0=06;@FGVG:68730MIJ]B=32:<=FLMXI0<<1b:CG@WD;9:0;245NDEPA845902KOH_L31?:8EABUJ58546OKDS@?7;>GCL[H7;364AEFQF9>902KOH_L39?08E@215:@?54823K6:>3;4B=30:0=E48>596L314<6?G:6>7=0N1?8:1<6?G:6?7>0N1?14:@?6;0D;<7>0N1;14:@?2;299AGLH;99437OMFN=32:==EK@D7=?07;CAJJ974611IOD@315<;?GENF5;>255MCHL?538f3KIBB1?8:1<;?GENF5;<2:5MCHL?5;169AGLH;=7=0NNGA<7<4?GENF5=5;6LLIO>;:2=EK@D75364BBMVP96912HHCXZ311<:?GEH]]6:=374BBMVP975601IOB[[<01==>DDG\^7=906;CALQQ:6=730NNAZT=35:g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cWEi0AXB[19Z2?01]`}97998897@okd^pbiZgcl{U{~dQndeqvf5678Vir0=0=2:Ob`aYuidUjhi|Ppsk\eabt}k:;<=Qly=3=60=JimnT~lcPaefq[utnWhnoxl?012\g|:66Vx>?5Baef\vdkXimnyS}|f_`fgwpd789:Tot2=>378IdbcW{kfSljkr^rqmZgclzi<=>?_b{?6;Yu|;80Aljk_scn[dbczVzyeRokdrwa4567Wjs7?3<:;Lcg`ZtfeVkohQrh]b`aurj9:;KflmUym`Qndep\twoXimnxyo>?01]`}939:<1FmijPr`o\eabuWyxbSljkst`3456Xkp6>2R|{239NeabXzhgTmij}_qpj[dbc{|h;<=>Pcx>5:737Uyx?<4M`fg[wgjWhno~R~}i^cg`vse89:;Snw37?06?HgclVxjaRokds]svlYflmy~n=>?0^az828Xz}897@okd^pbiZgcl{U{~dQndeqvf5678Vir050=5:Ob`aYuidUjhi|Ppsk\eabt}k:;<=Qly=:=[wr5:2GjhiQ}al]b`atXx{cTmij|uc2345Ydq535>85Baef\vdkXimnyS}|f_`fgwpd789:Tot26>^pw66=JimnT~lcPaefq[utnWhnoxl?012\hpr;87827@okd^pbiZgcl{U{~dQndeqvf5678Vf~x1>1_HLU[5433DkohR|nm^cg`wYwz`Ujhi}zb1234Z~hz5;;2?94M`fg[wgjWhno~R~}i^cg`vse89:;Sua}<02=[wr5;2GjhiQ}al]b`atXx{cTmij|uc2345Yg{6?2?=4M`fg[wgjWhno~R~}i^cg`vse89:;Sua}<4<17>KflmUym`Qndep\twoXimnxyo>?01]{kw:16;90Aljk_scn[dbczVzyeRokdrwa4567Wqey0:0=3:Ob`aYuidUjhi|Ppsk\eabt}k:;<=Qwos>;:75KflmUym`Qndep\twoX{akyi=>?0^az878592GjhiQ}al]b`atXx{cTeo}e1234Ze~4:49=6Cnde]qehYflmxT|gPsicqa5678Vir090=1:Ob`aYuidUjhi|Ppsk\wmgum9:;KflmUym`Qndep\twoX{akyi=>?0^az838592GjhiQ}al]b`atXx{cTeo}e1234Ze~4>49=6Cnde]qehYflmxT|gPsicqa5678Vir050=1:Ob`aYuidUjhi|Ppsk\wmgum9:;KflmUym`Qndep\twoX{akyi=>?0^nvp969:;1FmijPr`o\eabuWyxbS~fnrd2345Yk}}6:2?<4M`fg[wgjWhno~R~}i^qkewc789:T`xz32?01?HgclVxjaRokds]svlYt`hxn<=>?_mww8685:2GjhiQ}al]b`atXx{cTeo}e1234Zjr|5>5>?5Baef\vdkXimnyS}|f_rjbv`6789Ugyy2:>308IdbcW{kfSljkr^rqmZuoi{o;<=>Pxnp?4;443DkohR|nm^cg`wYwz`Uxdl|j0123[}iu48:5>?5Baef\vdkXimnyS}|f_rjbv`6789Usc2>>308IdbcW{kfSljkr^rqmZuoi{o;<=>Pxnp?6;453DkohR|nm^cg`wYwz`Uxdl|j0123[}iu4:49>6Cnde]qehYflmxT|gPsicqa5678Vrd~1:1239NeabXzhgTmij}_qpj[vnfzl:;<=Qwos>6:747897@okd^pbiZgcl{U{~dQ|h`pf4567Wqey0:0=2:Ob`aYuidUjhi|Ppsk\wmgum9:;?01]{kw:>6;:0Aljk_scn[firf}oyS~fnrd2345Ydq5:5>=5Baef\vdkXkfexh|Psicqa5678Vir0<0=3:Ob`aYuidUhcx`{es]pldtb89:;Snw31?]qp76?01]`}949::1FmijPr`o\gjsi|lxTeo}e1234Ze~4;4T~y318IdbcW{kfSnaznugq[vnfzl:;<=Qly=1=[wr582GjhiQ}al]`kphsm{Uxdl|j0123[f;<7887@okd^pbiZeh}g~n~R}gasg3456Xkp6?2R|{219NeabXzhgTob{atdp\wmgum9:;KflmUym`QlotlwawYt`hxn<=>?_b{?1;Yu|;:0Aljk_scn[firf}oyS~fnrd2345Ydq5<5>>5Baef\vdkXkfexh|Psicqa5678Vir0;0Pru03?HgclVxjaRm`uovfvZuoi{o;<=>Pcx>4:75?01]`}919W{~9<6Cnde]qehYdg|diQ|h`pf4567Wjs743<<;Lcg`ZtfeVidyczjr^qkewc789:Tot27>^pw65=JimnT~lcPcnwmp`tX{akyi=>?0^az8<85;2GjhiQ}al]`kphsm{Uxdl|j0123[f;17Uyx??4M`fg[wgjWje~byk}_rjbv`6789Ugyy2?>358IdbcW{kfSnaznugq[vnfzl:;<=Qcuu>3:ZOI^V:9=6Cnde]qehYdg|diQ|h`pf4567Wqey0=0=2:Ob`aYuidUhcx`{es]pldtb89:;Sua}<02=60=JimnT~lcPcnwmp`tX{akyi=>?0^zlv9776Vx><5Baef\vdkXkfexh|Psicqa5678Vrd~1?1209NeabXzhgTob{atdp\wmgum9:;?0^zlv959:81FmijPr`o\gjsi|lxTeo}e1234Z~hz5>5><5Baef\vdkXkfexh|Psicqa5678Vrd~1;1209NeabXzhgTob{atdp\wmgum9:;?0^zlv919:81FmijPr`o\gjsi|lxTeo}e1234Z~hz525><5Baef\vdkXkfexh|Psicqa5678Vrd~171169NeabX{`pnSljk0123[f;87;<7@okd^qj~`Yflm:;<=Qly=3=5d=JimnTdtj_`fg4567Wjs7=3Q}t058IdbcWzcqiRokd1234Ze~4;4:m6Cnde]pmcXimn;<=>Pcx>1:Zts9>1FmijPshxf[dbc89:;Snw33?3b?HgclVybvhQnde2345Ydq595Sz>7:Ob`aYtasoTmij?012\g|:368k0Aljk_rkyaZgcl9:;?0^az8386i2GjhiQ|i{g\eab789:Tot29>^pw52=JimnTdtj_`fg4567Wjs7;3?n;Lcg`ZunrlUjhi>?01]`}919W{~:;6Cnde]pmcXimn;<=>Pcx>;:4g?_b{?=;Yu|820Aljk_rkyaZgcl9:;1189NeabX{`pnSljk0123[}iu48:5=n5Baef\wl|bWhno<=>?_ymq8469W{~:46Cnde]pmcXimn;<=>Pxnp?5;7?3DkohR}fzd]b`a6789Usc2=>0:8IdbcWzcqiRokd1234Z~hz595=55Baef\wl|bWhno<=>?_ymq818602GjhiQ|i{g\eab789:Ttb|35?3;?HgclVybvhQnde2345Yg{6=2<64M`fg[vo}mVkoh=>?0^zlv919911FmijPshxf[dbc89:;Sua}<9<2<>KflmUxewkPaef3456Xpfx753<=;Lcg`ZrnkbUhcx`{es]b`aurj9:;KflmUenePcnwmp`tXimnxyo>?01]`}9776;<0Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7==0Pru00?HgclV~bofQlotlwawYflmy~n=>?0^az8479:?1FmijPthah[firf}oySljkst`3456Xkp6:=3Q}t318IdbcW}chgRm`uovfvZgclzi<=>?_b{?5785>2GjhiQ{ibi\gjsi|lxTmij|uc2345Ydq5;92R|{229NeabX|`i`Snaznugq[dbc{|h;<=>Pcx>27;413DkohRzfcj]`kphsm{Ujhi}zb1234Ze~4895Sz=3:Ob`aYsajaTob{atdp\eabt}k:;<=Qly=37:70KflmUenePcnwmp`tXimnxyo>?01]`}9716Vx>>5Baef\plelWje~byk}_`fgwpd789:Tot2>7?05?HgclV~bofQlotlwawYflmy~n=>?0^az8419W{~9?6Cnde]wmfmXkfexh|Paefpqg6789Uhu1?7>348IdbcW}chgRm`uovfvZgclzi<=>?_b{?5=8Xz}887@okd^vjgnYdg|diQndeqvf5678Vir0<71279NeabX|`i`Snaznugq[dbc{|h;<=>Pcx>2=;Yu|;80Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7=3<:;Lcg`ZrnkbUhcx`{es]b`aurj9:;;5Baef\plelWje~byk}_`fgwpd789:Tot2=0?]qp75>^pw66=JimnTxdmd_bmvjqcuWhnoxl?012\g|:5:78=7@okd^vjgnYdg|diQndeqvf5678Vir0?<1_sv17>KflmUenePcnwmp`tXimnxyo>?01]`}9446;<0Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7>>0Pru00?HgclV~bofQlotlwawYflmy~n=>?0^az8729:?1FmijPthah[firf}oySljkst`3456Xkp6983Q}t308IdbcW}chgRm`uovfvZgclzi<=>?_b{?6;423DkohRzfcj]`kphsm{Ujhi}zb1234Ze~4;4T~y<=;Lcg`ZrnkbUhcx`{es]b`aurj9:;KflmUenePcnwmp`tXimnxyo>?01]`}959W{~9>6Cnde]wmfmXkfexh|Paefpqg6789Uhu1:1249NeabX|`i`Snaznugq[dbc{|h;<=>Pcx>7:Zts:;1FmijPthah[firf}oySljkst`3456Xkp6>2?;4M`fg[qodcVidyczjr^cg`vse89:;Snw35?]qp7478>7@okd^vjgnYdg|diQndeqvf5678Vir0;0Pru01?HgclV~bofQlotlwawYflmy~n=>?0^az8285=2GjhiQ{ibi\gjsi|lxTmij|uc2345Ydq5=5Sz=2:Ob`aYsajaTob{atdp\eabt}k:;<=Qly=:=60=JimnTxdmd_bmvjqcuWhnoxl?012\g|:?6Vx>?5Baef\plelWje~byk}_`fgwpd789:Tot26>378IdbcW}chgRm`uovfvZgclzi<=>?_b{?=;Yu|;90Aljk_uk`oZeh}g~n~Rokdrwa4567We0=0=9:Ob`aYsajaTob{atdp\eabt}k:;<=Qcuu>3:ZOI^V:9?6Cnde]wmfmXkfexh|Paefpqg6789Usc2?>368IdbcW}chgRm`uovfvZgclzi<=>?_ymq8469:=1FmijPthah[firf}oySljkst`3456Xpfx7=<0=4:Ob`aYsajaTob{atdp\eabt}k:;<=Qwos>26;433DkohRzfcj]`kphsm{Ujhi}zb1234Z~hz5;82?:4M`fg[qodcVidyczjr^cg`vse89:;Sua}<06=61=JimnTxdmd_bmvjqcuWhnoxl?012\|jt;9<4986Cnde]wmfmXkfexh|Paefpqg6789Usc2>6?07?HgclV~bofQlotlwawYflmy~n=>?0^zlv9706;>0Aljk_uk`oZeh}g~n~Rokdrwa4567Wqey0<61259NeabX|`i`Snaznugq[dbc{|h;<=>Pxnp?5<85;2GjhiQ{ibi\gjsi|lxTmij|uc2345Yg{6:2?:4M`fg[qodcVidyczjr^cg`vse89:;Sua}<32=61=JimnTxdmd_bmvjqcuWhnoxl?012\|jt;:84986Cnde]wmfmXkfexh|Paefpqg6789Usc2=2?07?HgclV~bofQlotlwawYflmy~n=>?0^zlv9446;>0Aljk_uk`oZeh}g~n~Rokdrwa4567Wqey0?:1259NeabX|`i`Snaznugq[dbc{|h;<=>Pxnp?6085?2GjhiQ{ibi\gjsi|lxTmij|uc2345Yg{6993Q}t318IdbcW}chgRm`uovfvZgclzi<=>?_ymq8785;2GjhiQ{ibi\gjsi|lxTmij|uc2345Yg{682?=4M`fg[qodcVidyczjr^cg`vse89:;Sua}<5<17>KflmUenePcnwmp`tXimnxyo>?01]{kw:26;90Aljk_uk`oZeh}g~n~Rokdrwa4567Wqey0;0=3:Ob`aYsajaTob{atdp\eabt}k:;<=Qwos>4:75378IfijxhxT~lcPaefq[utnWjg{Sjz?012\g|:76;?0Anabp`p\vdkXimnyS}|f_bos[br789:Tot2>>378IfijxhxT~lcPaefq[utnWjg{Sjz?012\g|:56;<0Anabp`p\vdkXimnyS}|f_bos[br789:T`xz30?05?HeheykySob_`fgvZvuaVif|Ri{0123[iss4849:6ClolrbvZtfeVkohQrh]`iuY`|9:;Pcx>3:77?_b{?4;Yu|8l0Anabp`p\wqgsmVif|Rb{0123[f;994:i6ClolrbvZusi}oTo`~Plu2345Ydq5;5=h5BcnosewYt|h~nSnc_mv3456Xkp692Kdgdzj~R}{aug\ghvXd}:;<=Qly=7=5`=Jkfg{mQ|t`vf[fkwWe~;<=>Pcx>5:4c?_b{?3;463Dida}o}_rvbp`YdeyUgx=>?0^az828Xz};n7@m`mqcq[vrf|lUha}Qct1234Ze~414:i6ClolrbvZusi}oTo`~Plu2345Ydq535><5BcnosewYt|h~nSnc_mv3456Xkp622R|{219Ngjkwi{Uxxlzj_bos[ir789:T`xz311<2b>Kdgdzj~R}{aug\ghvXd}:;<=Qcuu>2:4`?_mww8786n2Ghc`~nr^qweqcXkdzT`y>?01]oqq:46;?0Anabp`p\wqgsmVif|Rb{0123[iss4:4TECXP00d8IfijxhxTyo{e^antZjs89:;Sa{{<4<11>Kdgdzj~R}{aug\ghvXd}:;<=Qcuu>6:ZOI^V::j6ClolrbvZusi}oTo`~Plu2345Yk}}6=2^KMRZ66j2GfyazPr`o\eabuWyxbS`{ct1234770A~{m_scn[agsiVceSljkst`3456Xkp6;2R|{209NwpdXzhgThlzn_hl\eabt}k:;<=Qly=3=64=J{|hT~lcPd`vb[lhXimnxyo>?01]`}949:81FxlPr`o\`drfW`dTmij|uc2345Ydq595><5Bst`\vdkXlh~jSd`Paefpqg6789Uhu1:1209NwpdXzhgThlzn_hl\eabt}k:;<=Qly=7=64=J{|hT~lcPd`vb[lhXimnxyo>?01]`}909:81FxlPr`o\`drfW`dTmij|uc2345Ydq5=5><5Bst`\vdkXlh~jSd`Paefpqg6789Uhu161209NwpdXzhgThlzn_hl\eabt}k:;<=Qly=;=66=J{|hT~lcPd`vb[lhXimnxyo>?01]oqq:687827@}zb^pbiZbf|hUbbRokdrwa4567We0<>1_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[iss484946C|uc]qehYci}kTecQndeqvf5678Vf~x1?1_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[iss4;4946C|uc]qehYci}kTecQndeqvf5678Vf~x1<1_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[iss4:4946C|uc]qehYci}kTecQndeqvf5678Vf~x1=1_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[iss4=4946C|uc]qehYci}kTecQndeqvf5678Vf~x1:1_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[iss4<4946C|uc]qehYci}kTecQndeqvf5678Vf~x1;1_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[iss4?4946C|uc]qehYci}kTecQndeqvf5678Vf~x181_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[iss4>4946C|uc]qehYci}kTecQndeqvf5678Vf~x191_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[iss414946C|uc]qehYci}kTecQndeqvf5678Vf~x161_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[iss404946C|uc]qehYci}kTecQndeqvf5678Vf~x171_HLU[5453Dy~nR|nm^fbpdYnfVkoh~{m0123[}iu4949?6C|uc]qehYci}kTecQndeqvf5678Vrd~1??>308IvseW{kfSio{a^km[dbc{|h;<=>Pxnp?5;453Dy~nR|nm^fbpdYnfVkoh~{m0123[}iu4;49>6C|uc]qehYci}kTecQndeqvf5678Vrd~1=1239NwpdXzhgThlzn_hl\eabt}k:;<=Qwos>7:74?01]{kw:?6;80A~{m_scn[agsiVceSljkst`3456Xpfx753<4N118J5753G;87C??4:L24520368J463<2D:<;:4N02;7>H69=1E=<>;;O3261=I98>?7C?>659M54>43G;986@>2168J445<2D:>9:4N00;0>H6;9>0B<==4:L27123968J427<2D:8<:4N0610>H6<=>0B<:94:L20=2286@>5168J436<2D:9?:4N0700>H6==>0B<;:4:L21325968J43>;2D::95A1727?K719=1E=;<;;O3571=I9?>?7C?9559M53033G;=;95A17:7?K711=1E=:><;O3;0>H60890B<7<;O027>H5<:1E>;<4N518J1743G>??6@;729M1555A6918J2643G==?6@8839M<6=I0990B5<<;O:77>H?>:1E45=4N820?K?5;2D28>5A9718J<>03GO_[B\D4:LLJ@7;Q68T969=2Z7==0:;Q>25;3V;9=4>7]2>5?78T9716<1[0<915:R?5=823Y6:53:4P=3=1>V;:94>7]2=1?78T9456<1[0?=15:R?61823Y6993;4P=05:0=W4;=596^329<6?U:517>0\1<15:R?75823Y68=3;4P=11:0=W4:9596^335<4?U:4=3:596^334<7?U:46=1[090;;Q>6:1=W4?4?7]28>59S8=833Y62295_ASVb?UOIWK_XEIVm;QKM[GSTFHGN86^]EF32?UTBOVIGGD@YESQJKK7384R=194;22:7?`9Qavsk|585=l5]erwop949:01Yi~{ct=1=e>Tb{|f0>0>a:Pfwpjs4:4956\jstnw818f3[oxyaz34?3b?Wct}e~783<6;Sgpqir;=7k0^h}zlu>6:4g3;8V`urd}6=2l5]erwop9099h1Yi~{ct=4=6<=Umzgx191a:Pfwpjs4>4:m6\jstnw828512Xnxb{<90c8V`urd}622??4S018WLKXKFFGE^G@N^AKAFM13ZE^^NK8;RPUEIYF?2YYZLBPB59PWWG33ZYYN95[YQG1?PV03\ZTEO[Ic:WPAWYQAZCI@H=4VBA:?SOB_V^R\H84WDC?4;0<_LK7=384WDC?6;><_LK7?7>16:UFE959>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?a8SWOSMVGDHHQNc:UQMQCXEFNNSO?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED;4XNP@]3=_[]FBN:5WSU]DJA15Wsu68fdub>2iggR;?c:aooZ37WK_MK]o4cnnlv96=:720obb`r=2=<>ehdfx7=364cnnlv949k2id`b|P0^KAQCeehdfxT>RGMUGd8gjhszffgi!M<1ehf}xd`ak}/C]3a>ehf}xd`ak}/C]052=dgg~ycabjr.@\ip~78997<3?6;bmmpwikdlx$NRczx123796998;j7naatsmoh`t(JVg~t=>?3=2=7466i2idby|`lmgq+GYj}q:;<>2?>2345`=dgg~ycabjr.@\ip~78997<3=>7^QT44e?3=1=6456i2idby|`lmgq+GYj}q:;<>2<>3015d=dgg~ycabjr.@\ip~78997?3<;50c8gjhszffgi!M_lw{45644:498:?;;bmmpwikdlx$NR``t1236430j;bmmpwikdlx$KR>j;bmmpwikdlx$KR=>7:aljqthdeoy#JQbuy2346:76830ob`{rnnoaw)@Wds<=><<1<25410>9:aljqthdeoy#JQbuy2346:468;9:6m`nuplhicu'DkohR|nm^cg`wYflm:;<=Qly=2=63=dgg~ycabjr.Ob`aYuidUjhi|Paef3456Xkp6:2?84cnlwvjjkm{%FmijPr`o\eabuWhno<=>?_b{?6;413jeexacldp,IdbcW{kfSljkr^cg`5678Vir0>0=6:aljqthdeoy#@okd^pbiZgcl{Ujhi>?01]`}929:?1hccz}omnfv*KflmUym`Qndep\eab789:Tot2:>348gjhszffgi!Baef\vdkXimnySljk0123[f;>7827naatsmoh`t(EhnoSob_`fgvZgcl9:;?01]`}919W{~9:6m`nuplhicu'DkohR|nm^cg`wYflm:;<=Qly=:=6<=dgg~ycabjr.Ob`aYuidUjhi|Paef3456Xkp632R|{279`kkrugefn~"Cnde]qehYflmxTmij?012\g|:>6;30ob`{rnnoaw)JimnT~lcPaefq[dbc89:;Snw39?]qp71?01]oqq:66;=0ob`{rnnoaw)JimnT~lcPaefq[dbc89:;Sa{{<3<13>ehf}xd`ak}/Lcg`ZtfeVkohQnde2345Yk}}682?94cnlwvjjkm{%FmijPr`o\eabuWhno<=>?_mww8185?2idby|`lmgq+HgclVxjaRokds]b`a6789Ugyy2:>358gjhszffgi!Baef\vdkXimnySljk0123[}iu494946m`nuplhicu'DkohR|nm^cg`wYflm:;<=Qwos>24;403jeexacldp,IdbcW{kfSljkr^cg`5678Vrd~1?1269`kkrugefn~"Cnde]qehYflmxTmij?012\|jt;:78<7naatsmoh`t(EhnoSob_`fgvZgcl9:;?0^zlv939:>1hccz}omnfv*KflmUym`Qndep\eab789:Ttb|36?04?fii|{eg`h| M`fg[wgjWhno~Rokd1234Z~hz5=5>:5loovqkijbz&GjhiQ}al]b`atXimn;<=>Pxnp?<;403jeexacldp,IdbcW{kfSljkr^cg`5678Vrd~1711d9`kkrugefn~"Clotlw[meh}g~Tot2?>0g8gjhszffgi!BcnwmpZndg|dSnw31?02?fii|{eg`h| MbmvjqYokfexRmv<0<\vq7b3jeexacldp,Ifirf}Ucob{at^az878592idby|`lmgq+Heh}g~Tdnaznu]`}949W{~:i6m`nuplhicu'DidyczPhbmvjqYdq595><5loovqkijbz&Ghcx`{_ialqkrXkp682R|{1d9`kkrugefn~"Clotlw[meh}g~Tot2;>338gjhszffgi!BcnwmpZndg|dSnw34?]qp4ce:aljqthdeoy#@m`uov\lfirf}Uhu191209`kkrugefn~"Clotlw[meh}g~Tot28>^pw5`=dgg~ycabjr.O`kphsWaidyczPcx>;:77ehf}xd`ak}/LalqkrX`je~byQwos>3:4`f:aljqthdeoy#@m`uov\lfirf}Usc29>0d8gjhszffgi!BcnwmpZndg|dSua}<6<2b>ehf}xd`ak}/LalqkrX`je~byQwos>;:4`6Vx=h5loovqkijbz&Ghcx`{_walqkrXkp6;2;bmmpwikdlx$Anaznu]ugjsi|Vir0<0Pru3f?fii|{eg`h| MbmvjqYqkfexRmv<3<15>ehf}xd`ak}/LalqkrX~je~byQly=0=[wr6m2idby|`lmgq+Heh}g~Tznaznu]`}959:81hccz}omnfv*Kdg|dS{m`uov\g|:46Vx=h5loovqkijbz&Ghcx`{_walqkrXkp6?2??4cnlwvjjkm{%Fob{at^t`kphsWjs783Q}t0g8gjhszffgi!BcnwmpZpdg|dSnw35?02?fii|{eg`h| MbmvjqYqkfexRmv<4<\vq7b3jeexacldp,Ifirf}U}ob{at^az838592idby|`lmgq+Heh}g~Tznaznu]`}909W{~:i6m`nuplhicu'DidyczPvbmvjqYdq5=5><5loovqkijbz&Ghcx`{_walqkrXkp6<2R|{1d9`kkrugefn~"Clotlw[seh}g~Tot27>338gjhszffgi!BcnwmpZpdg|dSnw38?]qp4`>f:aljqthdeoy#@m`uov\rfirf}Usc2?>0d8gjhszffgi!BcnwmpZpdg|dSua}<0<2b>ehf}xd`ak}/LalqkrX~je~byQwos>1:4`f:aljqthdeoy#@m`uov\rfirf}Usc27>0d8gjhszffgi!BcnwmpZpdg|dSua}<8<16>ehf}xd`ak}/LalqkrX~je~byQwos>::Zts:;1hccz}omnfv*Kj}e~T~lcPaefq[hsk|9:;0j;bmmpwikdlx$^R>j;bmmpwikdlx$^R=>7:aljqthdeoy#_Qbuy2346:76830ob`{rnnoaw)UWds<=><<1<25410>9:aljqthdeoy#_Qbuy2346:468;:86m`nuplhicu'aidycz30?37?fii|{eg`h| hbmvjq:668>0ob`{rnnoaw)okfex1<1159`kkrugefn~"flotlw8686<2idby|`lmgq+meh}g~783?;;bmmpwikdlx$dnaznu>6:42ehf}xd`ak}/ialqkr;07;?7naatsmoh`t(`je~by26>018gjhszffgi!gcnwmpZ66;2idby|`lmgq+meh}g~T=<=4cnlwvjjkm{%cob{at^027>ehf}xd`ak}/ialqkrX;890ob`{rnnoaw)okfexR:>3:aljqthdeoy#em`uov\1456;2idby|`lmgq+meh}g~T5;?k;bmmpwikdlx$dnaznu]`iuYby9:;<9??;bmmpwikdlx$d~wac0:8gjhszffgi!gsxl`[dhc89:;=l5loovqkijbz&bxucmPaof3456488o0ob`{rnnoaw)o{pdhSl`k012375YT_9;j7naatsmoh`t(`zseoRoad1234156<2idby|`lmgq+uomer7<3?;;bmmpwikdlx$|dvjly>2:42ehf}xd`ak}/qk{ai~;<7;?7naatsmoh`t(x`rn`u2:>018gjhszffgi!iygo|Z66;2idby|`lmgq+uomerT=<=4cnlwvjjkm{%{eukcx^027>ehf}xd`ak}/qk{ai~X;890ob`{rnnoaw)waqogtR:>3:aljqthdeoy#}gwemz\14??00`8gjhszffgi!iygo|Zgil9:;<<<>b:aljqthdeoy#}gwemz\ekb789:94e:aljqthdeoy#ob_`fgvZgcl9:;<1<11d9`kkrugefn~"|nm^cg`wYflm:;<=2<>0g8gjhszffgi!}al]b`atXimn;<=>34?3f?fii|{eg`h| r`o\eabuWhno<=>?<4<2a>ehf}xd`ak}/scn[dbczVkoh=>?0=4=5`=dgg~ycabjr.pbiZgcl{Ujhi>?01>4:4c33?3e?fii|{eg`h| r`o\eabuWdgx=>?0=6=5c=dgg~ycabjr.pbiZgcl{Ufyaz?012?1;7a3jeexacldp,vdkXimnyS`{ct12349099o1hccz}omnfv*tfeVkohQbumv3456;?7;m7naatsmoh`t(zhgTmij}_lwop5678525=k5loovqkijbz&xjaRokds]nqir789:753?;;bmmpwikdlx$znaznu>3:42ehf}xd`ak}/walqkr;;7;?7naatsmoh`t(~je~by2;>068gjhszffgi!ycnwmp9399=1hccz}omnfv*pdg|d0;0>4:aljqthdeoy#{m`uov?3;733jeexacldp,rfirf}632<:4cnlwvjjkm{%}ob{at=;=56=dgg~ycabjr.t`kphsW9;87naatsmoh`t(~je~byQ>129`kkrugefn~"xlotlw[7743jeexacldp,rfirf}U8=>5loovqkijbz&|hcx`{_530?fii|{eg`h| vbmvjqY29:1hccz}omnfv*pdg|dS;?<;bmmpwikdlx$znaznu]456=dgg~ycabjr.t`kphsW1;87naatsmoh`t(~je~byQ6189`kkrugefn~"xlotlw[dhc89:;=o5loovqkijbz&|hcx`{_`lg45679=;j7naatsmoh`t(~je~byQnne234546j2idby|`lmgq+seh}g~Tmcj?012014d?04024>ehf}xd`ak}/wqzjf7?3jeexacldp,rvikVkeh=>?00c8gjhszffgi!ysxl`[dhc89:;==?n;bmmpwikdlx$z~wac^cm`5678=2:56m`nuplhicu'yrbnQnne234536i2idby|`lmgq+su~fjUjbi>?015657=ca{ohxdaa_GQN[C@c98?0hd|jcukljZ@TEVLMh<#Fn058`ltbk}cdbRH\M^DE`4+Nf89:;6jfrdawmjhXNZGTJKj>-Hl6=4?1oeklthmm[CUJWOLo> Ga6334?aoumj~bccQISL]EBa4*Ag=9=95kisg`pliiWjg{Saz?01221>bnzlieb`Pclr\hq6789;:56jfrdawmjhXkdzT`y>?013\WR6692nb~hm{inl\jjr789;:>6jfrdawmjhXff~;<=?>129gmwcd|`eeSca{012254703mc}>"Clotlw[firf}Uhu1>1169gms4(Eje~byQlotlw[f;97;j7igy2.O`kphsWje~byQly=3=[wr6?2nbz?!BcnwmpZeh}g~Tot2=>0c8`lp5'DidyczPcnwmpZe~4;4T~y?8;eku6*Kdg|dSnaznu]`}9599h1oe{< MbmvjqYdg|dSnw33?]qp41a:fjr7)JkfexRm`uov\g|:36Vx=:5kiw0,Ifirf}Uhcx`{_b{?1;7f3mc}>"Clotlw[firf}Uhu1;1_sv23>bn~;%Fob{at^alqkrXkp6=2;:Zts911oe{< MbmvjqYdg|dSa{{<1<2a>bn~;%Fob{at^alqkrXd|~7<3QFNW]35==ca8$Anaznu]`kphsWqey0=0>8:fjr7)JkfexRm`uov\|jt;97;37igy2.O`kphsWje~byQwos>1:4>"Clotlw[firf}Usc29>0:8`lp5'DidyczPcnwmpZ~hz5=5=55kiw0,Ifirf}Uhcx`{_ymq8=8602nbz?!BcnwmpZeh}g~Ttb|39?3a?aoq:&Ghcx`{_bmvjqYg{622R|{d:fjr7)dg|d0=0k;eku6*eh}g~7=3j4dht1+firf}692i5kiw0,gjsi|595h6jfv3-`kphs4=4o7igy2.alqkr;=7n0hdx=/bmvjq:16m1oe{< cnwmp919l2nbz?!lotlw8=8c3mc}>"m`uov?=;ebn~;%hcx`{_0a8`lp5'je~byQ=c:fjr7)dg|dS>m4dht1+firf}U?o6jfv3-`kphsWbn~;%hcx`{_836?aoq:&idyczPclr\at6789;<7igy2.alqkrXkdzTi|>?013252=ca8$ob{at^antZcv89:;?;?8;eku6*eh}g~To`~Pep23455?l2nbz?!{elr\kprb3mc}>"zjmq]lqq76:2nbz?!{elr\kprXJ\LL=85kiw0,p`kwWfSca{01225f=ca8$xhc_nww[kis89::=RGAV^26?mu~fjk0d~wac^MAQC0384ioa?7;3oikV8>7d`l_2g8mkeXe|r;<=>30?33?lhdWds<=>?<1<25472:kmgZkrp9:;<1>1_RU3a>oikVg~t=>?0=3=55=nfjUfyu>?01>2:70682ceoRczx1234979;=;;7d`l_lw{4567484?3??;hl`[hs89:;0?0<3068mkeXe|r;<=>32?10[VQ7991bbnQbuy2345:56:3m7d`l_lw{45674;42i6gac^ov|5678595==5fnb]nq}6789682<;>4:kmgZkrp9:;<1=114]PS5773`dhS`{w01238684<8>0ecmPmtz3456;;79?S^Y?119jjfYj}q:;<=2<>445?icc494=7akk<0<5?icc4;437akk<283:3=kmm682l5cee]3[JDRNh1giiQ>_N@VBd=kmmU9SBLZF`9oaaY4WFH^Ji5bel-NvdkXZHG:h6cjm.OqehYUID8<7}a|x=2=3>vh{q6:2:5orz?6;108;qmp|929?2zdu2:>79skv~X8?1{c~vP179skv~X:?1{c~vP379skv~X30?34?uitpVg~t=>?0=2=542?WZ]:=:5orz\ip~789:7<3?=15]PS5743yextRczx12349699;8==85orz\ip~789:7<3?=27]E50=wgzrTaxv?012?4;75:?UL=?5orz\ip~789:7<3?=5018tjuWds<=>?<1<27476<2zduQbuy2345:7689:=11232640119327>vh{qUfyu>?01>3:4>69890|b}w_lw{4567494:4<<>5:rlw}Yj}q:;<=2?>0:2[c3a3yextRczx12349799:1{c~vPmtz3456;978:;??8;qmp|Zkrp9:;<1?12051[VQ7911{c~vPmtz3456;978:;?Q\W132<>vh{qUfyu>?01>2:770:VY\6~`sy]nq}67896:2?:;179skv~Xe|r;<=>31?070ZUP8880|b}w_lw{4567484954?9;qmp|Zkrp9:;<1?128;\WR6692zduQbuy2345:661;:96~`sy]nq}67896:2Rlkd02e?uitpVg~t=>?0=0=56=wgzrTaxv?012?6;7688;87}a|x^ov|5678585=<9=169skv~Xe|r;<=>32?3237YT_9;37}a|x^ov|5678585=<9=_RU354>8T_Z>=1`9skv~Xe|r;<=>32?3237YT_9Um9<<4pnq{[hs89:;0?0>2735?uitpVg~t=>?0=0=570X[^;:>6~`sy]nq}6789692<8;139skv~Xe|r;<=>32?3:6~`sy]nq}6789682<89129skv~Xe|r;<=>33?3524743yextRczx12349599?<9=85orz\ip~789:7?3?96^d6b>vh{qUfyu>?01>7:46i;qmp|Zkrp9:;<1;1109skv~Xe|r;<=>35?323>vh{p6;2:5or{?5;179skvX8?1{c~wP179skvX:?1{c~wP379skvX=?<;qmp}Zkrp9:;=1>1203550=wgzsTaxv?013?4;469?UM=85or{\ip~789;7<3<>17]D57=wgzsTaxv?013?4;45;890|b}v_lw{45664949>>?>3:rlw|Yj}q:;<<2?>300643?1=2=60>692zdtQbuy2344:76?;:86~`sx]nq}67886;2Rlkd7d8tju~Wds<=>><0<27>vh{pUfyu>?00>2:474<8=0|b}v_lw{4566484:=>:PSV227>vh{pUfyu>?00>2:47?>8=0|b}v_lw{4566484:=58PSV225>vh{pUfyu>?00>2:446;2zdtQbuy2344:6688?;<:4pnqz[hs89::0<0>255251=wgzsTaxv?013?5;75<>8::6~`sx]nq}67886:2<<;7^d6b>vh{pUfyu>?00>1:41>9:rlw|Yj}q:;<<2=>0353ZUP8VL:56~`sx]nq}678869294]PS5`><2<256>X[^:TJ<74pnqz[hs89::0>0>12:\WR6XO890|b}v_lw{45664:4:=:;>4:rlw|Yj}q:;<<2<>03414733yexuRczx123595998=>><84pnqz[hs89::0>0>167\b0713yexuRczx12359599<8T_Z>i;qmp}Zkrp9:;=1:1109skvXe|r;<=?34?0251=wzgm$Aua}_g`\V`urd};<7}|ag.daf>vufn%}ma2?>b9svka(~hf7==0m;qpmc*pfd5;5n6~}nf-uei:56k1{~ci v`n?7;dvufn%}maQ?a:rqjb)qieU:n6~}nf-ueiY68h1{~ci v`n\6d=wzgm$zlbP3`9svka(~hfT8l5roe,rdjX=h1{~ci v`n\2d=wzgm$zlbP7`9svka(~hfT4l5roe,rdjX1>1{~ciPeod8twh`WldTaxv?01224>vufnUnbRczx12344b2:a=uidUjoyg`n=0=g>tfeVkhxdaa_0a8vdkXij~bccQ=149qehYfk}cdbRczx12349699?1ym`QncukljZkrp9:;<1>11078vdkXij~bccQbuy2345:668<0~lcPabvjkkYj}q:;<=2>>0d8vdkXimnyS}|f<1<24>tfeVkohQrh>24;`j;scn[dbczVzyeR?i;scn[dbczVzyeR??e:pbiZgcl{U{~dQ=e:pbiZgcl{U{~dQ?01>5:4g37?3b?wgjWhno~R~}i^cg`vse89:;050>a:pbiZgcl{U{~dQndeqvf5678535=55}al]b`atXx{cTaxb{0123858612xjaRokds]svlYj}e~;<=>311<2<>tfeVkohQrh]nqir789:7=3?7;scn[dbczVzyeRczlu2345:56820~lcPaefq[utnWdgx=>?0=1=5==uidUjhi|Ppsk\ipjs89:;090>8:pbiZgcl{U{~dQbumv3456;=7;37ob_`fgvZvuaVg~`y>?01>5:4>11e9qehYflmxT|gPmtz3457;87;;S^Y?189qehYflmxT|gPmtz3457;87;<=55}al]b`atXx{cTaxv?013?4;36i2xjaRokds]svlYj}q:;<<2?>43:5f=uidUjhi|Ppsk\ip~789;7<3;>9^D2g>tfeVkohQrh]nq}67886;28?6_F3b?wgjWhno~R~}i^ov|56795:59?>>a:pbiZgcl{U{~dQbuy2344:76<92=n5}al]b`atXx{cTaxv?013?4;3X[^::m6|nm^cg`wYwz`Ufyu>?00>3:3729h1ym`Qndep\twoXe|r;<=?30?4064g8:pbiZgcl{U{~dQbuy2344:687;j7ob_`fgvZvuaVg~t=>?1=33:466i2xjaRokds]svlYj}q:;<<2>0?345<=uidUjhi|Ppsk\ip~789;7==0:1e9qehYflmxT|gPmtz3457;994>S^Y?169qehYflmxT|gPmtz3457;97;27ob_`fgvZvuaVg~t=>?1=3=557>3{kfSljkr^rqmZkrp9:;=1?1163;?wgjWhno~R~}i^ov|56795;593{kfSljkr^rqmZkrp9:;=1<1113:?wgjWhno~R~}i^ov|5679585=:?7;scn[dbczVzyeRczx1235949=8i0~lcPaefq[utnWds<=>><3<6[VQ79>1ym`Qndep\twoXe|r;<=?33?3:?wgjWhno~R~}i^ov|5679595==?6;scn[dbczVzyeRczx12359599>;37ob_`fgvZvuaVg~t=>?1=1=14e0:_RU352=uidUjhi|Ppsk\ip~789;783?6;scn[dbczVzyeRczx123592999;27ob_`fgvZvuaVg~t=>?1=6=527?3{kfSljkr^rqmZkrp9:;=1:150a8vdkXimnyS}|f_lw{45664=4>S^Y?169qehYflmxT|gPmtz3457;=7;27ob_`fgvZvuaVg~t=>?1=7=557>3{kfSljkr^rqmZkrp9:;=1;1163;?wgjWhno~R~}i^ov|56795?593{kfSljkr^rqmZkrp9:;=181113:?wgjWhno~R~}i^ov|56795<5=:?7;scn[dbczVzyeRczx1235909=8i0~lcPaefq[utnWds<=>><7<6[VQ79>1ym`Qndep\twoXe|r;<=?37?3:?wgjWhno~R~}i^ov|56795=5==?6;scn[dbczVzyeRczx12359199>;37ob_`fgvZvuaVg~t=>?1=5=14e999;27ob_`fgvZvuaVg~t=>?1=:=527?3{kfSljkr^rqmZkrp9:;=16150a8vdkXimnyS}|f_lw{4566414>S^Y?169qehYflmxT|gPmtz3457;17;27ob_`fgvZvuaVg~t=>?1=;=557>3{kfSljkr^rqmZkrp9:;=171163;?wgjWhno~R~}i^ov|567953591>110a8vdkXimnyS}|f_lw{4565484Tal`k1e9qehYflmxT|gPmtz3454;97Ufmcj>1b9qehYflmxT|gPmtz3454;:7Ufmcj>d:pbiZgcl{U{~dQbuy2347:56Vgjbi?>c:pbiZgcl{U{~dQbuy2347:46Vgjbi?k;scn[dbczVzyeRczx1236959Wdkeh2>0?323>tfeVkohQrh]nq}678:6=2<64r`o\eabuWyxbS`{w012083869>1ym`Qndep\twoXe|r;<==37?3;?wgjWhno~R~}i^ov|567;5=5=<94r`o\eabuWyxbS`{w01208=8602xjaRokds]svlYj}q:;<>27>034?wgjWhno~R~}i^ov|567;535=55}al]b`atXx{cTaxv?011?=;76<2xjaRokds]svlYig}:;3{kfSljkr^rqmZuoi{o;<=>30?3b?wgjWhno~R~}i^qkewc789:7==0>9:pbiZgcl{U{~dQ|h`pf4567484:56|nm^cg`wYwz`Uxdl|j0123878612xjaRokds]svlYt`hxn<=>?<2<2=>tfeVkohQrh]pldtb89:;090>9:pbiZgcl{U{~dQ|h`pf45674<4:56|nm^cg`wYwz`Uxdl|j0123838612xjaRokds]svlYt`hxn<=>?<6<2=>tfeVkohQrh]pldtb89:;050>9:pbiZgcl{U{~dQ|h`pf4567404n7ob_bmvjqcu494m7ob_bmvjqcu48:5i6|nm^alqkrbz5;5i6|nm^alqkrbz585i6|nm^alqkrbz595i6|nm^alqkrbz5>5i6|nm^alqkrbz5?5i6|nm^alqkrbz5<5i6|nm^alqkrbz5=5i6|nm^alqkrbz525i6|nm^alqkrbz535h6|nm^alqkrbzV:o7ob_bmvjqcuW8o0~lcPcnwmp`tX99n0~lcPcnwmp`tX:m1ym`QlotlwawY4l2xjaRm`uovfvZ2c3{kfSnaznugq[0btfeVidyczjr^:g?wgjWje~byk}_835?wgjWje~byk}_lw{4567494:46|nm^alqkrbzVg~t=>?0=2=577>3{kfSnaznugq[hs89:;0=0>363b?wgjWje~byk}_lw{4567494:?:?>a:pbiZeh}g~n~Rczx12349699:=9=n5}al]`kphsm{Ufyu>?01>3:450Wo?:;6|nm^alqkrbzVg~t=>?0=2=64dtfeVidyczjr^ov|56785:5?<94r`o\gjsi|lxTaxv?012?558602xjaRm`uovfvZkrp9:;<1??>035?wgjWje~byk}_lw{4567484:;6|nm^alqkrbzVg~t=>?0=3=5403?8;scn[firf}oyS`{w012387869?1ym`QlotlwawYj}q:;<=2<>058vdkXkfexh|Pmtz3456;;7;::6|nm^alqkrbzVg~t=>?0=6=52=uidUhcx`{es]nq}67896?27;<7ob_bmvjqcuWds<=>?<7<253=uidUhcx`{es]nq}67896<2<94r`o\gjsi|lxTaxv?012?3;76>2xjaRm`uovfvZkrp9:;<161169qehYdg|diQbuy2345:?68;=7ob_bmvjqcuWds<=>?<8<23>tfeVidyczjr^ov|5678535=<=4r`o\gjsi|lxTbbz?01020>tfeVidyczjr^llp567:8;37ob_bmvjqcuWzbj~h>?01>3:4?0:8vdkXkfexh|Psicqa56785;5=55}al]`kphsm{Uxdl|j0123878602xjaRm`uovfvZuoi{o;<=>33?3;?wgjWje~byk}_rjbv`67896?2<64r`o\gjsi|lxTeo}e1234939911ym`QlotlwawYt`hxn<=>?<7<2<>tfeVidyczjr^qkewc789:7;3?7;scn[firf}oyS~fnrd2345:?6820~lcPcnwmp`tX{akyi=>?0=;=a>tfeVnjxlQfn=2=b>tfeVnjxlQfn=33:`=uidUomyoPio>2:`=uidUomyoPio>1:`=uidUomyoPio>0:`=uidUomyoPio>7:`=uidUomyoPio>6:`=uidUomyoPio>5:`=uidUomyoPio>4:`=uidUomyoPio>;:`=uidUomyoPio>::a=uidUomyoPio]3`>tfeVnjxlQfn^3f?wgjWmkmRga_02g?wgjWmkmRga_3f8vdkXlh~jSd`P3e9qehYci}kTecQ;d:pbiZbf|hUbbR;k;scn[agsiVceS;j4r`o\`drfW`dT;i5}al]geqgXagU3h6|nm^fbpdYnfV3:56|nm^fbpdYnfVkoh~{m01238586i2xjaRjnt`]jjZgclzi<=>?<02=5<=uidUomyoPio]b`aurj9:;<1?1189qehYci}kTecQndeqvf5678585=45}al]geqgXagUjhi}zb1234959901ym`Qkauc\mkYflmy~n=>?0=6=5<=uidUomyoPio]b`aurj9:;<1;1189qehYci}kTecQndeqvf56785<5=45}al]geqgXagUjhi}zb1234919901ym`Qkauc\mkYflmy~n=>?0=:=5<=uidUomyoPio]b`aurj9:;<171179qehYci}kTecQbuy2345:768=0~lcPd`vb[lhXe|r;<=>30?32e>tfeVnjxlQfn^ov|56785:5=199qehYci}kTecQbuy2345:7682:56|nm^fbpdYnfVg~t=>?0=2=5Z@612xjaRjnt`]jjZkrp9:;<1>11^E2<>tfeVnjxlQfn^ov|56785:5>7]PS4703{kfSio{a^km[hs89:;0=07169qehYci}kTecQbuy2345:687;27ob_ecweZoiWds<=>?<02=5=7?3{kfSio{a^km[hs89:;0<>160a8vdkXlh~jSd`Pmtz3456;994=S^Y>199qehYci}kTecQbuy2345:6872::6|nm^fbpdYnfVg~t=>?0=3=5==uidUomyoPio]nq}67896:2<6>7:pbiZbf|hUbbRczx1234979>8h0~lcPd`vb[lhXe|r;<=>31?4\WR76?2xjaRjnt`]jjZkrp9:;<1?18048vdkXlh~jSd`Pmtz3456;:7;37ob_ecweZoiWds<=>?<3<2<4138>b:pbiZbf|hUbbRczx1234949>VY\=<94r`o\`drfW`dTaxv?012?6;>6>2xjaRjnt`]jjZkrp9:;<1=1199qehYci}kTecQbuy2345:4682:;6|nm^fbpdYnfVg~t=>?0=1=24dtfeVnjxlQfn^ov|56785954<84r`o\`drfW`dTaxv?012?0;7?3{kfSio{a^km[hs89:;090>8058vdkXlh~jSd`Pmtz3456;<7<:n6|nm^fbpdYnfVg~t=>?0=6=2ZUP98=0~lcPd`vb[lhXe|r;<=>34?:22>tfeVnjxlQfn^ov|56785?5=55}al]geqgXagUfyu>?01>6:4>6?2xjaRjnt`]jjZkrp9:;<1;160`8vdkXlh~jSd`Pmtz3456;=77:pbiZbf|hUbbRczx123493908<0~lcPd`vb[lhXe|r;<=>36?3;?wgjWmkmRga_lw{45674?4:4<94r`o\`drfW`dTaxv?012?2;06j2xjaRjnt`]jjZkrp9:;<1816^QT5416:pbiZbf|hUbbRczx1234919911ym`Qkauc\mkYj}q:;<=28>0:23>tfeVnjxlQfn^ov|56785=5:?0=5=<4038?42f>tfeVnjxlQfn^ov|5678525:R]X1058vdkXlh~jSd`Pmtz3456;072::6|nm^fbpdYnfVg~t=>?0=;=5==uidUomyoPio]nq}6789622<6>7:pbiZbf|hUbbRczx12349?9>8h0~lcPd`vb[lhXe|r;<=>39?4\WR76?2xjaRjnt`]jjZkrp9:;<1718048vdkXlh~jSd`Pos2345Ynfl0~lcPd`vb[utn494:<6|nm^fbpdYwz`6:<3h4r`o\`drfWyxb0<0i;scn[agsiVzye1<1f:pbiZbf|hU{~d2<>g9qehYci}kT|g34?d8vdkXlh~jS}|f<4tfeVnjxlQrh>4:c=uidUomyoPpsk?<;`g9qehYci}kThm37?d8vdkXlh~jS~kl<9tfeVxnmim4r`o\v`gcW`d}=>5}al]qadbXag|:SD@Y_133?wgjW{ojhRczx12344>tfeVxnmiQaou2344713{kfSzkj;scn[rcXe|r;<=>i;scn[rcXe|r;<=>?119qehYpmVg~t=>?00324>tfeV}nS`{w012357773{kfSzkPmtz34565>o1ym`Qxe^ov|5678==0dtj<1<;?vo}m5;;2:5|i{g?5;1<{`pn0?08;rkya959?2ybvh2;>69pmc;=7=0dtj<7<4?vo}m5=5;6}fzd>;:2=taso75384shxf[50<{`pnS<94shxf[4613zcqiR<9;rkyaZ513zcqiR:9;rkyaZ313zcqiR89;rkyaZ113zcqiR69;rkyaZ?a3zcqiRokd1234969991xewkPaef3456;994m7~gue^cg`56785;5j6}fzd]b`a6789692k5|i{g\eab789:7?3h4shxf[dbc89:;090i;rkyaZgcl9:;<1;1f:qj~`Yflm:;<=29>g9pmcXimn;<=>37?d8wl|bWhno<=>?<9?0=;=b>unrlUfyu>?01>3:47<{`pnS`{w0123858598:0dtj_lw{456748:5=<5|i{g\ip~789:7==0>f:qj~`Yj}q:;<=2>>038wl|bWds<=>?<0<25c=tasoTaxv?012?6;763zcqiRczx123494998l0dtj_lw{45674:4:=6}fzd]nq}678968234?32b>unrlUfyu>?01>6:47<{`pnS`{w012380869o1xewkPmtz3456;>7;:7~gue^ov|56785<5=1:qj~`Yj}q:;<=28>03e?vo}mVg~t=>?0=:=55=tasoTaxv?012?<;7a3zcqiRczx12349?9981xewkPmtz3456;17;:i6}{aug\BVKXNOn:=<5|t`vf[CUJWOLo= Ga139ppdrbWOYFSKHk1,Km5c=t|h~nSK]B_GDg55753z~jxhQISL]EBa77%@d:?6}{aug\BVKXNOn:< Ga1g9ppdrbWOYFSKHk1031?vrf|lUM_@QIFe32)Lh6;2ymykPFRO\BCb69$Ce=h5|t`vf[CUJWOLo>7~zntd]EWHYANm9&EcQ\W1g8wqgsmVLXARHId532?vrf|lUM_@QIFe6.Mk723z~jxhQISL]EBa2*AgUX[=k4sucwaZ@TEVLMh8k4sucwaZ@TEVLMh;k4sucwaZ@TEVLMh:k4sucwaZ@TEVLMh5?>;rvbp`YA[DUMJi6"Io31?vrf|lUM_@QIFe:.Mk7b3z~jxhQISL]EBa?c3z~jxhQnne2345`<{}kiRoad123444a3z~jxhQnne234546n2ymykPaof34563?1331?vrf|lUha}Qjq123476692ymykPclr\at6789>:=6}{aug\ghvXmx:;<=9>0:qweqcXkdzT`y>?0032?vrf|lUha}Qct12354723z~jxhQlmq]op56798UX[=??;rvbp`YdeyUgx=>?2038wqgsmVif|Rb{01215a=t|h~nSb|?0122g>usi}oTc>?00f8wqgsmVey<=>>1g9ppdrbWfx;<=?Pf4a8wqgsmVey<=>=d:qweqcXg{:;Rh:c:qweqcXg{:;<>??;rvbp`Yhz9:;?R]X0g9wmfmXkfexh|30?33?qodcVidyczjr=33:46<|`i`Snaznugq8479991enePcnwmp`t;9;4:<6zfcj]`kphsm{6:?3??;uk`oZeh}g~n~1?;>028plelWje~byk}<07=55=sajaTob{atdp?538682~bofQlotlwaw:6?7;;7yglk^alqkrbz5;32<>4thah[firf}oy0<71f:vjgnYdg|di2>>028plelWje~byk}<32=55=sajaTob{atdp?648682~bofQlotlwaw:5:7;;7yglk^alqkrbz5882<>4thah[firf}oy0?:1119wmfmXkfexh|324rnkbUhcx`{es>0:c=sajaTob{atdp?0;`<|`i`Snaznugq808a3}chgRm`uovfv909n2~bofQlotlwaw:06o1enePcnwmp`t;07l0xdmd_bmvjqcu404n7yglk^alqkrbzV:n7yglk^alqkrbzV;m7yglk^alqkrbzV;;j6zfcj]`kphsm{U:=k5{ibi\gjsi|lxT=?h4thah[firf}oyS<=i;uk`oZeh}g~n~R?;f:vjgnYdg|diQ>5g9wmfmXkfexh|P17d8plelWje~byk}_05e?qodcVidyczjr^3;b>rnkbUhcx`{es]2=`=sajaTob{atdp\6c=sajaTob{atdp\65`<|`i`Snaznugq[77a3}chgRm`uovfvZ45n2~bofQlotlwawY5;o1enePcnwmp`tX:=l0xdmd_bmvjqcuW;?n7yglk^alqkrbzV9n7yglk^alqkrbzV>n7yglk^alqkrbzV?n7yglk^alqkrbzV?01>24;7e3}chgRm`uovfvZgclzi<=>?<03=5g=sajaTob{atdp\eabt}k:;<=2>2?3a?qodcVidyczjr^cg`vse89:;0<=11c9wmfmXkfexh|Paefpqg67896:83?m;uk`oZeh}g~n~Rokdrwa456748?5=o5{ibi\gjsi|lxTmij|uc2345:6>7;i7yglk^alqkrbzVkoh~{m012384199k1enePcnwmp`tXimnxyo>?01>2<;7e3}chgRm`uovfvZgclzi<=>?<0;=5d=sajaTob{atdp\eabt}k:;<=2>>0`8plelWje~byk}_`fgwpd789:7>=0>b:vjgnYdg|diQndeqvf567858:2?0=06:4g<|`i`Snaznugq[dbc{|h;<=>32?3b?qodcVidyczjr^cg`vse89:;0>0>a:vjgnYdg|diQndeqvf56785>5=l5{ibi\gjsi|lxTmij|uc2345:268k0xdmd_bmvjqcuWhnoxl?012?2;7f3}chgRm`uovfvZgclzi<=>?<6<2e>rnkbUhcx`{es]b`aurj9:;<1611`9wmfmXkfexh|Paefpqg6789622<94thah[firf}oyS`{w0123858602~bofQlotlwawYj}q:;<=2?>03;?qodcVidyczjr^ov|56785;;2<74thah[firf}oyS`{w012384699820xdmd_bmvjqcuWds<=>?<03=5<=sajaTob{atdp\ip~789:7=<0>199wmfmXkfexh|Pmtz3456;9;4:56zfcj]`kphsm{Ufyu>?01>26;7602~bofQlotlwawYj}q:;<=2>3?3:?qodcVidyczjr^ov|56785;82?<06=54><|`i`Snaznugq[hs89:;0<;1189wmfmXkfexh|Pmtz3456;9<4:=55{ibi\gjsi|lxTaxv?012?538612~bofQlotlwawYj}q:;<=2>6?32<>rnkbUhcx`{es]nq}67896:;3?6;uk`oZeh}g~n~Rczx123497068;37yglk^alqkrbzVg~t=>?0=3;:4?<|`i`Snaznugq[hs89:;0<6110:8plelWje~byk}_lw{45674835=45{ibi\gjsi|lxTaxv?012?5<869>1enePcnwmp`tXe|r;<=>31?3;?qodcVidyczjr^ov|56785;5=<64thah[firf}oyS`{w01238769901enePcnwmp`tXe|r;<=>321<25==sajaTob{atdp\ip~789:7><0>9:vjgnYdg|diQbuy2345:597;:46zfcj]`kphsm{Ufyu>?01>16;7>3}chgRm`uovfvZkrp9:;<1<=>03;?qodcVidyczjr^ov|56785882<74thah[firf}oyS`{w012387599820xdmd_bmvjqcuWds<=>?<36=5<=sajaTob{atdp\ip~789:7>90>199wmfmXkfexh|Pmtz3456;:<4:56zfcj]`kphsm{Ufyu>?01>11;76?2~bofQlotlwawYj}q:;<=2=>0:8plelWje~byk}_lw{45674;4:=:5{ibi\gjsi|lxTaxv?012?7;7?3}chgRm`uovfvZkrp9:;<1=11058plelWje~byk}_lw{45674=4:46zfcj]`kphsm{Ufyu>?01>7:4703}chgRm`uovfvZkrp9:;<1;1199wmfmXkfexh|Pmtz3456;=7;:;6zfcj]`kphsm{Ufyu>?01>5:4><|`i`Snaznugq[hs89:;0;0>169wmfmXkfexh|Pmtz3456;?7;37yglk^alqkrbzVg~t=>?0=5=541<|`i`Snaznugq[hs89:;050>8:vjgnYdg|diQbuy2345:?68;<7yglk^alqkrbzVg~t=>?0=;=5==sajaTob{atdp\ip~789:753?>3:vjgnYdg|diQ`r123442<|`i`Snaznugq[jt789::o6xfevAlhjt;87i0zdkxCnnlv979k2|bizM`lnp?6;d<~`o|Obb`r^2a?sobJegcQ>b:tjarEhdfxT><:4vhgtGjjhzVg~t=>?0=2=53=qal}Hcaa}_lw{4567494:9<94vhgtGjjhzVg~t=>?0=2=5076?2|bizM`lnp\ip~789:7<3?:20;8rlcpKffd~Rczx12349699?0=2=07733cn{Nacos]nq}67896:2<84vhgtGjjhzVg~t=>?0=3=50703cn{Nacos]nq}67896:2<;>169um`qDgeeyS`{w01238486=;;27{gjwBmokwYj}q:;<=2>>07\b0713cn{Nacos]nq}67896:29<>4:tjarEhdfxTaxv?012?6;713cn{Nacos]nq}6789692<;>7:tjarEhdfxTaxv?012?6;7298=0zdkxCnnlvZkrp9:;<1<11402=>pnm~Id`b|Pmtz3456;:7;>Sk;>6:tjarEhdfxTaxv?012?6;25=2|xucmn;wqzjfYHJ\L:<6vl3r034d+3%{:<=sO@q3a32=GHq>;57H52;3xW`4=<921><8512165ae=;>8:?v`;0782?k27?3<0(9>::523?xUb93>;47<>6;30707ck39<><74d52:>5<628qXi?4;098153<6;:?:hn4<7330?sR4m00;6<4>:2f:Vc52=:36??9:01014bd2:=9=>5+3g1965d<,:h965;>;c63=?6=9h085h46;[a`>0}4<39<6>653881e?{h0=l1<75f3b294?=n:9=1<75f41c94?=n;ll1<75`55394?=n91?1<75`9b83>!5e<33i7c=m3;28?j?f290/?o:59c9m7g5=921d544?:%1a0??e3g9i?7<4;n:e>5<#;k>15o5a3c197>=h9ho1<7*=h9hh1<7*65`1`c94?"4j=0:mi5a3c197>=h;kn1<7*=h;kk1<7*65`3c;94?"4j=08nn5a3c197>=h=??1<7*:95a3c195>=h=?81<7*65`57394?"4j=0>:95a3c197>=n<9n1<7*=n<9l1<7*65m41194?7=83:p(>kj:0ce?M27:2B8j<5`1`;94?=zj=:?6=4>:183!5bm39m>6F;039K7c7h>;hf1>5<>i4j>0;66sm61c94?2=83:p(>kj:0;4?M27:2B8j<5fd383>>oc03:17dk<:188k6d02900qo8?c;290?6=8r.8ih4>969K054<@:l:7dj=:188ma>=831bi>4?::m0f2<722wi:=j50;694?6|,:on6<78;I636>N4n81bh?4?::kg5<54;294~"4ml0:5:5G4108L6`63`n96=44ie:94?=nm:0;66a>{e>9l1<7:50;2x 6cb283<7E:?2:J0b4=nl;0;66gk8;29?lc42900c>l8:188yg0683:187>50z&0a`<61>1C8=<4H2d2?lb52900ei650;9ja6<722e8n:4?::a247=83>1<7>t$2gf>4?03A>;>6F5;hg0>5<h>;hf1>5<>i4j>0;66sm60194?2=83:p(>kj:0;4?M27:2B8j<5fd383>>oc03:17dk<:188k6d02900qo8?b;290?6=8r.8ih4>969K054<@:l:7dj=:188ma>=831bi>4?::m0f2<722wi;nj50;694?6|,:on6<78;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=h;k=1<75rb6af>5<3290;w)=je;3:3>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc42900c>l8:188yg1c13:187>50z&0a`<61>1C8=<4H2d2?!7d:3:0ei<50;9j`=<722cn?7>5;n1a3?6=3th5}#;lo1=494H521?M5a92.:o?4?;hf1>5<>i4j>0;66sm7d394?2=83:p(>kj:0;4?M27:2B8j<5+1b094>oc:3:17dj7:188m`5=831d?o950;9~f2c5290?6=4?{%1fa?7>?2B?5<54;294~"4ml0:5:5G4108L6`63-;h>7>4ie094?=nl10;66gj3;29?j5e?3:17pl8e583>1<729q/?hk51858L1653A9m=6*>c383?lb52900ei650;9ja6<722e8n:4?::a3`3=83>1<7>t$2gf>4?03A>;>6F7>5;hf;>5<o=6=4;:183!5bm3;2;6F;039K7c7<,8i96=5fd383>>oc03:17dk<:188k6d02900qo9lf;290?6=8r.8ih4>969K054<@:l:7)?l2;28ma4=831bh54?::kf7?6=3f9i;7>5;|`4`5<72=0;6=u+3dg95<1<@=:97E=i1:&2g7<73`n96=44ie:94?=nm:0;66a>{e?m;1<7:50;2x 6cb283<7E:?2:J0b4=#9j81<6gk2;29?lb?2900eh=50;9l7g1=831vn:j=:187>5<7s-9ni7?67:J747=O;o;0(5;hg0>5<h>;%3`6?6>ob;3:17b=m7;29?xd0l=0;694?:1y'7`c=90=0D9>=;I1e5>"6k;0;7dj=:188ma>=831bi>4?::m0f2<722wi;i;50;694?6|,:on6<78;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=h;k=1<75rb6f5>5<3290;w)=je;3:3>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc42900c>l8:188yg1c?3:187>50z&0a`<61>1C8=<4H2d2?!7d:3:0ei<50;9j`=<722cn?7>5;n1a3?6=3th5}#;lo1=494H521?M5a92.:o?4?;hf1>5<>i4j>0;66sm7ec94?2=83:p(>kj:0;4?M27:2B8j<5+1b094>oc:3:17dj7:188m`5=831d?o950;9~f2be290?6=4?{%1fa?7>?2B?5<54;294~"4ml0:5:5G4108L6`63-;h>7>4ie094?=nl10;66gj3;29?j5e?3:17pl8de83>1<729q/?hk51858L1653A9m=6*>c383?lb52900ei650;9ja6<722e8n:4?::a3ac=83>1<7>t$2gf>4?03A>;>6F7>5;hf;>5<nm6=4;:183!5bm3;2;6F;039K7c7<,8i96=5fd383>>oc03:17dk<:188k6d02900qo;i3;290?6=8r.8ih4>969K054<@:l:7)?l2;28ma4=831bh54?::kf7?6=3f9i;7>5;|`637<72=0;6=u+3dg95<1<@=:97E=i1:&2g7<73`n96=44ie:94?=nm:0;66a>{e=>91<7:50;2x 6cb283<7E:?2:J0b4=#9j81<6gk2;29?lb?2900eh=50;9l7g1=831vn89;:187>5<7s-9ni7?67:J747=O;o;0(5;hg0>5<h>;%3`6?6>ob;3:17b=m7;29?xd2??0;694?:1y'7`c=90=0D9>=;I1e5>"6k;0;7dj=:188ma>=831bi>4?::m0f2<722wi9:950;694?6|,:on6<78;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=h;k=1<75rb4cf>5<3290;w)=je;3:3>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc42900c>l8:188yg3fn3:187>50z&0a`<61>1C8=<4H2d2?!7d:3:0ei<50;9j`=<722cn?7>5;n1a3?6=3th>n=4?:583>5}#;lo1=494H521?M5a92.:o?4?;hf1>5<>i4j>0;66sm5c394?2=83:p(>kj:0;4?M27:2B8j<5+1b094>oc:3:17dj7:188m`5=831d?o950;9~f0d5290?6=4?{%1fa?7>?2B?5<55;294~"4ml0:545G4108L6`63-;h>7>4ie094?=nl10;66gj3;29?l5e03:17b=m7;29?xd2n10;694?:1y'7`c=90=0D9>=;I1e5>"6k;0;7dj=:188ma>=831bi>4?::m0f2<722wi9k750;694?6|,:on6<78;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=h;k=1<75rb675>5<3290;w)=je;3:3>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc42900c>l8:188yg12?3:187>50z&0a`<61>1C8=<4H2d2?!7d:3:0ei<50;9j`=<722cn?7>5;n1a3?6=3th<944?:583>5}#;lo1=494H521?M5a92.:o?4?;hf1>5<>i4j>0;66sm74c94?2=83:p(>kj:0;4?M27:2B8j<5+1b094>oc:3:17dj7:188m`5=831d?o950;9~f23e290?6=4?{%1fa?7>?2B?5<o7>54;294~"4ml0:5:5G4108L6`63-;h>7>4ie094?=nl10;66gj3;29?j5e?3:17pl85e83>1<729q/?hk51858L1653A9m=6*>c383?lb52900ei650;9ja6<722e8n:4?::a30c=83>1<7>t$2gf>4?03A>;>6F7>5;hf;>5<?m6=4;:183!5bm3;2;6F;039K7c7<,8i96=5fd383>>oc03:17dk<:188k6d02900qo990;290?6=8r.8ih4>969K054<@:l:7)?l2;28ma4=831bh54?::kf7?6=3f9i;7>5;|`41=<72=0;6=u+3dg95<1<@=:97E=i1:&2g7<73`n96=44ie:94?=nm:0;66a>{e0:i1<7:50;2x 6cb283<7E:?2:J0b4=nl;0;66gk8;29?lc42900c>l8:188yg>4j3:187>50z&0a`<61>1C8=<4H2d2?lb52900ei650;9ja6<722e8n:4?::a<6g=83>1<7>t$2gf>4?03A>;>6F5;hg0>5<h>;hf1>5<>i4j>0;66sm6e794?2=83:p(>kj:0;4?M27:2B8j<5fd383>>oc03:17dk<:188k6d02900qo8k7;290?6=8r.8ih4>969K054<@:l:7dj=:188ma>=831bi>4?::m0f2<722wi:i650;694?6|,:on6<78;I636>N4n81bh?4?::kg5<54;294~"4ml0:5:5G4108L6`63`n96=44ie:94?=nm:0;66a>{e>mk1<7:50;2x 6cb283<7E:?2:J0b4=nl;0;66gk8;29?lc42900c>l8:188yg0cj3:187>50z&0a`<61>1C8=<4H2d2?lb52900ei650;9ja6<722e8n:4?::a2ae=83>1<7>t$2gf>4?03A>;>6F5;hg0>5<h>;hf1>5<>i4j>0;66sm6eg94?2=83:p(>kj:0;4?M27:2B8j<5fd383>>oc03:17dk<:188k6d02900qo8k6;290?6=8r.8ih4>969K054<@:l:7dj=:188ma>=831bi>4?::m0f2<722wi:4:50;694?6|,:on6<78;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=h;k=1<75rb7;6>5<3290;w)=je;3:3>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc42900c>l8:188yg0>?3:187>50z&0a`<61>1C8=<4H2d2?!7d:3:0ei<50;9j`=<722cn?7>5;n1a3?6=3th=554?:583>5}#;lo1=494H521?M5a92.:o?4?;hf1>5<>i4j>0;66sm68;94?2=83:p(>kj:0;4?M27:2B8j<5+1b0955=nl;0;66gk8;29?lc42900c>l8:188yg0>i3:187>50z&0a`<61>1C8=<4H2d2?!7d:3;;7dj=:188ma>=831bi>4?::m0f2<722wi:4l50;694?6|,:on6<78;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=h;k=1<75rb7;`>5<3290;w)=je;3:3>N38;1C?k?4$0a1>46>ob;3:17b=m7;29?xd11m0;694?:1y'7`c=90=0D9>=;I1e5>"6k;0;7dj=:188ma>=831bi>4?::m0f2<722wi:4k50;694?6|,:on6<78;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=h;k=1<75rb7;5>5<3290;w)=je;3:3>N38;1C?k?4$0a1>46>ob;3:17b=m7;29?xd2<>0;694?:1y'7`c=90=0D9>=;I1e5>"6k;0;7dj=:188ma>=831bi>4?::m0f2<722wi99650;694?6|,:on6<78;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=h;k=1<75rb46:>5<3290;w)=je;3:3>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc42900c>l8:188yg33i3:187>50z&0a`<61>1C8=<4H2d2?!7d:3:0ei<50;9j`=<722cn?7>5;n1a3?6=3th<894?:583>5}#;lo1=494H521?M5a92.:o?4?;hf1>5<>i4j>0;66sm4df94?2=83:p(>kj:0;;?M27:2B8j<5fd383>>ob;3:17d=m8;29?j5e?3:17pl;1483>1<729q/?hk518:8L1653A9m=6gk2;29?lc42900e>l7:188k6d02900qo:>6;290?6=8r.8ih4>999K054<@:l:7dj=:188m`5=831b?o650;9l7g1=831vn96m:187>5<7s-9ni7?68:J747=O;o;0ei<50;9ja6<722c8n54?::m0f2<722wi85m50;694?6|,:on6<77;I636>N4n81bh?4?::kf7?6=3`9i47>5;n1a3?6=3th?>:4?:583>5}#;lo1=464H521?M5a92co>7>5;hg0>5<5<947>54;294~"4ml0:555G4108L6`63`n96=44id194?=n;k21<75`3c594?=zj=k26=4;:183!5bm3;246F;039K7c7>o4j10;66a>{e1<7:50;2x 6cb283<7E:?2:J0b4=#9j81<6gk2;29?lb?2900eh=50;9l7g1=831vn9l::187>5<7s-9ni7?67:J747=O;o;0(5;hg0>5<h>;%3`6?6>ob;3:17b=m7;29?xd3j>0;694?:1y'7`c=90=0D9>=;I1e5>"6k;0;7dj=:188ma>=831bi>4?::m0f2<722wi8o650;694?6|,:on6<78;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=h;k=1<75rb5`:>5<3290;w)=je;3:3>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc42900c>l8:188yg34k3:187>50z&0a`<61>1C8=<4H2d2?!7d:3:0ei<50;9j`=<722cn?7>5;n1a3?6=3th8<94?:6194?6|,:on6??8;I636>N4n81Qon4:{04952<603826<75}%f2>45?3g2o6<5ac883?k5e=3:0b>l9:19j`<<722com7>5;hfa>5<!5e<3?j7c=m3;68?l32290/?o:55`9m7g5==21b994?:%1a0?3f3g9i?784;h70>5<#;k>19l5a3c193>=n>=0;6)=m4;7b?k5e;3207d8<:18'7g2==h1e?o=59:9j27<72-9i87;n;o1a7?g<3`<:6=4+3c691d=i;k91n65f6183>!5e<3?j7c=m3;a8?l3a290/?o:55`9m7g5=l21b9h4?:%1a0?3f3g9i?7k4;h7g>5<#;k>19l5a3c19b>=n=j0;6)=m4;7b?k5e;3;;76g:2;29 6d32l<:038?l??290/?o:5969m7g5=821b5;4?:%1a0??03g9i?7?4;h;6>5<#;k>15:5a3c196>=n1=0;6)=m4;;4?k5e;3907d7<:18'7g2=1>1e?o=54:9j=7<72-9i8778;o1a7?3<3`3:6=4+3c69=2=i;k91:65f9183>!5e<33<7c=m3;58?lg5290/?o:5969m7g5=021bm<4?:%1a0??03g9i?774;hc3>5<#;k>15:5a3c19e>=n1o0;6)=m4;;4?k5e;3h07d7j:18'7g2=1>1e?o=5c:9j=a<72-9i8778;o1a7?b<3`3h6=4+3c69=2=i;k91i65f9`83>!5e<33<7c=m3;d8?l?>290/?o:5969m7g5=9910e5h50;&0f1<>?2d8n>4>1:9jef<72-9i87om;o1a7?6<3`kj6=4+3c69eg=i;k91=65fa883>!5e<3ki7c=m3;08?lg?290/?o:5ac9m7g5=;21bm:4?:%1a0?ge3g9i?7:4;hc5>5<#;k>1mo5a3c191>=ni<0;6)=m4;ca?k5e;3<07do;:18'7g2=ik1e?o=57:9jf0<72-9i87om;o1a7?><3`h?6=4+3c69eg=i;k91565fb283>!5e<3ki7c=m3;c8?ld5290/?o:5ac9m7g5=j21bn<4?:%1a0?ge3g9i?7m4;h`3>5<#;k>1mo5a3c19`>=nio0;6)=m4;ca?k5e;3o07doj:18'7g2=ik1e?o=5f:9jea<72-9i87om;o1a7?7732cj?7>5$2`7>ddo6;o1a7?6<3f9j47>5$2`7>6g>3g9i?7?4;n1b3?6=,:h?6>o6;o1a7?4<3f9j:7>5$2`7>6g>3g9i?7=4;n1b1?6=,:h?6>o6;o1a7?2<3f9j87>5$2`7>6g>3g9i?7;4;n1b6?6=,:h?6>o6;o1a7?0<3f9j=7>5$2`7>6g>3g9i?794;n1b4?6=,:h?6>o6;o1a7?><3f92j7>5$2`7>6g>3g9i?774;n1:a?6=,:h?6>o6;o1a7?g<3f92h7>5$2`7>6g>3g9i?7l4;n1:g?6=,:h?6>o6;o1a7?e<3f92n7>5$2`7>6g>3g9i?7j4;n1:e?6=,:h?6>o6;o1a7?c<3f9257>5$2`7>6g>3g9i?7h4;n1:3?6=,:h?6>o6;o1a7?7732e85;4?:%1a0?5f12d8n>4>1:9l7<3=83.8n947;:18'7g2=;h30b>l<:018?j5>;3:1(>l;:2c:?k5e;3;?76a<9383>!5e<39j56`=h;0;1<7*5<#;k>1?l74n2`0>41<3f93j7>5$2`7>6g>3g9i?7?7;:m0<`<72-9i87=n9:l0f6<6121d?o?50;&0f1<4i01e?o=51`98k6d7290/?o:53`;8j6d428h07b=nf;29 6d32:k27c=m3;3`?>i4il0;6)=m4;1b=>h4j:0:h65`3`f94?"4j=08m45a3c195`=o6;o1a7?4732e8m>4?:%1a0?5f12d8n>4=1:9l7<>=83.8n946k:18'7g2=;h30b>l<:318?jda290/?o:5bd9m7g5=821dni4?:%1a0?db3g9i?7?4;n``>5<#;k>1nh5a3c196>=hjk0;6)=m4;`f?k5e;3907bln:18'7g2=jl1e?o=54:9lf<<72-9i87lj;o1a7?3<3fh36=4+3c69f`=i;k91:65`b683>!5e<3hn7c=m3;58?je?290/?o:5bd9m7g5=021do:4?:%1a0?db3g9i?774;na5>5<#;k>1nh5a3c19e>=hk<0;6)=m4;`f?k5e;3h07bm;:18'7g2=jl1e?o=5c:9lg6<72-9i87lj;o1a7?b<3fi96=4+3c69f`=i;k91i65`c083>!5e<3hn7c=m3;d8?je7290/?o:5bd9m7g5=9910co850;&0f14>1:9~f1>?29050z&0a`<59>1C8=<4H2d2?_ed2399mh4j?0;7dj6:188mag=831bho4?::kg`?6=3`9h>7>5;h1`7?6=3`9h87>5;h7a>5<#;k>19l5a3c194>=n=00;6)=m4;7b?k5e;3;07d;7:18'7g2==h1e?o=52:9j12<72-9i87;n;o1a7?5<3`?=6=4+3c691d=i;k91865f5483>!5e<3?j7c=m3;78?l33290/?o:55`9m7g5=>21b9>4?:%1a0?3f3g9i?794;h47>5<#;k>19l5a3c19<>=n>:0;6)=m4;7b?k5e;3307d8=:18'7g2==h1e?o=5a:9j24<72-9i87;n;o1a7?d<3`<;6=4+3c691d=i;k91o65f5g83>!5e<3?j7c=m3;f8?l3b290/?o:55`9m7g5=m21b9i4?:%1a0?3f3g9i?7h4;h7`>5<#;k>19l5a3c1955=h4j:0:=65f9983>!5e<33<7c=m3;28?l?1290/?o:5969m7g5=921b584?:%1a0??03g9i?7<4;h;7>5<#;k>15:5a3c197>=n1:0;6)=m4;;4?k5e;3>07d7=:18'7g2=1>1e?o=55:9j=4<72-9i8778;o1a7?0<3`3;6=4+3c69=2=i;k91;65fa383>!5e<33<7c=m3;:8?lg6290/?o:5969m7g5=121bm=4?:%1a0??03g9i?7o4;h;e>5<#;k>15:5a3c19f>=n1l0;6)=m4;;4?k5e;3i07d7k:18'7g2=1>1e?o=5d:9j=f<72-9i8778;o1a7?c<3`3j6=4+3c69=2=i;k91j65f9883>!5e<33<7c=m3;33?>o?n3:1(>l;:858j6d428;07dol:18'7g2=ik1e?o=50:9jed<72-9i87om;o1a7?7<3`k26=4+3c69eg=i;k91>65fa983>!5e<3ki7c=m3;18?lg0290/?o:5ac9m7g5=<21bm;4?:%1a0?ge3g9i?7;4;hc6>5<#;k>1mo5a3c192>=ni=0;6)=m4;ca?k5e;3=07dl::18'7g2=ik1e?o=58:9jf1<72-9i87om;o1a7??<3`h86=4+3c69eg=i;k91m65fb383>!5e<3ki7c=m3;`8?ld6290/?o:5ac9m7g5=k21bn=4?:%1a0?ge3g9i?7j4;hce>5<#;k>1mo5a3c19a>=nil0;6)=m4;ca?k5e;3l07dok:18'7g2=ik1e?o=51198md5=83.8n94nb:l0f6<6921d?lo50;&0f1<4i01e?o=50:9l7d>=83.8n9450;&0f1<4i01e?o=58:9l7<`=83.8n94i41=0;6)=m4;1b=>h4j:0:?65`38194?"4j=08m45a3c1951=o6;o1a7?7132e85=4?:%1a0?5f12d8n>4>7:9l7=`=83.8n946j:18'7g2=;h30b>l<:0;8?j5e93:1(>l;:2c:?k5e;3;j76a!5e<39j56`=h;hl1<7*5<#;k>1?l74n2`0>4b<3f9jh7>5$2`7>6g>3g9i?7?j;:m0ef<72-9i87=n9:l0f6<6n21d?ll50;&0f1<4i01e?o=52198k6g4290/?o:53`;8j6d42;;07b=68;29 6d32:k27c=m3;01?>i40m0;6)=m4;1b=>h4j:09?65`bg83>!5e<3hn7c=m3;28?jdc290/?o:5bd9m7g5=921dnn4?:%1a0?db3g9i?7<4;n`a>5<#;k>1nh5a3c197>=hjh0;6)=m4;`f?k5e;3>07bl6:18'7g2=jl1e?o=55:9lf=<72-9i87lj;o1a7?0<3fh<6=4+3c69f`=i;k91;65`c983>!5e<3hn7c=m3;:8?je0290/?o:5bd9m7g5=121do;4?:%1a0?db3g9i?7o4;na6>5<#;k>1nh5a3c19f>=hk=0;6)=m4;`f?k5e;3i07bm<:18'7g2=jl1e?o=5d:9lg7<72-9i87lj;o1a7?c<3fi:6=4+3c69f`=i;k91j65`c183>!5e<3hn7c=m3;33?>ie>3:1(>l;:cg8j6d428;07pl:2d83>1<729q/?hk518c8L1653A9m=6*>c3824>oc:3:17dk<:188m6c02900c>l8:188yg35n3:187>50z&0a`<6111C8=<4H2d2?!7d:3:0ei<50;9ja6<722c8n54?::m0f2<722wi9><50;694?6|,:on6<77;I636>N4n81/=n<50:kg6?6=3`o86=44i2`;>5<h>;%3`6?6>o4j10;66a>{e=:;1<7:50;2x 6cb28337E:?2:J0b4=#9j81<6gk2;29?lc42900e>l7:188k6d02900qo;<3;290?6=8r.8ih4>999K054<@:l:7)?l2;28ma4=831bi>4?::k0f=<722e8n:4?::a162=83>1<7>t$2gf>4??3A>;>6F7>5;hg0>5<5<54;294~"4ml0:555G4108L6`63-;h>7>4ie094?=nm:0;66g>i4j>0;66sm52794?2=83:p(>kj:0;;?M27:2B8j<5+1b094>oc:3:17dk<:188m6d?2900c>l8:188yg34>3:187>50z&0a`<6111C8=<4H2d2?!7d:3:0ei<50;9ja6<722c8n54?::m0f2<722wi8ik50;794?6|,:on6<76;I636>N4n81/=n<50:kg6?6=3`n36=44id194?=n;k21<75`3c594?=zj=nm6=4::183!5bm3;256F;039K7c7<,8i96=5fd383>>oc03:17dk<:188m6d?2900c>l8:188yg2b83:197>50z&0a`<6101C8=<4H2d2?!7d:3:0ei<50;9j`=<722cn?7>5;h1a5;|`7a4<72<0;6=u+3dg95>i4j>0;66sm4d094?3=83:p(>kj:0;:?M27:2B8j<5+1b094>oc:3:17dj7:188m`5=831b?o650;9l7g1=831vn9k<:186>5<7s-9ni7?69:J747=O;o;0(5;hg0>5<5<n87>55;294~"4ml0:545G4108L6`63-;h>7>4ie094?=nl10;66gj3;29?l5e03:17b=m7;29?xd3m<0;684?:1y'7`c=9030D9>=;I1e5>"6k;0;7dj=:188ma>=831bi>4?::k0f=<722e8n:4?::a0`0=83?1<7>t$2gf>4?>3A>;>6F7>5;hf;>5<5<2290;w)=je;3:=>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc42900e>l7:188k6d02900qo:6b;290?6=8r.8ih4>999K054<@:l:7)?l2;28ma4=831bi>4?::k0f=<722e8n:4?::a01<7>t$2gf>4??3A>;>6F7>5;hg0>5<5<2h7>54;294~"4ml0:555G4108L6`63-;h>7>4ie094?=nm:0;66g>i4j>0;66sm48g94?2=83:p(>kj:0;;?M27:2B8j<5+1b094>oc:3:17dk<:188m6d?2900c>l8:188yg2>n3:187>50z&0a`<6111C8=<4H2d2?!7d:3:0ei<50;9ja6<722c8n54?::m0f2<722wi8l>50;694?6|,:on6<77;I636>N4n81/=n<50:kg6?6=3`o86=44i2`;>5<h>;%3`6?6>o4j10;66a>{el7:188k6d02900qo:n3;290?6=8r.8ih4>999K054<@:l:7)?l2;28ma4=831bi>4?::k0f=<722e8n:4?::a0d2=83>1<7>t$2gf>4??3A>;>6F7>5;hg0>5<5<54;294~"4ml09=45G4108L6`63`nh6=44id`94?=n;l=1<75`39c94?=zj;2i6=4<:183!5bm3>;=6F;039K7c75<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm29g94?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e:>31<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd50o0;6>4?:1y'7`c=<9;0D9>=;I1e5>ock3:17d1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo<60;297?6=8r.8ih4;009K054<@:l:7djl:188m76>2900c>6n:188yg40l3:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f7?629086=4?{%1fa?2792B?=750;9l7=g=831vn?9i:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m04<50;194?6|,:on69>>;I636>N4n81bhn4?::k14<<722e84l4?::a6=7=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`1=6<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th94>4?:583>5}#;lo1><74H521?M5a92coo7>5;hga>5<5<53;294~"4ml0?<<5G4108L6`63`nh6=44i32:>5<h>;hf`>5<5<4290;w)=je;635>N38;1C?k?4iea94?=n:931<75`39c94?=zj;2<6=4;:183!5bm38:56F;039K7c7>o4m>0;66a<8`83>>{e:0<1<7=50;2x 6cb2=::7E:?2:J0b4=nlj0;66g=0883>>i40h0;66sm29a94?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e::k1<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd54?:1y'7`c=<9;0D9>=;I1e5>ock3:17d6<729q/?hk520:8L1653A9m=6g>b483>>o6j?0;66a=0`83>>{e::h1<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd54?:1y'7`c=<9;0D9>=;I1e5>ock3:17d6<729q/?hk520:8L1653A9m=6g>b483>>o6j?0;66a=0`83>>{e::i1<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd54?:1y'7`c=<9;0D9>=;I1e5>ock3:17d6<729q/?hk520:8L1653A9m=6g>b483>>o6j?0;66a=0`83>>{e::n1<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd54?:1y'7`c=<9;0D9>=;I1e5>ock3:17d6<729q/?hk520:8L1653A9m=6g>b483>>o6j?0;66a=0`83>>{e::o1<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd54?:1y'7`c=<9;0D9>=;I1e5>ock3:17d6<729q/?hk520:8L1653A9m=6g>b483>>o6j?0;66a=0`83>>{e::l1<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd5=90;6>4?:1y'7`c=<9;0D9>=;I1e5>ock3:17d1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo<:1;297?6=8r.8ih4;009K054<@:l:7djl:188m76>2900c>6n:188yg4393:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f73529086=4?{%1fa?2792B?=750;9l7=g=831vn?:=:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m08=50;194?6|,:on69>>;I636>N4n81bhn4?::k14<<722e84l4?::a615=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`111<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th98o4?:283>5}#;lo18=?4H521?M5a92coo7>5;h03=?6=3f93m7>5;|`110<72=0;6=u+3dg964?<@=:97E=i1:kgg?6=3`oi6=44i2g4>5<h>;hf`>5<5<:7>54;294~"4ml09=45G4108L6`63`nh6=44id`94?=n;l=1<75`39c94?=zj;;=6F;039K7c75<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm27g94?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e:o0;6>4?:1y'7`c=<9;0D9>=;I1e5>ock3:17d1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo<80;297?6=8r.8ih4;009K054<@:l:7djl:188m76>2900c>6n:188yg42m3:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f71629086=4?{%1fa?2792B?=750;9l7=g=831vn?8?:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0:<50;194?6|,:on69>>;I636>N4n81bhn4?::k14<<722e84l4?::a634=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`136<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th9:94?:583>5}#;lo1><74H521?M5a92coo7>5;hga>5<5<53;294~"4ml0?<<5G4108L6`63`nh6=44i32:>5<h>;hf`>5<5<4290;w)=je;635>N38;1C?k?4iea94?=n:931<75`39c94?=zj;;=6F;039K7c75<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm20g94?2=83:p(>kj:33:?M27:2B8j<5fdb83>>obj3:17d=j7;29?j5?i3:17pl=2183>1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo<=2;290?6=8r.8ih4=189K054<@:l:7djl:188m`d=831b?h950;9l7=g=831vn?<;:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0>:50;194?6|,:on69>>;I636>N4n81bhn4?::k14<<722e84l4?::a670=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`170<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th9>54?:583>5}#;lo1><74H521?M5a92coo7>5;hga>5<5<53;294~"4ml0?<<5G4108L6`63`nh6=44i32:>5<h>;hf`>5<5<4290;w)=je;635>N38;1C?k?4iea94?=n:931<75`39c94?=zj;8h6=4;:183!5bm38:56F;039K7c7>o4m>0;66a<8`83>>{e::21<7=50;2x 6cb2=::7E:?2:J0b4=nlj0;66g=0883>>i40h0;66sm23g94?2=83:p(>kj:33:?M27:2B8j<5fdb83>>obj3:17d=j7;29?j5?i3:17pl=3883>6<729q/?hk54138L1653A9m=6gkc;29?l4713:17b=7a;29?xd5;;0;6>4?:1y'7`c=<9;0D9>=;I1e5>ock3:17d1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo2900c>6n:188yg4fk3:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f7b229086=4?{%1fa?2792B?=750;9l7=g=831vn?l7:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0ij50;194?6|,:on69>>;I636>N4n81bhn4?::k14<<722e84l4?::a6gg=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`1``<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th9nn4?:583>5}#;lo1><74H521?M5a92coo7>5;hga>5<5<53;294~"4ml0?<<5G4108L6`63`nh6=44i32:>5<h>;hf`>5<5<4290;w)=je;635>N38;1C?k?4iea94?=n:931<75`39c94?=zj;i;6=4;:183!5bm38:56F;039K7c7>o4m>0;66a<8`83>>{e:l;1<7=50;2x 6cb2=::7E:?2:J0b4=nlj0;66g=0883>>i40h0;66sm2b094?2=83:p(>kj:33:?M27:2B8j<5fdb83>>obj3:17d=j7;29?j5?i3:17pl=e383>6<729q/?hk54138L1653A9m=6gkc;29?l4713:17b=7a;29?xd5k=0;694?:1y'7`c=:830D9>=;I1e5>ock3:17dkm:188m6c02900c>6n:188yg4b;3:1?7>50z&0a`<3881C8=<4H2d2?lbd2900e?>6:188k6>f2900qo5<7s-9ni7:?1:J747=O;o;0eim50;9j65?=831d?5o50;9~f7??290?6=4?{%1fa?4612B?t$2gf>1663A>;>6F4o50;694?6|,:on6??6;I636>N4n81bhn4?::kff?6=3`9n;7>5;n1;e?6=3th9on4?:283>5}#;lo18=?4H521?M5a92coo7>5;h03=?6=3f93m7>5;|`1=f<72=0;6=u+3dg964?<@=:97E=i1:kgg?6=3`oi6=44i2g4>5<h>;hf`>5<5<54;294~"4ml09=45G4108L6`63`nh6=44id`94?=n;l=1<75`39c94?=zj;in6=4<:183!5bm3>;=6F;039K7c75<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm2bd94?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e:h81<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd5l90;6>4?:1y'7`c=<9;0D9>=;I1e5>ock3:17d1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo2900c>6n:188yg4f>3:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f7b529086=4?{%1fa?2792B?=750;9l7=g=831vn?o7:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0i=50;194?6|,:on69>>;I636>N4n81bhn4?::k14<<722e84l4?::a6dg=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`1`1<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th9mh4?:583>5}#;lo1><74H521?M5a92coo7>5;hga>5<5<53;294~"4ml0?<<5G4108L6`63`nh6=44i32:>5<h>;hf`>5<5<4290;w)=je;635>N38;1C?k?4iea94?=n:931<75`39c94?=zj;h96=4;:183!5bm38:56F;039K7c7>o4m>0;66a<8`83>>{e:m21<7=50;2x 6cb2=::7E:?2:J0b4=nlj0;66g=0883>>i40h0;66sm2c694?2=83:p(>kj:33:?M27:2B8j<5fdb83>>obj3:17d=j7;29?j5?i3:17pl=d883>6<729q/?hk54138L1653A9m=6gkc;29?l4713:17b=7a;29?xd5j?0;694?:1y'7`c=:830D9>=;I1e5>ock3:17dkm:188m6c02900c>6n:188yg4ci3:1?7>50z&0a`<3881C8=<4H2d2?lbd2900e?>6:188k6>f2900qo2900c>6n:188yg57=3:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f64329086=4?{%1fa?2792B?=750;9l7=g=831vn>>8:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0>;I636>N4n81bhn4?::k14<<722e84l4?::a75>=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`062<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th8<44?:583>5}#;lo1><74H521?M5a92coo7>5;hga>5<5<53;294~"4ml0?<<5G4108L6`63`nh6=44i32:>5<h>;hf`>5<5<4290;w)=je;635>N38;1C?k?4iea94?=n:931<75`39c94?=zj::i6=4;:183!5bm38:56F;039K7c7>o4m>0;66a<8`83>>{e;;k1<7=50;2x 6cb2=::7E:?2:J0b4=nlj0;66g=0883>>i40h0;66sm31a94?2=83:p(>kj:33:?M27:2B8j<5fdb83>>obj3:17d=j7;29?j5?i3:17pl<2c83>6<729q/?hk54138L1653A9m=6gkc;29?l4713:17b=7a;29?xd48m0;694?:1y'7`c=:830D9>=;I1e5>ock3:17dkm:188m6c02900c>6n:188yg55k3:1?7>50z&0a`<3881C8=<4H2d2?lbd2900e?>6:188k6>f2900qo=?e;290?6=8r.8ih4=189K054<@:l:7djl:188m`d=831b?h950;9l7=g=831vn>5<7s-9ni7:?1:J747=O;o;0eim50;9j65?=831d?5o50;9~f66a290?6=4?{%1fa?4612B?t$2gf>1663A>;>6F>;I636>N4n81bhn4?::k14<<722e84l4?::a6`d=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`1bg<72<0;6=u+3dg9645<@=:97E=i1:&2g7<43`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`1a`<72=0;6=u+3dg964?<@=:97E=i1:kgg?6=3`oi6=44i2g4>5<h>;%3`6?2?3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`1ac<72=0;6=u+3dg964?<@=:97E=i1:kgg?6=3`oi6=44i2g4>5<h>;hf`>5<5<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm2g194?2=83:p(>kj:33:?M27:2B8j<5fdb83>>obj3:17d=j7;29?j5?i3:17pl<0083>0<729q/?hk52018L1653A9m=6*>c387<>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm2g694?2=83:p(>kj:33:?M27:2B8j<5fdb83>>obj3:17d=j7;29?j5?i3:17pl=f483>1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0ko50;694?6|,:on6???;I636>N4n81/=n<57:k2f0<722c:n;4?::k2f2<722e84l4?::a6`b=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`1a=<72<0;6=u+3dg9645<@=:97E=i1:&2g7<43`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`1a0<72=0;6=u+3dg964?<@=:97E=i1:kgg?6=3`oi6=44i2g4>5<h>;%3`6?56=44i0`5>5<5<h>;hf`>5<5<3290;w)=je;024>N38;1C?k?4$0a1>2=n9k?1<75f1c494?=n9k=1<75`39c94?=zj;o<6=4;:183!5bm38:56F;039K7c7>o4m>0;66a<8`83>>{e<:h1<7=50;2x 6cb2;:o7E:?2:J0b4=#9j818<5f1c794?=n9k<1<75`39c94?=zj=8o6=4;:183!5bm38:56F;039K7c7>o4m>0;66a<8`83>>{e<=;1<7=50;2x 6cb2=::7E:?2:J0b4=nlj0;66g=0883>>i40h0;66sm42a94?5=83:p(>kj:32g?M27:2B8j<5+1b0904=n9k?1<75f1c494?=h;1k1<75rb50f>5<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm45194?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e<:n1<7=50;2x 6cb2;:o7E:?2:J0b4=#9j818<5f1c794?=n9k<1<75`39c94?=zj=8m6=4;:183!5bm38:56F;039K7c7>o4m>0;66a<8`83>>{e<=>1<7=50;2x 6cb2=::7E:?2:J0b4=nlj0;66g=0883>>i40h0;66sm42g94?5=83:p(>kj:32g?M27:2B8j<5+1b0904=n9k?1<75f1c494?=h;1k1<75rb513>5<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm45794?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e<:l1<7=50;2x 6cb2;:o7E:?2:J0b4=#9j818<5f1c794?=n9k<1<75`39c94?=zj=9:6=4;:183!5bm38:56F;039K7c7>o4m>0;66a<8`83>>{e<=<1<7=50;2x 6cb2=::7E:?2:J0b4=nlj0;66g=0883>>i40h0;66sm45294?5=83:p(>kj:32g?M27:2B8j<5+1b0904=n9k?1<75f1c494?=h;1k1<75rb511>5<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm45594?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e<:91<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd3<10;6>4?:1y'7`c=<9;0D9>=;I1e5>ock3:17d1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo:;9;297?6=8r.8ih4;009K054<@:l:7djl:188m76>2900c>6n:188yg24?3:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f12f29086=4?{%1fa?2792B?=750;9l7=g=831vn9=6:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0>;I636>N4n81bhn4?::k14<<722e84l4?::a014=8391<7>t$2gf>1663A>;>6FN4n81bhn4?::kff?6=3`9n;7>5;n1;e?6=3th?9k4?:283>5}#;lo18=?4H521?M5a92coo7>5;h03=?6=3f93m7>5;|`70a<72=0;6=u+3dg964?<@=:97E=i1:kgg?6=3`oi6=44i2g4>5<h>;hf`>5<5<?j7>54;294~"4ml09=45G4108L6`63`nh6=44id`94?=n;l=1<75`39c94?=zj=<:6=4<:183!5bm3>;=6F;039K7c75<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm47094?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e<<91<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd3>:0;6>4?:1y'7`c=<9;0D9>=;I1e5>ock3:17d1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo:94;297?6=8r.8ih4;009K054<@:l:7djl:188m76>2900c>6n:188yg22?3:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f10229086=4?{%1fa?2792B?=750;9l7=g=831vn9;6:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0>;I636>N4n81bhn4?::k14<<722e84l4?::a00d=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`722<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th?:54?:283>5}#;lo18=?4H521?M5a92coo7>5;h03=?6=3f93m7>5;|`72d<72=0;6=u+3dg964?<@=:97E=i1:kgg?6=3`oi6=44i2g4>5<h>;hf`>5<5<=n7>54;294~"4ml09=45G4108L6`63`nh6=44id`94?=n;l=1<75`39c94?=zj==n6=4<:183!5bm3>;=6F;039K7c75<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm46d94?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e4?:1y'7`c=<9;0D9>=;I1e5>ock3:17d1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo:71;297?6=8r.8ih4;009K054<@:l:7djl:188m76>2900c>6n:188yg20;3:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f1>529086=4?{%1fa?2792B?=750;9l7=g=831vn99::187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0>;I636>N4n81bhn4?::k14<<722e84l4?::a021=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`7<1<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th?;44?:583>5}#;lo1><74H521?M5a92coo7>5;hga>5<5<397>53;294~"4ml0?<<5G4108L6`63`nh6=44i32:>5<h>;hf`>5<5<54;294~"4ml09=45G4108L6`63`nh6=44id`94?=n;l=1<75`39c94?=zj<;26=4<:183!5bm3>;=6F;039K7c75<3290;w)=je;02=>N38;1C?k?4iea94?=nmk0;66g>i40h0;66sm50c94?5=83:p(>kj:522?M27:2B8j<5fdb83>>o5800;66a<8`83>>{e=931<7:50;2x 6cb2;;27E:?2:J0b4=nlj0;66gjb;29?l5b?3:17b=7a;29?xd29k0;6>4?:1y'7`c=<9;0D9>=;I1e5>ock3:17d1<729q/?hk520;8L1653A9m=6gkc;29?lce2900e>k8:188k6>f2900qo;>c;297?6=8r.8ih4;009K054<@:l:7djl:188m76>2900c>6n:188yg37l3:187>50z&0a`<5901C8=<4H2d2?lbd2900ehl50;9j7`1=831d?5o50;9~f07c29086=4?{%1fa?2792B?=750;9l7=g=831vn8>i:187>5<7s-9ni7<>9:J747=O;o;0eim50;9jag<722c8i:4?::m0>;I636>N4n81bhn4?::k14<<722e84l4?::a147=83>1<7>t$2gf>77>3A>;>6F5;h1f3?6=3f93m7>5;|`65c<72:0;6=u+3dg9057<@=:97E=i1:kgg?6=3`8;57>5;n1;e?6=3th>=>4?:583>5}#;lo1><74H521?M5a92coo7>5;hga>5<5<53;294~"4ml0?<<5G4108L6`63`nh6=44i32:>5<h>;hf`>5<5<4290;w)=je;635>N38;1C?k?4iea94?=n:931<75`39c94?=zj<896=4<:183!5bm3>;=6F;039K7c75<3290;w)=je;3:3>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc42900c>l8:188yg1>=3:187>50z&0a`<61>1C8=<4H2d2?!7d:3:0ei<50;9j`=<722cn?7>5;n1a3?6=3th<5;4?:583>5}#;lo1=494H521?M5a92.:o?4?;hf1>5<>i4j>0;66sm78c94?2=83:p(>kj:0;4?M27:2B8j<5+1b094>oc:3:17dj7:188m`5=831d?o950;9~f2>5290?6=4?{%1fa?7>?2B?5<54;294~"4ml0:5:5G4108L6`63-;h>7>4ie094?=nl10;66gj3;29?j5e?3:17pl89083>1<729q/?hk51858L1653A9m=6*>c383?lb52900ei650;9ja6<722e8n:4?::a3=c=83>1<7>t$2gf>4?03A>;>6F7>5;hf;>5<3<6=4;:183!5bm3;2;6F;039K7c7<,8i96=5fd383>>oc03:17dk<:188k6d02900qo975;290?6=8r.8ih4>969K054<@:l:7)?l2;28ma4=831bh54?::kf7?6=3f9i;7>5;|`4<=<72=0;6=u+3dg95<1<@=:97E=i1:&2g7<682co>7>5;hf;>5<4ie094?=nl10;66gj3;29?j5e?3:17pl;f`83>1<729q/?hk51858L1653A9m=6*>c3824>oc:3:17dj7:188m`5=831d?o950;9~f0cf290>6=4?{%1fa?7>>2B?5;hg0>5<h>;%3`6?6>ob83:17dk<:188k6d02900qo;i1;291?6=8r.8ih4>979K054<@:l:7)?l2;28ma4=831bh54?::kf4?6=3`o86=44o2`4>5<55;294~"4ml0:5;5G4108L6`63-;h>7??;hf1>5<>ob;3:17b=m7;29?xd2mo0;684?:1y'7`c=90<0D9>=;I1e5>"6k;0:<6gk2;29?lb?2900eh>50;9ja6<722e8n:4?::a1`c=83?1<7>t$2gf>4?13A>;>6F5<2290;w)=je;3:2>N38;1C?k?4$0a1>5=nl;0;66gk8;29?lc72900eh=50;9l7g1=831vn8kl:186>5<7s-9ni7?66:J747=O;o;0(5;hg3>5<>oc03:17dk?:188m`5=831d?o950;9~f0c>290>6=4?{%1fa?7>>2B?5<2290;w)=je;3:2>N38;1C?k?4$0a1>46>ob83:17dk<:188k6d02900qo9na;290?6=8r.8ih4=119K054<@:l:7)?l2;3:f>o6j<0;66g>b783>>o6j>0;66a<8`83>>{e=mh1<7=50;2x 6cb2;:o7E:?2:J0b4=#9j818<5f1c794?=n9k<1<75`39c94?=zj5<5<h>;%3`6?>>3`;i97>5;h3a2?6=3`;i;7>5;n1;e?6=3th>hl4?:483>5}#;lo1><=4H521?M5a92.:o?4;9:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<51`08m4d22900ef2900qo9m2;290?6=8r.8ih4=119K054<@:l:7)?l2;3:f>o6j<0;66g>b783>>o6j>0;66a<8`83>>{e?k;1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81=l64i0`6>5<5<5<53;294~"4ml097??;h3a1?6=3`;i:7>5;n1;e?6=3th?jn4?:483>5}#;lo1><=4H521?M5a92.:o?4=d:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<52d9j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn8=6:186>5<7s-9ni7<>3:J747=O;o;0(5<7s-9ni7<>3:J747=O;o;0(5<7s-9ni7<>3:J747=O;o;0(6<729q/?hk521f8L1653A9m=6*>c382<2=n9k?1<75f1c494?=h;1k1<75rb4c5>5<3290;w)=je;024>N38;1C?k?4$0a1>`36=44i0`5>5<5<55;294~"4ml09=>5G4108L6`63-;h>7?n5:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<5499j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn9o9:186>5<7s-9ni7<>3:J747=O;o;0(5<7s-9ni7f2900qo:j9;291?6=8r.8ih4=129K054<@:l:7)?l2;68m4d22900ef2900qo:jb;291?6=8r.8ih4=129K054<@:l:7)?l2;18m4d22900ef2900qo:jc;291?6=8r.8ih4=129K054<@:l:7)?l2;6;?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl8a883>1<729q/?hk52028L1653A9m=6*>c380b>o6j<0;66g>b783>>o6j>0;66a<8`83>>{e?1n1<7:50;2x 6cb2;;;7E:?2:J0b4=#9j81445f1c794?=n9k<1<75f1c594?=h;1k1<75rb4d6>5<2290;w)=je;027>N38;1C?k?4$0a1>4g73`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`5fa<72=0;6=u+3dg9646<@=:97E=i1:&2g7<582c:n84?::k2f3<722c:n:4?::m0N4n81/=n<5449j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn9ll:186>5<7s-9ni7<>3:J747=O;o;0(f2900qo:md;297?6=8r.8ih4=0e9K054<@:l:7)?l2;65?l7e=3:17d?m6;29?j5?i3:17pl;f083>0<729q/?hk52018L1653A9m=6*>c382e3=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb5ge>5<2290;w)=je;027>N38;1C?k?4$0a1>1>6=44i0`5>5<5<h>;%3`6?7c3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`4<4<72<0;6=u+3dg9645<@=:97E=i1:&2g7t$2gf>7743A>;>6F6n:188yg46k3:1?7>50z&0a`<58m1C8=<4H2d2?!7d:3>=7d?m5;29?l7e>3:17b=7a;29?xd3n<0;684?:1y'7`c=:890D9>=;I1e5>"6k;0:i6g>b483>>o6j?0;66g>b683>>o6j10;66a<8`83>>{e?>n1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81i45f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj>=i6=4::183!5bm38:?6F;039K7c7<,8i96h74i0`6>5<5<5<55;294~"4ml09=>5G4108L6`63-;h>7k6;h3a1?6=3`;i:7>5;h3a3?6=3`;i47>5;n1;e?6=3th<;:4?:483>5}#;lo1><=4H521?M5a92.:o?4j9:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<5e89j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn:9<:186>5<7s-9ni7<>3:J747=O;o;0(f2900qo981;291?6=8r.8ih4=129K054<@:l:7)?l2;g:?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl86e83>0<729q/?hk52018L1653A9m=6*>c38f=>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm5c694?5=83:p(>kj:32g?M27:2B8j<5+1b0903=n9k?1<75f1c494?=h;1k1<75rb4``>5<2290;w)=je;027>N38;1C?k?4$0a1>3>6=44i0`5>5<5<h>;%3`6?463`;i97>5;h3a2?6=3`;i;7>5;n1;e?6=3th?4h4?:583>5}#;lo1><>4H521?M5a92.:o?41<7>t$2gf>7773A>;>6F5<7s-9ni7<>0:J747=O;o;0(6n:188yg1??3:187>50z&0a`<5991C8=<4H2d2?!7d:3;3m6g>b483>>o6j?0;66g>b683>>i40h0;66sm67594?5=83:p(>kj:32g?M27:2B8j<5+1b09a6=n9k?1<75f1c494?=h;1k1<75rb6:7>5<2290;w)=je;027>N38;1C?k?4$0a1>1d6=44i0`5>5<5<h>;%3`6?`6=44i0`5>5<5<h>;%3`6?7?i2c:n84?::k2f3<722c:n:4?::m0N4n81/=n<5f:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<5449j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn95<7s-9ni7<>0:J747=O;o;0(6n:188yg25:3:187>50z&0a`<5991C8=<4H2d2?!7d:3;2n6g>b483>>o6j?0;66g>b683>>i40h0;66sm78094?3=83:p(>kj:330?M27:2B8j<5+1b090g=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb443>5<2290;w)=je;027>N38;1C?k?4$0a1>4?23`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`6=a<72<0;6=u+3dg9645<@=:97E=i1:&2g7<502c:n84?::k2f3<722c:n:4?::k2f=<722e84l4?::a1<2=83?1<7>t$2gf>7743A>;>6F=831d?5o50;9~f3`7290?6=4?{%1fa?4682B?f2900qo8=e;291?6=8r.8ih4=129K054<@:l:7)?l2;a8m4d22900ef2900qo;;d;291?6=8r.8ih4=129K054<@:l:7)?l2;f4?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl:5883>0<729q/?hk52018L1653A9m=6*>c3825<2290;w)=je;027>N38;1C?k?4$0a1>4>d3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`4=a<72=0;6=u+3dg9646<@=:97E=i1:&2g7<03`;i97>5;h3a2?6=3`;i;7>5;n1;e?6=3th<8>4?:583>5}#;lo1><>4H521?M5a92.:o?4j6:k2f0<722c:n;4?::k2f2<722e84l4?::a225=83>1<7>t$2gf>7773A>;>6Ff2900qo8<0;291?6=8r.8ih4=129K054<@:l:7)?l2;c8m4d22900ef2900qo8<3;291?6=8r.8ih4=129K054<@:l:7)?l2;01?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl84783>6<729q/?hk521f8L1653A9m=6*>c3872>o6j<0;66g>b783>>i40h0;66sm75;94?3=83:p(>kj:330?M27:2B8j<5+1b097g=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb6c0>5<2290;w)=je;027>N38;1C?k?4$0a1>1>6=44i0`5>5<5<h>;%3`6?203`;i97>5;h3a2?6=3`;i;7>5;n1;e?6=3th=;84?:583>5}#;lo1><>4H521?M5a92.:o?4>899j5g3=831b=o850;9j5g1=831d?5o50;9~f310290?6=4?{%1fa?4682B?3:17d?m7;29?j5?i3:17pl97883>1<729q/?hk52028L1653A9m=6*>c382<==n9k?1<75f1c494?=n9k=1<75`39c94?=zj?=i6=4;:183!5bm38:<6F;039K7c7<,8i96<67;h3a1?6=3`;i:7>5;h3a3?6=3f93m7>5;|`57g<72=0;6=u+3dg9646<@=:97E=i1:&2g7<6181b=o;50;9j5g0=831b=o950;9l7=g=831vn;:?:187>5<7s-9ni7<>0:J747=O;o;0(=;I1e5>"6k;0:5<5f1c794?=n9k<1<75f1c594?=h;1k1<75rb76b>5<3290;w)=je;024>N38;1C?k?4$0a1>4?63`;i97>5;h3a2?6=3`;i;7>5;n1;e?6=3th=no4?:483>5}#;lo1><=4H521?M5a92.:o?4>939j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn;l6:186>5<7s-9ni7<>3:J747=O;o;0(0<729q/?hk52018L1653A9m=6*>c382=7=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb7`6>5<2290;w)=je;027>N38;1C?k?4$0a1>4?53`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`5f6<72<0;6=u+3dg9645<@=:97E=i1:&2g7<61;1b=o;50;9j5g0=831b=o950;9j5g>=831d?5o50;9~f3d6290>6=4?{%1fa?46;2B?3:17d?m7;29?l7e03:17b=7a;29?xd1io0;684?:1y'7`c=:890D9>=;I1e5>"6k;0:5?5f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj?ko6=4::183!5bm38:?6F;039K7c7<,8i96<7=;h3a1?6=3`;i:7>5;h3a3?6=3`;i47>5;n1;e?6=3th=mo4?:483>5}#;lo1><=4H521?M5a92.:o?4>939j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn;o6:186>5<7s-9ni7<>3:J747=O;o;0(0<729q/?hk52018L1653A9m=6*>c382=7=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb7c5>5<4290;w)=je;03`>N38;1C?k?4$0a1>466=44i0`5>5<h>;%3`6?213`;i97>5;h3a2?6=3f93m7>5;|`467<72<0;6=u+3dg9645<@=:97E=i1:&2g7<61m1b=o;50;9j5g0=831b=o950;9j5g>=831d?5o50;9~f24729086=4?{%1fa?47l2B?6n:188yg16l3:197>50z&0a`<59:1C8=<4H2d2?!7d:3;2h6g>b483>>o6j?0;66g>b683>>o6j10;66a<8`83>>{e?8h1<7=50;2x 6cb2;:o7E:?2:J0b4=#9j818;5f1c794?=n9k<1<75`39c94?=zj>;36=4::183!5bm38:?6F;039K7c7<,8i96<7k;h3a1?6=3`;i:7>5;h3a3?6=3`;i47>5;n1;e?6=3th<=;4?:283>5}#;lo1>=j4H521?M5a92.:o?4;6:k2f0<722c:n;4?::m0N4n81/=n<518f8m4d22900ef2900qo9>1;297?6=8r.8ih4=0e9K054<@:l:7)?l2;65?l7e=3:17d?m6;29?j5?i3:17pl80d83>0<729q/?hk52018L1653A9m=6*>c382=a=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb62`>5<4290;w)=je;03`>N38;1C?k?4$0a1>106=44i0`5>5<h>;%3`6?7>l2c:n84?::k2f3<722c:n:4?::k2f=<722e84l4?::a351=8391<7>t$2gf>76c3A>;>6F6=4?{%1fa?46;2B?3:17d?m7;29?l7e03:17b=7a;29?xd08;0;6>4?:1y'7`c=:9n0D9>=;I1e5>"6k;0?:6g>b483>>o6j?0;66a<8`83>>{e>ol1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81=4j4i0`6>5<5<5<53;294~"4ml097:9;h3a1?6=3`;i:7>5;n1;e?6=3th=jl4?:483>5}#;lo1><=4H521?M5a92.:o?4>9e9j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn;h7:180>5<7s-9ni7f2900qo8i5;291?6=8r.8ih4=129K054<@:l:7)?l2;3:`>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm6g194?5=83:p(>kj:32g?M27:2B8j<5+1b0903=n9k?1<75f1c494?=h;1k1<75rb7gg>5<2290;w)=je;027>N38;1C?k?4$0a1>4?c3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`50c<72=0;6=u+3dg9646<@=:97E=i1:&2g7<6181b=o;50;9j5g0=831b=o950;9l7=g=831vn;;;:187>5<7s-9ni7<>0:J747=O;o;0(=;I1e5>"6k;0:5<5f1c794?=n9k<1<75f1c594?=h;1k1<75rb77f>5<3290;w)=je;024>N38;1C?k?4$0a1>4?63`;i97>5;h3a2?6=3`;i;7>5;n1;e?6=3th=:>4?:583>5}#;lo1><>4H521?M5a92.:o?4>909j5g3=831b=o850;9j5g1=831d?5o50;9~f351290?6=4?{%1fa?4682B?3:17d?m7;29?j5?i3:17pl7<729q/?hk51c;8L1653A9m=6g>b583>>i40h0;66sm21:94?4=83:p(>kj:0`:?M27:2B8j<5f1c694?=h;1k1<75rb2d3>5<5290;w)=je;3a=>N38;1C?k?4i0`7>5<h>;h3a0?6=3f93m7>5;|`755<72;0;6=u+3dg95g?<@=:97E=i1:k2f1<722e84l4?::a047=8381<7>t$2gf>4d>3A>;>6F6n:188yg>283:1>7>50z&0a`<40k1C8=<4H2d2?l7e<3:17b=7a;29?xd2<;0;6?4?:1y'7`c=;1h0D9>=;I1e5>o6j=0;66a<8`83>>{el90;6?4?:1y'7`c=;1h0D9>=;I1e5>o6j=0;66a<8`83>>{eko0;6?4?:1y'7`c=;1h0D9>=;I1e5>o6j=0;66a<8`83>>{ekl0;6?4?:1y'7`c=;1h0D9>=;I1e5>o6j=0;66a<8`83>>{ekm0;6?4?:1y'7`c=;1h0D9>=;I1e5>o6j=0;66a<8`83>>{e9k91<7<50;2x 6cb2:2i7E:?2:J0b4=n9k>1<75`39c94?=zj8h96=4=:183!5bm393n6F;039K7c75<52;294~"4ml084o5G4108L6`63`;i87>5;n1;e?6=3th:n=4?:383>5}#;lo1?5l4H521?M5a92c:n94?::m06m;I636>N4n81b=o:50;9l7=g=831vn>m8:181>5<7s-9ni7=7b:J747=O;o;0ef2900qo=l6;296?6=8r.8ih4<8c9K054<@:l:7d?m4;29?j5?i3:17pl7<729q/?hk539`8L1653A9m=6g>b583>>i40h0;66sm57;94?4=83:p(>kj:2:a?M27:2B8j<5f1c694?=h;1k1<75rb44;>5<5290;w)=je;1;f>N38;1C?k?4i0`7>5<h>;h3a0?6=3f93m7>5;|`623<72;0;6=u+3dg97=d<@=:97E=i1:k2f1<722e84l4?::a62>=8381<7>t$2gf>76d3A>;>6F5<7s-9ni76n:188yg40k3:1>7>50z&0a`<58j1C8=<4H2d2?!7d:3;i7d?m5;29?j5?i3:17pl=7d83>7<729q/?hk521a8L1653A9m=6*>c382f>o6j<0;66a<8`83>>{e:1:1<7<50;2x 6cb2;:h7E:?2:J0b4=#9j81=o5f1c794?=h;1k1<75rb3:1>5<5290;w)=je;03g>N38;1C?k?4$0a1>4d6=44o2:b>5<52;294~"4ml097?m;h3a1?6=3f93m7>5;|`1<3<72;0;6=u+3dg965e<@=:97E=i1:&2g7<6j2c:n84?::m05650;094?6|,:on6?>l;I636>N4n81/=n<51c9j5g3=831d?5o50;9~f73029096=4?{%1fa?47k2B?f2900qo<:9;296?6=8r.8ih4=0b9K054<@:l:7)?l2;3a?l7e=3:17b=7a;29?xd5=k0;6?4?:1y'7`c=:9i0D9>=;I1e5>"6k;0:n6g>b483>>i40h0;66sm24f94?4=83:p(>kj:32`?M27:2B8j<5+1b095g=n9k?1<75`39c94?=zj;?m6=4=:183!5bm38;o6F;039K7c7<,8i965<h>;%3`6?7e3`;i97>5;n1;e?6=3th9:>4?:383>5}#;lo1>=m4H521?M5a92.:o?4>b:k2f0<722e84l4?::a633=8381<7>t$2gf>76d3A>;>6F5<7s-9ni76n:188yg46n3:1>7>50z&0a`<58j1C8=<4H2d2?!7d:3;i7d?m5;29?j5?i3:17pl=2083>7<729q/?hk521a8L1653A9m=6*>c382f>o6j<0;66a<8`83>>{e:;91<7<50;2x 6cb2;:h7E:?2:J0b4=#9j81=o5f1c794?=h;1k1<75rb306>5<5290;w)=je;03g>N38;1C?k?4$0a1>4d6=44o2:b>5<52;294~"4ml097?m;h3a1?6=3f93m7>5;|`16<<72;0;6=u+3dg965e<@=:97E=i1:&2g7<6j2c:n84?::m0?l50;094?6|,:on6?>l;I636>N4n81/=n<51c9j5g3=831d?5o50;9~f74c29096=4?{%1fa?47k2B?f2900qo<=f;296?6=8r.8ih4=0b9K054<@:l:7)?l2;3a?l7e=3:17b=7a;29?xd5im0;6?4?:1y'7`c=:9i0D9>=;I1e5>"6k;0:n6g>b483>>i40h0;66sm2c;94?4=83:p(>kj:32`?M27:2B8j<5+1b095g=n9k?1<75`39c94?=zj;hi6=4=:183!5bm38;o6F;039K7c7<,8i965<h>;%3`6?7e3`;i97>5;n1;e?6=3th9nk4?:383>5}#;lo1>=m4H521?M5a92.:o?4>b:k2f0<722e84l4?::a6f7=8381<7>t$2gf>76d3A>;>6F5<7s-9ni76n:188yg4d=3:1>7>50z&0a`<58j1C8=<4H2d2?!7d:3;i7d?m5;29?j5?i3:17pl=c683>7<729q/?hk521a8L1653A9m=6*>c382f>o6j<0;66a<8`83>>{e:031<7<50;2x 6cb2;:h7E:?2:J0b4=#9j81=o5f1c794?=h;1k1<75rb3;a>5<5290;w)=je;03g>N38;1C?k?4$0a1>4d6=44o2:b>5<52;294~"4ml097?m;h3a1?6=3f93m7>5;|`1=c<72;0;6=u+3dg965e<@=:97E=i1:&2g7<6j2c:n84?::m0l?50;094?6|,:on6?>l;I636>N4n81/=n<51c9j5g3=831d?5o50;9~f7g429096=4?{%1fa?47k2B?f2900qo0;6?4?:1y'7`c=:9i0D9>=;I1e5>"6k;0:n6g>b483>>i40h0;66sm2`;94?4=83:p(>kj:32`?M27:2B8j<5+1b095g=n9k?1<75`39c94?=zj;ki6=4=:183!5bm38;o6F;039K7c7<,8i965<h>;%3`6?7e3`;i97>5;n1;e?6=3th9n<4?:383>5}#;lo1>=m4H521?M5a92.:o?4>b:k2f0<722e84l4?::a6g5=8381<7>t$2gf>76d3A>;>6F5<7s-9ni76n:188yg4e?3:1>7>50z&0a`<58j1C8=<4H2d2?!7d:3;i7d?m5;29?j5?i3:17pl<0783>7<729q/?hk521a8L1653A9m=6*>c382f>o6j<0;66a<8`83>>{e:li1<7<50;2x 6cb2;:h7E:?2:J0b4=#9j81=o5f1c794?=h;1k1<75rb3d1>5<5290;w)=je;03g>N38;1C?k?4$0a1>4d6=44o2:b>5<52;294~"4ml097?m;h3a1?6=3f93m7>5;|`1b<<72;0;6=u+3dg965e<@=:97E=i1:&2g7<6j2c:n84?::m0:50;094?6|,:on6?>l;I636>N4n81/=n<51c9j5g3=831d?5o50;9~f15129096=4?{%1fa?47k2B?f2900qo:<8;296?6=8r.8ih4=0b9K054<@:l:7)?l2;3a?l7e=3:17b=7a;29?xd3;h0;6?4?:1y'7`c=:9i0D9>=;I1e5>"6k;0:n6g>b483>>i40h0;66sm45g94?4=83:p(>kj:32`?M27:2B8j<5+1b095g=n9k?1<75`39c94?=zj=?;6=4=:183!5bm38;o6F;039K7c7<,8i965<h>;%3`6?7e3`;i97>5;n1;e?6=3th?994?:383>5}#;lo1>=m4H521?M5a92.:o?4>b:k2f0<722e84l4?::a000=8381<7>t$2gf>76d3A>;>6F5<7s-9ni76n:188yg22i3:1>7>50z&0a`<58j1C8=<4H2d2?!7d:3;i7d?m5;29?j5?i3:17pl;5b83>7<729q/?hk521a8L1653A9m=6*>c382f>o6j<0;66a<8`83>>{e5<5290;w)=je;03g>N38;1C?k?4$0a1>4d6=44o2:b>5<<<7>52;294~"4ml097?m;h3a1?6=3f93m7>5;|`737<72;0;6=u+3dg965e<@=:97E=i1:&2g7<6j2c:n84?::m0l;I636>N4n81/=n<51c9j5g3=831d?5o50;9~f11129096=4?{%1fa?47k2B?f2900qo:88;296?6=8r.8ih4=0b9K054<@:l:7)?l2;3a?l7e=3:17b=7a;29?xd3?h0;6?4?:1y'7`c=:9i0D9>=;I1e5>"6k;0:n6g>b483>>i40h0;66sm51:94?4=83:p(>kj:32`?M27:2B8j<5+1b095g=n9k?1<75`39c94?=zj<:j6=4=:183!5bm38;o6F;039K7c7<,8i965<h>;%3`6?7e3`;i97>5;n1;e?6=3th>5}#;lo1>=m4H521?M5a92.:o?4>b:k2f0<722e84l4?::a146=8381<7>t$2gf>76d3A>;>6F5<7s-9ni76n:188yg36<3:1>7>50z&0a`<58j1C8=<4H2d2?!7d:3;i7d?m5;29?j5?i3:17pl:1783>7<729q/?hk521a8L1653A9m=6*>c382f>o6j<0;66a<8`83>>{e:1n1<7<50;2x 6cb2;:h7E:?2:J0b4=#9j81=o5f1c794?=h;1k1<75rb34`>5<5290;w)=je;03g>N38;1C?k?4$0a1>4d6=44o2:b>5<52;294~"4ml097?m;h3a1?6=3f93m7>5;|`1`f<72;0;6=u+3dg965e<@=:97E=i1:&2g7<6j2c:n84?::m0l;I636>N4n81/=n<51c9j5g3=831d?5o50;9~f1>029096=4?{%1fa?47k2B?f2900qo;=3;296?6=8r.8ih4=0b9K054<@:l:7)?l2;3a?l7e=3:17b=7a;29?xd5<=0;684?:1y'7`c=:890D9>=;I1e5>"6k;08h6g>b483>>o6j?0;66g>b683>>o6j10;66a<8`83>>{e:=?1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81>o5f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj;>=6=4::183!5bm38:?6F;039K7c7<,8i96?l4i0`6>5<5<5<55;294~"4ml09=>5G4108L6`63-;h>75;h3a3?6=3`;i47>5;n1;e?6=3th9854?:483>5}#;lo1><=4H521?M5a92.:o?4=b:k2f0<722c:n;4?::k2f2<722c:n54?::m09750;794?6|,:on6??<;I636>N4n81/=n<52c9j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn;o?:186>5<7s-9ni7<>3:J747=O;o;0(f2900qo8<2;291?6=8r.8ih4=129K054<@:l:7)?l2;3e?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl84`83>0<729q/?hk52018L1653A9m=6*>c387<>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm75394?2=83:p(>kj:333?M27:2B8j<5+1b0956=44i0`5>5<5<55;294~"4ml09=>5G4108L6`63-;h>7?n8:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<51`58m4d22900ef2900qo8jb;290?6=8r.8ih4=1`9K054<@:l:7d?m5;29?l7e>3:17d=j7;29?j5?i3:17pl9ed83>1<729q/?hk52028L1653A9m=6*>c38fe>o6j<0;66g>b783>>o6j>0;66a<8`83>>{e==h1<7:50;2x 6cb2;;j7E:?2:J0b4=n9k?1<75f1c494?=n;l=1<75`39c94?=zj<>n6=4<:183!5bm38;h6F;039K7c7<,8i96984i0`6>5<5<55;294~"4ml09=>5G4108L6`63-;h>7?7d:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<52b9j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn9ol:186>5<7s-9ni7<>3:J747=O;o;0(f2900qo:nb;291?6=8r.8ih4=129K054<@:l:7)?l2;60?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl9eg83>0<729q/?hk52018L1653A9m=6*>c38;e>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm58a94?3=83:p(>kj:330?M27:2B8j<5+1b09`6=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb5d3>5<2290;w)=je;027>N38;1C?k?4$0a1>4?a3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`7ea<72<0;6=u+3dg9645<@=:97E=i1:&2g7<6l2c:n84?::k2f3<722c:n:4?::k2f=<722e84l4?::a0cc=83?1<7>t$2gf>7743A>;>6F?1b=o;50;9j5g0=831b=o950;9j5g>=831d?5o50;9~f1`a290>6=4?{%1fa?46;2B?3:17d?m7;29?l7e03:17b=7a;29?xd3n00;684?:1y'7`c=:890D9>=;I1e5>"6k;0:m:5f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj<9i6=4::183!5bm38:?6F;039K7c7<,8i965<5<5<:n7>55;294~"4ml09=>5G4108L6`63-;h>7?n8:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<51`:8m4d22900ef2900qo;l4;290?6=8r.8ih4=119K054<@:l:7)?l2;58m4d22900e6n:188yg3d;3:197>50z&0a`<59:1C8=<4H2d2?!7d:3o0e6n:188yg3dn3:197>50z&0a`<59:1C8=<4H2d2?!7d:33:17d?m7;29?l7e03:17b=7a;29?xd2k;0;684?:1y'7`c=:890D9>=;I1e5>"6k;0?i6g>b483>>o6j?0;66g>b683>>o6j10;66a<8`83>>{e=j;1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81?l5f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj=k<6=4::183!5bm38:?6F;039K7c7<,8i96964i0`6>5<5<5<55;294~"4ml09=>5G4108L6`63-;h>7k6;h3a1?6=3`;i:7>5;h3a3?6=3`;i47>5;n1;e?6=3th>454?:483>5}#;lo1><=4H521?M5a92.:o?4>9b9j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn8;n:186>5<7s-9ni7<>3:J747=O;o;0(f2900qo;:5;291?6=8r.8ih4=129K054<@:l:7)?l2;46?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl;9183>0<729q/?hk52018L1653A9m=6*>c380a>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm43a94?3=83:p(>kj:330?M27:2B8j<5+1b097`=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb53f>5<2290;w)=je;027>N38;1C?k?4$0a1>6c6=44i0`5>5<5<h>;%3`6?213`;i97>5;h3a2?6=3f93m7>5;|`45;h3a2?6=3`;i;7>5;n1;e?6=3th>5k4?:483>5}#;lo1><=4H521?M5a92.:o?47e:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<5699j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn5:j:186>5<7s-9ni7<>3:J747=O;o;0(0<729q/?hk52018L1653A9m=6*>c382e4=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb961>5<2290;w)=je;027>N38;1C?k?4$0a1>4g63`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`617<72<0;6=u+3dg9645<@=:97E=i1:&2g75;h3a2?6=3`;i;7>5;h3a5;|`761<72:0;6=u+3dg965b<@=:97E=i1:&2g7<3:2c:n84?::k2f3<722e84l4?::a22b=83?1<7>t$2gf>7743A>;>6F=831d?5o50;9~f063290?6=4?{%1fa?4682B?1b=o;50;9j5g0=831b=o950;9l7=g=831vn:6i:186>5<7s-9ni7<>3:J747=O;o;0(f2900qo80<729q/?hk52018L1653A9m=6*>c38;f>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm65494?3=83:p(>kj:330?M27:2B8j<5+1b095<2290;w)=je;027>N38;1C?k?4$0a1>=d6=44i0`5>5<5<h>;%3`6?>e3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`510<72<0;6=u+3dg9645<@=:97E=i1:&2g7t$2gf>7743A>;>6F=831d?5o50;9~f350290>6=4?{%1fa?46;2B?6n:188yg0513:197>50z&0a`<59:1C8=<4H2d2?!7d:32i7d?m5;29?l7e>3:17d?m7;29?l7e03:17b=7a;29?xd1n>0;684?:1y'7`c=:890D9>=;I1e5>"6k;0o96g>b483>>o6j?0;66g>b683>>o6j10;66a<8`83>>{e?;>1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81h85f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj>;m6=4::183!5bm38:?6F;039K7c7<,8i96i;4i0`6>5<5<5<55;294~"4ml09=>5G4108L6`63-;h>7j:;h3a1?6=3`;i:7>5;h3a3?6=3`;i47>5;n1;e?6=3th<=84?:483>5}#;lo1><=4H521?M5a92.:o?4k5:k2f0<722c:n;4?::k2f2<722c:n54?::m050;794?6|,:on6??<;I636>N4n81/=n<5d49j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn:>m:186>5<7s-9ni7<>3:J747=O;o;0(f2900qo9?6;291?6=8r.8ih4=129K054<@:l:7)?l2;f6?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl80083>0<729q/?hk52018L1653A9m=6*>c38g1>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm6ga94?3=83:p(>kj:330?M27:2B8j<5+1b09`0=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb7d1>5<2290;w)=je;027>N38;1C?k?4$0a1>a36=44i0`5>5<5<h>;%3`6?7c3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`6g`<72<0;6=u+3dg9645<@=:97E=i1:&2g7<61j1b=o;50;9j5g0=831b=o950;9j5g>=831d?5o50;9~f01>290?6=4?{%1fa?4682B?f2900qo;8a;291?6=8r.8ih4=129K054<@:l:7)?l2;3:4>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm83694?3=83:p(>kj:330?M27:2B8j<5+1b096a=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb901>5<2290;w)=je;027>N38;1C?k?4$0a1>7b6=44i0`5>5<5<h>;%3`6?4c3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`;5`<72<0;6=u+3dg9645<@=:97E=i1:&2g7<5l2c:n84?::k2f3<722c:n:4?::k2f=<722e84l4?::a<4e=83?1<7>t$2gf>7743A>;>6F=831d?5o50;9~f=7f290>6=4?{%1fa?46;2B?6n:188yg3>83:187>50z&0a`<5991C8=<4H2d2?!7d:3>?7d?m5;29?l7e>3:17d?m7;29?j5?i3:17pl:d983>1<729q/?hk52028L1653A9m=6*>c3873>o6j<0;66g>b783>>o6j>0;66a<8`83>>{e08<1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81>i5f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj1;?6=4::183!5bm38:?6F;039K7c7<,8i96?j4i0`6>5<5<5<7>55;294~"4ml09=>5G4108L6`63-;h>75;h3a3?6=3`;i47>5;n1;e?6=3th3==4?:483>5}#;lo1><=4H521?M5a92.:o?4=d:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<52e9j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn5>l:186>5<7s-9ni7<>3:J747=O;o;0(f2900qo6?a;291?6=8r.8ih4=129K054<@:l:7)?l2;0g?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl70983>0<729q/?hk52018L1653A9m=6*>c381`>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm81494?3=83:p(>kj:330?M27:2B8j<5+1b096a=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb927>5<2290;w)=je;027>N38;1C?k?4$0a1>7b6=44i0`5>5<5<h>;%3`6?4c3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`;47<72<0;6=u+3dg9645<@=:97E=i1:&2g7<5l2c:n84?::k2f3<722c:n:4?::k2f=<722e84l4?::a<4>=83?1<7>t$2gf>7743A>;>6F=831d?5o50;9~f=41290>6=4?{%1fa?46;2B?6n:188yg>503:197>50z&0a`<59:1C8=<4H2d2?!7d:38o7d?m5;29?l7e>3:17d?m7;29?l7e03:17b=7a;29?xd?:h0;684?:1y'7`c=:890D9>=;I1e5>"6k;09h6g>b483>>o6j?0;66g>b683>>o6j10;66a<8`83>>{e0;i1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81>i5f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj18n6=4::183!5bm38:?6F;039K7c7<,8i96?j4i0`6>5<5<5<55;294~"4ml09=>5G4108L6`63-;h>75;h3a3?6=3`;i47>5;n1;e?6=3th3??4?:483>5}#;lo1><=4H521?M5a92.:o?4=d:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<51`68m4d22900ef2900qo894;291?6=8r.8ih4=129K054<@:l:7)?l2;3b0>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm54:94?2=83:p(>kj:333?M27:2B8j<5+1b09a1=n9k?1<75f1c494?=n9k=1<75`39c94?=zj5<5<5<o7>55;294~"4ml09=>5G4108L6`63-;h>7?6e:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81/=n<51`48m4d22900ef2900qo;7e;290?6=8r.8ih4=119K054<@:l:7)?l2;3:f>o6j<0;66g>b783>>o6j>0;66a<8`83>>{e=1n1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81h;5f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj<3i6=4;:183!5bm38:<6F;039K7c7<,8i96<7m;h3a1?6=3`;i:7>5;h3a3?6=3f93m7>5;|`6=d<72<0;6=u+3dg9645<@=:97E=i1:&2g7<3l2c:n84?::k2f3<722c:n:4?::k2f=<722e84l4?::a05d=8381<7>t$2gf>fd<@=:97E=i1:k2f1<722e84l4?::a16c=8381<7>t$2gf>fg<@=:97E=i1:k2f1<722e84l4?::a6=g=8381<7>t$2gf>7613A>;>6F29096=4?{%1fa?47>2B?6n:188yg4493:1>7>50z&0a`<58?1C8=<4H2d2?l7e<3:17b=7a;29?xd5k00;6?4?:1y'7`c=:9<0D9>=;I1e5>o6j=0;66a<8`83>>{e;891<7<50;2x 6cb2;:=7E:?2:J0b4=n9k>1<75`39c94?=zj:;>6=4=:183!5bm38;:6F;039K7c75<52;294~"4ml09<;5G4108L6`63`;i87>5;n1;e?6=3th8=44?:383>5}#;lo1>=84H521?M5a92c:n94?::m09;I636>N4n81b=o:50;9l7=g=831vn>?k:181>5<7s-9ni7f2900qo=>f;296?6=8r.8ih4=079K054<@:l:7d?m4;29?j5?i3:17pl<2083>7<729q/?hk52148L1653A9m=6g>b583>>i40h0;66sm33194?4=83:p(>kj:325?M27:2B8j<5f1c694?=h;1k1<75rb232>5<5290;w)=je;032>N38;1C?k?4i0`7>5<h>;h3a0?6=3f93m7>5;|`045<72;0;6=u+3dg9650<@=:97E=i1:k2f1<722e84l4?::a755=8381<7>t$2gf>7613A>;>6F2B?6n:188yg20k3:1>7>50z&0a`<58?1C8=<4H2d2?l7e<3:17b=7a;29?xd2910;6?4?:1y'7`c=:9<0D9>=;I1e5>o6j=0;66a<8`83>>{e==:1<7<50;2x 6cb2;:=7E:?2:J0b4=n9k>1<75`39c94?=zj>9m6=4=:183!5bm38;:6F;039K7c75<m:7>54;294~"4ml09=l5G4108L6`63`;i97>5;h3a2?6=3`9n;7>5;n1;e?6=3th?j:4?:483>5}#;lo1><=4H521?M5a92.:o?4k;h3a1?6=3`;i:7>5;h3a3?6=3`;i47>5;n1;e?6=3th?j54?:483>5}#;lo1><=4H521?M5a92.:o?499:k2f0<722c:n;4?::k2f2<722c:n54?::m0N4n81b=o;50;9j5g0=831b?h950;9l7=g=831vn8l9:180>5<7s-9ni7f2900qo;m7;291?6=8r.8ih4=129K054<@:l:7)?l2;3b0>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm5b`94?2=83:p(>kj:33b?M27:2B8j<5f1c794?=n9k<1<75f3d594?=h;1k1<75rb4a`>5<2290;w)=je;027>N38;1C?k?4$0a1>3>6=44i0`5>5<5<h>;%3`6?2d3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`56d<72=0;6=u+3dg964g<@=:97E=i1:k2f0<722c:n;4?::k0a2<722e84l4?::a27d=83>1<7>t$2gf>7773A>;>6FN4n81/=n<5669j5g3=831b=o850;9j5g1=831b=o650;9l7=g=831vn8j>:187>5<7s-9ni7<>a:J747=O;o;0ek8:188k6>f2900qo;k2;291?6=8r.8ih4=129K054<@:l:7)?l2;6g?l7e=3:17d?m6;29?l7e?3:17d?m8;29?j5?i3:17pl:d283>1<729q/?hk52028L1653A9m=6*>c381b>o6j<0;66g>b783>>o6j>0;66a<8`83>>{e=>h1<7:50;2x 6cb2;;j7E:?2:J0b4=n9k?1<75f1c494?=n;l=1<75`39c94?=zj<=h6=4;:183!5bm38:<6F;039K7c7<,8i96o5f1c794?=n9k<1<75f1c594?=h;1k1<75rb45g>5<2290;w)=je;027>N38;1C?k?4$0a1><=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb44b>5h>;[a`>f}6<3;>6?:524804?562:81?>4=6;04>63=;?0v(>hj:2`e?!5an39ij6`j7;;8j`>=12.9<84<889'7`>=0j1/?ho51:&2g6<53-;h87<4$0a6>7=#9j<1>6*>c681?!7d0380("6ko097)?k0;08 4b62;1/=i<52:&2`6<53-;o87<4$0f6>7=#9m<1>6*>d681?!7c0380("6lo097)?j0;08 4c62;1/=h<52:&2a6<53-;n87<4$0g6>7=#9l<1>6*>e681?!7b0380("6mo097)?i0;08 4`62;1/=k<52:&2b6<53-;m87<4$0d6>7=#9o<1>6*>f681?!7a0380("6no097)=<52:&146<63-8;87?4$0`b>7=#9kh1>6*>bb81?!7el380(>ocn3:17d?7e;29?l7?n3:17d=jc;29?l5bl3:17d9?:18'7g2=>o1e?o=50:9j2`<72-9i878i;o1a7?7<3`65f6b83>!5e<3290/?o:56g9m7g5=<21b;54?:%1a0?0a3g9i?7;4;h54>5<#;k>1:k5a3c192>=n??0;6)=m4;4e?k5e;3=07d9::18'7g2=>o1e?o=58:9j31<72-9i878i;o1a7??<3`=86=4+3c692c=i;k91m65f7383>!5e<35<#;k>1;h5a3c194>=n?m0;6)=m4;5f?k5e;3;07d9l:18'7g2=?l1e?o=52:9j3g<72-9i879j;o1a7?5<3`236=4+3c693`=i;k91865f8683>!5e<3=n7c=m3;78?l>1290/?o:57d9m7g5=>21b484?:%1a0?1b3g9i?794;h:7>5<#;k>1;h5a3c19<>=n0:0;6)=m4;5f?k5e;3307d6=:18'7g2=?l1e?o=5a:9j<4<72-9i879j;o1a7?d<3`2;6=4+3c693`=i;k91o65f7`83>!5e<3=n7c=m3;f8?l77=3:1(>l;:027?k5e;3:07d??3;29 6d328:?7c=m3;38?l7793:1(>l;:027?k5e;3807d??0;29 6d328:?7c=m3;18?l`a290/?o:51168j6d42=10ekk50;&0f1<68=1e?o=55:9jba<72-9i87??4:l0f6<132cmo7>5$2`7>4633g9i?794;hda>5<#;k>1==:4n2`0>==!5e<3;;86`oa03:1(>l;:027?k5e;3h07dh9:18'7g2=99>0b>l<:b98mc3=83.8n94>059m7g5=l21bj94?:%1a0?77<2d8n>4j;:ke7?6=,:h?6<>;;o1a7?`<3`l96=4+3c695524;hd2>5<#;k>1==:4n2`0>47<3`l;6=4+3c695525<#;k>1==:4n2`0>45<3`on6=4+3c695525<#;k>1==:4n2`0>43<3`;;o7>5$2`7>4633g9i?7?9;:k24g<72-9i87??4:l0f6<6?21b==o50;&0f1<68=1e?o=51998m46>290/?o:51168j6d428307d??8;29 6d328:?7c=m3;3b?>o68>0;6)=m4;330>h4j:0:n65f11494?"4j=0:<95a3c195f=5<#;k>1==:4n2`0>4c<3`oh6=4+3c695525$2`7>4423g9i?7?4;h316?6=,:h?6<<:;o1a7?4<3`;9=7>5$2`7>4423g9i?7=4;h314?6=,:h?6<<:;o1a7?2<3`;:j7>5$2`7>4423g9i?7;4;h32a?6=,:h?6<<:;o1a7?0<3`;:h7>5$2`7>4423g9i?794;h32g?6=,:h?6<<:;o1a7?><3`;:n7>5$2`7>4423g9i?774;h32e?6=,:h?6<<:;o1a7?g<3`;:57>5$2`7>4423g9i?7l4;h323?6=,:h?6<<:;o1a7?e<3`;::7>5$2`7>4423g9i?7j4;h321?6=,:h?6<<:;o1a7?c<3`;:87>5$2`7>4423g9i?7h4;h327?6=,:h?6<<:;o1a7?7732c:=?4?:%1a0?75=2d8n>4>1:9j547=83.8n94>249m7g5=9;10el<:018?l77n3:1(>l;:006?k5e;3;?76g>0d83>!5e<3;996`=n9;n1<7*5<#;k>1=?;4n2`0>41<3`;9n7>5$2`7>4423g9i?7?7;:k26d<72-9i87?=5:l0f6<6121b=?750;&0f1<6:<1e?o=51`98m44?290/?o:51378j6d428h07d?=7;29 6d3288>7c=m3;3`?>o6::0;6)=m4;311>h4j:0:h65f10:94?"4j=0:>85a3c195`=;o1a7?6<3`;8<7>5$2`7>4563g9i?7?4;h31b?6=,:h?6<=>;o1a7?4<3`;9i7>5$2`7>4563g9i?7=4;h303?6=,:h?6<=9;o1a7?6<3`;897>5$2`7>4513g9i?7?4;h300?6=,:h?6<=9;o1a7?4<3`;8?7>5$2`7>4513g9i?7=4;h1eh8;o1a7?6<3`9m:7>5$2`7>6`03g9i?7?4;h1e1?6=,:h?6>h8;o1a7?4<3`9m87>5$2`7>6`03g9i?7=4;h1e`?6=,:h?6>hl;o1a7?6<3`9mn7>5$2`7>6`d3g9i?7?4;h1ee?6=,:h?6>hl;o1a7?4<3`9m57>5$2`7>6`d3g9i?7=4;n366?6=,:h?6<;>;o1a7?6<3f;><7>5$2`7>4363g9i?7?4;n37a?6=,:h?6<;>;o1a7?4<3f;?h7>5$2`7>4363g9i?7=4;n37g?6=,:h?6<;>;o1a7?2<3f;?n7>5$2`7>4363g9i?7;4;n37e?6=,:h?6<;>;o1a7?0<3f;?57>5$2`7>4363g9i?794;n37;o1a7?><3f;?;7>5$2`7>4363g9i?774;n372?6=,:h?6<;>;o1a7?g<3f;?97>5$2`7>4363g9i?7l4;n377?6=,:h?6<;>;o1a7?e<3f;?>7>5$2`7>4363g9i?7j4;n375?6=,:h?6<;>;o1a7?c<3f;?<7>5$2`7>4363g9i?7h4;n30b?6=,:h?6<;>;o1a7?7732e:?h4?:%1a0?7292d8n>4>1:9l56b=83.8n94>509m7g5=9;10c<=l:18'7g2=9<;0b>l<:018?j74j3:1(>l;:072?k5e;3;?76a>3`83>!5e<3;>=6`=h9<31<7*5<#;k>1=8?4n2`0>41<3f;>;7>5$2`7>4363g9i?7?7;:m213<72-9i87?:1:l0f6<6121d=8;50;&0f1<6=81e?o=51`98k433290/?o:51438j6d428h07b?:3;29 6d328?:7c=m3;3`?>i6h4j:0:h65`15694?"4j=0:9<5a3c195`=5$2`7>4153g9i?7?4;n35b?6=,:h?6<9=;o1a7?4<3f;=i7>5$2`7>4153g9i?7=4;n35`?6=,:h?6<9=;o1a7?2<3f;=o7>5$2`7>4153g9i?7;4;n35f?6=,:h?6<9=;o1a7?0<3f;=m7>5$2`7>4153g9i?794;n35=?6=,:h?6<9=;o1a7?><3f;=47>5$2`7>4153g9i?774;n353?6=,:h?6<9=;o1a7?g<3f;=:7>5$2`7>4153g9i?7l4;n350?6=,:h?6<9=;o1a7?e<3f;=?7>5$2`7>4153g9i?7j4;n356?6=,:h?6<9=;o1a7?c<3f;==7>5$2`7>4153g9i?7h4;n354?6=,:h?6<9=;o1a7?7732e:9k4?:%1a0?70:2d8n>4>1:9l50c=83.8n94>739m7g5=9;10c<;k:18'7g2=9>80b>l<:018?j72k3:1(>l;:051?k5e;3;?76a>5c83>!5e<3;<>6`=h9>k1<7*5<#;k>1=:<4n2`0>41<3f;<47>5$2`7>4153g9i?7?7;:m232<72-9i87?82:l0f6<6121d=:850;&0f1<6?;1e?o=51`98k412290/?o:51608j6d428h07b?84;29 6d328=97c=m3;3`?>i6?90;6)=m4;346>h4j:0:h65`17794?"4j=0:;?5a3c195`=5$2`7>41b3g9i?7?4;n34g?6=,:h?6<9j;o1a7?4<3f;5$2`7>41b3g9i?7=4;n3;0?6=,:h?6<6<;o1a7?6<3f;3>7>5$2`7>4>43g9i?7?4;n3;5?6=,:h?6<6<;o1a7?4<3f;3<7>5$2`7>4>43g9i?7=4;|`62g<72kk1<7>t$2gf>6db3A>;>6F1=84=4;06>66=;808>7=<:34962<4=39=6p*hb0380(?>::2::?!5b032h7)=ja;38 4e42;1/=n:52:&2g0<53-;h:7<4$0a4>7=#9j21>6*>c881?!7di380("6l8097)?k2;08 4b42;1/=i:52:&2`0<53-;o:7<4$0f4>7=#9m21>6*>d881?!7ci380("6m8097)?j2;08 4c42;1/=h:52:&2a0<53-;n:7<4$0g4>7=#9l21>6*>e881?!7bi380("6n8097)?i2;08 4`42;1/=k:52:&2b0<53-;m:7<4$0d4>7=#9o21>6*>f881?!7ai380("588097)=:51:&2fd<53-;in7<4$0``>7=#9kn1>6*>bd81?!7en380(;hff>5<!5e<35<#;k>1:k5a3c197>=n?00;6)=m4;4e?k5e;3>07d97:18'7g2=>o1e?o=55:9j32<72-9i878i;o1a7?0<3`==6=4+3c692c=i;k91;65f7483>!5e<34?:%1a0?0a3g9i?7o4;h51>5<#;k>1:k5a3c19f>=n?80;6)=m4;4e?k5e;3i07d8m:18'7g2=>o1e?o=5d:9j3c<72-9i879j;o1a7?6<3`=o6=4+3c693`=i;k91=65f7b83>!5e<3=n7c=m3;08?l1e290/?o:57d9m7g5=;21b454?:%1a0?1b3g9i?7:4;h:4>5<#;k>1;h5a3c191>=n0?0;6)=m4;5f?k5e;3<07d6::18'7g2=?l1e?o=57:9j<1<72-9i879j;o1a7?><3`286=4+3c693`=i;k91565f8383>!5e<3=n7c=m3;c8?l>6290/?o:57d9m7g5=j21b4=4?:%1a0?1b3g9i?7m4;h5b>5<#;k>1;h5a3c19`>=n99?1<7*=n99;1<7*65f11294?"4j=0:<95a3c197>=nno0;6)=m4;330>h4j:0?76gie;29 6d328:?7c=m3;78?l`c290/?o:51168j6d42?10ekm50;&0f1<68=1e?o=57:9jbg<72-9i87??4:l0f65$2`7>4633g9i?774;hd:>5<#;k>1==:4n2`0>d=!5e<3;;86`oa=3:1(>l;:027?k5e;3n07dh;:18'7g2=99>0b>l<:d98mc5=83.8n94>059m7g5=n21bj?4?:%1a0?77<2d8n>4>0:9jb4<72-9i87??4:l0f6<6921bj=4?:%1a0?77<2d8n>4>2:9jac<72-9i87??4:l0f6<6;21bih4?:%1a0?77<2d8n>4>4:9jaa<72-9i87??4:l0f6<6=21b==m50;&0f1<68=1e?o=51798m46e290/?o:51168j6d428=07d??a;29 6d328:?7c=m3;3;?>o6800;6)=m4;330>h4j:0:565f11:94?"4j=0:<95a3c195d=;;o1a7?7d32c:4>d:9jb2<72-9i87??4:l0f6<6m21bin4?:%1a0?77<2d8n>4>f:9j570=83.8n94>249m7g5=821b=?:50;&0f1<6:<1e?o=51:9j574=83.8n94>249m7g5=:21b=??50;&0f1<6:<1e?o=53:9j576=83.8n94>249m7g5=<21b=249m7g5=>21b=249m7g5=021b=249m7g5=i21b=<750;&0f1<6:<1e?o=5b:9j541=83.8n94>249m7g5=k21b=<850;&0f1<6:<1e?o=5d:9j543=83.8n94>249m7g5=m21b=<:50;&0f1<6:<1e?o=5f:9j545=83.8n94>249m7g5=9910el<:038?l7693:1(>l;:006?k5e;3;976g>1183>!5e<3;996`=n99l1<7*5<#;k>1=?;4n2`0>43<3`;9h7>5$2`7>4423g9i?7?9;:k26f<72-9i87?=5:l0f6<6?21b=?l50;&0f1<6:<1e?o=51998m44f290/?o:51378j6d428307d?=9;29 6d3288>7c=m3;3b?>o6:10;6)=m4;311>h4j:0:n65f13594?"4j=0:>85a3c195f=4>f:9j564=83.8n94>309m7g5=821b=>>50;&0f1<6;81e?o=51:9j57`=83.8n94>309m7g5=:21b=?k50;&0f1<6;81e?o=53:9j561=83.8n94>379m7g5=821b=>;50;&0f1<6;?1e?o=51:9j562=83.8n94>379m7g5=:21b=>=50;&0f1<6;?1e?o=53:9j7c>=83.8n941e?o=51:9j7c3=83.8n941e?o=53:9j7cb=83.8n94509m7g5=821d=8>50;&0f1<6=81e?o=51:9l51c=83.8n94>509m7g5=:21d=9j50;&0f1<6=81e?o=53:9l51e=83.8n94>509m7g5=<21d=9l50;&0f1<6=81e?o=55:9l51g=83.8n94>509m7g5=>21d=9750;&0f1<6=81e?o=57:9l51>=83.8n94>509m7g5=021d=9950;&0f1<6=81e?o=59:9l510=83.8n94>509m7g5=i21d=9;50;&0f1<6=81e?o=5b:9l515=83.8n94>509m7g5=k21d=9<50;&0f1<6=81e?o=5d:9l517=83.8n94>509m7g5=m21d=9>50;&0f1<6=81e?o=5f:9l56`=83.8n94>509m7g5=9910c<=j:18'7g2=9<;0b>l<:038?j74l3:1(>l;:072?k5e;3;976a>3b83>!5e<3;>=6`=h9:h1<7*5<#;k>1=8?4n2`0>43<3f;>57>5$2`7>4363g9i?7?9;:m21=<72-9i87?:1:l0f6<6?21d=8950;&0f1<6=81e?o=51998k431290/?o:51438j6d428307b?:5;29 6d328?:7c=m3;3b?>i6==0;6)=m4;365>h4j:0:n65`14194?"4j=0:9<5a3c195f=m6=4+3c69507;o1a7?7b32e:?44?:%1a0?7292d8n>4>f:9l525=83.8n94>739m7g5=821d=:?50;&0f1<6?;1e?o=51:9l53`=83.8n94>739m7g5=:21d=;k50;&0f1<6?;1e?o=53:9l53b=83.8n94>739m7g5=<21d=;m50;&0f1<6?;1e?o=55:9l53d=83.8n94>739m7g5=>21d=;o50;&0f1<6?;1e?o=57:9l53?=83.8n94>739m7g5=021d=;650;&0f1<6?;1e?o=59:9l531=83.8n94>739m7g5=i21d=;850;&0f1<6?;1e?o=5b:9l532=83.8n94>739m7g5=k21d=;=50;&0f1<6?;1e?o=5d:9l534=83.8n94>739m7g5=m21d=;?50;&0f1<6?;1e?o=5f:9l536=83.8n94>739m7g5=9910c<;i:18'7g2=9>80b>l<:038?j72m3:1(>l;:051?k5e;3;976a>5e83>!5e<3;<>6`=h95<#;k>1=:<4n2`0>43<3f;5$2`7>4153g9i?7?9;:m23<<72-9i87?82:l0f6<6?21d=:650;&0f1<6?;1e?o=51998k410290/?o:51608j6d428307b?86;29 6d328=97c=m3;3b?>i6?<0;6)=m4;346>h4j:0:n65`16694?"4j=0:;?5a3c195f=4>f:9l52`=83.8n94>7d9m7g5=821d=:j50;&0f1<6?l1e?o=51:9l52e=83.8n94>7d9m7g5=:21d=:l50;&0f1<6?l1e?o=53:9l5=2=83.8n94>829m7g5=821d=5<50;&0f1<60:1e?o=51:9l5=7=83.8n94>829m7g5=:21d=5>50;&0f1<60:1e?o=53:9~f2ga290>6=4?{%1fa?46;2B?3:17d?m7;29?l7e03:17b=7a;29?xd0j90;694?:1y'7`c=:8k0D9>=;I1e5>o6j<0;66g>b783>>o4m>0;66a<8`83>>{e?hi1<7;50;2x 6cb2;;87E:?2:J0b4=#9j81=l64i0`6>5<5<5<54;294~"4ml09=l5G4108L6`63`;i97>5;h3a2?6=3`9n;7>5;n1;e?6=3th>n44?:583>5}#;lo1><>4H521?M5a92.:o?4;4:k2f0<722c:n;4?::k2f2<722e84l4?::a1gg=83?1<7>t$2gf>7743A>;>6F=831d?5o50;9~f0de290?6=4?{%1fa?46i2B?6n:188yg0f:3:197>50z&0a`<59:1C8=<4H2d2?!7d:3n0e6n:188yg0f;3:187>50z&0a`<5991C8=<4H2d2?!7d:3=0ef2900qo8n4;290?6=8r.8ih4=1`9K054<@:l:7d?m5;29?l7e>3:17d=j7;29?j5?i3:17pl:8583>0<729q/?hk52018L1653A9m=6*>c387e>o6j<0;66g>b783>>o6j>0;66g>b983>>i40h0;66sm59794?3=83:p(>kj:330?M27:2B8j<5+1b09`1=n9k?1<75f1c494?=n9k=1<75f1c:94?=h;1k1<75rb4:5>5<3290;w)=je;02e>N38;1C?k?4i0`6>5<5<h>;%3`6?7>;2c:n84?::k2f3<722c:n:4?::k2f=<722e84l4?::a1=7=8391<7>t$2gf>76c3A>;>6F5290?6=4?{%1fa?46i2B?6n:188yg3c=3:197>50z&0a`<59:1C8=<4H2d2?!7d:3;2o6g>b483>>o6j?0;66g>b683>>o6j10;66a<8`83>>{e=m<1<7=50;2x 6cb2;:o7E:?2:J0b4=#9j81=594i0`6>5<5<54;294~"4ml09=l5G4108L6`63`;i97>5;h3a2?6=3`9n;7>5;n1;e?6=3th>o:4?:583>5}#;lo1><>4H521?M5a92.:o?4>8`9j5g3=831b=o850;9j5g1=831d?5o50;9~f0e?29086=4?{%1fa?47l2B?3:17b=7a;29?xd2k00;694?:1y'7`c=:8k0D9>=;I1e5>o6j<0;66g>b783>>o4m>0;66a<8`83>>{e0=h1<7;50;2x 6cb2;;87E:?2:J0b4=#9j819<5f1c794?=n9k<1<75f1c594?=n9k21<75`39c94?=zj1>h6=4;:183!5bm38:<6F;039K7c7<,8i969h4i0`6>5<5<h>;h3a1?6=3`;i:7>5;h1f3?6=3f93m7>5;|`;00<72<0;6=u+3dg9645<@=:97E=i1:&2g7<292c:n84?::k2f3<722c:n:4?::k2f=<722e84l4?::a<10=83>1<7>t$2gf>7773A>;>6F5<7s-9ni7<>a:J747=O;o;0ek8:188k6>f2900qo61<729q/?hk52028L1653A9m=6*>c387b>o6j<0;66g>b783>>o6j>0;66a<8`83>>{e0=;1<7:50;2x 6cb2;;j7E:?2:J0b4=n9k?1<75f1c494?=n;l=1<75`39c94?=zj5;h3a3?6=3f93m7>5;|`6e7<72:0;6=u+3dg965b<@=:97E=i1:&2g7<6j2c:n84?::k2f3<722e84l4?::a1d5=83>1<7>t$2gf>77f3A>;>6F6=4?{%1fa?46;2B?3:17d?m7;29?l7e03:17b=7a;29?xd2110;694?:1y'7`c=:8:0D9>=;I1e5>"6k;0:455f1c794?=n9k<1<75f1c594?=h;1k1<75rb4;:>5<3290;w)=je;02e>N38;1C?k?4i0`6>5<5<h>;%3`6?7??2c:n84?::k2f3<722e84l4?::a1=d=83?1<7>t$2gf>7743A>;>6F6n:188yg3?k3:187>50z&0a`<59h1C8=<4H2d2?l7e=3:17d?m6;29?l5b?3:17b=7a;29?xd2jl0;694?:1y'7`c=:9o0D9>=;I1e5>"6k;0:4:5f1c794?=n9k<1<75`21c94?=h;1k1<75rb4;2>5<4290;w)=je;03b>N38;1C?k?4$0a1>146=44i0`5>5<h>;%3`6?373`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`4e2<72?0;6=u+3dg9642<@=:97E=i1:&2g7<282c:n84?::k2f3<722c:n:4?::k2f=<722e9N4n81/=n<51`:8m4d22900e1<729q/?hk52008L1653A9m=6*>c38;=>o6j<0;66g>b783>>o6j>0;66a=0`83>>{e?0i1<7850;2x 6cb2;;?7E:?2:J0b4=#9j81?6g>b483>>o6j?0;66g>b683>>o6j10;66a=0`83>>i40h0;66sm78g94?0=83:p(>kj:337?M27:2B8j<5+1b097>o6j<0;66g>b783>>o6j>0;66g>b983>>i58h0;66a<8`83>>{e?0l1<7;50;2x 6cb2;;:7E:?2:J0b4=#9j818:5f1c794?=n9k<1<75f1c594?=h:9k1<75`39c94?=zj>>36=4::183!5bm38:96F;039K7c7<,8i96?=4i0`6>5<5<5<55;294~"4ml09=<5G4108L6`63-;h>7:8;h3a1?6=3`;i:7>5;h3a3?6=3f8;m7>5;n1;e?6=3th5}#;lo1><:4H521?M5a92.:o?4;8:k2f0<722c:n;4?::k2f2<722c:n54?::m14d<722e84l4?::a26g=83>1<7>t$2gf>7753A>;>6Fo6j<0;66g>b783>>o6j>0;66a=0`83>>{e>=>1<7:50;2x 6cb2;;97E:?2:J0b4=#9j81=5l4i0`6>5<5<h>;%3`6?7?j2c:n84?::k2f3<722c:n:4?::m14d<722wi:l;50;494?6|,:on6??;;I636>N4n81/=n<51868m4d22900e6n:188yg03m3:187>50z&0a`<59;1C8=<4H2d2?!7d:3;3n6g>b483>>o6j?0;66g>b683>>i58h0;66sm64194?2=83:p(>kj:331?M27:2B8j<5+1b095=d6=44i0`5>5<5<47>54;294~"4ml09=?5G4108L6`63-;h>7?7b:k2f0<722c:n;4?::k2f2<722e91<7>t$2gf>7753A>;>6Fo6j<0;66g>b783>>o6j>0;66a=0`83>>{e>:?1<7:50;2x 6cb2;;97E:?2:J0b4=#9j81=5l4i0`6>5<5<3:1h>;%3`6?36=44i0`5>5<5<5<55;294~"4ml09=<5G4108L6`63-;h>7:i;h3a1?6=3`;i:7>5;h3a3?6=3f8;m7>5;n1;e?6=3th>8n4?:783>5}#;lo1><:4H521?M5a92.:o?4>a29j5g3=831b=o850;9j5g1=831b=o650;9l65g=831d?5o50;9~f356290>6=4?{%1fa?46=2B?n:188yg05?3:1?7>50z&0a`<58o1C8=<4H2d2?!7d:3>=7d?m5;29?l7e>3:17b=;I1e5>"6k;0?<6g>b483>>o6j?0;66g>b683>>o6j10;66a=0`83>>{e>:n1<7:50;2x 6cb2;;97E:?2:J0b4=#9j818:5f1c794?=n9k<1<75f1c594?=h:9k1<75rb761>5<3290;w)=je;026>N38;1C?k?4$0a1>116=44i0`5>5<5<54;294~"4ml09=?5G4108L6`63-;h>7:8;h3a1?6=3`;i:7>5;h3a3?6=3f8;m7>5;|`50f<72=0;6=u+3dg9644<@=:97E=i1:&2g7<3?2c:n84?::k2f3<722c:n:4?::m14d<722wi:8?50;694?6|,:on6??=;I636>N4n81/=n<5469j5g3=831b=o850;9j5g1=831d>=o50;9~f331290?6=4?{%1fa?46:2B?=;I1e5>"6k;0?;6g>b483>>o6j?0;66g>b683>>i58h0;66sm63d94?2=83:p(>kj:331?M27:2B8j<5+1b0902=n9k?1<75f1c494?=n9k=1<75`21c94?=zj?l=6=4::183!5bm38:96F;039K7c7<,8i96<7j;h3a1?6=3`;i:7>5;h3a3?6=3`;i47>5;n03e?6=3th<>>4?:483>5}#;lo1><;4H521?M5a92.:o?4>9d9j5g3=831b=o850;9j5g1=831b=o650;9l65g=831vn:?j:186>5<7s-9ni7<>5:J747=O;o;0(0<729q/?hk52078L1653A9m=6*>c382=`=n9k?1<75f1c494?=n9k=1<75f1c:94?=h:9k1<75rb637>5<2290;w)=je;021>N38;1C?k?4$0a1>4?b3`;i97>5;h3a2?6=3`;i;7>5;h3a5;|`44c<72<0;6=u+3dg9643<@=:97E=i1:&2g7<61l1b=o;50;9j5g0=831b=o950;9j5g>=831d>=o50;9~f26f290>6=4?{%1fa?46=2B?3:17d?m7;29?l7e03:17b=;I1e5>"6k;0:5h5f1c794?=n9k<1<75f1c594?=n9k21<75`21c94?=zj>:;6=4::183!5bm38:96F;039K7c7<,8i96<7j;h3a1?6=3`;i:7>5;h3a3?6=3`;i47>5;n03e?6=3th=jo4?:483>5}#;lo1><;4H521?M5a92.:o?4>9d9j5g3=831b=o850;9j5g1=831b=o650;9l65g=831vn;h>:186>5<7s-9ni7<>5:J747=O;o;0(0<729q/?hk52078L1653A9m=6*>c387<>o6j<0;66g>b783>>o6j>0;66g>b983>>i58h0;66sm54594?3=83:p(>kj:336?M27:2B8j<5+1b0954=n9k?1<75f1c494?=n9k=1<75f1c:94?=h:9k1<75rb47f>5<2290;w)=je;021>N38;1C?k?4$0a1>336=44i0`5>5<5<h>;%3`6?06=44i0`5>5<5<h>;%3`6?06=44i0`5>5<5<5<5sW2m70mk:2:b?xu>13:1>vP69:?`a?5?i2wx5l4?:3y]=d=:ko084l5rs8a94?4|V0i01i>539c8yv7?=3:1>vP>849>5=0=9k>0q~?76;291~;3nj0:n:524gf95g0<5=l>6b69~w4gf2909wS?na:?2f5<40h1v4<8`9~w7602909wS7:18682ak3;i:63=09804d?34>m47?m5:p64d=839p1??l:2:b?832=3;i:63:5182f0=z{;;o6=4={<02`?5?i279=h4kc:p64c=838p1??j:2:b?84583nh7p}=1g83>7}::8l1?5o4=33f>6c03ty9>=4?:3y>676=;1k01?<=:ea8yv4593:1>v3=2080?4<8`9>672=lj16>>:5db9~w7442909w0<=3;1;e>;5:;08i:5rs307>5<4s48987=7a:?16354kc:?173?950;1x97402:2j70<=6;1f3>;5;<09<45rs30;>5<4s48947=7a:?16dn4kc:?17=?l50;1x974e2:2j70<=a;1f3>;5;>09<45rs30`>5<4s489o7=7a:?16`;5;;09<45rs31b>5<4s488m7=7a:?17gu222a97=g<5;9o6im4=36f>ae53z?17a<40h16>>k5db9>61`=lj1v?=j:180844m393m63=3g8gg>;5=90oo6s|22d94?5|5;9m6>6n;<074?bd348>=7jl;|q105<72:q6>9>539c897262mi01?;=:ea8yv4393:1?v3=408096=4<{<076?5?i2798>4kc:?1119=50;0x97242:2j70<;b;f`?xu5<=0;6>u222c97`1<5;>j6?>6;<070?5?i2wx>9;50;1x975e2:o<70<;c;03=>;5<<084l5rs365>5<4s488o7=j7:?10a<58016>98539c8yv43?3:1?v3=3e80a2=::=o1>=74=364>6>f3ty9854?:2y>66c=;l=01?:i:32:?8430393m6s|25;94?5|5;9m6>k8;<064?471279844<8`9~w7322908w0<:5;1;e>;5=?0oo63=6e8gg>{t:<<1<7=t=375>6>f348>47jl;<05a?bd3ty99:4?:2y>601=;1k01?;9:2g4?841l38;56s|24:94?5|5;?36>6n;<06e?bd348=j7jl;|q11<<72:q6>87539c8973?2:o<70<9e;03=>{t:6>f348>o7jl;<044?bd3ty99o4?:2y>60d=;1k01?;n:2g4?841n38;56s|24a94?5|5;?h6>6n;<06a?bd348<=7jl;|q11a<72:q6>8j539c8973d2:o<70<80;03=>{t:6>f348=<7jl;<046?bd3ty99k4?:2y>60`=;1k01?;j:2g4?840938;56s|27294?5|5;<;6>6n;<056?bd348;?539c897072:o<70<82;03=>{t:?81<7=t=341>6>f348=87jl;<040?bd3ty9:>4?:2y>635=;1k01?8=:2g4?840;38;56s|27694?5|5;6n;<052?bd348<97jl;|q120<72:q6>;;539c897032:o<70<84;03=>{t:?<1<76>f348=n7jl;|q122<72:q6>;9539c897012:o<70<85;03=>{t:?21<7=t=376>6c0348=m7:950;1x97102:2j70<89;f`?84?n3nh7p}=7983>6}::>21?5o4=354>6c03483i7u226c97=g<5;=26>k8;<0;b?4712wx>:l50;1x971e2:2j70<8d;f`?84>93nh7p}=7b83>6}::>i1?5o4=35a>6c03482<7u226g97=g<5;=o6>k8;<0:5?4712wx>:h50;1x971a2:2j70<71;f`?84>;3nh7p}=8183>6}::1:1?5o4=35e>6c03482>7:2:b?84?;3nh70<64;f`?xu50;0;6>u229097=g<5;2:6>k8;<0:7?4712wx>5=50;1x97>42:2j70<75;f`?84>=3nh7p}=8583>6}::1>1?5o4=3:0>6c0348287u229497=g<5;2>6>k8;<0:1?4712wx>5950;0x97>02:2j70<7c;f`?xu5010;6>u229:97=g<5;2<6>k8;<0:2?4712wx>5750;1x97112:o<70<7b;03=>;50h084l5rs3:g>5<5s483h7=7a:?1?393m63=ab8gg>;5l<0oo6s|28:94?5|5;336>6n;<0:e?bd348ho7jl;|q1=<<72:q6>47539c897??2:o<70{t:0k1<7=t=3;b>6>f3482o7jl;<0``?bd3ty95o4?:2y>66n;<0:a?bd348hi7jl;|q1=a<72:q6>4j539c897?d2:o<70{t:0o1<7=t=3;f>6>f348j<7jl;<0`b?bd3ty95k4?:2y>6<`=;1k01?7j:2g4?84dm38;56s|2`294?5|5;k;6>6n;<0b6?bd348o<7jl;|q1e4<72:q6>l?539c897g72:o<70{t:h81<7=t=3c1>6>f348j87jl;<0g5?bd3ty9m>4?:2y>6d5=;1k01?o=:2g4?84c838;56s|2`694?5|5;k?6>6n;<0b2?bd348o>7jl;|q1e0<72:q6>l;539c897g32:o<70{t:h<1<7=t=3c5>6>f348j47jl;<0g7?bd3ty9m:4?:2y>6d1=;1k01?o9:2g4?84c:38;56s|2`:94?5|5;k36>6n;<0be?bd348o87jl;|q1e<<72:q6>l7539c897g?2:o<70{t:hk1<7=t=3cb>6>f348ji7jl;<0g2?bd3ty9mo4?:2y>6dd=;1k01?on:2g4?84c<38;56s|2`a94?5|5;kh6>6n;<0alj539c897gd2:o<70{t:ho1<7=t=3cf>6>f348i<7jl;<0g3?bd3ty9mk4?:2y>6d`=;1k01?oj:2g4?84c>38;56s|2c294?5|5;h;6>6n;<0a6?bd348o47jl;|q1f4<72:q6>o?539c897d72:o<70{t:k81<7=t=3`1>6>f348i87jl;<0g=?bd3ty9n>4?:2y>6g5=;1k01?l=:2g4?84c038;56s|2c694?5|5;h?6>6n;<0a2?bd348om7jl;|q1f0<72:q6>o;539c897d32:o<70{t:k<1<76>f348on7jl;|q1f2<72:q6>o9539c897d12:o<70{t:k21<7=t=3`;>6>f348im7jl;<0ga?bd3ty9n44?:2y>6g?=;1k01?l7:2g4?84cl38;56s|2cc94?5|5;hj6>6n;<0ag?bd348oj7jl;|q1fg<72:q6>ol539c897df2:o<70{t:ki1<7=t=3``>6>f348ii7jl;<0f4?bd3ty9ni4?:2y>6gb=;1k01?ll:2g4?84cn38;56s|2cg94?5|5;hn6>6n;<0`4?bd348n=7jl;|q1fc<72:q6>oh539c897db2:o<70{t:j:1<7=t=3a3>6>f348h>7jl;<0f6?bd3ty9o<4?:2y>6f7=;1k01?m?:2g4?84b938;56s|2b094?5|5;i96>6n;<0`0?bd348n?7jl;|q1g6<72:q6>n=539c897e52:o<70{t:j>1<7=t=3a7>6>f348h:7jl;<0f0?bd3ty9o84?:2y>6f3=;1k01?m;:2g4?84b;38;56s|2b494?5|5;i=6>6n;<0:n9539c897e12:o<70{t:j21<7=t=3;4>6c0348hm76=4={<0f1?5?i279i;4kc:p6`0=838p1?k9:2:b?84b?3nh7p}=e683>0}::l=1?5o4=7ge>4d1347?m6:?402<6j>16:hm51c48yv4b03:1>v3=e9806`0=;l=0q~52z?1ag<40h16>hk5db9~w7cd2909w0;5mk08i:5rs3gg>5<51r79ii4<8`9>11c=9k<018;n:0`4?832=3;i;6389882f3=:?1k1=o84=96f>4d2342?47?m5:?;07<6j<16;5h51c:89=4328h3706=2;3a<>;?:90:n55280g95g><51;h6b99><42=9k2015?=:0`;?8>683;i46370d82f==:09i1=o64=92b>4d?342;47?m8:?;43<6j1164=:51c:89=5328h3706?2;3a<>;?910:n55283495g><51836n4>b99><7c=9k2015=?:0`;?8>4:3;i463:5b82f3=:?091=o;4=470>4d?34?>;7?m8:?61`<6j?1v?kj:18184bm393m63=eg8gg>{t:ll1<76>f348m<7jl;|q1b5<72;q6>k>539c897`62mi0q~;5n=0oo6s|2g694?4|5;l?6>6n;<0e1?bd3ty9j84?:3y>6c3=;1k01?h8:ea8yv4a>3:1>v3=f7806c>=lj1v?h7:18184a0393m63=ee8gg>{t:o31<76>f348m47=j7:p6cg=838p1?hn:2:b?84bl39n;6s|2g`94?4|5;li6>6n;<0fa?5b?2wx>km50;0x97`d2:2j70{t:on1<76c0348mi7=7a:p6c`=838p1?h<:2g4?8578393m6s|31394?4|5:::6>6n;<0e0?5b?2wx?=<50;0x97`02:o<70=?3;1;e>{t;9?1<7=t=226>6>f349;;7jl;<112?bd3ty8<;4?:2y>750=;1k01>>::2g4?855<38;56s|31594?5|5::<6>6n;<132mi01><7:ea8yv5713:1?v3<08806}:;9i1?5o4=22g>ae<5:8h6im4}r13`?6=;r7875c=lj16??j5db9~w66b2908w0=?e;1;e>;48o0oo63<2d8gg>{t;9l1<76>f349997jl;|q055<72;q6??;521;896762:2j7p}<1383>6}:;9=1?h94=205>76>349:?7=7a:p742=839p1>>7:2g4?855?38;563<148054=089>741=;1k0q~=>8;297~;48h08i:5233;965?<5:;26>6n;|q05d<72:q6?=l53d58964f2;:270=>b;1;e>{t;8i1<7=t=22`>6c03499n7?j:180857l39n;63<2b814<=:;8l1?5o4}r114?6=;r7877b=:9301><>:2:b?xu4:;0;6>u231d97`1<5:8n6?>6;<117?5?i2wx??h50;af827;3;j563<0580g7=:;9>1?n=4=227>ag<5::?6>m;;<130?be349;878?;<130?06349;878=;<130?04349;878;;<130?34349;87;;;<130?32349;87;9;<130?30349;87;7;<130?3>349;87;m;<130?>a349;8776;<130??f349;877k;<130??a349;87o?;<130?g6349;87o=;<130??7349;877>;<130??5349;877<;<130??3349;877:;<130??1349;8777;<6;0=>=lh1685653b6891>?2mh01967:72891>?2?;01967:70891>?2?901967:76891>?2<901967:46891>?2?2<=01967:4:891>?2<301967:4`891>?21l01967:8;891>?20k01967:8f891>?20l01967:`2891>?2h;01967:`0891>?20:01967:83891>?20801967:81891>?20>01967:87891>?20<01967:8:897112mi01?6m:ea897102lh01?96:d`8971e2lh01?9k:d`8971a2lh01?6>:d`897>42lh01?6::d`897>02lh01?=n:ea8972f2mi01?:?:d`897262lh01?:=:d`897242lh01?;::ea8970f2mi01?;9:d`8973?2lh01?;n:d`8973d2lh01?;j:d`897072lh01?8=:d`897032lh01?89:d`8977c2mi01??j:d`897472lh01?<=:d`897432lh01?<9:d`8974?2lh01?:d`897`32lh01?h::d`897`?2lh01?k::d`897c12lh01?k8:d`8914c2mi019:>:ea891542lh019=::d`891502lh019=6:d`8912d2mi019;i:ea8912c2lh019:i:d`891362lh019;<:d`891322lh019;8:d`8913>2lh019;m:d`8910f2mi0199k:ea8910e2lh0198k:d`8910a2lh0199>:d`891142lh0199::d`891102lh01996:d`890612mi018?6:ea890602lh018>6:d`8906e2lh018>k:d`8906a2lh018?>:d`890742lh018?::d`890c?2l:018k6:d2890ce2l:018kl:d2890cc2l:018kj:d2890ca2l:018h?:d2890`62l:018h=:d2890cf2l:0188n:2g`?831i39nh63:6`80b<=:=?k1?ko4=44b>6`e34?=m7=id:?62g<1m27>:o480:?62g<0l27>:o48f:?62g<4mj169;l53df8900e2:l270;9b;1ee>;2>k08jo5257`97cb5cz?5ag<40h16;?<51c:8927c28h3709>8;3a<>;09:0:n55271g95g><5>:26b99>2cg=9k201;h::0`;?80bl3;i46s|32394?43s4>;87=7c:?7aak278<946e:?7<=<>k27?4546e:?13394kc:?042:l4>8d9>13d=91o01:l?:0`5?81fl3;i:6s|32094?e|5?l;6>6n;<4e2?7e027<>>4>b99>34c=9k201:?6:0`;?816<3;i46380g82f==:?9k1=o64=626>4d?34=;<7?m8:?5bg<6j116:k?51c:8yv54;3:1>v393682f==:>:21>=o4}r100?6=:r7><<4>b99>154=:9k0q~=<5;296~;1:00:n55263d965g52z?5b2<40h16:k851c78yv54?3:1>v38258034c=9k?0q~=<9;29g~;1jk0:n8526c;95g3<5?h<64>b49>2g7=9k?01;oi:0`6?80fl3;i9639ac82f0=:>h31=o;4=7c4>4d234;>6>6n;<520?7e=2wx?>m50;0x92772:2j709?f;3a1>{t;:n1<76>f34=;m7?m5:p76c=838p1:>9:2:b?817=3;i96s|32d94?4|5?8h650;0x92662:2j709?0;3a1>{t;=;1<76>f346n;<74`?7e02wx?9:50;0x901f2:2j70;8c;3a1>{t;=?1<76>f34?o97?m7:p710=838p1;;i:0`;?801838;m6s|35594?4|5?{t;=31<76>f34?>o7?m5:p71g=838p1:7=:0`5?81>;38;m6s|35`94?4|56n;<76a?7e02wx?9m50;0x90>b2:2j70;7d;3a1>{t;=n1<76>f34?2m7?m6:p71c=838p19h8:2:b?82a>3;i96s|35d94?4|5=l36>6n;<6e2?7e>2wx?8>50;0x90d12:2j70;m5;3a1>{t;<;1<76>f34?i97?m6:p704=838p18ml:2:b?83dj3;i96s|34194?4|56n;<7`f?7e>2wx?8:50;0x934e2:2j708=a;3a1>{t;6>f34<9m7?m6:p700=838p18j=:2:b?83c93;i96s|34594?4|56n;<7g5?7e>2wx?8650;0x901d2:2j70;8b;3a1>{t;<31<76>f34?838;m6s|34`94?4|5n;<7ag?7e02wx?8m50;0x92g02;:j709n6;3a<>{t;76f34=?m7?m5:p70c=838p1:7j:32b?804:3;i;6s|34d94?4|5>3m6?>n;<41a?7e02wx?;>50;0x92g32;:j709n2;3a1>{t;?;1<776f34><6?>n;<511?7e>2wx?;:50;0x93cd2;:j7088d;3a<>{t;??1<776f34??h7?m8:p730=83ip1::6:0`4?81583;i:6381c82f3=:?8<1=o84=632>4d134=;o7?m6:?442<6j?16;=<51c4893`c28h=708i8;3a2>;1n:0:n;5275597=g52z?60`<6j<1698>539c8yv5103:1ov392d80:k1=o94=71e>4d03416:9k51c58933428h<708:8;3a3>;1=m0:n:5267095g1<5?9>62wx?;750;0x93g12:2j708n5;3a2>{t;?k1<7mt=710>6>f34<8n7?m6:?505<6j?16:9;51c48932f28h=708;f;3a2>;1==0:n;5264;95g0<5??n627=?;4>b79>27>=9k<0q~=9b;296~;0<8084l5275295g056z?4=7<6j116:hk51c58900728h370;;f;3a<>;0<10:n55255a97=g52z?4f7<40h16;o?51c:8yv51m3:1?v384282f2=:>h?1=o64=7g`>6>f3ty8:k4?:2y>11b=;1k018;6:0`;?832<3;i46s|36294?4|5?836{t;>81<74d134m6>6n;<77f?7e>2wx?:;50;0x90>a28h<70;60;1;e>{t;><1<76>f34?j97?m7:p721=838p19ol:2:b?82fj3;i;6s|36:94?4|5=;i6>6n;<62e?7e02wx?:750;0x90e32:2j70;l3;3a1>{t;>k1<76>f34?o?7?m7:p72d=838p18mi:2:b?83dl3;i46s|36a94?4|56n;<7`5?7e02wx?:j50;`x93d>28h3708m7;3a<>;1j<0:n5526c195g><5?h:6b99>2dd=9k201;o6:0`;?80f?3;i4639a480544<8`9~w61a2909w0;78;3a<>;20j084l5rs2:3>5<5s4?hi7?m8:?6gg<40h1v>6>:181804k3;i46393e814d=z{:296=4={<475?7e027=8?4=0`9~w6>42909w08;6;3a<>;1<>095<5s46::18180283;i463950814d=z{:2=6=4={<461?7e027=9;4=0`9~w6>02909w08:a;3a<>;1=k095<5sW9i563;4k?084l5rs2`a>5<5sW9in63;4k1084l5rs2a3>5<5sW9h<630c1=9k?019h7:0`5?xu4k00;6?u24eg9a6=:<>n1?5o4}r1`e?6=:r7>>h4j3:?65<<40h1v>mm:18182>j3o870::f;1;e>{t;ji1<7`5<5==n6>6n;|q0ga<72;q69?h5e29>14g=;1k0q~=le;296~;31j0n?63;61807}:<0n1i>5247397=g7>52z?7a46>f3ty8h84?:3y>0`4=m:1685?539c8yv5c>3:1>v3:338f7>;29m084l5rs2f4>5<5s4>2j7k<;<657?5?i2wx?i650;0x91c42l90196=:2:b?xu4l00;6?u25219a6=:=8o1?5o4}r1ge?6=:r7?m=4j3:?721<40h1v>jm:18182b<3o870:73;1;e>{t;mi1<7`5<5<;m6>6n;|q0`a<72;q68l?5e29>033=;1k0q~=ke;296~;3m<0n?63;85807}:5249797=g7>52z?673><4<8`9~w6c42909w0:n3;g0?821?393m6s|3d694?4|5=o<6h=4=5:5>6>f3ty8i84?:3y>161=m:169?<539c8yv5b>3:1>v3;a58f7>;3>1084l5rs2ge>5<5sW9nj63b99>0c3=9k=01>h?:2:b?82a?3;i:6s|41c94?4|V=:j70:?b;3a0>{t<9h1<76;{<43=?b534<;m7j=;<43g?b534<;h7j=;<43a?b534<;j7j=;<424?b534<:=7j=;<426?b534<:?7j=;<43f?b534=hh7j=;<5`a?b534=o57j=;<5f4?b534=n=7j=;<5f6?b534=n?7j=;<5f0?b534=n97j=;<5f2?b534=hj7j=;<5g4?b534=o=7j=;<5g6?b534=o?7j=;<5g0?b534=o97j=;<5g2?b534=o;7j=;<5g;7j=;<56=?b534=>m7j=;<56f?b534=>o7j=;<56`?b534=>i7j=;<56b?b534==<7j=;<567j=;<704?b534?8=7j=;<707?b534?887j=;<703?b534?897j=;<702?b534=347j=;<5;1?b534=2;7j=;<5;a?b534=2=7j=;<5;f?b534=3>7j=;<5:e?b534=2:7j=;<5:1?b534=287j=;<6ee?b534>m?7j=;<7f7j=;<7fe?b534>;n7=7a:?62d:o4ke:p05b=838pR9>k;<624?7e<2wx8=k50;0xZ16b34>:=7?m4:p05`=838pR9>i;<626?7e<2wx8<>50;1x91772:2j706;?<90:n85rs532>5<4s4>:=7=7a:?;00<6j<1649851c78yv26:3:1?v3;13804d23ty?=>4?:5y>043=;k=01nh51c689fc=9k>01nj51c68yv26<3:1>v3;1780f2=:l90:n95rs534>5<5s4>:97k<;<6270:>9;03e>{t<8i1<79t=5:f>4d034>9m7?m7:?75=<6j>168;39l0:n;5rs53g>5<5s4>::7k<;<62a?5?i2wx8{t<;:1<76>f34>9>7?m6:p077=838p19<=:0`4?825938;m6s|43094?2|5=896>6n;<6:4?7e027?>n4>b99>04c=9k20q~:=3;293~;39<08n55240497g><5=2i6>l7;<6;g?5e027?>:407>=;k2019<;:2:b?xu3:<0;69u243597g1<58h96b59~w1412909w0:=8;1a3>;6j:0:n95rs50:>5<5s4>9;7k<;<61e?5?i2wx8?l50;0x914?2l9019u243f97=g<5=8n6im4=560>ae9i7>53z?76`<40h168?h5db9>012=lj1v9;3<<0oo6s|42294?5|5=9;6>6n;<605?bd34>?:7jl;|q774<72:q68>?539c891552mi019:8:ea8yv24:3:1?v3;3380:50;1x91532:2j70:<3;1f3>;3<109<45rs516>5<4s4>897=7a:?772650;1x915?2:2j70:<7;1f3>;35<5s4>857=7a:?707o50;1x915f2:2j70:<9;1f3>;35<4s4>8n7=7a:?76a<4m>1689?521;8yv24k3:1?v3;3b8076>3ty??i4?:2y>06b=;1k0196n;<604?5b?27?884=089~w15a2908w0:;3;808i:52454965??<7>53z?705<40h168><53d5891202;:27p};4b83>6}:<=i1?5o4=56g>ae<5=<;6im4}r67`?6=;r7?8i4<8`9>01`=lj168;?5db9~w12b2908w0:;e;1;e>;3?j7>53z?70c<40h1688?5db9>034=lj1v9;?:1808228393m63;4g80a2=:=74}r665?6=;r7?9<4<8`9>005=lj168;=5db9~w1352908w0::2;1;e>;3=808i:52470965?>?7>53z?716<40h1688;5db9>032=lj1v9;;:180822<393m63;5280a2=:=74}r661?6=;r7?984<8`9>001=lj168;;5db9~w1312908w0::6;1;e>;3=<08i:52476965?>;7>53z?712<40h168875db9>030=lj1v9;7:1808220393m63;5680a2=:=74}r66=?6=;r7?944<8`9>00d=lj168;95db9~w13f2908w0::a;1;e>;3=008i:52474965?>n7>52z?71g<40h168;65db9~w13d2908w0::c;1;e>;3=k08i:52475965?>h7>53z?70f<4m>1688h521;8913b2:2j7p};6883>7}:76>3ty?:l4?:2y>03g=;1k0198m:ea8911b2mi0q~:9b;297~;3>k084l5247f9`f=:<>l1hn5rs54`>5<4s4>=o7=7a:?72g<4m>168:k521;8yv21l3:1?v3;6e8002`=:930q~:9f;297~;3>o084l524639`f=:<1;1hn5rs553>5<4s4><<7=7a:?72c<4m>1685>521;8yv2093:1?v3;708091hn524909`f=z{==96=4<{<646?5?i27?;<40=7=:930q~:83;297~;3?:084l524679`f=:<191hn5rs557>5<4s4><87=7a:?736<4m>1685<521;8yv20=3:1?v3;7480=1hn524969`f=z{===6=4<{<642?5?i27?;840=5=:930q~:87;297~;3?>084l5246;9`f=:<1?1hn5rs55;>5<4s4><47=7a:?732<4m>1685:521;8yv2013:1>v3;78805<4s4>1685;521;8yv20j3:1?v3;6`80a2=:<>n1>=74=55`>6>f3ty?4:4?:3y>0=1=;1k01969:32:?xu3000;69u249`97g1<5:i<6b59~w1>f2909w0:7c;1a3>;4k10:n95rs5:g>5<5s4>3n7k<;<6;a?5?i2wx85h50;0x91>d2l90197?:2:b?xu3180;69u248`97g1<5=kh627?9h4>b59~w1?5290?w0:6c;1a3>;3i10:n;5245g95g3<5=kh670:nc;3a3>;3i>0:n:5rs5;7>5<3s4>2i7=m7:?7e=<6j<1688<51c7891gd28h37p};9483>0}:1i>5248d97g1<5=k=6b49~w1?1290>w0:m5;g0?82f839i;63;a782f3=:<<<1=o;4=5ca>4d13ty?5:4?:4y>0g0=m:168l?53c5891g128h370::8;3a1>;3ik0:n55rs5;;>5<1s4>i;7k<;<6b6?5e?27?m;4>b69>0ge=9k2019;n:0`6?82fl3;i;6s|48;94?0|5=h36h=4=5c0>6d034>j47?m8:?7ff<6j>1688m51c7891gc28h=7p};9`83>3}:524`697g1<5=k36b49>0db=9k?0q~:n5;2953}:1h5524d79`==:6d?34>2h7=m8:?7=`<4j11684h53c:891g72:h370:n1;1a<>;3i;08n5524`197g><5=k?6>l7;<6fg?7e=27?m:4<8`9~w1g12909w0:n6;1;e>;3i>0:n55rs5c;>5<5s4>j47=7a:?7e2<6j<1v9o6:18182f139i;63:4382f1=z{=kj6=4={<6b=?5e027?mi4<8`9~w1ge2909w0:nb;1;e>;3im0:n55rs5cf>5<5s4>i87=m7:?77g<6j?1v9oi:18182e=39i;63;3b82f3=z{=h;6=4={<6a2?5e?27??i4>b79~w1d62909w0:m7;1a3>;3;l0:n;5rs5`1>5<5s4>i47=m7:?77c<6j?1v9l<:18182e139i;63;4182f3=z{=hj6=4n{<6a0?b?34>i97j7;<6a2?b?34>i;7j7;<6ai57j7;<6;34>ih7=7a:?62d<60o169;l519d8yv2ej3:18v3;bc804d?34>987?m5:p0ge=839p19ll:2:b?82el3;i:63;2582f3=z{=hn6=4<{<675?5?i27>:l472:?62g6}:<=91?5o4=44b>=5<5<13g=0=169;l5839~w1e52908w0:;5;1;e>;2>h03963:6c8;7>{t6>f34?=m769;<75f?>33ty?o94?:2y>011=;1k0188n:958900e21?0q~:l5;297~;3<1084l5257c9<==:=?h14;5rs5a5>5<4s4>?57=7a:?62d<0j27>:o477:p0f1=839p19:n:2:b?831i3=h70;9b;:;?xu3k10;6>u245`97=g<5<2dh57>53z?7<=<40m168>l51c78914c2lh0q~:la;296~;301084h52450965?hn7>53z?7<=<411168>m51c78914b2lh0q~:lc;297~;30108m>5242f95g3<5=8m6hl4}r6``?6=;r7?45406c=9k?019=?:d`8yv2dm3:1?v3;8980ef=:<:l1=o;4=512>`dhj7>53z?7<=<4im1689>51c7891552lh0q~:k0;296~;30108mh5242695g3o=7>52z?7<=<4io168>851c78yv2c:3:1>v3;8980f5=:<:21=o;4}r6g7?6=:r7?45406g=9k?0q~:k4;297~;3ll08n:524d;95g><5==h628h<70:i1;3a2>;3>j0:n85rs5f5>5<2s4>n<7=m7:?7a<<6j?168k?51c58910b28h>70:i0;3a3>{t6d034>n57?m5:?7b4<6j<168:>51c7891`728h>7p};d983>3}:<1219?524d097g1<5=oi6b49>0c6=9k<0q~:k9;292~;3010>o63;e280f2=:4d134>nj7?m5:?731<6j<1v9jn:18582?03?o70:j4;1a3>;3mk0:n;524c`95g3<5=om6?24d23ty?hn4?:7y>0=>==o168h853c5891cf28h=70:mb;3a3>;3mo0:n55246c95g3oh7>55z?7a2<4j>168ho51c7891dd28h=70:i2;3a2>;30>0:n85rs5g;>5oi7=m8:?7`c<4j1168h>53c:891c62:h370:j2;1a<>;3m:08n5524d697g><5=o>6>l7;<6f2?5e027?i:40`e=;1k0q~:j9;296~;3m0084l524da95g1nm7>52z?7ad<40h168hm51c48yv2bj3:1>v3;ec80<06=9k>0q~:je;296~;3mm08n5524g097=gnj7>52z?7ac<40h168k<51c58yv2a83:1>v3;f382f==:0c4=9k?0q~:i3;2953}:4d134?j47?m6:?7bf<6j<168kj51c7890g228h=70:i5;3a1>;2j=0:n8525cf95g0<56b69>0c>=9k2018ml:0`5?83dl3;i:63:8182f0=:=m?1=o84=4c2>4d234?2;7?m5:?6==<6j<1695o51c7890>e28h>7p};f583>7}:524g;97=gm97>52z?7b0<40h168k751c:8yv2a>3:1>v3;f882f2=:;21m0:n;5259d95g3<5=ln6o<4>b69>1<`=9k?019h9:2g4?83e?3;i;63:cb82f==:=jn1=o94=4f2>6c034?1695:51c7890>228h<70;67;3a<>;20k0:n:5rs5da>5<5s4>mm7k<;<6eb?5?i2wx8km50;0x91`d2:2j70:if;3a3>{t6>f34>mi7?m8:p0cc=838p19hj:2:b?82an3;i46s|51294?42s4708jb;1f3>;28=0:n:5262a95g1<5?>:6b69>206=9k=01;;::0`4?802i3;i;6393682f2=:>;31=o94=7c7>6c034=51c48922728h<7088d;3a3>;0<10:n;526`795g1<5>><6>4>b69>34c=9k=01:?6:0`4?816<3;i;6380g82f2=:?9k1=o94=626>4d034=;<7?m7:?5bg<6j>16:k?51c58930728h370895;3a<>{t=991<7:6{<5``?b?34=hi7j7;<5g=?b?34=n<7j7;<5f5?b?34=n>7j7;<5f7?b?34=n87j7;<5f1?b?34=n:7j7;<5`b?b?34=o<7j7;<5g5?b?34=o>7j7;<5g7?b?34=o87j7;<5g1?b?34=o:7j7;<5g3?b?34=o47j7;<5ge?b?34=on7j7;<5gg?b?34=oh7j7;<5ga?b?34=oj7j7;<7e7?b?34?<>7j7;<747?b?34?<87j7;<741?b?34?<:7j7;<743?b?34?ji7j7;<7bb?b?34?i<7j7;<7a5?b?34?i>7j7;<7e;7j7;<56=?b?34=>m7j7;<56f?b?34=>o7j7;<56`?b?34=>i7j7;<56b?b?34==<7j7;<5634=347j7;<5;1?b?34=2;7j7;<5;a?b?34=2=7j7;<5;f?b?34=3>7j7;<5:e?b?34=2:7j7;<5:1?b?34=287j7;<6ee?b?34>m?7j7;<730?5?i2wx9=850;1x90612:2j70;?7;f`?836i3nh7p}:0683>6}:=9=1?5o4=42:>ae<5<;i6im4}r73<54<8`9>151=;l=018?n:32:?xu2800;6>u251;97=g<5<:i6im4=43`>ae53z?64d<40h169=753d58907e2;:27p}:0c83>6}:=9h1?5o4=42g>ae<5<;o6im4}r73g?6=;r7>15d=;l=018?l:32:?xu28m0;6>u251f97=g<5<:m6im4=43f>ae53z?64`<40h169=j53d58907c2;:27p}:0g83>6}:=9l1?5o4=432>ae<5<;m6im4}r724?6=;r7>==4<8`9>15`=;l=018?j:32:?xu2980;6>u250397=g<5<;86im4=403>ae7>53z?657<40h1696}:=891?5o4=436>ae<5<8:6im4}r720?6=;r7>=94<8`9>145=;l=018=;4<8`9>143=;l=018<>:32:?xu29>0;6>u251497`1<5<;26?>6;<72{t=;>1<7=t=40f>6d034?857?m5:?65=<6j=1v8<::180835n39i;63:3882f3=:=921=o;4}r712?6=;r7>?=416?=9k2018>n:0`6?xu2:>0;6>u252397g1<5<926;28l0:n85rs40:>5<4s4?8?7=m7:?67d<6j>169<>51c78yv35i3:1?v3:3580f2=:=:k1=o84=431>4d23ty>>o4?:2y>163=;k=018=n:0`6?836<3;i96s|53a94?5|5<9=6>l8;<722?7e=27>?o4>b79~w04c2908w0;<7;1a3>;2::0:n85252`95g35cz?67f>h417`=;k2018==:2`;?834839i463:3080f==:=:91?o64=417>6d?34?8;7=m8:?670<4j1169>853c:8905e2:2j7p}:3883>7}:=:31?5o4=41a>4d03ty>?l4?:3y>16g=;1k018=m:0`;?xu2;j0;6?8t=5gg>a4<5=;>6i<4=535>a4<5=2i6i<4=5:`>a4<5=8<6i<4=50;>a4<5=k26i<4=5`7>a4<5=h>6i<4=5`5>a4<5=h<6i<4=5`;>a4<5=h26i<4=5:;>ab<5=nn6i<4=5fe>a4<5=o;6i<4=5g2>a4<5=o96i<4=5g0>a4<5=o?6i<4=5g6>a4<5=o=6i<4=5g4>a4<5=3i6i<4=5;`>a4<5=3o6i<4=5;f>a4<5=3m6i<4=5c3>a4<5=k:6i<4=5c1>a4<5=k86i<4=5c7>a4<5<9n6>6n;<75e?ba34?=n7ji;|q67a<72:q69>m53c58905b28h?70;;0;3a0>{t=:l1<7`5<5<>;6>6n;|q604<72;qU99?4=461>6>f3ty>8>4?:6y>111=;k=01??l:0`5?832i3;i:63:5b82f2=:=<91=o84=474>4d034?>i7?m5:p112=83kp18:7:2`4?81f13;i:63=1b82f0=:=<31=o;4=471>4d234?>h7?m7:?61c<6j?16;4=51c58903428h>70;:7;3a1>{t==?1<77t=46:>6d034=j57?m7:?611<6j<1698<51c58903728h<70;:8;3a3>;2=m0:n55254d95g1<5>38628h>70;;b;1f3>;2=h0:n55254795g><527>954>b79>10b=9k<018;i:0`6?81>;3;i46s|55`94?4|5<>j6h=4=46a>6>f3ty>9<4?:3y>11?=m:1698:539c8yv32:3:1>v3:5380994>b79>105=:9k0q~;:5;296~;2==0:n:5254797=g:7>52z?60=944<8`9~w0302909w0;:9;3a2>;2=>095<5s4?>57?m7:?61d<40h1v8;m:181833?3o870;90;1;e>{t=6>f34?=<7?m7:p10c=838p188?:0`5?832m38;m6s|57394?4|V<<:70;96;1;e>{t=?81<7{t=??1<7h:6>6n;<752?7e<2wx9;950;0x900028h?709m0;1;e>{t=?21<74d334=jh7=7a:p13?=838p1:on:2:b?83113;i86s|57a94?b|5<=96>l8;<7bm;4>b69>231=9k<0187l:0`4?83>n3;i:63:7d82f2=:=>k1=o84=4;3>4d034?3h7?m8:?6=g<6j<169:j51c4890?628h=7p}:6e83>a}:=>91?o94=74;>4d134?j97?m5:?6=f<6j?1694h51c:8901b28h370;89;3a1>;2?h0:n55258295g0<5<2n6279884>b79>1<7=9k?0q~;9e;29b~;2?=08n:5267c95g0<5<3o65k4>b69>12?=9k<0189n:0`6?83>83;i963:8d82f3=:=0h1=o94=4:7>4d?34?397?m8:?6e4<6j?169l<51c78972128h=7p}:6g83>`}:=>?1?o94=74`>4d134?2h7?m7:?6=1<6j?1695h51c:890?228h<70;7e;3a3>;21h0:n85256`97`1<5<2?65:4>b69>1<>=9k<01?:8:0`5?xu2?90;6iu256497g1<5?27>5n4>b99>1=>=9k=0189j:0`5?83013;i;63:7`82f2=:=1n1=o84=4;b>4d034?3m7?m6:?69651c48yv3093:1hv3:7680f2=:>>:1=o84=4;`>4d234?1694o51c:8901d28h=70;8d;3a1>;20=0:n;5259795g0<5<2;6b79~w01?2909w0;87;g0?83?:393m6s|56`94?4|5<=i6>6n;<7;4?7e02wx9:k50;0x901b2:2j70;76;1f3>{t=>l1<76>f34?3>7?m6:p1=6=838p186>:2:b?83?:3;i96s|59194?4|5<2=6>6n;<7;4?7e>2wx95:50;0x90>32:2j70;76;3a2>{t=1?1<76>f34?3:7?m5:p1=1=838p1899:d1890>?2:2j7p}:8883>7}:=1k1?5o4=4:`>4d13ty>4l4?:3y>1=d=;1k0186l:0`6?xu20m0;6?u259f97=g<5<2i6d2:o<7p}:9383>7}:=0n1=o64=4;2>76f3ty>5>4?:3y>123=m:1694;539c8yv3><3:1?v3:95804d03ty>5;4?:3y>1<1=;1k01876:0`5?xu21>0;6?u258:97=g<5<3262:o<7p}:9b83>7}:=0>1=o64=4;`>6>f3ty>5i4?:3y>15k4<8`9>1d7=9k=0q~;n0;296~;2i8084l525`195g052z?6e7<40h169l=51c78yv3f<3:1>v3:728f7>;2i<084l5rs4c4>5<5s4?<>7k<;<7b;2jj0:n:525b695g3<5h?4>b49>1a5=9k?018l6:0`4?83ei3;i46s|5`c94?d|5l8;<7ag?7e>27>o94>b79>1f`=9k2018m=:0`;?83dk3;i;63:d382f3=:=m91=o84=4`b>4d034?o97?m8:?6`3<6j<1v8om:18a83e839i;63:c582f2=:=jl1=o;4=4a1>4d134?hi7?m7:?6`=<6j?169nm51c7890ec28h>70;m9;3a1>;2jh0:n8525cg95g35bz?6f4<4j>169n;51c5890e428h=70;lf;3a2>;2k;0:n8525b395g0<527>nl4>b79>1f>=9k?018lj:0`5?xu2im0;64u25c097g1<5ok4>b69>1f4=9k=018j7:0`6?83e>3;i963:d382f2=:=kh1?h94}r7a7?6=;r7>n?4j3:?041<2n27>n84<8`9~w0d32909w0;m4;1;e>;2j>0:n55rs4`;>5<5s4?i;7?m6:?6fg<40h1v8l6:18183e1393m63:bc82f3=z{no4>b49~w0dd2909w0;mc;1;e>;2jm0:n:5rs4`g>5<5s4?ih7=7a:?6f2<6j<1v8li:18183c:3;i463:bd80{t=j91<76>f34?h;7?m7:p1f3=838p18m::2:b?83d?3;i96s|5b494?4|56n;<7`=?7e>2wx9n950;0x90e?2:2j70;l9;3a1>{t=jk1<7=t=4`3>`5<5::?68j4=4af>6>f3ty>h=4?:2y>1d`=m:16?=:55b9>1a1=;1k0q~;k4;296~;2l<084l525e595g052z?6`3<40h169i951c78yv3c13:1?v3:ad8f7>;48=0>>63:d`80{t=ml1<7=t=4g:>6d034?nn7k<;<40`?7e=2wx9ik50;5x90cf2:h<70;kb;3a2>;1:j0:n8527`495g1<5?93627=:84>b79~w0c72908w0;jb;1a3>;2mj0n?6394382f0=z{ii4j3:?502<6j<1v8k=:18783bl39i;63:ed8f7>;0i>0:n55265a95g354z?6a`<4j>169hh5e29>3d1=9k=01;;>:0`6?xu2m=0;69u25dd97g1<54d134<>:7?m5:p1`3=83>p18h?:2`4?83a93o8709n7;3a1>;1=k0:n85rs4g5>5<1s4?m=7=m7:?6b7ho4>b49>27e=9k201:o9:0`5?80183;i96s|5d594?3|5l8;<7fe?c434<9o7?m7:?4e3<6j<16:;;51c78yv3a;3:1hv3:f280f2=:=l21h5525d;9`==:=lh1h5525da9`==:=ln1h5525dg9`==:=ll1h5525g29`==:=o;1h5525g09`==:=lk1h5525g795g352z?6b6j84<8`9~w0`1290=w0;i8;1a3>;2nm0:n;5275495g0<5?k=6b99~w0`0290;2nk0:n;526`495g3<5?k;6b49>2`e=9k?0q~;ia;296~;2n00n?63:fc80p1;>6:2`4?843<3;i;63=3082f1=:>;=1=o;4}r434?6=64`=9k?01?:::0`6?804i3;i96s|5gd94?2|5?:i6>l8;<447?7e>279?>4>b49>263=9k?0q~8?1;290~;18j08n:5223395g3<5;>=6;5<>0:n85265695g354z?54`<4j>16>?;51c78972?28h>708;9;3a1>{t>9>1<7:t=72e>6d03489;7?m5:?10<<6j<16:9k51c78yv07=3:18v391180f2=:>>?1=o84=30:>4d234<>?7?m5:p250=83>p1;?>:2`4?800?3;i:63=2c82f0=:><21=o;4}r433?6=22?=9k<01?l8;<44f?7e>279>k4>b49>234=9k?0q~8>4;296~;5;;084l5262395g152z?171<40h16:>>51c78yv06>3:1>v3=3480::1=o84}r423?6=:r79?;4<8`9>266=9k=0q~8>8;296~;5;>084l5262295g>52z?17=<40h16:>?51c78yv06i3:1>v3=3880:;1=o84}r42f?6=:r78<94<8e9>612=9k20q~8>c;296~;48=084h5266195g152z?041<41116>9;51c:8yv06m3:1>v3<0580e6=::=<1=o64}r42b?6=:r78<94611=9k20q~8=0;296~;48=08mn5225:95g>52z?041<4im16>9751c:8yv05:3:1>v3<0580e`=:>>?1=o94}r417?6=:r78<94221=9k=0q~8=4;296~;48=08n=5266;95g152z?041<4j816::l51c58yv05>3:18v39088f7>;1:1084l5257c936=:=?h1;<5rs70b>5<5s4<9m7=7a:?56`<6j?1v;b99~w3562909w08<3;3a<>;1;8095<5s4<8>7=7a:?576<6j>1v;=;:187807j3o8708<6;1;e>;2>h0<<63:6c85`>{t>:?1<74d034<8976>f34?=m79;;<75f?153ty=?l4?:3y>26d=9k=01;=n:32b?xu1;j0;6?u262a97=g<5?9j6216=;1k0188n:678900e2>90q~852z?504<40h16:>h51c48yv03;3:18v390e8f7>;1<<084l5257c933=:=?h1;95rs767>5<5s4393m6394582f3=z{?>36=4;{<43a?c434:o485:p21?=838p1;:n:0`4?803138;m6s|65`94?4|5?>i6>6n;<47=?7e>2wx:9j50;6x936a2l901;:i:2:b?831i3=370;9b;55?xu1n6?>n;|q515<72;q6:8>539c8932b28h=7p}95383>1}:>8:1i>5264697=g<5<21?7>52z?511<6j>16:8=521c8yv02=3:1>v395480<91=o84}r463?6=13d=?11v;;7:18180213;i;63959814d=z{??j6=4={<46e?5?i27=954>b79~w33d290?w08>2;g0?802m393m63:6`85`>;2>k0<56s|64f94?4|5??n6{t>?;1<7:t=730>`5<5?<86>6n;<75e?0b34?=n78l;|q527<72;q6:;=51c5893052;:j7p}96583>7}:>?>1?5o4=741>4d13ty=:;4?:2y>66g=mk16:;9539c8972328h>7p}96883>7}:>?21>=o4=31a>`d52z?52d<58h16>>m5ec9~w30c2909w089c;03e>;5;m0nn6s|67d94?4|5?n;<00a?ce3ty=;<4?:3y>226=:9k01?=i:d`8yv00:3:1>v3=4c814<=:>>91?5o4}r440?6=;r798=4607=:9301;9::2:b?xu1??0;6>u225397`1<5;?96?>6;<443?5?i2wx::650;1x97252:o<70<:3;03=>;1?0084l5rs75b>5<4s48??7=j7:?111<58016::l539c8yv00k3:1ov39088g<>;18h0o46390b8g<>;18m0o46390d8g<>;18o0o4639118g<>;1980o4639138g<>;19:0o46390c8g<>;1?m084l5rs75f>5<5s48?m7=7a:?56=<6j>1v;9i:181843j393m6393782f0=z{?2;6=4={<07g?5?i27=?o4>b49~w3>62909w0<;d;1;e>;1<90:n85rs7:1>5<5s48?i7=7a:?500<6j<1v;6<:181843n393m6394`82f0=z{?2?6=4={<064?5?i27=8k4>b49~w3>22909w0<:1;1;e>;1==0:n85rs7:5>5<5s48>>7=7a:?51<<6j<1v;68:181842;393m6395d82f0=z{?236=4={<060?5?i27=:>4>b49~w3>>290?w0864;1a3>;1i>0:n;5263;95g3<5;<26;1;j0:n85rs7:b>5<0s4<2:7=m7:?5e<<6j?16>;m51c78935028h>708:f;3a3>;1>=0:n:5251395g154z?5=2<4j>16:lj51c48973>28h>708;1;3a1>{t>1n1<7:t=7;;>6d034k;1=o84=37g>4d234i39i;639b282f3=::4d234?;>7?m7:p2<6=83?p1;7m:2`4?80e=3;i:63=6082f0=:>4d13ty=5<4?:4y>22g?=9k<01?8::0`6?802n3;i963:0082f0=z{?386=4:{<4:a?5e?27><<4>b79>2gd=9k<01?88:0`6?801<3;i96s|68d94?4|5?3?6h=4=7c4>6>f3ty=m=4?:3y>2d6=;1k01;o=:0`6?xu1i80;6?u26`097=g<5?k?67p}9a983>7}:>0<1i>526`;97=g52z?5=06>f3ty=n=4?:3y>2v399`8f7>;1j:084l5rs7`7>5<5s4<2n7k<;<4a1?5?i2wx:o850;0x93?d2l901;l8:2:b?xu1j10;6?u268f9a6=:>k31?5o4}r4ae?6=:r7=5h4j3:?5fg<40h1v;ll:18`80><3n370865;f;?80>?3n370868;f;?80>13n37086a;f;?80>j3n37086c;f;?80>l3n37086e;f;?80>>3n3708md;1;e>{t>ko1<76>f346n;<4bf?7e?2wx:n?50;0x970b2:2j708nd;3a3>{t>j81<76>f346n;<4a7?7e?2wx:n;50;0x97152:2j708m5;3a3>{t>j<1<76>f346>6n;<4af?7e?2wx:n750;1x93b32:h<70;9a;g`?80a=3;i96s|6b`94?5|5?n>6>l8;<75e?`034=9>7?m5:p2fg=839p1;j9:2`4?831j3l<708jd;3a1>{t>ji1<7=t=7f4>6d034?=m7??2:?45a<6j<1v;mk:18080c039i;63:6`8243=:?821=o;4}r4`a?6=;r7=h4413g=99=01:?<:0`6?xu1ko0;6>u26ec97g1<5<7;<53a?7e=2wx:i>50;1x93be2:h<70;9a;33=>;0800:n85rs7f2>5<4s44d23ty=h>4?:2y>2ac=;k=0188m:da893`f28h>7p}9dg83>7}:;;>1?5o4=7d;>4d23ty=i=4?:3y>773=;1k01;h<:0`6?xu1m80;6?u233497=g<5>8>67p}9e283>7}:;;21?5o4=63a>4d23ty=i94?:3y>77?=;1k01:?9:0`6?xu1m<0;6?u233c97=g<5>;:67p}9e683>7}:;;i1?5o4=624>4d23ty=i54?:3y>77b=;1k01:>=:0`6?xu1m00;6?u233g97=g<5?lo62`b=;1k0q~8i1;296~;1mm0:n;526g3965g52z?5b6<40h16:hj51c58yv0a<3:1>v39d58f7>;1n<084l5rs7d5>5<5s46n;<4ee?7e?2wx:kk50;0x93bc2l901;hi:2:b?xu0890;6?u26gd95g0<5>:;6?>n;|q447<72;q6;=<539c893`a28h<7p}80283>7}:>mi1i>5271697=g52z?441<6j?16;=;521c8yv17?3:1>v3806801=o94}r53n:18181713;i:6380`814d=z{>:h6=4={<53g?5?i27<<44>b69~w26c2909w08ka;g0?817m393m6s|71d94?4|5>:n6{t?881<7`5<5>;86>6n;|q451<72;q6;<=51c4892732;:j7p}81783>7}:?8<1?5o4=630>4d03ty<=:4?:3y>2a>=m:16;<6539c8yv1613:1>v381982f3=:?831>=o4}r52f?6=:r7<=o4<8`9>34>=9k=0q~9>c;296~;1l>0n?6381e80;n6=4={<52`?7e>27<=h4=0`9~w2472909w09=0;1;e>;09m0:n:5rs602>5<5s4{t?;?1<76>f34=9>7?m7:p370=83ip1;j;:e:893b22m201;j8:e:893b?2m201;j6:e:893bf2m201;jm:e:893bd2m201;jk:e:893bb2m201;j9:e:890622:2j7p}82683>0}::l21=o;4=6c0>4d?349;:7?m5:?62d<6;016;4k51c:8yv1503:19v3=e`82f2=:;8;1=o:4=44a>42334=2o7?m5:?4e4<6j<1v:<6:18684b03;i:63<1282f1=:=?k1=9:4=6;`>4d134=j87?m7:p37g=83?p1?k7:0`4?81f;3;i963<1482f1=:=?k1=9h4=6;`>4d03ty<>o4?:4y>6`>=9k201:o<:0`5?856?3;i863:6`8216=:?0i1=o64}r51g?6==r79i44>b49>3d5=9k=01>?6:0`7?831i3;>86389e82f2=z{>8o6=4:{<0f=?7e>278=o4>b59>13g=9:l4>579>3:0`4?xu0:o0;68u22d;95g><5:;m6b79>3d7=9k20q~9<0;291~;5mh0:n85233395g2<5<b49~w256290>w0;4::0:n95257`956?<5>3n62wx;><50;1x917a28h=70:>a;3a2>;2>h0:9l5rs610>53i7?m6:?76d<6j?168<651c4891?728h<70:=c;3a3>;39l0:n:5257`9533<5=8:6{t?:?1<7=t=53e>4d?34>:n7?m5:?62d<6?91v:=9:18082583;i963;1c82f3=:=?k1=::4}r503?6=;r7?>=4>b69>13g=9>?019?6:0`6?xu0;10;6>u257c9520<5=;26750;1x900f28=<70:>9;3a3>;3:80:n;5rs61b>5<4s4>:n7?m7:?62d<6?1168??51c58yv14j3:1?v3;2182f3=:=?k1=5>4=53:>4d?3ty04`=9k?019?m:0`;?831j3;>m6s|72f94?>|5>9o6>l8;<50`?5e027><84>b79>2gb=9k<01::>:0`4?837<3;i:6383g82f1=:>>n1=o;4}r50a?6=:r7<894k8:?47c<40h1v::?:181814l3o8709;0;1;e>{t?=81<7a><5>>86>6n;|q401<7289p1::;:2`4?837=3;i9639be82f0=:?=;1=o84=427>4d234?=m7=i4:?62d<4n<169;o53g48900f2:l370;9b;1e0>;2>k08j85257`97c0<5<h7;<4b6?7e?27=m>4>b69>315=9k?01::6:0`6?800l3;i:639a482f0=z{>>>6=4={<570?c434=?57=7a:p310=838p1::9:2:b?81313;i:6s|75:94?4|5>>26{t?=h1<7:t=675>6d034==j7?m7:?14d234=987?m5:p31e=83>p1:;7:2`4?811l3;i;63=8e82f0=:>o81=o;4}r57a?6=32`=9k=01?9n:0`6?816n3;i96s|75d94?2|5>?j6>l8;<54`?7e?279;n4>b49>34g=9k?0q~9:0;290~;0=k08n:5276`95g1<5;=n6;5090:n85270295g3>7>54z?41a<4j>16;:951c5897>528h>709?b;3a1>{t?<91<7:t=67f>6d034=<97?m7:?1<1<6j<16;=851c78yv12<3:18v385g80f2=:?>91=o94=3:5>4d234=;=7?m5:p303=83>p1:8?:2`4?81093;i;63=8982f0=:>oi1=o;4}r555?6=;r794o4<8`9>33`=9k201;h8:0`5?xu0>;0;6>u229a97=g<5>2wx;;=50;1x97>b2:2j70971;3a<>;0:=0:n;5rs647>5<4s483j7=7a:?43c<6j116;n1=o64=63b>4d13ty<:;4?:2y>6<7=;1k01:9m:0`;?816=3;i:6s|77594?5|5;396>6n;<54=?7e027<==4>b79~w20?2908w0<63;1;e>;0?>0:n55271`95g053z?1=1<40h16;:;51c:8926128h=7p}86`83>6}::0?1?5o4=650>4d?34=;=7?m6:p33d=839p1?79:2:b?81093;i4639fb82f3=z{>7}:??:1i>5276397=g7>52z?41c4<8`9~w2132909w09:e;g0?810=393m6s|76494?4|5>?o6h=4=654>6>f3ty<;54?:3y>30e=m:16;:7539c8yv10i3:1>v385c8f7>;0?k084l5rs65`>5<5s4=>m7k<;<54`?5?i2wx;:k50;0x923>2l901:9i:2:b?xu0090;6?u27459a6=:?1;1?5o4}r5;6?6=jr7<4?43dg=9k<01:l>:0`6?81?<3;i;639f182f0=:>:81=o;4=66b>4d?347p}88283>6}:?181i>5279697=g<5>>;64d?34=3=7?m6:?43c<6j?16;:j51c48921e28h=70989;3a2>;0?>0:n;5276795g0<5>=8627<:i4>b79>3d`=9k201:ol:0`;?xu00?0;6?u27979a6=:?1=1?5o4}r5;70;ib;3a3>;2lh0:n5525`:95g><5>b49>32`=9k?01:9k:0`6?810j3;i96387882f0=:?>=1=o;4=656>4d234=028h>70;l5;3a1>;2454>b49>1fc=9k?018;l:0`;?83e>3;i:639a282f0=:=1;1=o84=4f6>4d234?o:7?m6:?6g=<6j?1649l51c489=2d28h=706;5;3a2>;?;627>8i4>b69~w2>>2909w0978;g0?81?i393m6s|79`94?76s4=3n7=m7:?4ed<6j>16;5j51c4890`228h=70974;3a2>;1:h08i:5262f95g0<5?>9627=8n4>b79>207=9k<01;;9:0`5?802j3;i:6393982f3=:>;l1=o84=743>4d034<=97?m7:p3=e=838p1:6m:d1892>c2:2j7p}88d83>3}:?1o1?o94=915>4d234=257?m5:?416;lh51c4892gd28h<7p}88g83>7}:?1o1i>5279d97=g516y>3<7=;k=01:on:0`6?81e:3;i96373782f3=:?081=o;4=47b>4d234?>97?m5:?4;2=;0:n;5254295g><5b49>3de=9k<015:m:0`;?8>3=3;i46373g82f==:==n1=o;4=46e>4d234?>i7?m7:p3<4=838p1:7>:d1892?52:2j7p}89583>41|5>3?6>l8;<5a5?7e?27<4:4>b69>3<4=9k=01;kj:0`6?832n3;i4638ab82f0=:=?:1=o;4=46g>4d134??j7?m6:?4=5<6j?16;9651c7893`128h=709=3;3a2>;09l0:n;5270;95g0<5>;?627<b79>353=9k<01:>?:0`5?80aj3;i:639f082f3=z{>3>6=4>7z?52=<6j<16:;o51c78930d28h>7089e;3a1>;1?90:n85278797g1<5>3?6h=4=744>4d234<28h>7088b;3a1>;5<=0:n;5225795g1<5;>=6b69>61?=9k=01;3<3=m:169io51c7890g?28h>709m2;3a2>;2i?0:n85258795g0<5<23627>n843d`=9k=0186=:2g4?83c?39n;63:c880a2=:=h91?h94}r5:3?6=90q6;4953c5892?f2l9018hk:0`4?83aj3;i9638b082f3=:?=;1=o;4=71`>4d134;1=h0:n;5262595g0<5?82627=:94>b79>27d=9k<01;o<:0`5?8>3j3;i;6374b82f2=:0=?1=o94=965>4d03428j7?m7:?;05<6j>1v:77:18181>?3o870969;1;e>{t?0k1<79t=6;b>6d034=2:7k<;<6e1?7e>27?jh4>b69>0c`=9k<019h6:0`5?81e839n;6s|78`94?`|5>2?6b69>2c1=9k201:<;:0`;?816n3;i46381`82f==:?8?1=o64=633>4d?34=;n7?m8:?443<6j116;=?51c:893`d28h3708i2;3a<>;01o084l5rs6;`>5<3s4<8>7?m8:?4=f<40h16;4h51c58902d28h>7p}89e83>0}:?0n1?5o4=66b>4d134<8>7?m6:?4=c<6j<1699m51c48yv1>m3:18v384`82f2=:?0o1?5o4=6;e>4d134??o7?m7:p3d6=83;9w0977;3a2>;0i;084l526dd95g><5?l<6b69>34g=9k=01:?::0`4?81683;i;6380c82f2=:?9<1=o94=622>4d03416;4>51c58922028h3708jc;3a3>;25<5s4=j>7?m6:?4e4<40h1v:o<:18081f;393m638a382f2=:>h:1=o64}r5b0?6=:r7=m=4>b79>3d2=;1k0q~9n5;29`~;00m0:n:525g795g1<5>2?6b69>214=9k=01;:8:0`4?803k3;i;6395082f2=:><<1=o94=77a>4d034<847?m7:?56c<6j>1v:o7:18181f1393m6388`82f2=z{>ki6=4={<5bg?5?i27b49~w2gb2909w09nf;1;e>;0j90:n85rs6`0>5<4s4=hh7=m7:?;61<6j?16>n751c68yv1em3:1?v38cd80f2=::hn1=o;4=901>4d13ty3f`=;k=01?hl:0`5?84>13;i96370d82f3=z{>h>6=4;{<5g4?5e?279jn4>b69>6l:0`5?xu0j?0;69u27e397g1<5;lh6b79~w2d0290?w09k2;1a3>;51o0:n85281:95g0<5;ln670;?8?0:n;5rs6`:>5<3s4=o87=m7:?1e6<6j<164=:51c48966728h?7p}8b`83>1}:?m?1?o94=222>4d2348j97?m5:?;71<6j?1v:lm:18781c>39i;63<0082f3=::h=1=o;4=911>4d13ty3a1=;k=01>>>:0`4?84f13;i96373182f3=z{>ho6=4;{<5gb99>6dd=9k?015u27e;97g1<5;h262wx;oh50;6x92bf2:h<70;5n?0:n85283a95g054z?4`g<4j>16>o?51c789=4f28h=70=?3;3a0>{t?j;1<7:t=6f`>6d0348i?7?m5:?1b<<6j<164?651c48yv1d:3:18v38de80f2=::ok1=o;4=3`6>4d23429:7?m6:p3f5=83>p1:jj:2`4?84ai3;i:63=b682f0=:0821=o84}r5`0?6=6cg=9k=01?jl:0`6?8>7:3;i:6s|7b494?5|5>o;6>l8;<0af?7e=273=h4>b79~w2e0290?w09j1;1a3>;5jm0:n8522da95g3<51;h670;?9h0:n;5rs6a:>5<3s4=n?7=m7:?1bg<6j?16>n?51c789=7128h=7p}8c`83>1}:?l>1?o94=3da>4d0348h?7?m5:?;51<6j?1v:mm:18781b=39i;63=fc82f==::j?1=o;4=931>4d13ty3`0=;k=01?hl:0`6?84d?3;i96371182f3=z{>o<6=4={<0`e?5?i273>94>b69~w2c?2909w0;?8l0:n:5rs6g:>5<5s48ho7=7a:?;4f<6j>1v:kn:18184dl393m6370`82f2=z{>oi6=4={<0`a?5?i273<54>b69~w2cd2909w0;?8?0:n:5rs6gg>5<5s48o<7=7a:?;41<6j>1v:kj:18184c9393m6373582f2=z{>om6=4={<0g6?5?i273??4>b69~w2`72909w0;?;90:n:5rs6d2>5<5s48o87=7a:?;6`<6j>1v:h=:18184c=393m6372382f2=z{>l86=4={<0g2?5?i273>n4>b69~w2`32909w0;?:h0:n:5rs6d6>5<5s48o47=7a:?;6=<6j>1v:h9:18184c1393m6372782f2=z{>l<6=4={<0ge?5?i273=54>b69~w2`?2909w0;?8;0:n:5rs6d:>5<5s48oh7=7a:?;65<6j>1v:hn:18184cm393m6371d82f2=z{>li6=4={<0gb?5?i273=n4>b69~w2`d2909w0;?9h0:n:5rs6dg>5<5s48n=7=7a:?;53<6j>1v:hj:18184b:393m6371582f2=z{>lm6=4={<0f7?5?i273=?4>b69~w=672909w0;?990:n:5rs922>5<5s4=oj7k<;<:36?5?i2wx4==50;0x92b32l9015>;:2:b?xu?8<0;6?u27e19a6=:09<1?5o4}r:33?6=:r76:18181c93o8706?a;1;e>{t09h1<7`5<51:h6>6n;|q;4a<72;q6;nh5e29><5c=;1k0q~6?f;296~;0m?0n?63711807=7a:p<45=838p1:k;:d189=732:2j7p}71483>7}:?l91i>5280497=g52z?4``2909w09j2;g0?8>6i393m6s|80`94?4|5>o:6h=4=93`>6>f3ty3=i4?:3y>3`6=m:1646n3:1>v38d88f7>;?:9084l5rs902>5<5s4=hi7k<;<:16?5?i2wx4?=50;0x92ec2l9015<;:2:b?xu?:<0;6?u27ef9a6=:0;<1?5o4}r:13?6=:r7{t0;h1<7`5<518h6>6n;|q;6a<72;q6;i65e29><7c=;1k0q~6=f;296~;0l>0n?63731807=7a:p<65=838p1:j::d189=532:2j7p}73483>4d|519=6>6n;<:10?7e=273>?4>b49><76=9k?015?j:0`6?8>6k3;i96371`82f0=:08<1=o;4=937>4d2342:>7?m5:?;55<6j<164=k51c789=6d28h>706?a;3a1>;?810:n85281495g3<51:?6b49><4>=9k?015<9:0`6?8>503;i96372`82f0=:0;i1=o;4=90f>4d23428<7?m5:?;77<6j<1v5=8:1868>4i39i;63;8d82f0=:<0:1=o;4=961>4d1342?=7=j7:p<6>=83?p15=m:2`4?825i3;i963;2b82f0=:0=21=o84=964>6c03ty3?44?:4y><6e=;k=019?7:0`6?826m3;i96374d82f3=:0=n1?h94}r:0`?6=:r73?l4j3:?;07<40h1v5=j:1818>3:3;i46374080b79~w=272909w06;0;1;e>;?<80:n85rs960>5<5s428n7k<;<:7{t0=?1<76>f342?;7?m6:p<10=838p15:9:2:b?8>3?3;i96s|85;94?4|519h6h=4=96f>6>f3ty38l4?:3y><1c=9k2015:k:2:b?xu?o67p}74g83>7}Y0=l015;?:2:b?x{i9:i>6=4>{I1e5>{i9:i=6=4>{I1e5>{i9:i<6=4>{I1e5>{i9:i36=4>{I1e5>{i9:i26=4>{I1e5>{i9:ij6=4>{I1e5>{i9:ii6=4>{I1e5>{i9:ih6=4>{I1e5>{i9:io6=4>{I1e5>{i9:in6=4>{I1e5>{i9:im6=4>{I1e5>{i9:n;6=4>{I1e5>{i9:n:6=4>{I1e5>{i9:n96=4>{I1e5>{i9:n86=4>{I1e5>{i9:n?6=4>{I1e5>{i9:n>6=4>{I1e5>{i9:n=6=4>{I1e5>{i9:n<6=4>{I1e5>{i9:n36=4>{I1e5>{i9:n26=4>{I1e5>{i9:nj6=4>{I1e5>{i9:ni6=4>{I1e5>{i9:nh6=4>{I1e5>{i9:no6=4>{I1e5>{i9:nn6=4>{I1e5>{i9:nm6=4>{I1e5>{i9:o;6=4>{I1e5>{i9:o:6=4>{I1e5>{i9:o96=4>{I1e5>{i9:o86=4>{I1e5>{i9:o?6=4>{I1e5>{i9:o>6=4>{I1e5>{i9:o=6=4>{I1e5>{i9:o<6=4>{I1e5>{i9:o36=4>{I1e5>{i9:o26=4>{I1e5>{i9:oj6=4>{I1e5>{i9:oi6=4>{I1e5>{i9:oh6=4>{I1e5>{i9:oo6=4>{I1e5>{i9:on6=4>{I1e5>{i9:om6=4>{I1e5>{i9:l;6=4>{I1e5>{i9:l:6=4>{I1e5>{i9:l96=4>{I1e5>{i9:l86=4>{I1e5>{i9:l?6=4>{I1e5>{i9:l>6=4>{I1e5>{i9:l=6=4>{I1e5>{i9:l<6=4>{I1e5>{i9:l36=4>{I1e5>{i9:l26=4>{I1e5>{i9:lj6=4>{I1e5>{i9:li6=4>{I1e5>{i9:lh6=4>{I1e5>{i9:lo6=4>{I1e5>{i9:ln6=4>{I1e5>{i9:lm6=4>{I1e5>{i9=:;6=4>{I1e5>{i9=::6=4>{I1e5>{i9=:96=4>{I1e5>{i9=:86=4>{I1e5>{i9=:?6=4>{I1e5>{i9=:>6=4>{I1e5>{i9=:=6=4>{I1e5>{i9=:<6=4>{I1e5>{i9=:36=4>{I1e5>{i9=:26=4>{I1e5>{i9=:j6=4>{I1e5>{i9=:i6=4>{I1e5>{i9=:h6=4>{I1e5>{i9=:o6=4>{I1e5>{i9=:n6=4>{I1e5>{i9=:m6=4>{I1e5>{i9=;;6=4>{I1e5>{i9=;:6=4>{I1e5>{i9=;96=4>{I1e5>{i9=;86=4>{I1e5>{i9=;?6=4>{I1e5>{i9=;>6=4>{I1e5>{i9=;=6=4>{I1e5>{i9=;<6=4>{I1e5>{i9=;36=4>{I1e5>{i9=;26=4>{I1e5>{i9=;j6=4>{I1e5>{i9=;i6=4>{I1e5>{i9=;h6=4>{I1e5>{i9=;o6=4>{I1e5>{i9=;n6=4>{I1e5>{i9=;m6=4>{I1e5>{i9=8;6=4>{I1e5>{i9=8:6=4>{I1e5>{i9=896=4>{I1e5>{i9=886=4>{I1e5>{i9=8?6=4>{I1e5>{i9=8>6=4>{I1e5>{i9=8=6=4>{I1e5>{i9=8<6=4>{I1e5>{i9=836=4>{I1e5>{i9=826=4>{I1e5>{i9=8j6=4>{I1e5>{i9=8i6=4>{I1e5>{i9=8h6=4>{I1e5>{i9=8o6=4>{I1e5>{i9=8n6=4>{I1e5>{i9=8m6=4>{I1e5>{i9=9;6=4>{I1e5>{i9=9:6=4>{I1e5>{i9=996=4>{I1e5>{i9=986=4>{I1e5>{i9=9?6=4>{I1e5>{i9=9>6=4>{I1e5>{i9=9=6=4>{I1e5>{i9=9<6=4>{I1e5>{i9=936=4>{I1e5>{i9=926=4>{I1e5>{i9=9j6=4>{I1e5>{i9=9i6=4>{I1e5>{i9=9h6=4>{I1e5>{i9=9o6=4>{I1e5>{i9=9n6=4>{I1e5>{i9=9m6=4>{I1e5>{i9=>;6=4>{I1e5>{i9=>:6=4>{I1e5>{i9=>96=4>{I1e5>{i9=>86=4>{I1e5>{i9=>?6=4>{I1e5>{i9=>>6=4>{I1e5>{i9=>=6=4>{I1e5>{i9=><6=4>{I1e5>{i9=>36=4>{I1e5>{i9=>26=4>{I1e5>{i9=>j6=4>{I1e5>{i9=>i6=4>{I1e5>{i9=>h6=4>{I1e5>{i9=>o6=4>{I1e5>{i9=>n6=4>{I1e5>{i9=>m6=4>{I1e5>{i9=?;6=4>{I1e5>{i9=?:6=4>{I1e5>{i9=?96=4>{I1e5>{i9=?86=4>{I1e5>{i9=??6=4>{I1e5>{i9=?>6=4>{I1e5>{i9=?=6=4>{I1e5>{i9=?<6=4>{I1e5>{i9=?36=4>{I1e5>{i9=?26=4>{I1e5>{i9=?j6=4>{I1e5>{i9=?i6=4>{I1e5>{i9=?h6=4>{I1e5>{i9=?o6=4>{I1e5>{i9=?n6=4>{I1e5>{i9=?m6=4>{I1e5>{i9=<;6=4>{I1e5>{i9=<:6=4>{I1e5>{i9=<96=4>{I1e5>{i9=<86=4>{I1e5>{i9={I1e5>{i9=<>6=4>{I1e5>{i9=<=6=4>{I1e5>{i9=<<6=4>{I1e5>{i9=<36=4>{I1e5>{i9=<26=4>{I1e5>{i9={I1e5>{i9={I1e5>{i9={I1e5>{i9={I1e5>{i9={I1e5>{i9={I1e5>{i9==;6=4>{I1e5>{i9==:6=4>{I1e5>{i9==96=4>{I1e5>{i9==86=4>{I1e5>{i9==?6=4>{I1e5>{i9==>6=4>{I1e5>{i9===6=4>{I1e5>{i9==<6=4>{I1e5>{i9==36=4>{I1e5>{i9==26=4>{I1e5>{i9==j6=4>{I1e5>{i9==i6=4>{I1e5>{i9==h6=4>{I1e5>{i9==o6=4>{I1e5>{i9==n6=4>{I1e5>{i9==m6=4>{I1e5>{i9=2;6=4>{I1e5>{i9=2:6=4>{I1e5>{i9=296=4>{I1e5>{i9=286=4>{I1e5>{i9=2?6=4>{I1e5>{i9=2>6=4>{I1e5>{i9=2=6=4>{I1e5>{i9=2<6=4>{I1e5>{i9=236=4>{I1e5>{i9=226=4>{I1e5>{i9=2j6=4>{I1e5>{i9=2i6=4>{I1e5>{i9=2h6=4>{I1e5>{i9=2o6=4>{I1e5>{i9=2n6=4>{I1e5>{i9=2m6=4>{I1e5>{i9=3;6=4>{I1e5>{i9=3:6=4>{I1e5>{i9=396=4>{I1e5>{i9=386=4>{I1e5>{i9=3?6=4>{I1e5>{i9=3>6=4>{I1e5>{i9=3=6=4>{I1e5>{i9=3<6=4>{I1e5>{i9=336=4>{I1e5>{i9=326=4>{I1e5>{i9=3j6=4>{I1e5>{i9=3i6=4>{I1e5>{i9=3h6=4>{I1e5>{i9=3o6=4>{I1e5>{i9=3n6=4>{I1e5>{i9=3m6=4>{I1e5>{i9=k;6=4>{I1e5>{i9=k:6=4>{I1e5>{i9=k96=4>{I1e5>{i9=k86=4>{I1e5>{i9=k?6=4>{I1e5>{i9=k>6=4>{I1e5>{i9=k=6=4>{I1e5>{i9=k<6=4>{I1e5>{i9=k36=4>{I1e5>{i9=k26=4>{I1e5>{i9=kj6=4>{I1e5>{i9=ki6=4>{I1e5>{i9=kh6=4>{I1e5>{i9=ko6=4>{I1e5>{i9=kn6=4>{I1e5>{i9=km6=4>{I1e5>{i9=h;6=4>{I1e5>{i9=h:6=4>{I1e5>{i9=h96=4>{I1e5>{i9=h86=4>{I1e5>{i9=h?6=4>{I1e5>{i9=h>6=4>{I1e5>{i9=h=6=4>{I1e5>{i9=h<6=4>{I1e5>{i9=h36=4>{I1e5>{i9=h26=4>{I1e5>{i9=hj6=4>{I1e5>{i9=hi6=4>{I1e5>{i9=hh6=4>{I1e5>{i9=ho6=4>{I1e5>{i9=hn6=4>{I1e5>{i9=hm6=4>{I1e5>{i9=i;6=4>{I1e5>{i9=i:6=4>{I1e5>{i9=i96=4>{I1e5>{i9=i86=4>{I1e5>{i9=i?6=4>{I1e5>{i9=i>6=4>{I1e5>{i9=i=6=4>{I1e5>{i9=i<6=4>{I1e5>{i9=i36=4>{I1e5>{i9=i26=4>{I1e5>{i9=ij6=4>{I1e5>{i9=ii6=4>{I1e5>{i9=ih6=4>{I1e5>{i9=io6=4>{I1e5>{i9=in6=4>{I1e5>{i9=im6=4>{I1e5>{i9=n;6=4>{I1e5>{i9=n:6=4>{I1e5>{i9=n96=4>{I1e5>{i9=n86=4>{I1e5>{i9=n?6=4>{I1e5>{i9=n>6=4>{I1e5>{i9=n=6=4>{I1e5>{i9=n<6=4>{I1e5>{i9=n36=4>{I1e5>{i9=n26=4>{I1e5>{i9=nj6=4>{I1e5>{i9=ni6=4>{I1e5>{i9=nh6=4>{I1e5>{i9=no6=4>{I1e5>{i9=nn6=4>{I1e5>{i9=nm6=4>{I1e5>{i9=o;6=4>{I1e5>{i9=o:6=4>{I1e5>{i9=o96=4>{I1e5>{i9=o86=4>{I1e5>{i9=o?6=4>{I1e5>{i9=o>6=4>{I1e5>{i9=o=6=4>{I1e5>{i9=o<6=4>{I1e5>{i9=o36=4>{I1e5>{i9=o26=4>{I1e5>{i9=oj6=4>{I1e5>{i9=oi6=4>{I1e5>{i9=oh6=4>{I1e5>{i9=oo6=4>{I1e5>{i9=on6=4>{I1e5>{i9=om6=4>{I1e5>{i9=l;6=4>{I1e5>{i9=l:6=4>{I1e5>{i9=l96=4>{I1e5>{i9=l86=4>{I1e5>{i9=l?6=4>{I1e5>{i9=l>6=4>{I1e5>{i9=l=6=4>{I1e5>{i9=l<6=4>{I1e5>{i9=l36=4>{I1e5>{i9=l26=4>{I1e5>{i9=lj6=4>{I1e5>{i9=li6=4>{I1e5>{i9=lh6=4>{I1e5>{i9=lo6=4>{I1e5>{i9=ln6=4>{I1e5>{i9=lm6=4>{I1e5>{i9<:;6=4>{I1e5>{i9<::6=4>{I1e5>{i9<:96=4>{I1e5>{i9<:86=4>{I1e5>{i9<:?6=4>{I1e5>{i9<:>6=4>{I1e5>{i9<:=6=4>{I1e5>{i9<:<6=4>{I1e5>{i9<:36=4>{I1e5>{i9<:26=4>{I1e5>{i9<:j6=4>{I1e5>{i9<:i6=4>{I1e5>{i9<:h6=4>{I1e5>{i9<:o6=4>{I1e5>{i9<:n6=4>{I1e5>{i9<:m6=4>{I1e5>{i9<;;6=4>{I1e5>{i9<;:6=4>{I1e5>{i9<;96=4>{I1e5>{i9<;86=4>{I1e5>{i9<;?6=4>{I1e5>{i9<;>6=4>{I1e5>{i9<;=6=4>{I1e5>{i9<;<6=4>{I1e5>{i9<;36=4>{I1e5>{i9<;26=4>{I1e5>{i9<;j6=4>{I1e5>{i9<;i6=4>{I1e5>{i9<;h6=4>{I1e5>{i9<;o6=4>{I1e5>{i9<;n6=4>{I1e5>{i9<;m6=4>{I1e5>{i9<8;6=4>{I1e5>{i9<8:6=4>{I1e5>{i9<896=4>{I1e5>{i9<886=4>{I1e5>{i9<8?6=4>{I1e5>{i9<8>6=4>{I1e5>{i9<8=6=4>{I1e5>{i9<8<6=4>{I1e5>{i9<836=4>{I1e5>{i9<826=4>{I1e5>{i9<8j6=4>{I1e5>{i9<8i6=4>{I1e5>{i9<8h6=4>{I1e5>{i9<8o6=4>{I1e5>{i9<8n6=4>{I1e5>{i9<8m6=4>{I1e5>{i9<9;6=4>{I1e5>{i9<9:6=4>{I1e5>{i9<996=4>{I1e5>{i9<986=4>{I1e5>{i9<9?6=4>{I1e5>{i9<9>6=4>{I1e5>{i9<9=6=4>{I1e5>{i9<9<6=4>{I1e5>{i9<936=4>{I1e5>{i9<926=4>{I1e5>{i9<9j6=4>{I1e5>{i9<9i6=4>{I1e5>{i9<9h6=4>{I1e5>{i9<9o6=4>{I1e5>{i9<9n6=4>{I1e5>{i9<9m6=4>{I1e5>{i9<>;6=4>{I1e5>{i9<>:6=4>{I1e5>{i9<>96=4>{I1e5>{i9<>86=4>{I1e5>{i9<>?6=4>{I1e5>{i9<>>6=4>{I1e5>{i9<>=6=4>{I1e5>{i9<><6=4>{I1e5>{i9<>36=4>{I1e5>{i9<>26=4>{I1e5>{i9<>j6=4>{I1e5>{i9<>i6=4>{I1e5>{i9<>h6=4>{I1e5>{i9<>o6=4>{I1e5>{i9<>n6=4>{I1e5>{i9<>m6=4>{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i96=4>{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9<<;6=4>{I1e5>{i9<<:6=4>{I1e5>{i9<<96=4>{I1e5>{i9<<86=4>{I1e5>{i9<{I1e5>{i9<<>6=4>{I1e5>{i9<<=6=4>{I1e5>{i9<<<6=4>{I1e5>{i9<<36=4>{I1e5>{i9<<26=4>{I1e5>{i9<{I1e5>{i9<{I1e5>{i9<{I1e5>{i9<{I1e5>{i9<{I1e5>{i9<{I1e5>{i9<=;6=4>{I1e5>{i9<=:6=4>{I1e5>{i9<=96=4>{I1e5>{i9<=86=4>{I1e5>{i9<=?6=4>{I1e5>{i9<=>6=4>{I1e5>{i9<==6=4>{I1e5>{i9<=<6=4>{I1e5>{i9<=36=4>{I1e5>{i9<=26=4>{I1e5>{i9<=j6=4>{I1e5>{i9<=i6=4>{I1e5>{i9<=h6=4>{I1e5>{i9<=o6=4>{I1e5>{i9<=n6=4>{I1e5>{i9<=m6=4>{I1e5>{i9<2;6=4>{I1e5>{i9<2:6=4>{I1e5>{i9<296=4>{I1e5>{i9<286=4>{I1e5>{i9<2?6=4>{I1e5>{i9<2>6=4>{I1e5>{i9<2=6=4>{I1e5>{i9<2<6=4>{I1e5>{i9<236=4>{I1e5>{i9<226=4>{I1e5>{i9<2j6=4>{I1e5>{i9<2i6=4>{I1e5>{i9<2h6=4>{I1e5>{i9<2o6=4>{I1e5>{i9<2n6=4>{I1e5>{i9<2m6=4>{I1e5>{i9<3;6=4>{I1e5>{i9<3:6=4>{I1e5>{i9<396=4>{I1e5>{i9<386=4>{I1e5>{i9<3?6=4>{I1e5>{i9<3>6=4>{I1e5>{i9<3=6=4>{I1e5>{i9<3<6=4>{I1e5>{i9<336=4>{I1e5>{i9<326=4>{I1e5>{i9<3j6=4>{I1e5>{i9<3i6=4>{I1e5>{i9<3h6=4>{I1e5>{i9<3o6=4>{I1e5>{i9<3n6=4>{I1e5>{i9<3m6=4>{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i96=4>{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i96=4>{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i96=4>{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i96=4>{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i96=4>{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i96=4>{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9{I1e5>{i9?:;6=4>{I1e5>{i9?::6=4>{I1e5>{i9?:96=4>{I1e5>{i9?:86=4>{I1e5>{i9?:?6=4>{I1e5>{i9?:>6=4>{I1e5>{i9?:=6=4>{I1e5>{i9?:<6=4>{I1e5>{i9?:36=4>{I1e5>{i9?:26=4>{I1e5>{i9?:j6=4>{I1e5>{i9?:i6=4>{I1e5>{i9?:h6=4>{I1e5>{i9?:o6=4>{I1e5>{i9?:n6=4>{I1e5>{i9?:m6=4>{I1e5>{i9?;;6=4>{I1e5>{i9?;:6=4>{I1e5>{i9?;96=4>{I1e5>{i9?;86=4>{I1e5>{i9?;?6=4>{I1e5>{i9?;>6=4>{I1e5>{i9?;=6=4>{I1e5>{i9?;<6=4>{I1e5>{i9?;36=4>{I1e5>{i9?;26=4>{I1e5>{i9?;j6=4>{I1e5>{i9?;i6=4>{I1e5>{i9?;h6=4>{I1e5>{i9?;o6=4>{I1e5>{i9?;n6=4>{I1e5>{i9?;m6=4>{I1e5>{i9?8;6=4>{I1e5>{i9?8:6=4>{I1e5>{i9?896=4>{I1e5>{i9?886=4>{I1e5>{i9?8?6=4>{I1e5>{i9?8>6=4>{I1e5>{i9?8=6=4>{I1e5>{i9?8<6=4>{I1e5>{i9?836=4>{I1e5>{i9?826=4>{I1e5>{i9?8j6=4>{I1e5>{i9?8i6=4>{I1e5>{i9?8h6=4>{I1e5>{i9?8o6=4>{I1e5>{i9?8n6=4>{I1e5>{i9?8m6=4>{I1e5>{i9?9;6=4>{I1e5>{i9?9:6=4>{I1e5>{i9?996=4>{I1e5>{i9?986=4>{I1e5>{i9?9?6=4>{I1e5>{i9?9>6=4>{I1e5>{i9?9=6=4>{I1e5>{i9?9<6=4>{I1e5>{i9?936=4>{I1e5>{i9?926=4>{I1e5>{i9?9j6=4>{I1e5>{i9?9i6=4>{I1e5>{i9?9h6=4>{I1e5>{i9?9o6=4>{I1e5>{i9?9n6=4>{I1e5>{i9?9m6=4>{I1e5>{i9?>;6=4>{I1e5>{i9?>:6=4>{I1e5>{i9?>96=4>{I1e5>{i9?>86=4>{I1e5>{i9?>?6=4>{I1e5>{i9?>>6=4>{I1e5>{i9?>=6=4>{I1e5>{i9?><6=4>{I1e5>{i9?>36=4>{I1e5>{i9?>26=4>{I1e5>{i9?>j6=4>{I1e5>{i9?>i6=4>{I1e5>{i9?>h6=4>{I1e5>{i9?>o6=4>{I1e5>{i9?>n6=4>{I1e5>{i9?>m6=4>{I1e5>{i9??;6=4>{I1e5>{i9??:6=4>{I1e5>{i9??96=4>{I1e5>{i9??86=4>{I1e5>{i9???6=4>{I1e5>{i9??>6=4>{I1e5>{i9??=6=4>{I1e5>{i9??<6=4>{I1e5>{i9??36=4>{I1e5>{i9??26=4>{I1e5>{i9??j6=4>{I1e5>{i9??i6=4>{I1e5>{i9??h6=4>{I1e5>{i9??o6=4>{I1e5>{i9??n6=4>{I1e5>{i9??m6=4>{I1e5>{i9?<;6=4>{I1e5>{i9?<:6=4>{I1e5>{i9?<96=4>{I1e5>{i9?<86=4>{I1e5>{i9?{I1e5>{i9?<>6=4>{I1e5>{i9?<=6=4>{I1e5>{i9?<<6=4>{I1e5>{i9?<36=4>{I1e5>{i9?<26=4>{I1e5>{i9?{I1e5>{i9?{I1e5>{i9?{I1e5>{i9?{I1e5>{i9?{I1e5>{i9?{I1e5>{i9?=;6=4>{I1e5>{i9?=:6=4>{I1e5>{i9?=96=4>{I1e5>{i9?=86=4>{I1e5>{i9?=?6=4>{I1e5>{i9?=>6=4>{I1e5>{i9?==6=4>{I1e5>{i9?=<6=4>{I1e5>{i9?=36=4>{I1e5>{i9?=26=4>{I1e5>{i9?=j6=4>{I1e5>{i9?=i6=4>{I1e5>{i9?=h6=4>{I1e5>{i9?=o6=4>{I1e5>{i9?=n6=4>{I1e5>{i9?=m6=4>{I1e5>{i9?2;6=4>{I1e5>{i9?2:6=4>{I1e5>{i9?296=4>{I1e5>{i9?286=4>{I1e5>{i9?2?6=4>{I1e5>{i9?2>6=4>{I1e5>{i9?2=6=4>{I1e5>{i9?2<6=4>{I1e5>{i9?236=4>{I1e5>{i9?226=4>{I1e5>{i9?2j6=4>{I1e5>{i9?2i6=4>{I1e5>{i9?2h6=4>{I1e5>{i9?2o6=4>{I1e5>{i9?2n6=4>{I1e5>{i9?2m6=4>{I1e5>{i9?3;6=4>{I1e5>{i9?3:6=4>{I1e5>{i9?396=4>{I1e5>{i9?386=4>{I1e5>{i9?3?6=4>{I1e5>{i9?3>6=4>{I1e5>{i9?3=6=4>{I1e5>{i9?3<6=4>{I1e5>{i9?336=4>{I1e5>{i9?326=4>{I1e5>{i9?3j6=4>{I1e5>{i9?3i6=4>{I1e5>{i9?3h6=4>{I1e5>{i9?3o6=4>{I1e5>{i9?3n6=4>{I1e5>{i9?3m6=4>{I1e5>{i9?k;6=4>{I1e5>{i9?k:6=4>{I1e5>{i9?k96=4>{I1e5>{i9?k86=4>{I1e5>{i9?k?6=4>{I1e5>{i9?k>6=4>{I1e5>{i9?k=6=4>{I1e5>{i9?k<6=4>{I1e5>{i9?k36=4>{I1e5>{i9?k26=4>{I1e5>{i9?kj6=4>{I1e5>{i9?ki6=4>{I1e5>{i9?kh6=4>{I1e5>{i9?ko6=4>{I1e5>{i9?kn6=4>{I1e5>{i9?km6=4>{I1e5>{i9?h;6=4>{I1e5>{i9?h:6=4>{I1e5>{i9?h96=4>{I1e5>{i9?h86=4>{I1e5>{i9?h?6=4>{I1e5>{i9?h>6=4>{I1e5>{i9?h=6=4>{I1e5>{i9?h<6=4>{I1e5>{i9?h36=4>{I1e5>{i9?h26=4>{I1e5>{i9?hj6=4>{I1e5>{i9?hi6=4>{I1e5>{i9?hh6=4>{I1e5>{i9?ho6=4>{I1e5>{i9?hn6=4>{I1e5>{i9?hm6=4>{I1e5>{i9?i;6=4>{I1e5>{i9?i:6=4>{I1e5>{i9?i96=4>{I1e5>{i9?i86=4>{I1e5>{i9?i?6=4>{I1e5>{i9?i>6=4>{I1e5>{i9?i=6=4>{I1e5>{i9?i<6=4>{I1e5>{i9?i36=4>{I1e5>{i9?i26=4>{I1e5>{i9?ij6=4>{I1e5>{i9?ii6=4>{I1e5>{i9?ih6=4>{I1e5>{i9?io6=4>{I1e5>{i9?in6=4>{I1e5>{i9?im6=4>{I1e5>{i9?n;6=4>{I1e5>{i9?n:6=4>{I1e5>{i9?n96=4>{I1e5>{i9?n86=4>{I1e5>{i9?n?6=4>{I1e5>{i9?n>6=4>{I1e5>{i9?n=6=4>{I1e5>{i9?n<6=4>{I1e5>{i9?n36=4>{I1e5>{i9?n26=4>{I1e5>{i9?nj6=4>{I1e5>{i9?ni6=4>{I1e5>{i9?nh6=4>{I1e5>{i9?no6=4>{I1e5>{i9?nn6=4>{I1e5>{i9?nm6=4>{I1e5>{i9?o;6=4>{I1e5>{i9?o:6=4>{I1e5>{i9?o96=4>{I1e5>{i9?o86=4>{I1e5>{i9?o?6=4>{I1e5>{i9?o>6=4>{I1e5>{i9?o=6=4>{I1e5>{i9?o<6=4>{I1e5>{i9?o36=4>{I1e5>{i9?o26=4>{I1e5>{i9?oj6=4>{I1e5>{i9?oi6=4>{I1e5>{i9?oh6=4>{I1e5>{i9?oo6=4>{I1e5>{i9?on6=4>{I1e5>{i9?om6=4>{I1e5>{i9?l;6=4>{I1e5>{i9?l:6=4>{I1e5>{i9?l96=4>{I1e5>{i9?l86=4>{I1e5>{i9?l?6=4>{I1e5>{i9?l>6=4>{I1e5>{i9?l=6=4>{I1e5>{i9?l<6=4>{I1e5>{i9?l36=4>{I1e5>{i9?l26=4>{I1e5>{i9?lj6=4>{I1e5>{i9?li6=4>{I1e5>{i9?lh6=4>{I1e5>{i9?lo6=4>{I1e5>{i9?ln6=4>{I1e5>{i9?lm6=4>{I1e5>{i9>:;6=4>{I1e5>{i9>::6=4>{I1e5>{i9>:96=4>{I1e5>{i9>:86=4>{I1e5>{i9>:?6=4>{I1e5>{i9>:>6=4>{I1e5>{i9>:=6=4>{I1e5>{i9>:<6=4>{I1e5>{i9>:36=4>{I1e5>{i9>:26=4>{I1e5>{i9>:j6=4>{I1e5>{i9>:i6=4>{I1e5>{i9>:h6=4>{I1e5>{i9>:o6=4>{I1e5>{i9>:n6=4>{I1e5>{i9>:m6=4>{I1e5>{i9>;;6=4>{I1e5>{i9>;:6=4>{I1e5>{i9>;96=4>{I1e5>{i9>;86=4>{I1e5>{i9>;?6=4>{I1e5>{i9>;>6=4>{I1e5>{i9>;=6=4>{I1e5>{i9>;<6=4>{I1e5>{i9>;36=4>{I1e5>{i9>;26=4>{I1e5>{i9>;j6=4>{I1e5>{i9>;i6=4>{I1e5>{i9>;h6=4>{I1e5>{i9>;o6=4>{I1e5>{i9>;n6=4>{I1e5>{i9>;m6=4>{I1e5>{i9>8;6=4>{I1e5>{i9>8:6=4>{I1e5>{i9>896=4>{I1e5>{i9>886=4>{I1e5>{i9>8?6=4>{I1e5>{i9>8>6=4>{I1e5>{i9>8=6=4>{I1e5>{i9>8<6=4>{I1e5>{i9>836=4>{I1e5>{i9>826=4>{I1e5>{i9>8j6=4>{I1e5>{i9>8i6=4>{I1e5>{i9>8h6=4>{I1e5>{i9>8o6=4>{I1e5>{i9>8n6=4>{I1e5>{i9>8m6=4>{I1e5>{i9>9;6=4>{I1e5>{i9>9:6=4>{I1e5>{i9>996=4>{I1e5>{i9>986=4>{I1e5>{i9>9?6=4>{I1e5>{i9>9>6=4>{I1e5>{i9>9=6=4>{I1e5>{i9>9<6=4>{I1e5>{i9>936=4>{I1e5>{i9>926=4>{I1e5>{i9>9j6=4>{I1e5>{i9>9i6=4>{I1e5>{i9>9h6=4>{I1e5>{i9>9o6=4>{I1e5>{i9>9n6=4>{I1e5>{i9>9m6=4>{I1e5>{i9>>;6=4>{I1e5>{i9>>:6=4>{I1e5>{i9>>96=4>{I1e5>{i9>>86=4>{I1e5>{i9>>?6=4>{I1e5>{i9>>>6=4>{I1e5>{i9>>=6=4>{I1e5>{i9>><6=4>{I1e5>{i9>>36=4>{I1e5>{i9>>26=4>{I1e5>{i9>>j6=4>{I1e5>{i9>>i6=4>{I1e5>{i9>>h6=4>{I1e5>{i9>>o6=4>{I1e5>{i9>>n6=4>{I1e5>{i9>>m6=4>{I1e5>{i9>?;6=4>{I1e5>{i9>?:6=4>{I1e5>{i9>?96=4>{I1e5>{i9>?86=4>{I1e5>{i9>??6=4>{I1e5>{i9>?>6=4>{I1e5>{i9>?=6=4>{I1e5>{i9>?<6=4>{I1e5>{i9>?36=4>{I1e5>{i9>?26=4>{I1e5>{i9>?j6=4>{I1e5>{i9>?i6=4>{I1e5>{i9>?h6=4>{I1e5>{i9>?o6=4>{I1e5>{i9>?n6=4>{I1e5>{i9>?m6=4>{I1e5>{i9><;6=4>{I1e5>{i9><:6=4>{I1e5>{i9><96=4>{I1e5>{i9><86=4>{I1e5>{i9>{I1e5>{i9><>6=4>{I1e5>{i9><=6=4>{I1e5>{i9><<6=4>{I1e5>{i9><36=4>{I1e5>{i9><26=4>{I1e5>{i9>{I1e5>{i9>{I1e5>{i9>{I1e5>{i9>{I1e5>{i9>{I1e5>{i9>{I1e5>{i9>=;6=4>{I1e5>{i9>=:6=4>{I1e5>{i9>=96=4>{I1e5>{i9>=86=4>{I1e5>{i9>=?6=4>{I1e5>{i9>=>6=4>{I1e5>{i9>==6=4>{I1e5>{i9>=<6=4>{I1e5>{i9>=36=4>{I1e5>{i9>=26=4>{I1e5>{i9>=j6=4>{I1e5>{i9>=i6=4>{I1e5>{i9>=h6=4>{I1e5>{i9>=o6=4>{I1e5>{i9>=n6=4>{I1e5>{i9>=m6=4>{I1e5>{i9>2;6=4>{I1e5>{i9>2:6=4>{I1e5>{i9>296=4>{I1e5>{i9>286=4>{I1e5>{i9>2?6=4>{I1e5>{i9>2>6=4>{I1e5>{i9>2=6=4>{I1e5>{i9>2<6=4>{I1e5>{i9>236=4>{I1e5>{i9>226=4>{I1e5>{i9>2j6=4>{I1e5>{i9>2i6=4>{I1e5>{i9>2h6=4>{I1e5>{i9>2o6=4>{I1e5>{i9>2n6=4>{I1e5>{i9>2m6=4>{I1e5>{i9>3;6=4>{I1e5>{i9>3:6=4>{I1e5>{i9>396=4>{I1e5>{i9>386=4>{I1e5>{i9>3?6=4>{I1e5>{i9>3>6=4>{I1e5>{i9>3=6=4>{I1e5>{i9>3<6=4>{I1e5>{i9>336=4>{I1e5>{i9>326=4>{I1e5>{i9>3j6=4>{I1e5>{i9>3i6=4>{I1e5>{i9>3h6=4>{I1e5>{i9>3o6=4>{I1e5>{zutJKOv?m76865d?11?3vLMLt0|BCT~{GH \ No newline at end of file Index: github/Snake-master/base.pcf =================================================================== --- github/Snake-master/base.pcf (revision 428) +++ github/Snake-master/base.pcf (nonexistent) @@ -1,24 +0,0 @@ -//! ************************************************************************** -// Written by: Map M.70d on Thu May 08 19:58:57 2014 -//! ************************************************************************** - -SCHEMATIC START; -COMP "R<0>" LOCATE = SITE "P13" LEVEL 1; -COMP "color<0>" LOCATE = SITE "P85" LEVEL 1; -COMP "color<1>" LOCATE = SITE "P88" LEVEL 1; -COMP "color<2>" LOCATE = SITE "P90" LEVEL 1; -COMP "LEFT" LOCATE = SITE "P49" LEVEL 1; -COMP "DOWN" LOCATE = SITE "P50" LEVEL 1; -COMP "led<0>" LOCATE = SITE "P36" LEVEL 1; -COMP "led<1>" LOCATE = SITE "P46" LEVEL 1; -COMP "led<2>" LOCATE = SITE "P35" LEVEL 1; -COMP "led<3>" LOCATE = SITE "P34" LEVEL 1; -COMP "clk_50" LOCATE = SITE "P43" LEVEL 1; -COMP "UP" LOCATE = SITE "P39" LEVEL 1; -COMP "hsync" LOCATE = SITE "P15" LEVEL 1; -COMP "RIGHT" LOCATE = SITE "P37" LEVEL 1; -COMP "vsync" LOCATE = SITE "P12" LEVEL 1; -COMP "B<0>" LOCATE = SITE "P20" LEVEL 1; -COMP "G<0>" LOCATE = SITE "P16" LEVEL 1; -SCHEMATIC END; - Index: github/Snake-master/base.ngd =================================================================== --- github/Snake-master/base.ngd (revision 428) +++ github/Snake-master/base.ngd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$8335=6>2.Yi{g|inl9$4(5<8$9"9.+1:2:?56789:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0593457339:;>95?01:7?5658;1;=95?41A7?507I=1;;KH=;1:7?5C7K=1;J=<;;1D321=7N8L:7<:411230>7688>0=586<2;?6D@_UU8B@ATE4891<3??;0:9MKVR\3K7=:4?>0285=7;2=56=603CE\XZ5BBKM841=87;>7<65OTVSQQ;;32341=5:;K?7?ONA39057=4:=18>?<;;200444<;;0BB][[:EKB867=87;97><5IORVP?BNJ59:6=0>3:11>JSSX\^1HBO33083:45<;;0DYY^ZT;FLF956294?7>=>3038730?LMNd:1p656f~x;;< :;;53701=3?79;JF397247<<3CE\XZ5DHRB86<768;087GAPTV9@LVE4:0;2<>44;KMTPR=_LK7?7>11197>LHW]]0\IO2<:1AIWJ591<3h44;MVPUSS2N686=0i;58LQQVR\3X7?7>11097>JSSX\^1`hj33;2=0>2AMO>098;;2:750>311H>09;ON2:740>30NO;0:<584:54B@40;KHI1::1?=6331:;<9572E07?=>?I=134K6;;9CAE1=>102?747K509B6>G?<2K345:4A9CA0>G;87?0M1??>49B8479=2K7=?0:;@>27;3G;9?4<7L2>7;2=1>G;9>4?7L2>>59B87833H68295N<5<7?D:26=1J0;0;;@>4:1=F414?7L26>59BE7G33HK3M95NA@:7?DGF1=1JMLK;;@CG10=FLMXJ46OKDSC?4;?89B@ATF48;556OKDSC?578e3HNO^L2>3;2==>GCL[K7=>07;@FGVD:6611JHI\N<3<;?DBCZH68255NDEPB818?3HNO^L2:>99B@ATF4?437LJKR@>4:==FLMXJ0507;@FGVD:>6<1JHI\M8:CG@WD;8730MIJ]B=33:<=FLMXI027?6912KOH_L312<;?DBCZK6:255NDEPA878?3HNO^O2<>99B@ATE4=437LJKRC>6:==FLMXI0;07;@FGVG:0611JHI\M<9<;?DBCZK622h5NDE]DHJDFDVZBB>5NDS08E@249A8469=2H7=<0:;C>26;3D;9<4>7O2>6?58F970294>7O2>7?68F979<2H7>384B=194;2D;07>0N1714:@@MK199AGLH;9<437OMFN=35:d=EK@D7=:4?>99AGLH;9>4<7OMFN=3=3>DDAG692:5MCHL?7;169AGLH;?7=0NNGA<9<4?GENF53596LLOTV;?GEH]]6;245MCNWW846912HHCXZ310<:?GEH]]6:>374BBMVP974601IOB[[<06==>DDG\^7=806;CALQQ:6>7h0NNAZT=34>58>3KIDYY2>7?:8FFIR\5;546LLOTV?6;>DDG\^7:364BBMVP91902HHCXZ38?:8FFIR\53586L]EF18FP@33K_MK85MUGES55=E]@FOSYO]GDVBVFN?3K_XSD@IO99A[5YHJ\L37OQ>_N@VB==EW;UDNXH7;C]0[JDRN>1ISD@_UU38G1=D8=:?7N>7059@EF633JI2495LD131?FC43JOJ?6MJB29@AU43JEFADZ[EE58GWCF\LN:7I<4D408@246JI2:FJ7>BNI?1OEL2?>69GMD:687=0HDO310<4?AOF4885;6JFA=30:2=CAH6:8394DHC?50803MCJ0<817:FJE9706>1OEL2>8?58@LG;904=7IGN<0<4?AOF4;:5;6JFA=02:2=CAH69>394DHC?66803MCJ0?:17:FJE9426>1OEL2=6?58@LG;:>4<7IGN<3:=3>BNI5822;5KI@>1:2=CAH68<374DHC?74<76>1OEL2<1?48@LG;;7<0HDO34?48@LG;=7<0HDO36?48@LG;?7<0HDO38?48@LG;1790HDL9;EKA85803MCI0<>17:FJF9766>1OEO2>2?58@LD;9:4<7IGM<06=3>BNJ5;>2:5KIC>22;19?2NBN1?6>79GMG:66>1OEO2=0?58@LD;:84<7IGM<30=3>BNJ5882:5KIC>10;1808;EKA8709?2NBN1<8>69GMG:507=0HDL328<5?AOE4;4<7IGM<22==>BNJ59:6=08;EKA8679>2NBN1=16:FJF929>2NBN1;16:FJF909>2NBN1916:FJF9>9>2NBN1714:FJTD189GMUG;;3:5;6JFP@>0:1=CAYH<7IG_B=2=3>BNXK6:2:5KIQ@?6;?4?>69GMUD;;7<0HD\JCU18@JG13MEJ0=08;EMB8469?2NDM1?>>69GKD:6:7=0HBO312<4?AIF48>5;6J@A=36:2=CGH6::394DNC?52803MEJ0<617:FLE97>6?1OCL2>>69GKD:587=0HBO320<4?AIF4;85;6J@A=00:2=CGH698394DNC?60803MEJ0?817:FLE9406>1OCL2=8?58@JG;:04=7IAN<3<4?AIF4::556J@A=12>5803MEJ0>?16:FLE959>2NDM1:16:FLE939>2NDM1816:FLE919>2NDM1616:FLE9?9;2NDN;5KOC>3:2=CGK6:<394DN@?54803MEI0<<17:FLF9746>1OCO2>4?58@JD;9<4<7IAM<04=3>BHJ5;<2:5KOC>2<;117:FLF9466>1OCO2=2?58@JD;::4<7IAM<36=3>BHJ58>2:5KOC>12;1:08;EMA87>9?2NDN1<6>79GKG:56>1OCO2<0?;8@JD;;80;2:5KOC>05;0BHXH6;2:5KOQC?5;11OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959<2ND[C74DNUM[LDRNl1O^NQ\UBAFWV@RD81N>6K;4:G7014CAIK90ICO<;DLA<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI95I7GD1?C>33O23495IAE:7?CGBI=1MOKK;;GF@A6=ALL90JI\;;GFQA6=ALZ80JH:4FD@B0>@BNJ80JK:4FG2;0>@A9:>0JKF<2LMM;:4FGC;0>@AMH>0JKHJ8:DQKHYRKO;0K95H<1<7?B:66=1L0?09;F>0>5833N682>5HNE:8CZ6XGK_M46IP1^MAQC>=8:KMMQVX8:20ECG[P^27<>OIA]ZT<864IOKWTZ6102CEEY^P06;8MKOS[]K_I:5FNHV\451N7:KMMQY7J>1BBDZP0B58MKOSW9N<7D@FT^2F3>OIA]U;J:5FNHV\551369JJLRX9==0ECG[_074?LHN\V;=;6GAIU]232=NF@^T=594IOKW[4?03@DBXR?N7:KMMQY6J>1BBDZP1B58MKOSW8N<7D@FT^3F3>OIA]U:J:5FNHV\651594IOKW[7?03@DBXR1BBDZP2B58MKOSW;N<7D@FT^0F3>OIA]U9J:5FNHV\751?8;HLJPZ55?2CEEYQ<369JJLRX;==0ECG[_274?LHN\V9=;6GAIU]032=NF@^T?594IOKW[6?03@DBXR=N7:KMMQY4J>1BBDZP3B58MKOSW:N<7D@FT^1F3>OIA]U8J;5FNHV\E3=NF@^TN45FNHV\BLJB;2CEZh5FN^LD@ZGILVHHH;5FOBMNT3=NG]S[I95CEGV:?ICA\VCIYK<4LH58HLDUI[S97AA9;MMB@@B43EEH46B@C@NAQC1KRPO?:56Cnde]jjfYflmy~n=>?0^az8486j2GjhiQfnb]b`aurj9:;85Baef\vdkXimnyS}|f_`fgwpd789:Tot2=>^pw67=JimnT~lcPaefq[utnWhnoxl?012\g|:46;?0Aljk_scn[dbczVzyeRokdrwa4567Wjs7?3Q}t308IdbcW{kfSljkr^rqmZgclzi<=>?_b{?0;423DkohR|nm^cg`wYwz`Ujhi}zb1234Ze~4=4T~y<=;Lcg`ZtfeVkohQrh]b`aurj9:;KflmUym`Qndep\twoXimnxyo>?01]`}939W{~9>6Cnde]qehYflmxT|gPaefpqg6789Uhu181249NeabXzhgTmij}_qpj[dbc{|h;<=>Pcx>5:Zts:;1FmijPr`o\eabuWyxbSljkst`3456Xkp6<2?;4M`fg[wgjWhno~R~}i^cg`vse89:;Snw37?]qp747@okd^pbiZgcl{U{~dQndeqvf5678Vir050Pru01?HgclVxjaRokds]svlYflmy~n=>?0^az8<85=2GjhiQ}al]b`atXx{cTmij|uc2345Ydq535Sz=3:Ob`aYuidUjhi|Ppsk\eabt}k:;<=Qcuu>3:7??_ymq8469:>1FmijPr`o\eabuWyxbSljkst`3456Xpfx7==0Pru00?HgclVxjaRokds]svlYflmy~n=>?0^zlv929::1FmijPr`o\eabuWyxbSljkst`3456Xpfx793<<;Lcg`ZtfeVkohQrh]b`aurj9:;Pxnp?=;463DkohR|nm^cg`wYwz`Uxdl|j0123[f;878:7@okd^pbiZgcl{U{~dQ|h`pf4567Wjs7=3<>;Lcg`ZtfeVkohQrh]pldtb89:;Snw32?02?HgclVxjaRokds]svlYt`hxn<=>?_b{?7;463DkohR|nm^cg`wYwz`Uxdl|j0123[f;<78:7@okd^pbiZgcl{U{~dQ|h`pf4567Wjs793<>;Lcg`ZtfeVkohQrh]pldtb89:;Snw36?02?HgclVxjaRokds]svlYt`hxn<=>?_b{?3;463DkohR|nm^cg`wYwz`Uxdl|j0123[f;078:7@okd^pbiZgcl{U{~dQ|h`pf4567Wjs753<=;Lcg`ZtfeVkohQrh]pldtb89:;Sa{{<1<16>KflmUym`Qndep\twoX{akyi=>?0^nvp979:;1FmijPr`o\eabuWyxbS~fnrd2345Yk}}692?<4M`fg[wgjWhno~R~}i^qkewc789:T`xz33?01?HgclVxjaRokds]svlYt`hxn<=>?_mww8185:2GjhiQ}al]b`atXx{cTeo}e1234Zjr|5?5>?5Baef\vdkXimnyS}|f_rjbv`6789Usc2?>318IdbcW{kfSljkr^rqmZuoi{o;<=>Pxnp?5585:2GjhiQ}al]b`atXx{cTeo}e1234Z~hz5;5>?5Baef\vdkXimnyS}|f_rjbv`6789Usc2=>308IdbcW{kfSljkr^rqmZuoi{o;<=>Pxnp?7;453DkohR|nm^cg`wYwz`Uxdl|j0123[}iu4=49>6Cnde]qehYflmxT|gPsicqa5678Vrd~1;1239NeabXzhgTmij}_qpj[vnfzl:;<=Qwos>5:74?0^az858582GjhiQ}al]`kphsm{Uxdl|j0123[f;97887@okd^pbiZeh}g~n~R}gasg3456Xkp6:2R|{219NeabXzhgTob{atdp\wmgum9:;KflmUym`QlotlwawYt`hxn<=>?_b{?6;Yu|;:0Aljk_scn[firf}oyS~fnrd2345Ydq595>>5Baef\vdkXkfexh|Psicqa5678Vir0>0Pru03?HgclVxjaRm`uovfvZuoi{o;<=>Pcx>7:75?01]`}929W{~9<6Cnde]qehYdg|diQ|h`pf4567Wjs793<<;Lcg`ZtfeVidyczjr^qkewc789:Tot2:>^pw65=JimnT~lcPcnwmp`tX{akyi=>?0^az8385;2GjhiQ}al]`kphsm{Uxdl|j0123[f;>7Uyx?>4M`fg[wgjWje~byk}_rjbv`6789Uhu191229NeabXzhgTob{atdp\wmgum9:;Pcx>::Zts:81FmijPr`o\gjsi|lxTeo}e1234Zjr|5:5>:5Baef\vdkXkfexh|Psicqa5678Vf~x1>1_HLU[5463DkohR|nm^alqkrbzVycmk?012\|jt;87897@okd^pbiZeh}g~n~R}gasg3456Xpfx7==0=5:Ob`aYuidUhcx`{es]pldtb89:;Sua}<02=[wr592GjhiQ}al]`kphsm{Uxdl|j0123[}iu4849=6Cnde]qehYdg|diQ|h`pf4567Wqey0?0=1:Ob`aYuidUhcx`{es]pldtb89:;Sua}<2<15>KflmUym`QlotlwawYt`hxn<=>?_ymq818592GjhiQ}al]`kphsm{Uxdl|j0123[}iu4<49=6Cnde]qehYdg|diQ|h`pf4567Wqey0;0=1:Ob`aYuidUhcx`{es]pldtb89:;Sua}<6<15>KflmUym`QlotlwawYt`hxn<=>?_ymq8=8592GjhiQ}al]`kphsm{Uxdl|j0123[}iu404:;6Cnde]pmcXimn;<=>Pcx>3:41a:Ob`aYtasoTmij?012\g|:66Vx=:5Baef\wl|bWhno<=>?_b{?6;7f3DkohR}fzd]b`a6789Uhu1<1_sv23>KflmUxewkPaef3456Xkp682?0^az868Xz};<7@okd^qj~`Yflm:;<=Qly=6=5d=JimnTdtj_`fg4567Wjs783Q}t058IdbcWzcqiRokd1234Ze~4<4:m6Cnde]pmcXimn;<=>Pcx>6:Zts9>1FmijPshxf[dbc89:;Snw36?3b?HgclVybvhQnde2345Ydq5<5Sz>7:Ob`aYtasoTmij?012\g|:068k0Aljk_rkyaZgcl9:;?0^az8<86i2GjhiQ|i{g\eab789:Tot26>^pw5==JimnTdtj_`fg4567We0=0>e:Ob`aYtasoTmij?012\hpr;87UBB[Q?199NeabX{`pnSljk0123[}iu494:56Cnde]pmcXimn;<=>Pxnp?5586k2GjhiQ|i{g\eab789:Ttb|311<\vq7?3DkohR}fzd]b`a6789Usc2>>0:8IdbcWzcqiRokd1234Z~hz585=55Baef\wl|bWhno<=>?_ymq868602GjhiQ|i{g\eab789:Ttb|34?3;?HgclVybvhQnde2345Yg{6>2<64M`fg[vo}mVkoh=>?0^zlv909911FmijPshxf[dbc89:;Sua}<6<2<>KflmUxewkPaef3456Xpfx743?7;Lcg`ZunrlUjhi>?01]{kw:>6;80Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7<3<<;Lcg`ZrnkbUhcx`{es]b`aurj9:;KflmUenePcnwmp`tXimnxyo>?01]`}9766Vx>>5Baef\plelWje~byk}_`fgwpd789:Tot2>2?05?HgclV~bofQlotlwawYflmy~n=>?0^az8449W{~9?6Cnde]wmfmXkfexh|Paefpqg6789Uhu1?<>348IdbcW}chgRm`uovfvZgclzi<=>?_b{?568Xz}887@okd^vjgnYdg|diQndeqvf5678Vir0<:1279NeabX|`i`Snaznugq[dbc{|h;<=>Pcx>20;Yu|;90Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7=80=6:Ob`aYsajaTob{atdp\eabt}k:;<=Qly=36:Zts::1FmijPthah[firf}oySljkst`3456Xkp6::3<9;Lcg`ZrnkbUhcx`{es]b`aurj9:;;5Baef\plelWje~byk}_`fgwpd789:Tot2>8?]qp75^pw67=JimnTxdmd_bmvjqcuWhnoxl?012\g|:66;?0Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7=3Q}t318IdbcW}chgRm`uovfvZgclzi<=>?_b{?6585>2GjhiQ{ibi\gjsi|lxTmij|uc2345Ydq58;2R|{229NeabX|`i`Snaznugq[dbc{|h;<=>Pcx>15;413DkohRzfcj]`kphsm{Ujhi}zb1234Ze~4;;5Sz=3:Ob`aYsajaTob{atdp\eabt}k:;<=Qly=01:70KflmUenePcnwmp`tXimnxyo>?01]`}9436Vx>?5Baef\plelWje~byk}_`fgwpd789:Tot2=>378IdbcW}chgRm`uovfvZgclzi<=>?_b{?6;Yu|;80Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7?3<:;Lcg`ZrnkbUhcx`{es]b`aurj9:;KflmUenePcnwmp`tXimnxyo>?01]`}939:<1FmijPthah[firf}oySljkst`3456Xkp6>2R|{239NeabX|`i`Snaznugq[dbc{|h;<=>Pcx>5:737Uyx?<4M`fg[qodcVidyczjr^cg`vse89:;Snw37?06?HgclV~bofQlotlwawYflmy~n=>?0^az828Xz}897@okd^vjgnYdg|diQndeqvf5678Vir050=5:Ob`aYsajaTob{atdp\eabt}k:;<=Qly=:=[wr5:2GjhiQ{ibi\gjsi|lxTmij|uc2345Ydq535>85Baef\plelWje~byk}_`fgwpd789:Tot26>^pw66=JimnTxdmd_bmvjqcuWhnoxl?012\hpr;87827@okd^vjgnYdg|diQndeqvf5678Vf~x1>1_HLU[5443DkohRzfcj]`kphsm{Ujhi}zb1234Z~hz5:5>95Baef\plelWje~byk}_`fgwpd789:Ttb|311<10>KflmUenePcnwmp`tXimnxyo>?01]{kw:6978?7@okd^vjgnYdg|diQndeqvf5678Vrd~1?=>368IdbcW}chgRm`uovfvZgclzi<=>?_ymq8459:=1FmijPthah[firf}oySljkst`3456Xpfx7=90=4:Ob`aYsajaTob{atdp\eabt}k:;<=Qwos>21;433DkohRzfcj]`kphsm{Ujhi}zb1234Z~hz5;=2?:4M`fg[qodcVidyczjr^cg`vse89:;Sua}<05=61=JimnTxdmd_bmvjqcuWhnoxl?012\|jt;914986Cnde]wmfmXkfexh|Paefpqg6789Usc2>9?00?HgclV~bofQlotlwawYflmy~n=>?0^zlv979:=1FmijPthah[firf}oySljkst`3456Xpfx7>=0=4:Ob`aYsajaTob{atdp\eabt}k:;<=Qwos>15;433DkohRzfcj]`kphsm{Ujhi}zb1234Z~hz5892?:4M`fg[qodcVidyczjr^cg`vse89:;Sua}<31=61=JimnTxdmd_bmvjqcuWhnoxl?012\|jt;:=4986Cnde]wmfmXkfexh|Paefpqg6789Usc2=5?04?HgclV~bofQlotlwawYflmy~n=>?0^zlv9426Vx>>5Baef\plelWje~byk}_`fgwpd789:Ttb|32?00?HgclV~bofQlotlwawYflmy~n=>?0^zlv959::1FmijPthah[firf}oySljkst`3456Xpfx783<<;Lcg`ZrnkbUhcx`{es]b`aurj9:;7887@okd^vjgnYdg|diQndeqvf5678Vrd~191229NeabX|`i`Snaznugq[dbc{|h;<=>Pxnp?<;443DkohRzfcj]`kphsm{Ujhi}zb1234Z~hz535o6CnjnpUawungg8>7@m`mqcq[wgjWhno~R~}i^antZas89:;Snw30?06?HeheykySob_`fgvZvuaVif|Ri{0123[f;978>7@m`mqcq[wgjWhno~R~}i^antZas89:;Snw32?05?HeheykySob_`fgvZvuaVif|Ri{0123[iss4949:6ClolrbvZtfeVkohQrh]`iuY`|9:;;LaliuguWz~jxhQlmq]op5678Vir0=0Pru3e?HeheykyS~zntd]`iuYk|9:;Pcx>2:4c?_b{?6;7b3Dida}o}_rvbp`YdeyUgx=>?0^az8686m2Ghc`~nr^qweqcXkdzT`y>?01]`}929:81Fobcas]ppdrbWjg{Saz?012\g|:36Vx=h5BcnosewYt|h~nSnc_mv3456Xkp6>2Kdgdzj~R}{aug\ghvXd}:;<=Qly=:=5`=Jkfg{mQ|t`vf[fkwWe~;<=>Pcx>::77?_b{?=;Yu|;:0Anabp`p\wqgsmVif|Rb{0123[iss48:5=k5BcnosewYt|h~nSnc_mv3456Xd|~7=3?i;LaliuguWz~jxhQlmq]op5678Vf~x1<11g9Ngjkwi{Uxxlzj_bos[ir789:T`xz33?06?HeheykyS~zntd]`iuYk|9:;85BcnosewYt|h~nSnc_mv3456Xd|~793QFNW]35c=Jkfg{mQ|t`vf[fkwWe~;<=>Pltv?2;7a3Dida}o}_rvbp`YdeyUgx=>?0^nvp9>9:<1Fobcas]ppdrbWjg{Saz?012\hpr;07UBB[Q?c:OjjjtQm{ybcc?m;LovhqYuidUjhi|Ppsk\ipjs89:;><5Bst`\vdkXlh~jSd`Paefpqg6789Uhu1>1259NwpdXzhgThlzn_hl\eabt}k:;<=Qly=2=[wr592GxyoQ}al]geqgXagUjhi}zb1234Ze~4849=6C|uc]qehYci}kTecQndeqvf5678Vir0?0=1:OpqgYuidUomyoPio]b`aurj9:;Kt}kUym`Qkauc\mkYflmy~n=>?0^az818592GxyoQ}al]geqgXagUjhi}zb1234Ze~4<49=6C|uc]qehYci}kTecQndeqvf5678Vir0;0=1:OpqgYuidUomyoPio]b`aurj9:;Kt}kUym`Qkauc\mkYflmy~n=>?0^az8=8592GxyoQ}al]geqgXagUjhi}zb1234Ze~4049?6C|uc]qehYci}kTecQndeqvf5678Vf~x1??>3;8IvseW{kfSio{a^km[dbc{|h;<=>Pltv?558XAG\T?_mww848XAG\T?_mww878XAG\T?_mww868XAG\T?_mww818XAG\T?_mww808XAG\T?_mww838XAG\T?_mww828XAG\T?_mww8=8XAG\T?_mww8<8XAG\T?_ymq8469:;1FxlPr`o\`drfW`dTmij|uc2345Yg{6:2?<4Mrwa[wgjWmkmRga_`fgwpd789:Ttb|32?01?HurjVxjaRjnt`]jjZgclzi<=>?_ymq8685:2GxyoQ}al]geqgXagUjhi}zb1234Z~hz5>5>?5Bst`\vdkXlh~jSd`Paefpqg6789Usc2:>308IvseW{kfSio{a^km[dbc{|h;<=>Pxnp?2;453Dy~nR|nm^fbpdYnfVkoh~{m0123[}iu4>49>6C|uc]qehYci}kTecQndeqvf5678Vrd~161239NwpdXzhgThlzn_hl\eabt}k:;<=Qwos>::7=I8:1E<<<4N018J4633G;;<95A1137?K77:=1E==:;;O3321=I99287C?>4:L25521568J471<2D:=5=4N007?K758=1E=?<;;O3101=I9;2?7C?<059M56433G;8895A1247?K740=1E=9>;;O3751=I9=8?7C?;459M51033G;?495A15;7?K728=1E=8?;;O3661=I9<9?7C?:459M50333G;>:95A1457?K720=1E=87<;O350>H6>9>0B<8>4:L22726568J402<2D::;:4N0440>H6>1>0B<864:L2355<>5A5318J0543G???6@:529M134<;O457>H10:1E;==4N640?K1?:2D3?6@7029M<7587C693:L;<6=I1990B4<<;O;77>H>>:1E5574NC]AQVOCPh1ENRLZSOCNA2=IM]]D^F:4NNLF5>I33FH^J>5@ND18KPR63Y90\<<<;Q307>V6=:1[=;=4P320?U53;2Z89>5_3718T6143Y92?6^;329S035;;Q>3:0=W48:596^310<6?U:6:7?0\1?<>49S8429=2Z7=80:;Q>22;3V;904?7]2>>49S8769=2Z7><0:;Q>16;3V;:<4>7]2=6?78T9406<1[0?615:R?6<833Y69285_<22=1>V;;84>7]2<2?78T9546<1[0>:17:R?70<76<1[0>;14:R?7;2586^35?68T909<2Z7;3:4P=:=0>V;1790\LJ;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAHl4PHL\MVEKGJA87]\J4:RQAB763YXNKRMCKHLUAWUNGG;0]<5]4:P?4;20^1=19:PBIG71J^Oj7_KND^DJVVR682XN^H]@LWG\TDTFEZ?0^DIGT`9QMBNSW@H^J>5]OL18VVR33[Y_M95]SU@7?WUSX11YS=Q@BTD;?WY6WFH^J55]_3]LFP@?3[U8SBLZF89Qavsk|5:5m6\jstnw8586i2Xnxb{<1<1=>Tb{|f0<0n;Sgpqir;97;j7_k|umv?5;4>3[oxyaz32?c8V`urd}6920:d=Umzgx1=11`9Qavsk|595>45]erwop929i2Xnxb{<5<2e>Tb{|f090=9:Pfwpjs4<4j7_k|umv?1;7f3[oxyaz35?0:?Wct}e~7:3o4Rdqvhq:168k0^h}zlu>5:7?`9Qavsk|5=5=l5]erwop919:01Yi~{ct=:=e>Tb{|f050>a:Pfwpjs414956\jstnw8<8f3[oxyaz39?3b?Wct}e~753<>;R18W@J43ZO_46]JTSGPAQ5<[OL:?6]FM^ALHIOTAFDTOEKLK59PVVR03ZX]MAQN7:QQRDJXJ=1X_HZ;;RQQE1=T[[H37YK]MHLBH3=SALEMJ95[YQG5?PHR[LN97X^8;TR\MGSAk2_XI_QYIRKAH@5<^JI27[GJW^VZT@5<_LK=7ZKN<1<5?RCF484=7ZKN<3<;?RCF4:0;2;5XE@>0:6=PMK<0[HL30?48S@D;97<0[HL32?:8S@D;;3:5:6YJB=1=g>QUA]OTABJJ_@a8SWOSMVGDHHQM1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF3:ZLV0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGF05Wsu68^@TH<2hjh84cmi\15eehdfx7<7<18:alhjt;8720obb`r=3=<>ehdfx7>3m4cnnlvZ6XAK_Mo6m`lnp\5ZOE]Oi0obb`r^0\MGSAn2idby|`lmgq+G:76o1hccz}omnfv*D;;7o0ob`{rnnoaw)EW9o0ob`{rnnoaw)EW:;<7naatsmoh`t(JVg~t=>?3=2=5<=dgg~ycabjr.@\ip~78997<3?>1`9`kkrugefn~"LPmtz3455;879:70g8gjhszffgi!M_lw{45644948=:Q\W13`?fii|{eg`h| B^ov|567;5:5Sojk2058gjhszffgi!M_lw{45644:4:56m`nuplhicu'KUfyu>?02>0:476i2idby|`lmgq+GYj}q:;<>2<>3305d=dgg~ycabjr.@\ip~78997?3<=20c8gjhszffgi!M_lw{45644:4988<:;bmmpwikdlx$NRczx1237959:=?$@XZ;_M]AQC7d3jeexacldp,FZkrp9:;?1=1257,K4g0=4637?fii|{eg`h| B^llp567:8?0ob`{rnnoaw)EWge<=>=1g9`kkrugefn~"I30?d8gjhszffgi!H<2ehf}xd`ak}/F]nq}678:6;2<74cnlwvjjkm{%LS`{w0120858698=0ob`{rnnoaw)@Wds<=><<2<2=>ehf}xd`ak}/F]nq}678:682?01]`}969:?1hccz}omnfv*KflmUym`Qndep\eab789:Tot2>>348gjhszffgi!Baef\vdkXimnySljk0123[f;:78=7naatsmoh`t(EhnoSob_`fgvZgcl9:;ehf}xd`ak}/Lcg`ZtfeVkohQnde2345Ydq5>5>;5loovqkijbz&GjhiQ}al]b`atXimn;<=>Pcx>6:70?0^az838Xz}8=7naatsmoh`t(EhnoSob_`fgvZgcl9:;ehf}xd`ak}/Lcg`ZtfeVkohQnde2345Ydq5=5Sz=6:aljqthdeoy#@okd^pbiZgcl{Ujhi>?01]`}9>9:01hccz}omnfv*KflmUym`Qndep\eab789:Tot27>^pw63=dgg~ycabjr.Ob`aYuidUjhi|Paef3456Xkp622?74cnlwvjjkm{%FmijPr`o\eabuWhno<=>?_b{?=;Yu|;=0ob`{rnnoaw)JimnT~lcPaefq[dbc89:;Sa{{<1<13>ehf}xd`ak}/Lcg`ZtfeVkohQnde2345Yk}}6:2?94cnlwvjjkm{%FmijPr`o\eabuWhno<=>?_mww8785?2idby|`lmgq+HgclVxjaRokds]b`a6789Ugyy2<>358gjhszffgi!Baef\vdkXimnySljk0123[iss4=49;6m`nuplhicu'DkohR|nm^cg`wYflm:;<=Qcuu>6:71?01]{kw:6878<7naatsmoh`t(EhnoSob_`fgvZgcl9:;3<8;bmmpwikdlx$Aljk_scn[dbczVkoh=>?0^zlv959:>1hccz}omnfv*KflmUym`Qndep\eab789:Ttb|34?04?fii|{eg`h| M`fg[wgjWhno~Rokd1234Z~hz5?5>:5loovqkijbz&GjhiQ}al]b`atXimn;<=>Pxnp?2;403jeexacldp,IdbcW{kfSljkr^cg`5678Vrd~191269`kkrugefn~"Cnde]qehYflmxTmij?012\|jt;078<7naatsmoh`t(EhnoSob_`fgvZgcl9:;3:4ce:aljqthdeoy#@m`uov\lfirf}Uhu1=1209`kkrugefn~"Clotlw[meh}g~Tot2<>^pw5`=dgg~ycabjr.O`kphsWaidyczPcx>7:77ehf}xd`ak}/LalqkrX`je~byQly=5=64=dgg~ycabjr.O`kphsWaidyczPcx>4:Zts9l1hccz}omnfv*Kdg|dSem`uov\g|:?6;;0ob`{rnnoaw)JkfexRflotlw[f;07Uyx^KMRZ66n2idby|`lmgq+Heh}g~Tdnaznu]{kw:768l0ob`{rnnoaw)JkfexRflotlw[}iu484:j6m`nuplhicu'DidyczPhbmvjqYg{6920>f:aljqthdeoy#@m`uov\lfirf}Usc2;>0d8gjhszffgi!BcnwmpZndg|dSua}<4<2b>ehf}xd`ak}/LalqkrX`je~byQwos>5:4`6m`nuplhicu'DidyczPhbmvjqYg{622R|{1d9`kkrugefn~"Clotlw[seh}g~Tot2?>0g8gjhszffgi!BcnwmpZpdg|dSnw31?02?fii|{eg`h| MbmvjqYqkfexRmv<0<\vq7b3jeexacldp,Ifirf}U}ob{at^az878592idby|`lmgq+Heh}g~Tznaznu]`}949W{~:i6m`nuplhicu'DidyczPvbmvjqYdq595><5loovqkijbz&Ghcx`{_walqkrXkp682R|{1d9`kkrugefn~"Clotlw[seh}g~Tot2;>338gjhszffgi!BcnwmpZpdg|dSnw34?]qp4ce:aljqthdeoy#@m`uov\rfirf}Uhu191209`kkrugefn~"Clotlw[seh}g~Tot28>^pw5`=dgg~ycabjr.O`kphsWidyczPcx>;:77ehf}xd`ak}/LalqkrX~je~byQwos>3:4`f:aljqthdeoy#@m`uov\rfirf}Usc29>0d8gjhszffgi!BcnwmpZpdg|dSua}<6<2b>ehf}xd`ak}/LalqkrX~je~byQwos>;:4`6Vx>?5loovqkijbz&GfyazPr`o\eabuWdgx=>?0g9`kkrugefn~"\30?d8gjhszffgi!]<2ehf}xd`ak}/S]nq}678:6;2<74cnlwvjjkm{%YS`{w0120858698=0ob`{rnnoaw)UWds<=><<2<2=>ehf}xd`ak}/S]nq}678:6824:aljqthdeoy#em`uov?4;733jeexacldp,lfirf}6:2<:4cnlwvjjkm{%cob{at=0=51=dgg~ycabjr.j`kphs4:4:86m`nuplhicu'aidycz34?37?fii|{eg`h| hbmvjq:268>0ob`{rnnoaw)okfex181159`kkrugefn~"flotlw8286<2idby|`lmgq+meh}g~743?;;bmmpwikdlx$dnaznu>::45ehf}xd`ak}/ialqkrX=890ob`{rnnoaw)okfexR8>3:aljqthdeoy#em`uov\345?1d9`kkrugefn~"flotlw[fkwWl{;<=>>70g8gjhszffgi!gcnwmpZejxVoz<=>?273g?fii|{eg`h| hbmvjqYdeyUn}=>?0533?fii|{eg`h| hr{mg4>?1`9`kkrugefn~"f|yoa\ekb789:8<0ob`{rnnoaw)waqogt1<1159`kkrugefn~"~fxdn{8686<2idby|`lmgq+uomer783?;;bmmpwikdlx$|dvjly>6:45ehf}xd`ak}/qk{ai~X=830ob`{rnnoaw)waqogtRoad12344d?0002f>ehf}xd`ak}/qk{ai~Xign;<=>=80`8gjhszffgi!iygo|Zgil9:;e:aljqthdeoy#ob_`fgvZgcl9:;<1>11g9`kkrugefn~"|nm^cg`wYflm:;<=2>0?3f?fii|{eg`h| r`o\eabuWhno<=>?<0<2a>ehf}xd`ak}/scn[dbczVkoh=>?0=0=5`=dgg~ycabjr.pbiZgcl{Ujhi>?01>0:4c4cnlwvjjkm{%ym`Qndep\ipjs89:;0<>11g9`kkrugefn~"|nm^cg`wYj}e~;<=>31?3e?fii|{eg`h| r`o\eabuWdgx=>?0=0=5c=dgg~ycabjr.pbiZgcl{Ufyaz?012?7;7a3jeexacldp,vdkXimnyS`{ct12349299o1hccz}omnfv*tfeVkohQbumv3456;=7;m7naatsmoh`t(zhgTmij}_lwop56785<5=k5loovqkijbz&xjaRokds]nqir789:7;3?i;bmmpwikdlx$~lcPaefq[hsk|9:;<1611g9`kkrugefn~"|nm^cg`wYj}e~;<=>39?37?fii|{eg`h| vbmvjq:768>0ob`{rnnoaw)qkfex1?1159`kkrugefn~"xlotlw8786<2idby|`lmgq+seh}g~7?3?;;bmmpwikdlx$znaznu>7:42ehf}xd`ak}/walqkr;?7;?7naatsmoh`t(~je~by27>068gjhszffgi!ycnwmp9?99:1hccz}omnfv*pdg|dS=?<;bmmpwikdlx$znaznu]256=dgg~ycabjr.t`kphsW;;87naatsmoh`t(~je~byQ<129`kkrugefn~"xlotlw[1743jeexacldp,rfirf}U>=>5loovqkijbz&|hcx`{_730?fii|{eg`h| vbmvjqY09:1hccz}omnfv*pdg|dS5?<;bmmpwikdlx$znaznu]:5<=dgg~ycabjr.t`kphsWhdo<=>?1c9`kkrugefn~"xlotlw[dhc89:;=9?n;bmmpwikdlx$znaznu]bja67898:n6m`nuplhicu'idyczPaof34564=8h0ob`{rnnoaw)qkfexRoad123404682idby|`lmgq+su~fj;37naatsmoh`t(~zseoRoad12344g?113b?fii|{eg`h| vr{mgZgil9:;<96>9:aljqthdeoy#{}vnb]bja6789?:m6m`nuplhicu'yrbnQnne2345129;1oeklthmm[CUJWOLo=<;4dhpfgqohfVLXARHId0/Jj417:fjv`esafdTJ^CPFGf2)Lh21830hd|jcukljZ@TEVLMh<#Fn4;\B4?6jfrdawmjhXNZGTJKj=149gmwcd|`eeSK]B_GDg6(Oi9>1oeklthmm[CUJWOLo> Ga1534?aoumj~bccQISL]EBa4*Ag?;=:5kisg`pliiWOYFSKHk2,Km27703mcyinzfoo]EWHYANm8&Ec9=159gmwcd|`eeSnc_mv34566=2nb~hm{inl\ghvXd}:;<=?>9:fjv`esafdTo`~Plu23457X[^:986jfrdawmjhXkdzT`y>?013\WR6(D\^?SAQMUG3a?aoumj~bccQlmq]op56788UX[=!@109gmwcd|`eeSca{012257=ca{ohxdaa_omw45669890hd|jcukljZhh|9:;=7:fjr7)JkfexRm`uov\g|:768=0hdx=/LalqkrXkfexRmv<0<2e>bn~;%Fob{at^alqkrXkp6:2R|{169gms4(Eje~byQlotlw[f;:7;j7igy2.O`kphsWje~byQly=0=[wr6?2nbz?!BcnwmpZeh}g~Tot2<>0c8`lp5'DidyczPcnwmpZe~4:4T~y?8;eku6*Kdg|dSnaznu]`}9299h1oe{< MbmvjqYdg|dSnw34?]qp41a:fjr7)JkfexRm`uov\g|:26Vx=:5kiw0,Ifirf}Uhcx`{_b{?2;7f3mc}>"Clotlw[firf}Uhu181_sv23>bn~;%Fob{at^alqkrXkp6<2bn~;%Fob{at^alqkrXpfx7>3?7;eku6*Kdg|dSnaznu]{kw:46820hdx=/LalqkrXkfexRv`r=6=5==ca8$Anaznu]`kphsWqey080>8:fjr7)JkfexRm`uov\|jt;>7;37igy2.O`kphsWje~byQwos>4:4>"m`uov?6;b0:a=ca8$ob{at=6=`>bn~;%hcx`{<4e9gms4(kfex161d:fjr7)dg|d040l;eku6*eh}g~T"m`uov\0f=ca8$ob{at^7`?aoq:&idyczP6b9gms4(kfexR9l;eku6*eh}g~T4n5kiw0,gjsi|V3:96jfv3-`kphsWjg{Sh?01223>bn~;%hcx`{_bos[`w789::=<94dht1+firf}Uha}Qjq1234606?2nbz?!lotlw[fkwWl{;<=><8e9gms4(|lg{Sb{{e:fjr7)smdzTcxz>139gms4(|lg{Sb{{_CWEC430:;hl`[53oikV9n7d`l_lw{4567494:<6gac^ov|56785:5=1.NVP1YCWK_M=<5fnb]nq}67896;29?>119jjfYj}q:;<=2?>^D24>oikVg~t=>?0=2=[B753`dhS`{w0123858X[^:n7d`l_lw{4567484:<6gac^ov|56785;5>;??;hl`[hs89:;0<0<40`8mkeXe|r;<=>31?17+ISS2:kmgZkrp9:;<1?135-L55=nfjUfyu>?01>2:16a3`dhS`{w0123848>m2ceoRczx1234949991bbnQbuy2345:56:9:n6gac^ov|5678585?>!CUU6\HZDRN880ecmPmtz3456;:798#B?;;hl`[hs89:;0?0<3^QT446n2ceoRczx12349491l1bbnQbuy2345:468:0ecmPmtz3456;;7;>=95fnb]nq}6789682<;PSV224>oikVg~t=>?0=1=717e3`dhS`{w01238684<&F^X9QC_CWE57=nfjUfyu>?01>0:62(G8>0ecmPmtz3456;;79?S^Y?119jjfYj}q:;<=2<>445?icc494=7akk<0<5?icc4;437akk<283:3=kmm682l5cee]3[JDRNh1giiQ>_N@VBd=kmmU9SBLZF`9oaaY4WFH^Ji5bel-NvdkXZHG:h6cjm.OqehYUID837cikbtko`2=wgzr7<394pnq{84803yext1<17:rlw}:46>1{c~v34?58tju4<4=7}a|x^25?uitpV;=7}a|x^05?uitpV9=7}a|x^65?uitpV?m7}a|x^ov|56785:5=:5orz\ip~789:7<3?>49]PS4703yextRczx12349699;;?S^Y?129skv~Xe|r;<=>30?3163723yextRczx12349699;8=SK?:;qmp|Zkrp9:;<1>11305[B753yextRczx12349699;?:?6~`sy]nq}67896;2<=>1068tjuWds<=>?<1<274769=1{c~vPmtz3456;87;8=<<>6:rlw}Yj}q:;<=2?>0125Z`29;1{c~vPmtz3456;87;3=<=4pnq{[hs89:;0=0>80327>vh{qUfyu>?01>3:4>6:8?0|b}w_lw{4567494:431?30?uitpVg~t=>?0=3=64159>1{c~vPmtz3456;978:;?Q\W13;?uitpVg~t=>?0=3=6415WZ];=<64pnq{[hs89:;0<0=160\WR659h1{c~vPmtz3456;978:;?Q\W1]e1449:PSV226>vh{qUfyu>?01>2:7?>9?1{c~vPmtz3456;97825R]X0038tjuWds<=>?<0<;54e6'F;>7}a|x^ov|56785;5Sojk11d8tjuWds<=>?<3<27>vh{qUfyu>?01>1:4779890|b}w_lw{45674;4:=:<>7:rlw}Yj}q:;<=2=>0346ZUP8820|b}w_lw{45674;4:=:a:rlw}Yj}q:;<=2=>0346ZUP8Vl>=?5orz\ip~789:7>3?=6048tjuWds<=>?<3<263YT_8;97}a|x^ov|5678585=;:>2:rlw}Yj}q:;<=2=>0;;b>vh{qUfyu>?01>0:443:rlw}Yj}q:;<=2<>045545?8:96~`sy]nq}6789682<89_g7e?uitpVg~t=>?0=6=55=wgzrTaxv?012?0;56<2zduQbuy2345:36:UX[=h4pnq{[hs89:;080>1:rlw}Yj}q:;<=2:>034?uitq5:5;6~`sx>2:2=wgzs7>394pnqz86803yexu1:16:rlw|Y7>2zdtQ>6:rlw|Y5>2zdtQ<6:rlw|Y3n2zdtQbuy2344:768;0|b}v_lw{45664949<<=4pnqz[hs89::0=0=10421>vh{pUfyu>?00>3:776>VL:96~`sx]nq}67886;2??>6^E26>vh{pUfyu>?00>3:7449:1{c~wPmtz3457;8789?1231150=wgzsTaxv?013?4;45;Vl>=?5or{\ip~789;7<3<;8008tju~Wds<=>><1<11=763yexuRczx1235969>8;h7}a|y^ov|56795:5:0|b}v_lw{4566494Tnij9f:rlw|Yj}q:;<<2>>018tju~Wds<=>><0<25626?2zdtQbuy2344:668;88R]X0018tju~Wds<=>><0<25=06?2zdtQbuy2344:668;3:R]X0038tju~Wds<=>><0<2645?1=3=5720:8<0|b}v_lw{4566484:>99Pf4d8tju~Wds<=>><3<23>vh{pUfyu>?00>1:471?VY\<<74pnqz[hs89::0?0>175\WR6XN830|b}v_lw{45664;4:=;9PSV2\C45vh{pUfyu>?00>0:41>9:rlw|Yj}q:;<<2<>0300>167251=wgzsTaxv?013?7;76?<8::6~`sx]nq}6788682;qmp}Zkrp9:;=1:12037?utio&GscQib^Pfwpjs9>1{~ci fc`8twh`'kg0=0l;qpmc*pfd5;;2o5roe,rdj;97h0|`h/wco878e3yxek"xnl=1=f>vufn%}ma2;>c9svka(~hf793l4psld+sgk4?4i7}|ag.tbh919j2zybj!yam>;:g=wzgm$zlb39?c8twh`'kgS=o4psld+sgkW8h0|`h/wco[46f3yxek"xnl^0b?utio&|j`R=n;qpmc*pfdV>j7}|ag.tbhZ3f3yxek"xnl^4b?utio&|j`R9n;qpmc*pfdV2j7}|ag.tbhZ?03yxekRkaf:rqjbYbfVg~t=>?0028twh`WldTaxv?0122`>tfeVkhxdaa<0c:pbiZgd|`eeS??:;scn[desafdTaxv?012?4;713{kfSlm{inl\ip~789:7<3?>5:pbiZgd|`eeS`{w01238486>2xjaRolthmm[hs89:;0<0>f:pbiZgcl{U{~d2?>028vdkXimnyS}|f<02=b>tfeVkohQrh>2:c=uidUjhi|Ppsk?6;`7l0~lcPaefq[utn4>4m7ob_`fgvZvua525j6|nm^cg`wYwz`622h5}al]b`atXx{cTk4r`o\eabuWyxbS9k4r`o\eabuWyxbS8k4r`o\eabuWyxbS;k4r`o\eabuWyxbS:k4r`o\eabuWyxbS5k4r`o\eabuWyxbS4?m;scn[dbczVzyeRokdrwa456748:5=l5}al]b`atXx{cTmij|uc2345:368k0~lcPaefq[utnWhnoxl?012?1;7f3{kfSljkr^rqmZgclzi<=>?<7<2e>tfeVkohQrh]b`aurj9:;<1911`9qehYflmxT|gPaefpqg6789632?01>3:4?0:8vdkXimnyS}|f_lwop56785;5=55}al]b`atXx{cTaxb{0123878602xjaRokds]svlYj}e~;<=>33?3;?wgjWhno~R~}i^ovhq67896?2<64r`o\eabuWyxbS`{ct1234939911ym`Qndep\twoXe|f<=>?<7<2<>tfeVkohQrh]nqir789:7;3?7;scn[dbczVzyeRczlu2345:?6820~lcPaefq[utnWdgx=>?0=;=52=uidUjhi|Ppsk\ip~789;7<3?k;scn[dbczVzyeRczx123596999UX[=<7;scn[dbczVzyeRczx123596999UX[=!CUU0\HZDRN8l0~lcPaefq[utnWds<=>><1<24ZUP8&E:56|nm^cg`wYwz`Ufyu>?00>3:41602xjaRokds]svlYj}q:;<<2?>43b?wgjWhno~R~}i^ov|56795:59<7>c:pbiZgcl{U{~dQbuy2344:76<;2SK?l;scn[dbczVzyeRczx1235969=83TK?1=2=16?6k2xjaRokds]svlYj}q:;<<2?>4]PS5403{kfSljkr^rqmZkrp9:;=1>15^QT4*JR\:UGSO[I1d9qehYflmxT|gPmtz3457;87?T_Z> O0c8vdkXimnyS}|f_lw{4566494==8?n;scn[dbczVzyeRczx1235969>:8996|nm^cg`wYwz`Ufyu>?00>3:355'E__8RBPBTD2g>tfeVkohQrh]nq}67886;2;==/N3b?wgjWhno~R~}i^ov|56795:5:9=>a:pbiZgcl{U{~dQbuy2344:76?=<=55}al]b`atXx{cTaxv?013?5586i2xjaRokds]svlYj}q:;<<2>0?3360=uidUjhi|Ppsk\ip~789;7==0>0.NVP6YKWK_M=n5}al]b`atXx{cTaxv?013?55868&E:m6|nm^cg`wYwz`Ufyu>?00>24;70901ym`Qndep\twoXe|r;<=?311<65a=uidUjhi|Ppsk\ip~789;7==0:_RU36==uidUjhi|Ppsk\ip~789;7==0:_RU3+ISS;VFTNXH>f:pbiZgcl{U{~dQbuy2344:687?T_Z> O058vdkXimnyS}|f_lw{4566484:56|nm^cg`wYwz`Ufyu>?00>2:465<2xjaRokds]svlYj}q:;<<2>>02,HPR4WEUIYK?m;scn[dbczVzyeRczx123597999%D=45}al]b`atXx{cTaxv?013?5;70911ym`Qndep\twoXe|r;<=?31?72g>tfeVkohQrh]nq}67886:28Q\W104?wgjWhno~R~}i^ov|56795;59R]X0.NVP6YKWK_M=h5}al]b`atXx{cTaxv?013?5;3X[^:$C<94r`o\eabuWyxbS`{w0122878612xjaRokds]svlYj}q:;<<2=>0210>tfeVkohQrh]nq}6788692<> LTV0[IYE]O;i7ob_`fgvZvuaVg~t=>?1=0=55)H901ym`Qndep\twoXe|r;<=?32?345==uidUjhi|Ppsk\ip~789;7>3;>c:pbiZgcl{U{~dQbuy2344:56><2<2=>tfeVkohQrh]nq}6788682<>=4:pbiZgcl{U{~dQbuy2344:468:$@XZ<_M]AQC7e3{kfSljkr^rqmZkrp9:;=1=111-L5<=uidUjhi|Ppsk\ip~789;7?3?8199qehYflmxT|gPmtz3457;;7?:o6|nm^cg`wYwz`Ufyu>?00>0:0YT_98<7ob_`fgvZvuaVg~t=>?1=1=1ZUP8&F^X>QC_CWE5`=uidUjhi|Ppsk\ip~789;7?3;PSV2,K419:pbiZgcl{U{~dQbuy2344:368:986|nm^cg`wYwz`Ufyu>?00>7:46(D\^8SAQMUG3a?wgjWhno~R~}i^ov|56795>5==!@189qehYflmxT|gPmtz3457;<7;<=55}al]b`atXx{cTaxv?013?0;36k2xjaRokds]svlYj}q:;<<2;>4]PS5403{kfSljkr^rqmZkrp9:;=1:15^QT4*JR\:UGSO[I1d9qehYflmxT|gPmtz3457;<7?T_Z> O058vdkXimnyS}|f_lw{45664<4:56|nm^cg`wYwz`Ufyu>?00>6:465<2xjaRokds]svlYj}q:;<<2:>02,HPR4WEUIYK?m;scn[dbczVzyeRczx123593999%D=45}al]b`atXx{cTaxv?013?1;70911ym`Qndep\twoXe|r;<=?35?72g>tfeVkohQrh]nq}67886>28Q\W104?wgjWhno~R~}i^ov|56795?59R]X0.NVP6YKWK_M=h5}al]b`atXx{cTaxv?013?1;3X[^:$C<94r`o\eabuWyxbS`{w0122838612xjaRokds]svlYj}q:;<<29>0210>tfeVkohQrh]nq}67886=2<> LTV0[IYE]O;i7ob_`fgvZvuaVg~t=>?1=4=55)H901ym`Qndep\twoXe|r;<=?36?345==uidUjhi|Ppsk\ip~789;7:3;>c:pbiZgcl{U{~dQbuy2344:16><6<2=>tfeVkohQrh]nq}67886<2<>=4:pbiZgcl{U{~dQbuy2344:068:$@XZ<_M]AQC7e3{kfSljkr^rqmZkrp9:;=19111-L5<=uidUjhi|Ppsk\ip~789;7;3?8199qehYflmxT|gPmtz3457;?7?:o6|nm^cg`wYwz`Ufyu>?00>4:0YT_98<7ob_`fgvZvuaVg~t=>?1=5=1ZUP8&F^X>QC_CWE5`=uidUjhi|Ppsk\ip~789;7;3;PSV2,K419:pbiZgcl{U{~dQbuy2344:?68:986|nm^cg`wYwz`Ufyu>?00>;:46(D\^8SAQMUG3a?wgjWhno~R~}i^ov|5679525==!@189qehYflmxT|gPmtz3457;07;<=55}al]b`atXx{cTaxv?013?<;36k2xjaRokds]svlYj}q:;<<27>4]PS5403{kfSljkr^rqmZkrp9:;=1615^QT4*JR\=UGSO[I1d9qehYflmxT|gPmtz3457;07?T_Z> O058vdkXimnyS}|f_lw{4566404:56|nm^cg`wYwz`Ufyu>?00>::465<2xjaRokds]svlYj}q:;<<26>02,HPR4WEUIYK?m;scn[dbczVzyeRczx12359?999%D=45}al]b`atXx{cTaxv?013?=;70911ym`Qndep\twoXe|r;<=?39?72g>tfeVkohQrh]nq}67886228Q\W104?wgjWhno~R~}i^ov|56795359R]X0.NVP1YKWK_M=h5}al]b`atXx{cTaxv?013?=;3X[^:$C<94r`o\eabuWyxbS`{w0121858602xjaRokds]svlYj}q:;03`?wgjWhno~R~}i^ov|567:5;5S`oad0f8vdkXimnyS}|f_lw{4565484Tal`k10a8vdkXimnyS}|f_lw{45654;4Tal`k1e9qehYflmxT|gPmtz3454;:7Ufmcj>1b9qehYflmxT|gPmtz3454;;7Ufmcj>d:pbiZgcl{U{~dQbuy2347:46Vgjbi?>c:pbiZgcl{U{~dQbuy2347:36Vgjbi?k;scn[dbczVzyeRczx1236929Wdkeh<<6<2<>tfeVkohQrh]nq}678:6<29911ym`Qndep\twoXe|r;<==38?323>tfeVkohQrh]nq}678:622<64r`o\eabuWyxbS`{w01208<869=1ym`Qndep\twoXff~;<=<>5:pbiZgcl{U{~dQaou23477612xjaRokds]svlYt`hxn<=>?<1<2e>tfeVkohQrh]pldtb89:;0<>1189qehYflmxT|gPsicqa56785;5=45}al]b`atXx{cTeo}e1234949901ym`Qndep\twoX{akyi=>?0=1=5<=uidUjhi|Ppsk\wmgum9:;<1:1189qehYflmxT|gPsicqa56785?5=45}al]b`atXx{cTeo}e1234909901ym`Qndep\twoX{akyi=>?0=5=5<=uidUjhi|Ppsk\wmgum9:;<161189qehYflmxT|gPsicqa5678535i6|nm^alqkrbz5:5j6|nm^alqkrbz5;;2h5}al]`kphsm{6:2h5}al]`kphsm{692h5}al]`kphsm{682h5}al]`kphsm{6?2h5}al]`kphsm{6>2h5}al]`kphsm{6=2h5}al]`kphsm{6<2h5}al]`kphsm{632h5}al]`kphsm{622i5}al]`kphsm{U;h6|nm^alqkrbzV;n7ob_bmvjqcuW8:o7ob_bmvjqcuW;n0~lcPcnwmp`tX;m1ym`QlotlwawY3l2xjaRm`uovfvZ3c3{kfSnaznugq[3btfeVidyczjr^;22>tfeVidyczjr^ov|56785:5=55}al]`kphsm{Ufyu>?01>3:44612xjaRm`uovfvZkrp9:;<1>11252e>tfeVidyczjr^ov|56785:5=>9>1`9qehYdg|diQbuy2345:7689<>=:5}al]`kphsm{Ufyu>?01>3:7453{kfSnaznugq[hs89:;0=0=/MWW0ZBXJ\L:n6|nm^alqkrbzVg~t=>?0=2=6ZUP88=0~lcPcnwmp`tXe|r;<=>30?123>tfeVidyczjr^ov|56785;;2<64r`o\gjsi|lxTaxv?012?55869?1ym`QlotlwawYj}q:;<=2>>058vdkXkfexh|Pmtz3456;97;::6|nm^alqkrbzVg~t=>?0=0=52=uidUhcx`{es]nq}6789692?<5<253=uidUhcx`{es]nq}67896>2<94r`o\gjsi|lxTaxv?012?1;76>2xjaRm`uovfvZkrp9:;<181169qehYdg|diQbuy2345:168;=7ob_bmvjqcuWds<=>?<6<23>tfeVidyczjr^ov|56785=5=<84r`o\gjsi|lxTaxv?012?<;703{kfSnaznugq[hs89:;050>179qehYdg|diQbuy2345:>68=0~lcPcnwmp`tXe|r;<=>39?327>tfeVidyczjr^llp567:8>0~lcPcnwmp`tXff~;<=<>199qehYdg|diQ|h`pf4567494:56|nm^alqkrbzVycmk?012?558602xjaRm`uovfvZuoi{o;<=>31?3;?wgjWje~byk}_rjbv`6789692<64r`o\gjsi|lxTeo}e1234959911ym`QlotlwawYt`hxn<=>?<5<2<>tfeVidyczjr^qkewc789:793?7;scn[firf}oyS~fnrd2345:16820~lcPcnwmp`tX{akyi=>?0=5=5==uidUhcx`{es]pldtb89:;050>8:pbiZeh}g~n~R}gasg3456;17o0~lcPd`vb[lh;87l0~lcPd`vb[lh;994n7ob_ecweZoi484n7ob_ecweZoi4;4n7ob_ecweZoi4:4n7ob_ecweZoi4=4n7ob_ecweZoi4<4n7ob_ecweZoi4?4n7ob_ecweZoi4>4n7ob_ecweZoi414n7ob_ecweZoi404o7ob_ecweZoiW9n0~lcPd`vb[lhX9l1ym`Qkauc\mkY68m1ym`Qkauc\mkY5l2xjaRjnt`]jjZ5c3{kfSio{a^km[1btfeVnjxlQfn^5g?wgjWmkmRga_9f8vdkXlh~jSd`P90;8vdkXlh~jSd`Paefpqg67896;23{kfSio{a^km[dbc{|h;<=>32?3:?wgjWmkmRga_`fgwpd789:7?3?6;scn[agsiVceSljkst`3456;<7;27ob_ecweZoiWhnoxl?012?1;7>3{kfSio{a^km[dbc{|h;<=>36?3:?wgjWmkmRga_`fgwpd789:7;3?6;scn[agsiVceSljkst`3456;07;27ob_ecweZoiWhnoxl?012?=;713{kfSio{a^km[hs89:;0=0>7:pbiZbf|hUbbRczx123496998k0~lcPd`vb[lhXe|r;<=>30?3254423{kfSio{a^km[hs89:;0=0>103,HPR4WMUIYK?7;scn[agsiVceS`{w012385860830~lcPd`vb[lhXe|r;<=>30?3\B4??01>3:3453{kfSio{a^km[hs89:;0=09/MWW0ZJXJ\L:56|nm^fbpdYnfVg~t=>?0=2=2*I6j2xjaRjnt`]jjZkrp9:;<1>16^QT5417:pbiZbf|hUbbRczx12349776830~lcPd`vb[lhXe|r;<=>311<2<4>16.M2g>tfeVnjxlQfn^ov|56785;;2;Q\W03;?wgjWmkmRga_lw{456748:54<84r`o\`drfW`dTaxv?012?5;7?3{kfSio{a^km[hs89:;0<0>8058vdkXlh~jSd`Pmtz3456;97<9>6|nm^fbpdYnfVg~t=>?0=3=2*JR\=UGSO[I189qehYci}kTecQbuy2345:66?%D=o5}al]geqgXagUfyu>?01>2:3YT_8;<7ob_ecweZoiWds<=>?<0<;53=uidUomyoPio]nq}6789692<64r`o\`drfW`dTaxv?012?6;7?9>1ym`Qkauc\mkYj}q:;<=2=>701?wgjWmkmRga_lw{45674;4=#A[[4^N\FP@612xjaRjnt`]jjZkrp9:;<1<16.M2f>tfeVnjxlQfn^ov|5678585:R]X1058vdkXlh~jSd`Pmtz3456;:72::6|nm^fbpdYnfVg~t=>?0=1=5==uidUomyoPio]nq}6789682<6>7:pbiZbf|hUbbRczx1234959>;80~lcPd`vb[lhXe|r;<=>33?4,HPR3WEUIYK?6;scn[agsiVceS`{w01238681'F;i7ob_ecweZoiWds<=>?<2<5[VQ69>1ym`Qkauc\mkYj}q:;<=2<>935?wgjWmkmRga_lw{45674=4:46|nm^fbpdYnfVg~t=>?0=6=5=703{kfSio{a^km[hs89:;0909239qehYci}kTecQbuy2345:36?%GYY:PL^@VB4?7:pbiZbf|hUbbRczx123492908<0~lcPd`vb[lhXe|r;<=>35?3;?wgjWmkmRga_lw{45674<4:4<94r`o\`drfW`dTaxv?012?1;05:2xjaRjnt`]jjZkrp9:;<1;16.NVP1YKWK_M=45}al]geqgXagUfyu>?01>6:3)H9k1ym`Qkauc\mkYj}q:;<=2:>7]PS4703{kfSio{a^km[hs89:;0807179qehYci}kTecQbuy2345:16820~lcPd`vb[lhXe|r;<=>36?3;52=uidUomyoPio]nq}67896=2;<=;scn[agsiVceS`{w01238381'E__8RBPBTD2=>tfeVnjxlQfn^ov|56785<5:"A>b:pbiZbf|hUbbRczx1234909>VY\=<94r`o\`drfW`dTaxv?012?2;>6>2xjaRjnt`]jjZkrp9:;<191199qehYci}kTecQbuy2345:0682:;6|nm^fbpdYnfVg~t=>?0=5=274?<6<5+J7e3{kfSio{a^km[hs89:;0:09_RU252=uidUomyoPio]nq}67896<25?9;scn[agsiVceS`{w01238=8602xjaRjnt`]jjZkrp9:;<1611934?wgjWmkmRga_lw{4567414=>?5}al]geqgXagUfyu>?01>;:3)K]]>T@RLZF0;8vdkXlh~jSd`Pmtz3456;07<$C?0=:=<4039?416>tfeVnjxlQfn^ov|5678535:"BZT5]O[GSA901ym`Qkauc\mkYj}q:;<=26>7-L5g=uidUomyoPio]nq}6789622;Q\W034?wgjWmkmRga_lw{45674043=;5}al]geqgXagUd~=>?0^kmrc=uidUomyoPpsk?4;773{kfSio{a^rqm9776o1ym`Qkauc\two;97l0~lcPd`vb[utn4;4m7ob_ecweZvua595j6|nm^fbpdYwz`6?2k5}al]geqgXx{c793h4r`o\`drfWyxb0;0i;scn[agsiVzye191f:pbiZbf|hU{~d27>g9qehYci}kT|g39?d8vdkXlh~jS~kl<1<24>tfeVnjxlQ|eb>24;`4r`o\v`gcWds<=>?199qehYumhnTaxv?012\WR6X[^::<6|nm^pfeaYig}:;<;scn[wcflVddx=>?1048vdkXlo0~lcPwd]nq}6789l0~lcPwd]nq}6789::<6|nm^uf[hs89:;=>1.NVP1YCWK_M==5}al]taZkrp9:;<<<>b:pbiZqbWds<=>?13-OQQ2XDVH^J<<4r`o\s`Yj}q:;<=?=/N33?wgjW~oTaxv?01212c=uidU|iRczx123411<{`pn0=07;rkya9776>1xewk31?58wl|b4;4<7~gue=1=3>unrl6?2:5|i{g?1;1<{`pn0;08;rkya919?2ybvh27>69pmc;17<0dtj_148wl|bW8=0dtj_025?vo}mV8=7~gue^15?vo}mV>=7~gue^75?vo}mV<=7~gue^55?vo}mV2=7~gue^;e?vo}mVkoh=>?0=2=55=tasoTmij?012?558a3zcqiRokd1234979n2ybvhQnde2345:56o1xewkPaef3456;;7l0dtj_`fg45674=4m7~gue^cg`56785?5j6}fzd]b`a67896=2k5|i{g\eab789:7;3h4shxf[dbc89:;050i;rkyaZgcl9:;<171f:qj~`Yj}q:;<=2?>038wl|bWds<=>?<1<1546<{`pnS`{w01238469981xewkPmtz3456;994:j6}fzd]nq}67896:21g9pmcXe|r;<=>32?32?vo}mVg~t=>?0=0=54`<{`pnS`{w0123868692ybvhQbuy2345:468;m7~gue^ov|56785>5=<5|i{g\ip~789:783?>f:qj~`Yj}q:;<=2:>038wl|bWds<=>?<4<25c=tasoTaxv?012?2;763zcqiRczx123490998l0dtj_lw{45674>4:=6}fzd]nq}67896<238?3e?vo}mVg~t=>?0=;=54=tasoTaxv?012?=;7612y{mznn2cf?vrf|lUM_@QIFe325>usi}oTJ^CPFGf2)Lh6:2ymykPFRO\BCb6%@d:j6}{aug\BVKXNOn:<<<4sucwaZ@TEVLMh<>"Io30?vrf|lUM_@QIFe33)Lh6n2ymykPFRO\BCb69880yo{e^DPIZ@Al8;&Ec?<;rvbp`YA[DUMJi?>-Hl2a>usi}oTJ^CPFGf154=t|h~nSK]B_GDg6(Oi9;1xxlzj_GQN[C@c:$Ce=h5|t`vf[CUJWOLo?#Fn078wqgsmVLXARHId2/JjZUP8;:0yo{e^DPIZ@Al:'BbR]X0.NVP6YKWK_M=:5|t`vf[CUJWOLo? Ga_RU3+Jc<{}kiRH\M^DE`1763z~jxhQISL]EBa2*Ag;>7~zntd]EWHYANm>&EcQ\W103?vrf|lUM_@QIFe6.MkYT_9%GYY:PL^@VB41<{}kiRH\M^DE`1+NfVY\<"Aj;rvbp`YA[DUMJi;j;rvbp`YA[DUMJi8j;rvbp`YA[DUMJi9j;rvbp`YA[DUMJi6>1:qweqcXNZGTJKj7-Hl26>usi}oTJ^CPFGf;)Lh6m2ymykPFRO\BCb>l2ymykPaof3456a3z~jxhQnne2345759h1xxlzj_`lg45679;%GYY:PD^@VBc=t|h~nSl`k012364`<{}kiRoad1234126i2ymykPaof34563<&F^X9QK_CWEb>usi}oTmcj?012724g<{}kiRoad123410(D\^8SIQMUG33?vrf|lUha}Qjq123444<{}kiRmbp^gr45679;;o7~zntd]`iuYby9:;<<< LTV7[AYE]O;97~zntd]`iuYby9:;>1:qweqcXkdzTi|>?01625>usi}oTo`~Pep234516k2ymykPclr\at6789=$@XZ<_E]AQC773z~jxhQlmq]op56798;0yo{e^antZjs89::=<;4sucwaZejxVf<=>>1^QT476<{}kiRmbp^nw45669VY\<"BZT5]G[GSA991xxlzj_bos[ir7898:=6}{aug\ghvXd}:;o6}{aug\kw678;n0yo{e^mq45659o1xxlzj_np3454Xn0:vjgnYdg|di2>1?33?qodcVidyczjr=31:46<|`i`Snaznugq8459991enePcnwmp`t;9=4:<6zfcj]`kphsm{6:93??;uk`oZeh}g~n~1?9>028plelWje~byk}<05=55=sajaTob{atdp?5=8682~bofQlotlwaw:617l0xdmd_bmvjqcu484:<6zfcj]`kphsm{69<3??;uk`oZeh}g~n~1<>>028plelWje~byk}<30=55=sajaTob{atdp?668682~bofQlotlwaw:5<7;;7yglk^alqkrbz58>2k5{ibi\gjsi|lx7>3h4thah[firf}oy0>0i;uk`oZeh}g~n~1:1f:vjgnYdg|di2:>g9wmfmXkfexh|36?d8plelWje~byk}<6rnkbUhcx`{es>::`=sajaTob{atdp\4`=sajaTob{atdp\5c=sajaTob{atdp\55`<|`i`Snaznugq[47a3}chgRm`uovfvZ75n2~bofQlotlwawY6;o1enePcnwmp`tX9=l0xdmd_bmvjqcuW8?m7yglk^alqkrbzV;=j6zfcj]`kphsm{U:;k5{ibi\gjsi|lxT=5h4thah[firf}oyS<7j;uk`oZeh}g~n~RrnkbUhcx`{es]11`=sajaTob{atdp\7`=sajaTob{atdp\0`=sajaTob{atdp\1`=sajaTob{atdp\2`=sajaTob{atdp\3`=sajaTob{atdp\<`=sajaTob{atdp\=4g<|`i`Snaznugq[dbc{|h;<=>30?3a?qodcVidyczjr^cg`vse89:;0<>11c9wmfmXkfexh|Paefpqg67896:=3?m;uk`oZeh}g~n~Rokdrwa45674885=o5{ibi\gjsi|lxTmij|uc2345:6;7;i7yglk^alqkrbzVkoh~{m012384299k1enePcnwmp`tXimnxyo>?01>21;7e3}chgRm`uovfvZgclzi<=>?<04=5g=sajaTob{atdp\eabt}k:;<=2>7?3a?qodcVidyczjr^cg`vse89:;0<611c9wmfmXkfexh|Paefpqg67896:53?n;uk`oZeh}g~n~Rokdrwa4567484:n6zfcj]`kphsm{Ujhi}zb123494768h0xdmd_bmvjqcuWhnoxl?012?6486j2~bofQlotlwawYflmy~n=>?0=01:4d<|`i`Snaznugq[dbc{|h;<=>322<2f>rnkbUhcx`{es]b`aurj9:;<1<;>0`8plelWje~byk}_`fgwpd789:7>80>a:vjgnYdg|diQndeqvf5678585=l5{ibi\gjsi|lxTmij|uc2345:468k0xdmd_bmvjqcuWhnoxl?012?0;7f3}chgRm`uovfvZgclzi<=>?<4<2e>rnkbUhcx`{es]b`aurj9:;<1811`9wmfmXkfexh|Paefpqg67896<20:8plelWje~byk}_lw{4567494:=55{ibi\gjsi|lxTaxv?012?558612~bofQlotlwawYj}q:;<=2>0?32<>rnkbUhcx`{es]nq}67896:=3?6;uk`oZeh}g~n~Rczx123497668;37yglk^alqkrbzVg~t=>?0=31:4?<|`i`Snaznugq[hs89:;0<<110:8plelWje~byk}_lw{45674895=45{ibi\gjsi|lxTaxv?012?5686911enePcnwmp`tXe|r;<=>315<2=>rnkbUhcx`{es]nq}67896:83?>8:vjgnYdg|diQbuy2345:6=7;27yglk^alqkrbzVg~t=>?0=36:47?3}chgRm`uovfvZkrp9:;<1?9>0;8plelWje~byk}_lw{456748<5=<64thah[firf}oyS`{w01238419901enePcnwmp`tXe|r;<=>316<25==sajaTob{atdp\ip~789:7=50>9:vjgnYdg|diQbuy2345:607;:46zfcj]`kphsm{Ufyu>?01>2=;7>3}chgRm`uovfvZkrp9:;<1?6>034?qodcVidyczjr^ov|56785;5=55{ibi\gjsi|lxTaxv?012?5;7602~bofQlotlwawYj}q:;<=2=0?3:?qodcVidyczjr^ov|567858;2?<33=54><|`i`Snaznugq[hs89:;0?<1189wmfmXkfexh|Pmtz3456;:;4:=55{ibi\gjsi|lxTaxv?012?668612~bofQlotlwawYj}q:;<=2=3?32<>rnkbUhcx`{es]nq}67896983?6;uk`oZeh}g~n~Rczx123494368;37yglk^alqkrbzVg~t=>?0=06:4?<|`i`Snaznugq[hs89:;0?;11058plelWje~byk}_lw{45674;4:46zfcj]`kphsm{Ufyu>?01>1:4703}chgRm`uovfvZkrp9:;<1=1199wmfmXkfexh|Pmtz3456;;7;:;6zfcj]`kphsm{Ufyu>?01>7:4><|`i`Snaznugq[hs89:;090>169wmfmXkfexh|Pmtz3456;=7;37yglk^alqkrbzVg~t=>?0=7=541<|`i`Snaznugq[hs89:;0;0>8:vjgnYdg|diQbuy2345:168;<7yglk^alqkrbzVg~t=>?0=5=5==sajaTob{atdp\ip~789:7;3?>7:vjgnYdg|diQbuy2345:?6820xdmd_bmvjqcuWds<=>?<9<252=sajaTob{atdp\ip~789:753?7;uk`oZeh}g~n~Rczx12349?99890xdmd_bmvjqcuWfx;<=>>4:vjgnYdg|diQ`r12344e<~`o|Obb`r=2=g>pnm~Id`b|31?a8rlcpKffd~1<1b:tjarEhdfxT?01>3:436?2|bizM`lnp\ip~789:7<3?:1058rlcpKffd~Rczx12349699<8:56xfevAlhjtXe|r;<=>30?36[c36>2|bizM`lnp\ip~789:7<3:=159um`qDgeeyS`{w01238486>2|bizM`lnp\ip~789:7=3?:169um`qDgeeyS`{w01238486=8;<7{gjwBmokwYj}q:;<=2>>0715<=qal}Hcaa}_lw{4567484:9Rh:179um`qDgeeyS`{w01238483:8>0zdkxCnnlvZkrp9:;<1<1179um`qDgeeyS`{w01238786=8=0zdkxCnnlvZkrp9:;<1<114323>pnm~Id`b|Pmtz3456;:7;>><74vhgtGjjhzVg~t=>?0=0=50Ya=8<0zdkxCnnlvZkrp9:;<1<14378rvikh1}t`l_N@VB==aecetx`>8:zoj}Yf}}eT=:1ea97246;rd?9i4>;o66a?0<,=?369;=;|Qe4?22n38?<7?<343gg?50:830_?==:4f2>4<6;:?:hn4<731`?V`723272`f<4?;9n7i:91;295?7|[o;188h5252956529mi1?:<>3:&g=?>4<2B:5h5yT0c;>5<6280?<;u\f0871c<5<90:?>;>db8037743->??7<<5:T71f<5s|9:<7?4u213>5=z,:l>6?k4$0ca>5=#9h315=h4$0cb>74f3k>==7>51`80ac<381063Snj68u<7;1b>6d=;j09o7s`91a94?=n<9<1<75f23f94?=n3:07bo;:18'7c1=i<1e?k851:9le6<72-9m;7o:;o1e2?4<3f326=4+3g59e0=i;o<1?65`1ba94?"4n>0:oo5a3g494>=h9jk1<7*0:oo5a3g496>=h9j21<7*0?<<5a3g494>=h<9:1<7*0?<<5a3g496>=h;oo1<7*0>o45a3g494>=h=j21<7*0>o45a3g496>=h=j<1<7*0?:95a3g494>=n0?:95a3g496>=e<<<1<7?50;2x 15c28io7E::5:J704=#<4$313><6b3f;h;7>5$57a>6dd32wi88950;394?6|,=9o69:=;I661>N3<81/88l53c`8 75720:n7b=md;29 13e2:hh76sm66094?0=83:p(9=k:0`0?M22=2B?8<5+44`95gg<,8o>6?5G4178 75720:n7dk?:18'00d=mj10eh850;&71g21bj?4?:%66f?7c121d?km50;&71g<4jj10e>h6:18'00d=<:;07dki:18'00d=<9307pl97283>3<729q/8>j51c18L1323A>?=6*;5c82fd=#9l?1>6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo885;292?6=8r.??i4>b29K003<@=>:7)::b;3ae>"6m<097E:?5:&175<>8l1bi=4?:%66f?cd32cn:7>5$57a>`0<3`l96=4+44`95a?<3f9mo7>5$57a>6dd32c8j44?:%66f?24921bik4?:%66f?27121vn;99:185>5<7s->8h7?m3:J710=O<=;0(9;m:0`b?!7b=380D9>:;%004??7m2cn<7>5$57a>`e<3`o=6=4+44`9a3=5$57a>15632cnj7>5$57a>16>32wi::950;494?6|,=9o6N3<81/88l51cc8 4c22;1C8=;4$313><6b3`o;6=4+44`9af==nn;0;6)::b;3g=>=h;oi1<7*;5c80ff=5}#<:n1=o=4H576?M2392.?9o4>b`9'5`3=:2B?<85+2229=5c=nm?0;6)::b;g5?>oa:3:1(9;m:0f:?>i4nj0;6)::b;1ag>=n;o31<7*;5c8774=56;294~"3;m0:n>5G4478L1263->>n7?ma:&2a0<53A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?j5ak3:1(9;m:2``?>o4n00;6)::b;605>=nmo0;6)::b;63=>=zj?=j6=49:183!24l3;i?6F;549K017<,=?i67)<<0;;3a>ob83:1(9;m:da8?lc1290/88l5e798mc4=83.?9o4>d898k6`d290/88l53ca8?l5a13:1(9;m:512?>obn3:1(9;m:52:?>{e>>h1<7850;2x 15c28h87E::5:J704=#<7=O<9?0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jb7<72->>n7?k9:9l7ce=83.?9o4290/88l54238?lca290/88l541;8?xd1?j0;6;4?:1y'06b=9k90D9;:;I675>"3=k0:nl5+1d796>N38<1/>>>591g8m`6=83.?9o4jc:9ja3<72->>n7k9;:ke6?6=,=?i6>n7=mc:9j7c?=83.?9o4;3098m``=83.?9o4;0898yg00<3:1:7>50z&77a<6j:1C88;4H562?!22j3;im6*>e481?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<ll;:k0b<<72->>n7:<1:9jac<72->>n7:?9:9~f=>f290=6=4?{%60`?7e;2B?985G4538 4c22;1/88l51cc8L1623-88<77?e:kf4?6=,=?i6hm4;hg5>5<#<5<#<;:kfb?6=,=?i69>6;:a<=d=83<1<7>t$51g>4d43A>>96F;409'5`3=:2.?9o4>b`9K053<,;9;64>j;hg3>5<#<!22j3;o565`3ga94?"3=k08nn54i2d:>5<#<?4;hge>5<#<7E:;1:&2a0<53->>n7?ma:J740=#:::15=k4id294?"3=k0no65fe783>!22j3o=76gi2;29 13e28n276a!22j39io65f3g;94?"3=k0??<54idd94?"3=k0?<454}c::`?6=>3:1;%3f1?4<,=?i6"5;902!22j3oh76gj6;29 13e2l<07dh=:18'00d=9m307b=ic;29 13e2:hh76g!22j3>8=65feg83>!22j3>;565rb9;f>5<1290;w):N3=<1C89?4$0g6>7=#<hl:18'00d=;ki07d=i9;29 13e2=9:76gjf;29 13e2=:276sm88d94?0=83:p(9=k:0`0?M22=2B?8<5+1d796>"3=k0:nl5G4178 75720:n7dk?:18'00d=mj10eh850;&71g21bj?4?:%66f?7c121d?km50;&71g<4jj10e>h6:18'00d=<:;07dki:18'00d=<9307pl7a183>3<729q/8>j51c18L1323A>?=6*>e481?!22j3;im6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo6n1;292?6=8r.??i4>b29K003<@=>:7)?j5;08 13e28hj7E:?5:&175<>8l1bi=4?:%66f?cd32cn:7>5$57a>`0<3`l96=4+44`95a?<3f9mo7>5$57a>6dd32c8j44?:%66f?24921bik4?:%66f?27121vn5o=:185>5<7s->8h7?m3:J710=O<=;0(:;%004??7m2cn<7>5$57a>`e<3`o=6=4+44`9a3=5$57a>15632cnj7>5$57a>16>32wi4l=50;494?6|,=9o6N3<81/=h;52:&71g<6jh1C8=;4$313><6b3`o;6=4+44`9af==nn;0;6)::b;3g=>=h;oi1<7*;5c80ff=5}#<:n1=o=4H576?M2392.:i84=;%66f?7ei2B?<85+2229=5c=nm?0;6)::b;g5?>oa:3:1(9;m:0f:?>i4nj0;6)::b;1ag>=n;o31<7*;5c8774=56;294~"3;m0:n>5G4478L1263-;n97<4$57a>4df3A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?j5ak3:1(9;m:2``?>o4n00;6)::b;605>=nmo0;6)::b;63=>=zj12n6=49:183!24l3;i?6F;549K017<,8o>6?5+44`95gg<@=:>7)<<0;;3a>ob83:1(9;m:da8?lc1290/88l5e798mc4=83.?9o4>d898k6`d290/88l53ca8?l5a13:1(9;m:512?>obn3:1(9;m:52:?>{e01l1<7850;2x 15c28h87E::5:J704=#9l?1>6*;5c82fd=O<9?0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jb7<72->>n7?k9:9l7ce=83.?9o4290/88l54238?lca290/88l541;8?xd?190;6;4?:1y'06b=9k90D9;:;I675>"6m<097)::b;3ae>N38<1/>>>591g8m`6=83.?9o4jc:9ja3<72->>n7k9;:ke6?6=,=?i6>n7=mc:9j7c?=83.?9o4;3098m``=83.?9o4;0898yg>>93:1:7>50z&77a<6j:1C88;4H562?!7b=380(9;m:0`b?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<ll;:k0b<<72->>n7:<1:9jac<72->>n7:?9:9~f=?5290=6=4?{%60`?7e;2B?985G4538 4c22;1/88l51cc8L1623-88<77?e:kf4?6=,=?i6hm4;hg5>5<#<5<#<;:kfb?6=,=?i69>6;:a<<5=83<1<7>t$51g>4d43A>>96F;409'5`3=:2.?9o4>b`9K053<,;9;64>j;hg3>5<#<!22j3;o565`3ga94?"3=k08nn54i2d:>5<#<?4;hge>5<#<7E:;1:&2a0<53->>n7?ma:J740=#:::15=k4id294?"3=k0no65fe783>!22j3o=76gi2;29 13e28n276a!22j39io65f3g;94?"3=k0??<54idd94?"3=k0?<454}c::1?6=>3:1;%3f1?4<,=?i6"5;902!22j3oh76gj6;29 13e2l<07dh=:18'00d=9m307b=ic;29 13e2:hh76g!22j3>8=65feg83>!22j3>;565rb9;4>5<1290;w):N3=<1C89?4$0g6>7=#<hl:18'00d=;ki07d=i9;29 13e2=9:76gjf;29 13e2=:276sm88:94?0=83:p(9=k:0`0?M22=2B?8<5+1d796>"3=k0:nl5G4178 75720:n7dk?:18'00d=mj10eh850;&71g21bj?4?:%66f?7c121d?km50;&71g<4jj10e>h6:18'00d=<:;07dki:18'00d=<9307pl79883>3<729q/8>j51c18L1323A>?=6*>e481?!22j3;im6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo66a;292?6=8r.??i4>b29K003<@=>:7)?j5;08 13e28hj7E:?5:&175<>8l1bi=4?:%66f?cd32cn:7>5$57a>`0<3`l96=4+44`95a?<3f9mo7>5$57a>6dd32c8j44?:%66f?24921bik4?:%66f?27121vn57m:185>5<7s->8h7?m3:J710=O<=;0(:;%004??7m2cn<7>5$57a>`e<3`o=6=4+44`9a3=5$57a>15632cnj7>5$57a>16>32wi44m50;494?6|,=9o6N3<81/=h;52:&71g<6jh1C8=;4$313><6b3`o;6=4+44`9af==nn;0;6)::b;3g=>=h;oi1<7*;5c80ff=5}#<:n1=o=4H576?M2392.:i84=;%66f?7ei2B?<85+2229=5c=nm?0;6)::b;g5?>oa:3:1(9;m:0f:?>i4nj0;6)::b;1ag>=n;o31<7*;5c8774=56;294~"3;m0:n>5G4478L1263-;n97<4$57a>4df3A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?j5ak3:1(9;m:2``?>o4n00;6)::b;605>=nmo0;6)::b;63=>=zj6?5+44`95gg<@=:>7)<<0;;3a>ob83:1(9;m:da8?lc1290/88l5e798mc4=83.?9o4>d898k6`d290/88l53ca8?l5a13:1(9;m:512?>obn3:1(9;m:52:?>{e=mk1<7850;2x 15c28h87E::5:J704=#9l?1>6*;5c82fd=O<9?0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jb7<72->>n7?k9:9l7ce=83.?9o4290/88l54238?lca290/88l541;8?xd2lk0;6;4?:1y'06b=9k90D9;:;I675>"6m<097)::b;3ae>N38<1/>>>591g8m`6=83.?9o4jc:9ja3<72->>n7k9;:ke6?6=,=?i6>n7=mc:9j7c?=83.?9o4;3098m``=83.?9o4;0898yg3ck3:1:7>50z&77a<6j:1C88;4H562?!7b=380(9;m:0`b?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<ll;:k0b<<72->>n7:<1:9jac<72->>n7:?9:9~f0bc290=6=4?{%60`?7e;2B?985G4538 4c22;1/88l51cc8L1623-88<77?e:kf4?6=,=?i6hm4;hg5>5<#<5<#<;:kfb?6=,=?i69>6;:a240=83<1<7>t$51g>4d43A>>96F;409'5`3=:2.?9o4>b`9K053<,;9;64>j;hg3>5<#<!22j3;o565`3ga94?"3=k08nn54i2d:>5<#<?4;hge>5<#<7E:;1:&2a0<53->>n7?ma:J740=#:::15=k4id294?"3=k0no65fe783>!22j3o=76gi2;29 13e28n276a!22j39io65f3g;94?"3=k0??<54idd94?"3=k0?<454}c423:1;%3f1?4<,=?i6"5;902!22j3oh76gj6;29 13e2l<07dh=:18'00d=9m307b=ic;29 13e2:hh76g!22j3>8=65feg83>!22j3>;565rb73:>5<1290;w):N3=<1C89?4$0g6>7=#<hl:18'00d=;ki07d=i9;29 13e2=9:76gjf;29 13e2=:276sm60c94?0=83:p(9=k:0`0?M22=2B?8<5+1d796>"3=k0:nl5G4178 75720:n7dk?:18'00d=mj10eh850;&71g21bj?4?:%66f?7c121d?km50;&71g<4jj10e>h6:18'00d=<:;07dki:18'00d=<9307pl8eb83>=<729q/8>j51c78L1323A>?=6*>e481?!22j3>8?6F;049'666=19o0eh>50;&71g7>5$57a>4b>32c8ji4?:%66f?24=21d?km50;&71g<4jj10e9=>:18'00d=<:;0D9=j;:k74<<72->>n7:?9:J77`=<3th=:<4?:783>5}#<:n1=o=4H576?M2392.:i84=;%66f?7ei2B?<85+2229=5c=nm?0;6)::b;g5?>oa:3:1(9;m:0f:?>i4nj0;6)::b;1ag>=n;o31<7*;5c8774=7>56;294~"3;m0:n>5G4478L1263-;n97<4$57a>4df3A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?j5ak3:1(9;m:2``?>o4n00;6)::b;605>=nmo0;6)::b;63=>=zj1:36=49:183!24l3;i?6F;549K017<,8o>6?5+44`95gg<@=:>7)<<0;;3a>ob83:1(9;m:da8?lc1290/88l5e798mc4=83.?9o4>d898k6`d290/88l53ca8?l5a13:1(9;m:512?>obn3:1(9;m:52:?>{e0931<7850;2x 15c28h87E::5:J704=#9l?1>6*;5c82fd=O<9?0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jb7<72->>n7?k9:9l7ce=83.?9o4290/88l54238?lca290/88l541;8?xd?8k0;6;4?:1y'06b=9k90D9;:;I675>"6m<097)::b;3ae>N38<1/>>>591g8m`6=83.?9o4jc:9ja3<72->>n7k9;:ke6?6=,=?i6>n7=mc:9j7c?=83.?9o4;3098m``=83.?9o4;0898yg>7k3:1:7>50z&77a<6j:1C88;4H562?!7b=380(9;m:0`b?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<ll;:k0b<<72->>n7:<1:9jac<72->>n7:?9:9~f=6c290=6=4?{%60`?7e;2B?985G4538 4c22;1/88l51cc8L1623-88<77?e:kf4?6=,=?i6hm4;hg5>5<#<5<#<;:kfb?6=,=?i69>6;:a<5c=83<1<7>t$51g>4d43A>>96F;409'5`3=:2.?9o4>b`9K053<,;9;64>j;hg3>5<#<!22j3;o565`3ga94?"3=k08nn54i2d:>5<#<?4;hge>5<#<7E:;1:&2a0<53->>n7?ma:J740=#:::15=k4id294?"3=k0no65fe783>!22j3o=76gi2;29 13e28n276a!22j39io65f3g;94?"3=k0??<54idd94?"3=k0?<454}c:24?6=>3:1;%3f1?4<,=?i6"5;902!22j3oh76gj6;29 13e2l<07dh=:18'00d=9m307b=ic;29 13e2:hh76g!22j3>8=65feg83>!22j3>;565rb932>5<1290;w):N3=<1C89?4$0g6>7=#<hl:18'00d=;ki07d=i9;29 13e2=9:76gjf;29 13e2=:276sm80094?0=83:p(9=k:0`0?M22=2B?8<5+1d796>"3=k0:nl5G4178 75720:n7dk?:18'00d=mj10eh850;&71g21bj?4?:%66f?7c121d?km50;&71g<4jj10e>h6:18'00d=<:;07dki:18'00d=<9307pl70`83>3<729q/8>j51c18L1323A>?=6*>e481?!22j3;im6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo6i9;292?6=8r.??i4>b29K003<@=>:7)::b;3ae>"6m<097E:?5:&175<>8l1bi=4?:%66f?cd32cn:7>5$57a>`0<3`l96=4+44`95a?<3f9mo7>5$57a>6dd32c8j44?:%66f?24921bik4?:%66f?27121vn5h7:185>5<7s->8h7?m3:J710=O<=;0(9;m:0`b?!7b=380D9>:;%004??7m2cn<7>5$57a>`e<3`o=6=4+44`9a3=5$57a>15632cnj7>5$57a>16>32wi4k950;494?6|,=9o6N3<81/88l51cc8 4c22;1C8=;4$313><6b3`o;6=4+44`9af==nn;0;6)::b;3g=>=h;oi1<7*;5c80ff=5}#<:n1=o=4H576?M2392.?9o4>b`9'5`3=:2B?<85+2229=5c=nm?0;6)::b;g5?>oa:3:1(9;m:0f:?>i4nj0;6)::b;1ag>=n;o31<7*;5c8774=56;294~"3;m0:n>5G4478L1263->>n7?ma:&2a0<53A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?j5ak3:1(9;m:2``?>o4n00;6)::b;605>=nmo0;6)::b;63=>=zj>7)<<0;;3a>ob83:1(9;m:da8?lc1290/88l5e798mc4=83.?9o4>d898k6`d290/88l53ca8?l5a13:1(9;m:512?>obn3:1(9;m:52:?>{e?>:1<7850;2x 15c28h87E::5:J704=#<7=O<9?0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jb7<72->>n7?k9:9l7ce=83.?9o4290/88l54238?lca290/88l541;8?xd0?80;6;4?:1y'06b=9k90D9;:;I675>"3=k0:nl5+1d796>N38<1/>>>591g8m`6=83.?9o4jc:9ja3<72->>n7k9;:ke6?6=,=?i6>n7=mc:9j7c?=83.?9o4;3098m``=83.?9o4;0898yg10:3:1:7>50z&77a<6j:1C88;4H562?!22j3;im6*>e481?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<ll;:k0b<<72->>n7:<1:9jac<72->>n7:?9:9~f214290=6=4?{%60`?7e;2B?985G4538 13e28hj7)?j5;08L1623-88<77?e:kf4?6=,=?i6hm4;hg5>5<#<5<#<;:kfb?6=,=?i69>6;:a322=83<1<7>t$51g>4d43A>>96F;409'00d=9kk0(j;hg3>5<#<!22j3;o565`3ga94?"3=k08nn54i2d:>5<#<?4;hge>5<#<7E:;1:&71g<6jh1/=h;52:J740=#:::15=k4id294?"3=k0no65fe783>!22j3o=76gi2;29 13e28n276a!22j39io65f3g;94?"3=k0??<54idd94?"3=k0?<454}c542?6=>3:1;%66f?7ei2.:i84=;I631>"5;902!22j3oh76gj6;29 13e2l<07dh=:18'00d=9m307b=ic;29 13e2:hh76g!22j3>8=65feg83>!22j3>;565rb64f>5<1290;w):N3=<1C89?4$57a>4df3-;n97<4H526?!44833;i6gj0;29 13e2li07dk9:18'00d=m?10ek<50;&71g<6l010c>hl:18'00d=;ki07d=i9;29 13e2=9:76gjf;29 13e2=:276sm73`94?0=83:p(9=k:0`0?M22=2B?8<5+1d796>"3=k0:nl5G4178 75720:n7dk?:18'00d=mj10eh850;&71g21bj?4?:%66f?7c121d?km50;&71g<4jj10e>h6:18'00d=<:;07dki:18'00d=<9307pl82b83>3<729q/8>j51c18L1323A>?=6*>e481?!22j3;im6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo9=e;292?6=8r.??i4>b29K003<@=>:7)?j5;08 13e28hj7E:?5:&175<>8l1bi=4?:%66f?cd32cn:7>5$57a>`0<3`l96=4+44`95a?<3f9mo7>5$57a>6dd32c8j44?:%66f?24921bik4?:%66f?27121vn:5<7s->8h7?m3:J710=O<=;0(:;%004??7m2cn<7>5$57a>`e<3`o=6=4+44`9a3=5$57a>15632cnj7>5$57a>16>32wi;>>50;494?6|,=9o6N3<81/=h;5139'00d=9kk0D9>:;%004??7m2cn<7>5$57a>`e<3`o=6=4+44`9a3=5$57a>15632cnj7>5$57a>16>32wi;>?50;494?6|,=9o6N3<81/=h;5139'00d=9kk0D9>:;%004??7m2cn<7>5$57a>`e<3`o=6=4+44`9a3=5$57a>15632cnj7>5$57a>16>32wi;><50;494?6|,=9o6N3<81/=h;52:&71g<6jh1C8=;4$313><6b3`o;6=4+44`9af==nn;0;6)::b;3g=>=h;oi1<7*;5c80ff=4?:783>5}#<:n1=o=4H576?M2392.:i84>2:&71g<6jh1C8=;4$313><6b3`o;6=4+44`9af==nn;0;6)::b;3g=>=h;oi1<7*;5c80ff=5}#<:n1=o=4H576?M2392.:i84=;%66f?7ei2B?<85+2229=5c=nm?0;6)::b;g5?>oa:3:1(9;m:0f:?>i4nj0;6)::b;1ag>=n;o31<7*;5c8774=56;294~"3;m0:n>5G4478L1263-;n97<4$57a>4df3A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?j5ak3:1(9;m:2``?>o4n00;6)::b;605>=nmo0;6)::b;63=>=zj>8o6=49:183!24l3;i?6F;549K017<,8o>6<<4$57a>4df3A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?j5ak3:1(9;m:2``?>o4n00;6)::b;605>=nmo0;6)::b;63=>=zj6=49:183!24l3;i?6F;549K017<,8o>6?5+44`95gg<@=:>7)<<0;;3a>ob83:1(9;m:da8?lc1290/88l5e798mc4=83.?9o4>d898k6`d290/88l53ca8?l5a13:1(9;m:512?>obn3:1(9;m:52:?>{e=h<1<7850;2x 15c28h87E::5:J704=#9l?1>6*;5c82fd=O<9?0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jb7<72->>n7?k9:9l7ce=83.?9o4290/88l54238?lca290/88l541;8?xd2i>0;6;4?:1y'06b=9k90D9;:;I675>"6m<097)::b;3ae>N38<1/>>>591g8m`6=83.?9o4jc:9ja3<72->>n7k9;:ke6?6=,=?i6>n7=mc:9j7c?=83.?9o4;3098m``=83.?9o4;0898yg3f03:1:7>50z&77a<6j:1C88;4H562?!7b=380(9;m:0`b?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<ll;:k0b<<72->>n7:<1:9jac<72->>n7:?9:9~f2`4290=6=4?{%60`?7e;2B?985G4538 4c22;1/88l51cc8L1623-88<77?e:kf4?6=,=?i6hm4;hg5>5<#<5<#<;:kfb?6=,=?i69>6;:a11?=8321<7>t$51g>4d33A>>96F;409'00d=<:90(j;hg3>5<#<5<#<;4;n1eg?6=,=?i6>ll;:kf2?6=,=?i6h84;h605?6=,=?i69=>;I60a>=n<931<7*;5c874<=O<:o07d:=f;29 13e2=9376sm47f94?>=83:p(9=k:0`7?M22=2B?8<5+44`9065<,8o>6?5G4178 75720:n7dk?:18'00d=mj10ek<50;&71g<6l010e>hk:18'00d=<:?07b=ic;29 13e2:hh76gj6;29 13e2l<07d:<1;29 13e2=9:7E:9j7>5$57a>15?32wi8;k50;:94?6|,=9o6N3<81/88l54218 4c22;1C8=;4$313><6b3`o;6=4+44`9af=5$57a>6dd32cn:7>5$57a>`0<3`>8=7>5$57a>1563A>8i65f41;94?"3=k0?<45G42g8?l25n3:1(9;m:51;?>{e=4$0g6>7=O<9?0(?=?:82f?lc7290/88l5eb98mc4=83.?9o4>d898m6`c290/88l54278?j5ak3:1(9;m:2``?>ob>3:1(9;m:d48?l2493:1(9;m:512?M24m21b8=750;&71g<3801C8>k4;h61b?6=,=?i69=7;:a0`>=8321<7>t$51g>4d33A>>96F;409'00d=<:90(j;hg3>5<#<5<#<;4;n1eg?6=,=?i6>ll;:kf2?6=,=?i6h84;h605?6=,=?i69=>;I60a>=n<931<7*;5c874<=O<:o07d:=f;29 13e2=9376sm49194?>=83:p(9=k:0`7?M22=2B?8<5+44`9065<,8o>6?5G4178 75720:n7dk?:18'00d=mj10ek<50;&71g<6l010e>hk:18'00d=<:?07b=ic;29 13e2:hh76gj6;29 13e2l<07d:<1;29 13e2=9:7E:9j7>5$57a>15?32wi85:50;:94?6|,=9o6N3<81/88l54218 4c22;1C8=;4$313><6b3`o;6=4+44`9af=5$57a>6dd32cn:7>5$57a>`0<3`>8=7>5$57a>1563A>8i65f41;94?"3=k0?<45G42g8?l25n3:1(9;m:51;?>{e=9?1<7650;2x 15c28h?7E::5:J704=#<=4$0g6>7=O<9?0(?=?:82f?lc7290/88l5eb98mc4=83.?9o4>d898m6`c290/88l54278?j5ak3:1(9;m:2``?>ob>3:1(9;m:d48?l2493:1(9;m:512?M24m21b8=750;&71g<3801C8>k4;h61b?6=,=?i69=7;:a146=83<1<7>t$51g>4d43A>>96F;409'5`3=:2.?9o4>b`9K053<,;9;64>j;hg3>5<#<!22j3;o565`3ga94?"3=k08nn54i2d:>5<#<?4;hge>5<#<7E:;1:&2a0<53->>n7?ma:J740=#:::15=k4id294?"3=k0no65fe783>!22j3o=76gi2;29 13e28n276a!22j39io65f3g;94?"3=k0??<54idd94?"3=k0?<454}c726?6=>3:1;%3f1?4<,=?i6"5;902!22j3oh76gj6;29 13e2l<07dh=:18'00d=9m307b=ic;29 13e2:hh76g!22j3>8=65feg83>!22j3>;565rb430>5<1290;w):N3=<1C89?4$0g6>7=#<hl:18'00d=;ki07d=i9;29 13e2=9:76gjf;29 13e2=:276sm50694?0=83:p(9=k:0`0?M22=2B?8<5+1d796>"3=k0:nl5G4178 75720:n7dk?:18'00d=mj10eh850;&71g21bj?4?:%66f?7c121d?km50;&71g<4jj10e>h6:18'00d=<:;07dki:18'00d=<9307pl:1483>3<729q/8>j51c18L1323A>?=6*>e481?!22j3;im6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo;6a;292?6=8r.??i4>b29K003<@=>:7)?j5;08 13e28hj7E:?5:&175<>8l1bi=4?:%66f?cd32cn:7>5$57a>`0<3`l96=4+44`95a?<3f9mo7>5$57a>6dd32c8j44?:%66f?24921bik4?:%66f?27121vn>=>:1847?6=8r.??i4=409K003<@=>:7Wjn:4y228k1>o4>b;'`c<6;of6>5=i;ok1<6`>>591g8m`1=831bi54?::kf=?6=3`oh6=4G3g:8?l27i3:17d:?b;29?l27k3:17d;i:18'7c1==l1e?k850:&0f3<2:2d8n84>1:9j1a<72-9m;7;j;o1e2?7<,:h=68<4n2`6>46<3`?h6=4+3g591`=i;o<1>6*h4j<0m76g:b;29 6`02h9:29'7g0==;1e?o;5e:9j1d<72-9m;7;j;o1e2?2<,:h=68<4n2`6>a=h4n?0>7)=m6;71?k5e=3i07d;7:18'7c1==l1e?k856:&0f3<2:2d8n84m;:k63?6=,:l<68k4n2d5>2=#;k<19?5a3c79e>=n>10;6)=i7;7f?k5a>320(>l9:408j6d22010e;950;&0b2<2m2d8j;46;%1a2?353g9i9764;h45>5<#;o=19h5a3g49e>"4j?0>>6`o1=3:1(>h8:4g8j6`12k1/?o85539m7g3=>21b:94?:%1e3?3b3g9m:7m4$2`5>046854i7194?"4n>0>i6`3?97c=m5;68?l05290/?k955d9m7c0=m2.8n;4:2:l0f0<432c==7>5$2d4>0c65f6183>!5a?3?n7c=i6;33?!5e>3?97c=m5;38?l31290/?k955d9m7c0=981/?o85539m7g3=821bm?4?:%1e3?g63g9m:7>4$2`5><165<#;o=1m<5a3g495>"4j?02;6`=n1o0;6)=i7;c2?k5a>380(>l9:858j6d22o10e4k50;&0b25<#;o=1m<5a3g490>"4j?02;6`o>k3:1(>h8:`38j6`12<1/?o85969m7g3=k21b5o4?:%1e3?g63g9m:784$2`5><16o54i8c94?"4n>0j=6`33<7c=m5;c8?lgd290/?k95a09m7c0=02.8n;467:l0f0<>32cjn7>5$2d4>d7!5a?3k:7c=i6;c8 6d120=0b>l::698md?=83.8j:4n1:l0b3h4j<0>76gn7;29 6`02h;0b>h9:e9'7g0=1>1e?o;54:9je3<72-9m;7o>;o1e2?c<,:h=6494n2`6>6=1<7*h4n?0m7)=m6;;4?k5e=3807do<:18'7c1=i81e?k85119'7g0=1>1e?o;51:9j=<<72-9m;7o>;o1e2?763-9i:778;o1a1?6<3`h<6=4+3g59f3=i;o<1<6*h4j<0:=65fb483>!5a?3h=7c=i6;38 6d12hn0b>l::028?ld3290/?k95b79m7c0=:2.8n;4nd:l0f05$2d4>g05+3c49ea=i;k?1i65fb383>!5a?3h=7c=i6;68 6d12hn0b>l::e98mg7=83.8j:4m6:l0b3<23-9i:7ok;o1a1?e<3`h;6=4+3g59f3=i;o<1:6*h4j<0i76gnf;29 6`02k<0b>h9:69'7g0=im1e?o;5a:9jg5<72-9m;7l9;o1e2?><,:h=6lj4n2`6><=h4n?027)=m6;cg?k5e=3207dlj:18'7c1=j?1e?k85a:&0f3g=#;k<1mi5a3c792>=njj0;6)=i7;`5?k5a>3i0(>l9:`f8j6d22<10eol50;&0b22d8j;4k;%1a2?gc3g9i97:4;h`b>5<#;o=1n;5a3g49a>"4j?0jh6`oe13:1(>h8:c48j6`12o1/?o85ae9m7g3=:21bn54?:%1e3?d13g9m:7??;%1a2?gc3g9i97?4;hcf>5<#;o=1n;5a3g4954=#;k<1mi5a3c794>=h;li1<7*>54o2gb>5<#;o=1?hl4n2d5>4=#;k<1?oj4n2`6>74<3f9n57>5$2d4>6ce3g9m:7<4$2`5>6dc3g9i97<>;:m0a=<72-9m;7=jb:l0b3<43-9i:7=md:l0f0<5821d?h950;&0b2<4mk1e?k854:&0f3<4jm1e?o;51g98k6c1290/?k953d`8j6`12<1/?o853cf8j6d228o07b=j4;29 6`02:oi7c=i6;48 6d12:ho7c=m5;3g?>i4m:0;6)=i7;1ff>h4n?0<7)=m6;1a`>h4j<0:o65`3d094?"4n>08io5a3g49<>"4j?08ni5a3c795g=6km;o1e2?g<,:h=6>lk;o1a1?7>32e8hk4?:%1e3?5bj2d8j;4m;%1a2?5el2d8n84>8:9l7ac=83.8j:410c>jk:18'7c1=;lh0b>h9:e9'7g0=;kn0b>l::048?j5ck3:1(>h8:2ga?k5a>3o0(>l9:2`g?k5e=3;>76a!5a?39nn6`39ih6`=h;m31<7*6<=4;n1gkm;o1e2?763-9i:7=md:l0f0<6:21d?i950;&0b2<4mk1e?k85139'7g0=;kn0b>l::038?j5c>3:1(>h8:2ga?k5a>3;87)=m6;1a`>h4j<0:<65`3e794?"4n>08io5a3g4951=#;k<1?oj4n2`6>c=6dc3g9i97k4;n1g7?6=,:l<6>km;o1e2?713-9i:7=md:l0f07:&0f3<4jm1e?o;5c:9l7a7=83.8j:4j?:18'7c1=;lh0b>h9:0;8 6d12:ho7c=m5;c8?j5a;3:1(>h8:2ga?k5a>3;j7)=m6;1a`>h4j<0276a!5a?39nn6`"4j?08ni5a3c79<>=h;o;1<7*6:54o2d3>5<#;o=1?hl4n2d5>4b<,:h=6>lk;o1a1?0<3f9nj7>5$2d4>6ce3g9m:7?j;%1a2?5el2d8n84:;:m0a`<72-9m;7=jb:l0b3<6n2.8n;4l::298k6c2290/?k953d`8j6`12;;0(>l9:2`g?k5e=3807b=ka;29 6`02:oi7c=i6;01?!5e>39ih6`i4ko0;6)=i7;1ff>h4n?09?6*!5a?3ij7c=i6;28 6d12j;0b>l::038?je>290/?k95c`9m7c0=92.8n;4l1:l0f0<6821do54?:%1e3?ef3g9m:7<4$2`5>f76k54ob594?"4n>0hm6`3i:7c=m5;g8?je1290/?k95c`9m7c0=<2.8n;4l1:l0f05$2d4>fg!5a?3ij7c=i6;48 6d12j;0b>l::c98kf5=83.8j:4la:l0b3<03-9i:7m>;o1a1?g<3fn?6=4+3g59gd=i;o<146*h4j<0276ak3;29 6`02jk0b>h9:89'7g0=k81e?o;58:9l`7<72-9m;7mn;o1e2?g<,:h=6n?4n2`6>2=h4n?0i7)=m6;a2?k5e=3<07bj?:18'7c1=kh1e?k85c:&0f3a=#;k<1o<5a3c790>=hkl0;6)=i7;ab?k5a>3o0(>l9:b38j6d22:10cnj50;&0b25<#;o=1ol5a3g4955=#;k<1o<5a3c795>=hk;0;6)=i7;ab?k5a>3;:7)=m6;a2?k5e=3:07pl;e583>25=83:p(9=k:362?M22=2B?8<5Ud`864>=900:m7i7c79:09m`0<73g9mm7>4n2da>5=O<:h0(9;m:362?!>a2=997)<<0;;3a>ob?3:17dk7:188m`?=831bin4?:I1e<>=n<9k1<75f41`94?=n<9i1<75f5g83>!5a?3?n7c=i6;28 6d12<80b>l::038?l3c290/?k955d9m7c0=92.8n;4:2:l0f0<6821b9n4?:%1e3?3b3g9m:7<4$2`5>046k54i4`94?"4n>0>i6`3?97c=m5;g8?l3f290/?k955d9m7c0=<2.8n;4:2:l0f057>5$2d4>0c!5a?3?n7c=i6;48 6d12<80b>l::c98m01=83.8j:4:e:l0b3<03-9i:7;=;o1a1?g<3`<36=4+3g591`=i;o<146*h4j<0276g97;29 6`02h9:89'7g0==;1e?o;58:9j23<72-9m;7;j;o1e2?g<,:h=68<4n2`6>2=h4n?0i7)=m6;71?k5e=3<07d8;:18'7c1==l1e?k85c:&0f3<2:2d8n84:;:k57?6=,:l<68k4n2d5>a=#;k<19?5a3c790>=n>;0;6)=i7;7f?k5a>3o0(>l9:408j6d22:10e;?50;&0b2<2m2d8j;4i;%1a2?353g9i97<4;h43>5<#;o=19h5a3g4955=#;k<19?5a3c795>=n=?0;6)=i7;7f?k5a>3;:7)=m6;71?k5e=3:07do=:18'7c1=i81e?k850:&0f3<>?2d8n84>1:9je5<72-9m;7o>;o1e2?7<,:h=6494n2`6>46<3`3m6=4+3g59e4=i;o<1>6*h4j<0m76g6e;29 6`02h;0b>h9:29'7g0=1>1e?o;5e:9j=a<72-9m;7o>;o1e2?2<,:h=6494n2`6>a=h4n?0>7)=m6;;4?k5e=3i07d7m:18'7c1=i81e?k856:&0f3<>?2d8n84m;:k:e?6=,:l<6l?4n2d5>2=#;k<15:5a3c79e>=nij0;6)=i7;c2?k5a>320(>l9:858j6d22010ell50;&0b25<#;o=1m<5a3g49e>"4j?02;6`of13:1(>h8:`38j6`12k1/?o85969m7g3=>21bm54?:%1e3?g63g9m:7m4$2`5><16854i`594?"4n>0j=6`33<7c=m5;68?lg1290/?k95a09m7c0=m2.8n;467:l0f0<432cj87>5$2d4>d765fa283>!5a?3k:7c=i6;33?!5e>33<7c=m5;38?l?>290/?k95a09m7c0=981/?o85969m7g3=821bn:4?:%1e3?d13g9m:7>4$2`5>db65<#;o=1n;5a3g495>"4j?0jh6`=nj=0;6)=i7;`5?k5a>380(>l9:`f8j6d22o10eo=50;&0b22d8j;4<;%1a2?gc3g9i97k4;h`1>5<#;o=1n;5a3g490>"4j?0jh6`oe93:1(>h8:c48j6`12<1/?o85ae9m7g3=k21bn=4?:%1e3?d13g9m:784$2`5>db6o54i`d94?"4n>0i:6`3ko7c=m5;c8?le7290/?k95b79m7c0=02.8n;4nd:l0f0<>32cij7>5$2d4>g0!5a?3h=7c=i6;c8 6d12hn0b>l::698mgb=83.8j:4m6:l0b3h4j<0>76gmb;29 6`02k<0b>h9:e9'7g0=im1e?o;54:9jfd<72-9m;7l9;o1e2?c<,:h=6lj4n2`6>6=h4n?0m7)=m6;cg?k5e=3807dl7:18'7c1=j?1e?k85119'7g0=im1e?o;51:9je`<72-9m;7l9;o1e2?763-9i:7ok;o1a1?6<3f9no7>5$2d4>6ce3g9m:7>4$2`5>6dc3g9i97<<;:m0ad<72-9m;7=jb:l0b3<63-9i:7=md:l0f0<5:21d?h750;&0b2<4mk1e?k852:&0f3<4jm1e?o;52098k6c?290/?k953d`8j6`12:1/?o853cf8j6d22;:07b=j7;29 6`02:oi7c=i6;68 6d12:ho7c=m5;3e?>i4m?0;6)=i7;1ff>h4n?0>7)=m6;1a`>h4j<0:i65`3d694?"4n>08io5a3g492>"4j?08ni5a3c795a=6km;o1e2?><,:h=6>lk;o1a1?7e32e8i<4?:%1e3?5bj2d8j;46;%1a2?5el2d8n84>a:9l7`6=83.8j:4ji:18'7c1=;lh0b>h9:c9'7g0=;kn0b>l::0:8?j5cm3:1(>h8:2ga?k5a>3i0(>l9:2`g?k5e=3;<76a!5a?39nn6`39ih6`=h;mi1<7*5<#;o=1?hl4n2d5>c=#;k<1?oj4n2`6>42<3f9o57>5$2d4>6ce3g9m:7??;%1a2?5el2d8n84>3:9l7a>=83.8j:439ih6`=h;m<1<7*5+3c497gb6<>4;n1g1?6=,:l<6>km;o1e2?733-9i:7=md:l0f05:&0f3<4jm1e?o;5e:9l7a5=83.8j:4j=:18'7c1=;lh0b>h9:058 6d12:ho7c=m5;a8?j5c93:1(>h8:2ga?k5a>3;37)=m6;1a`>h4j<0i76a!5a?39nn6`"4j?08ni5a3c79e>=h;o91<7*6454o2d1>5<#;o=1?hl4n2d5>4d<,:h=6>lk;o1a1?><3f9m=7>5$2d4>6ce3g9m:7?l;%1a2?5el2d8n848;:m0b5<72-9m;7=jb:l0b3<6l2.8n;421d?hh50;&0b2<4mk1e?k851d9'7g0=;kn0b>l::498k6cb290/?k953d`8j6`128l0(>l9:2`g?k5e=3>07b=jd;29 6`02:oi7c=i6;03?!5e>39ih6`i4m<0;6)=i7;1ff>h4n?09=6*65`3ec94?"4n>08io5a3g4967=#;k<1?oj4n2`6>4=6dc3g9i97>4;naa>5<#;o=1ol5a3g494>"4j?0h=6`=hk00;6)=i7;ab?k5a>3;0(>l9:b38j6d228:07bm7:18'7c1=kh1e?k852:&0f36=#;k<1o<5a3c79a>=hk?0;6)=i7;ab?k5a>3>0(>l9:b38j6d22m10cn;50;&0b25<#;o=1ol5a3g492>"4j?0h=6`id;3:1(>h8:bc8j6`12>1/?o85c09m7g3=i21dh94?:%1e3?ef3g9m:764$2`5>f76454oe194?"4n>0hm6`3i:7c=m5;:8?jb5290/?k95c`9m7c0=i2.8n;4l1:l0f0<032eo=7>5$2d4>fg!5a?3ij7c=i6;a8 6d12j;0b>l::498kf`=83.8j:4la:l0b3;o1a1?2<3fin6=4+3g59gd=i;o<1i6*h4j<0876ald;29 6`02jk0b>h9:g9'7g0=k81e?o;52:9lgf<72-9m;7mn;o1e2?773-9i:7m>;o1a1?7<3fi96=4+3g59gd=i;o<1=<5+3c49g4=i;k?1<65rb4:`>5N3=<1C89?4$0g6>44<,=?i69=<;%004??7m2cn<7>5$57a>`e<3`l96=4+44`95a?<3`>9j7>5$57a>15?32e8jn4?:%66f?5ek21bi;4?:%66f?c132c??<4?:%66f?2492B??h54i52:>5<#<o3;<0;6)::b;601>N3;l10qo;7d;29b59K003<@=>:7)?j5;08 13e2=987E:?5:&175<>8l1bi=4?:%66f?cd32cm>7>5$57a>4b>32c8ji4?:%66f?24=21d?km50;&71g<4jj10eh850;&71g21b8>?50;&71g<3;81C8>k4;h63=?6=,=?i69>6;I60a>=n<;l1<7*;5c877==58;294~"3;m0:n95G4478L1263-;n97<4$57a>1543A>;96*=318:4`=nm90;6)::b;g`?>oa:3:1(9;m:0f:?>o4nm0;6)::b;601>=h;oi1<7*;5c80ff==n<:;1<7*;5c8774=O<:o07d:?9;29 13e2=:27E:50z&77a<6j=1C88;4H562?!7b=380(9;m:510?M27=2.9?=460d9ja5<72->>n7kl;:ke6?6=,=?i6>n7:<5:9l7ce=83.?9o4;57>5$57a>16>3A>8i65f43d94?"3=k0??554}c7;b?6=03:1;%3f1?4<,=?i69=<;I631>"5;902!22j3oh76gi2;29 13e28n276g!22j3>8965`3ga94?"3=k08nn54id494?"3=k0n:65f42394?"3=k0??<5G42g8?l2713:1(9;m:52:?M24m21b8?h50;&71g<3;110qo;61;29b59K003<@=>:7)?j5;08 13e2=987E:?5:&175<>8l1bi=4?:%66f?cd32cm>7>5$57a>4b>32c8ji4?:%66f?24=21d?km50;&71g<4jj10eh850;&71g21b8>?50;&71g<3;81C8>k4;h63=?6=,=?i69>6;I60a>=n<;l1<7*;5c877==7>58;294~"3;m0:n95G4478L1263-;n97<4$57a>1543A>;96*=318:4`=nm90;6)::b;g`?>oa:3:1(9;m:0f:?>o4nm0;6)::b;601>=h;oi1<7*;5c80ff==n<:;1<7*;5c8774=O<:o07d:?9;29 13e2=:27E:=3:147>50z&77a<6j=1C88;4H562?!7b=380(9;m:510?M27=2.9?=460d9ja5<72->>n7kl;:ke6?6=,=?i6>n7:<5:9l7ce=83.?9o4;57>5$57a>16>3A>8i65f43d94?"3=k0??554}c7:7?6=03:1;%3f1?4<,=?i69=<;I631>"5;902!22j3oh76gi2;29 13e28n276g!22j3>8965`3ga94?"3=k08nn54id494?"3=k0n:65f42394?"3=k0??<5G42g8?l2713:1(9;m:52:?M24m21b8?h50;&71g<3;110qo;64;29b59K003<@=>:7)?j5;08 13e2=987E:?5:&175<>8l1bi=4?:%66f?cd32cm>7>5$57a>4b>32c8ji4?:%66f?24=21d?km50;&71g<4jj10eh850;&71g21b8>?50;&71g<3;81C8>k4;h63=?6=,=?i69>6;I60a>=n<;l1<7*;5c877==58;294~"3;m0:n85G4478L1263-;n97<4$57a>1543A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?l5al3:1(9;m:516?>i4nj0;6)::b;1ag>=n<:;1<7*;5c8774=O<:o07d:?9;29 13e2=:27E:50z&77a<6j<1C88;4H562?!7b=380(9;m:510?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<>n7=mc:9j067=83.?9o4;309K06c<3`>;57>5$57a>16>3A>8i65f43d94?"3=k0??554}c70g?6=03:1;%3f1?4<,=?i69=<;I631>"5;902!22j3oh76gj6;29 13e2l<07dh=:18'00d=9m307d=id;29 13e2=9>76a!22j39io65f42394?"3=k0??<5G42g8?l2713:1(9;m:52:?M24m21b8?h50;&71g<3;110qo;b49K003<@=>:7)?j5;08 13e2=987E:?5:&175<>8l1bi=4?:%66f?cd32cn:7>5$57a>`0<3`l96=4+44`95a?<3`9mh7>5$57a>15232e8jn4?:%66f?5ek21b8>?50;&71g<3;81C8>k4;h63=?6=,=?i69>6;I60a>=n<;l1<7*;5c877==58;294~"3;m0:n85G4478L1263-;n97<4$57a>1543A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?l5al3:1(9;m:516?>i4nj0;6)::b;1ag>=n<:;1<7*;5c8774=O<:o07d:?9;29 13e2=:27E:50z&77a<6j<1C88;4H562?!7b=380(9;m:510?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<>n7=mc:9j067=83.?9o4;309K06c<3`>;57>5$57a>16>3A>8i65f43d94?"3=k0??554}c774?6=03:1;%3f1?4<,=?i69=<;I631>"5;902!22j3oh76gj6;29 13e2l<07dh=:18'00d=9m307d=id;29 13e2=9>76a!22j39io65f42394?"3=k0??<5G42g8?l2713:1(9;m:52:?M24m21b8?h50;&71g<3;110qo;;1;29b49K003<@=>:7)?j5;08 13e2=987E:?5:&175<>8l1bi=4?:%66f?cd32cn:7>5$57a>`0<3`l96=4+44`95a?<3`9mh7>5$57a>15232e8jn4?:%66f?5ek21b8>?50;&71g<3;81C8>k4;h63=?6=,=?i69>6;I60a>=n<;l1<7*;5c877==7>58;294~"3;m0:n85G4478L1263-;n97<4$57a>1543A>;96*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?l`5290/88l51e;8?l5al3:1(9;m:516?>i4nj0;6)::b;1ag>=n<:;1<7*;5c8774=O<:o07d:?9;29 13e2=:27E:50z&77a<6j<1C88;4H562?!7b=380(9;m:510?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<>n7=mc:9j067=83.?9o4;309K06c<3`>;57>5$57a>16>3A>8i65f43d94?"3=k0??554}c6e3?6=03:1;%3f1?4<,=?i69=<;I631>"5;902!22j3oh76gi2;29 13e28n276g!22j3>8965`3ga94?"3=k08nn54id494?"3=k0n:65f42394?"3=k0??<5G42g8?l2713:1(9;m:52:?M24m21b8?h50;&71g<3;110qo:i8;29b59K003<@=>:7)?j5;08 13e2=987E:?5:&175<>8l1bi=4?:%66f?cd32cm>7>5$57a>4b>32c8ji4?:%66f?24=21d?km50;&71g<4jj10eh850;&71g21b8>?50;&71g<3;81C8>k4;h63=?6=,=?i69>6;I60a>=n<;l1<7*;5c877==m57>58;294~"3;m0:n95G4478L1263-;n97<4$57a>1543A>;96*=318:4`=nm90;6)::b;g`?>oa:3:1(9;m:0f:?>o4nm0;6)::b;601>=h;oi1<7*;5c80ff==n<:;1<7*;5c8774=O<:o07d:?9;29 13e2=:27E:50z&77a<6j=1C88;4H562?!7b=380(9;m:510?M27=2.9?=460d9ja5<72->>n7kl;:ke6?6=,=?i6>n7:<5:9l7ce=83.?9o4;57>5$57a>16>3A>8i65f43d94?"3=k0??554}c6ef?6=03:1;%3f1?4<,=?i69=<;I631>"5;902!22j3oh76gi2;29 13e28n276g!22j3>8965`3ga94?"3=k08nn54id494?"3=k0n:65f42394?"3=k0??<5G42g8?l2713:1(9;m:52:?M24m21b8?h50;&71g<3;110qo:ic;29b59K003<@=>:7)?j5;08 13e2=987E:?5:&175<>8l1bi=4?:%66f?cd32cm>7>5$57a>4b>32c8ji4?:%66f?24=21d?km50;&71g<4jj10eh850;&71g21b8>?50;&71g<3;81C8>k4;h63=?6=,=?i69>6;I60a>=n<;l1<7*;5c877==mh7>58;294~"3;m0:n95G4478L1263-;n97<4$57a>1543A>;96*=318:4`=nm90;6)::b;g`?>oa:3:1(9;m:0f:?>o4nm0;6)::b;601>=h;oi1<7*;5c80ff==n<:;1<7*;5c8774=O<:o07d:?9;29 13e2=:27E:50z&77a<6j=1C88;4H562?!7b=380(9;m:510?M27=2.9?=460d9ja5<72->>n7kl;:ke6?6=,=?i6>n7:<5:9l7ce=83.?9o4;57>5$57a>16>3A>8i65f43d94?"3=k0??554}c6eb?6=03:1;%3f1?4<,=?i69=<;I631>"5;902!22j3oh76gi2;29 13e28n276g!22j3>8965`3ga94?"3=k08nn54id494?"3=k0n:65f42394?"3=k0??<5G42g8?l2713:1(9;m:52:?M24m21b8?h50;&71g<3;110qo;?0;29b59K003<@=>:7)?j5;08 13e2=987E:?5:&175<>8l1bi=4?:%66f?cd32cm>7>5$57a>4b>32c8ji4?:%66f?24=21d?km50;&71g<4jj10eh850;&71g21b8>?50;&71g<3;81C8>k4;h63=?6=,=?i69>6;I60a>=n<;l1<7*;5c877==54;294~"3;m09895G4478L1263->>n7<;3:&175<>8l1bil4?:%66f?7cj21bjl4?:%66f?7ci21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl=b783>6<729q/8>j54468L1323A>?=6*;5c8716=#:::15=k4idc94?"3=k0:h454i30e>5<#<ll;:a6d4=83>1<7>t$51g>7233A>>96F;409'00d=:=90(?=?:82f?lcf290/88l51e`8?l`f290/88l51ec8?l25n3:1(9;m:513?>i4j00;6)::b;1ag>=zj;h26=4<:183!24l3>>86F;549K017<,=?i69;<;%004??7m2cnm7>5$57a>4b>32c9>k4?:%66f?7c121d?o750;&71g<4jj10qo:7)::b;077>"5;902!22j3;on65ff`83>!22j3;om65f43d94?"3=k0??=54o2`:>5<#<7E:;1:&71g<3=:1/>>>591g8m`g=83.?9o4>d898m74a290/88l51e;8?j5e13:1(9;m:2``?>{e:h<1<7:50;2x 15c2;>?7E::5:J704=#<

9=4$313><6b3`oj6=4+44`95ad<3`lj6=4+44`95ag<3`>9j7>5$57a>15732e8n44?:%66f?5ek21vn?lm:180>5<7s->8h7::4:J710=O<=;0(9;m:570?!44833;i6gja;29 13e28n276g=2g83>!22j3;o565`3c;94?"3=k08nn54}c0b;%66f?43;2.9?=460d9jad<72->>n7?kb:9jbd<72->>n7?ka:9j07`=83.?9o4;3198k6d>290/88l53ca8?xd5jj0;6>4?:1y'06b=<<>0D9;:;I675>"3=k0?9>5+2229=5c5$57a>6dd32wi>lo50;694?6|,=9o6?:;;I661>N3<81/88l52518 75720:n7dkn:18'00d=9mh07dhn:18'00d=9mk07d:=f;29 13e2=9;76a!22j39io65rb3`g>5<4290;w):N3=<1C89?4$57a>1343-88<77?e:kfe?6=,=?i6>n7?k9:9l7g?=83.?9o450z&77a<5<=1C88;4H562?!22j38??6*=318:4`=nmh0;6)::b;3gf>=nnh0;6)::b;3ge>=n<;l1<7*;5c8775=5}#<:n188:4H576?M2392.?9o4;529'666=19o0eho50;&71g<6l010e?j;hgb>5<#<5<#<>n7=mc:9~f7da29086=4?{%60`?22<2B?985G4538 13e2=?87)<<0;;3a>obi3:1(9;m:0f:?>o5:o0;6)::b;3g=>=h;k31<7*;5c80ff=54;294~"3;m09895G4478L1263->>n7<;3:&175<>8l1bil4?:%66f?7cj21bjl4?:%66f?7ci21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl=c183>6<729q/8>j54468L1323A>?=6*;5c8716=#:::15=k4idc94?"3=k0:h454i30e>5<#<ll;:a6g4=83>1<7>t$51g>7233A>>96F;409'00d=:=90(?=?:82f?lcf290/88l51e`8?l`f290/88l51ec8?l25n3:1(9;m:513?>i4j00;6)::b;1ag>=zj;i:6=4<:183!24l3>>86F;549K017<,=?i69;<;%004??7m2cnm7>5$57a>4b>32c9>k4?:%66f?7c121d?o750;&71g<4jj10qo:7)::b;667>"5;902!22j3;o565f23d94?"3=k0:h454o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e:>?1<7=50;2x 15c2=??7E::5:J704=#<<6b3`oj6=4+44`95a?<3`89j7>5$57a>4b>32e8n44?:%66f?5ek21vn;hi:180>5<7s->8h7<;2:J710=O<=;0(9;m:878 75720:n7d?k3;29 13e28n276g>d583>!22j3;o565`22394?"3=k08nn54}c052?6=<3:1;%66f?43;2.9?=460d9jad<72->>n7?kb:9jbd<72->>n7?ka:9j07`=83.?9o4;3198k6d>290/88l53ca8?xd5?>0;6>4?:1y'06b=<<>0D9;:;I675>"3=k0?9>5+2229=5c5$57a>6dd32wi;=?50;194?6|,=9o6?:=;I661>N3<81/88l5949'666=19o0e!22j39io65rb344>5<3290;w):N3=<1C89?4$57a>7243-88<77?e:kfe?6=,=?i6>n7:<0:9l7g?=83.?9o450z&77a<3==1C88;4H562?!22j3>>?6*=318:4`=nmh0;6)::b;3g=>=n:;l1<7*;5c82`<=4?:283>5}#<:n1>9<4H576?M2392.?9o465:&175<>8l1b=i=50;&71g<6l010ej;hgb>5<#<5<#<>n7=mc:9~f71>29086=4?{%60`?22<2B?985G4538 13e2=?87)<<0;;3a>obi3:1(9;m:0f:?>o5:o0;6)::b;3g=>=h;k31<7*;5c80ff=53;294~"3;m098?5G4478L1263->>n77:;%004??7m2c:h>4?:%66f?7c121b=i:50;&71g<6l010c?=>:18'00d=;ki07pl=6883>1<729q/8>j52568L1323A>?=6*;5c8106=#:::15=k4idc94?"3=k0:ho54igc94?"3=k0:hl54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a62g=8391<7>t$51g>1333A>>96F;409'00d=<<90(?=?:82f?lcf290/88l51e;8?l45n3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj>:<6=4<:183!24l38?>6F;549K017<,=?i64;4$313><6b3`;o?7>5$57a>4b>32c:h94?:%66f?7c121d>>?50;&71g<4jj10qo<9a;290?6=8r.??i4=459K003<@=>:7)::b;077>"5;902!22j3;on65ff`83>!22j3;om65f43d94?"3=k0??=54o2`:>5<#<7E:;1:&71g<3=:1/>>>591g8m`g=83.?9o4>d898m74a290/88l51e;8?j5e13:1(9;m:2``?>{e:?h1<7:50;2x 15c2;>?7E::5:J704=#<

9=4$313><6b3`oj6=4+44`95ad<3`lj6=4+44`95ag<3`>9j7>5$57a>15732e8n44?:%66f?5ek21vn?9l:180>5<7s->8h7::4:J710=O<=;0(9;m:570?!44833;i6gja;29 13e28n276g=2g83>!22j3;o565`3c;94?"3=k08nn54}c05g?6=<3:1;%66f?43;2.9?=460d9jad<72->>n7?kb:9jbd<72->>n7?ka:9j07`=83.?9o4;3198k6d>290/88l53ca8?xd5?m0;6>4?:1y'06b=<<>0D9;:;I675>"3=k0?9>5+2229=5c5$57a>6dd32wi>;j50;694?6|,=9o6?:;;I661>N3<81/88l52518 75720:n7dkn:18'00d=9mh07dhn:18'00d=9mk07d:=f;29 13e2=9;76a!22j39io65rb35f>5<4290;w):N3=<1C89?4$57a>1343-88<77?e:kfe?6=,=?i6>n7?k9:9l7g?=83.?9o450z&77a<5<=1C88;4H562?!22j38??6*=318:4`=nmh0;6)::b;3gf>=nnh0;6)::b;3ge>=n<;l1<7*;5c8775=5}#<:n188:4H576?M2392.?9o4;529'666=19o0eho50;&71g<6l010e?j;hgb>5<#<>n7=mc:9~f7>7290?6=4?{%60`?43<2B?985G4538 13e2;>87)<<0;;3a>obi3:1(9;m:0fa?>oai3:1(9;m:0fb?>o3:o0;6)::b;604>=h;k31<7*;5c80ff=53;294~"3;m0?995G4478L1263->>n7::3:&175<>8l1bil4?:%66f?7c121b>?h50;&71g<6l010c>l6:18'00d=;ki07pl=8083>1<729q/8>j52568L1323A>?=6*;5c8106=#:::15=k4idc94?"3=k0:ho54igc94?"3=k0:hl54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a6<>=8391<7>t$51g>1333A>>96F;409'00d=<<90(?=?:82f?lcf290/88l51e;8?l45n3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj;286=4;:183!24l38?86F;549K017<,=?i6?:<;%004??7m2cnm7>5$57a>4be32cmm7>5$57a>4bf32c?>k4?:%66f?24821d?o750;&71g<4jj10qo<69;297?6=8r.??i4;559K003<@=>:7)::b;667>"5;902!22j3;o565f23d94?"3=k0:h454o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e:0k1<7=50;2x 15c2=??7E::5:J704=#<<6b3`oj6=4+44`95a?<3`89j7>5$57a>4b>32e8n44?:%66f?5ek21vn?68:187>5<7s->8h7<;4:J710=O<=;0(9;m:360?!44833;i6gja;29 13e28ni76gia;29 13e28nj76g;2g83>!22j3>8<65`3c;94?"3=k08nn54}c0:f?6=;3:1;%66f?22;2.9?=460d9jad<72->>n7?k9:9j67`=83.?9o4>d898k6d>290/88l53ca8?xd5000;694?:1y'06b=:=>0D9;:;I675>"3=k098>5+2229=5c5$57a>6dd32wi>4m50;194?6|,=9o69;;;I661>N3<81/88l54418 75720:n7dkn:18'00d=9m307d<=f;29 13e28n276a!22j39io65rb3:a>5<3290;w):N3=<1C89?4$57a>7243-88<77?e:kfe?6=,=?i6>n7:<0:9l7g?=83.?9o4l3:1?7>50z&77a<3==1C88;4H562?!22j3>>?6*=318:4`=nmh0;6)::b;3g=>=n:;l1<7*;5c82`<=5}#<:n1>9:4H576?M2392.?9o4=429'666=19o0eho50;&71g<6lk10eko50;&71g<6lh10e9j;hgb>5<#<>n7=mc:9~f7>a290?6=4?{%60`?43<2B?985G4538 13e2;>87)<<0;;3a>obi3:1(9;m:0fa?>oai3:1(9;m:0fb?>o3:o0;6)::b;604>=h;k31<7*;5c80ff=53;294~"3;m0?995G4478L1263->>n7::3:&175<>8l1bil4?:%66f?7c121b>?h50;&71g<6l010c>l6:18'00d=;ki07pl=9083>1<729q/8>j52568L1323A>?=6*;5c8106=#:::15=k4idc94?"3=k0:ho54igc94?"3=k0:hl54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a6d6=8391<7>t$51g>1333A>>96F;409'00d=<<90(?=?:82f?lcf290/88l51e;8?l45n3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj;3=6=4<:183!24l3>>86F;549K017<,=?i69;<;%004??7m2cnm7>5$57a>4b>32c9>k4?:%66f?7c121d?o750;&71g<4jj10qo<;8;290?6=8r.??i4=459K003<@=>:7)::b;077>"5;902!22j3;on65ff`83>!22j3;om65f43d94?"3=k0??=54o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e:=h1<7:50;2x 15c2;>?7E::5:J704=#<

9=4$313><6b3`oj6=4+44`95ad<3`lj6=4+44`95ag<3`>9j7>5$57a>15732e8n44?:%66f?5ek21vn?:k:187>5<7s->8h7<;4:J710=O<=;0(9;m:360?!44833;i6gja;29 13e28ni76gia;29 13e28nj76g;2g83>!22j3>8<65`3c;94?"3=k08nn54}c07b?6=<3:1;%66f?43;2.9?=460d9jad<72->>n7?kb:9jbd<72->>n7?ka:9j07`=83.?9o4;3198k6d>290/88l53ca8?xd5=o0;6>4?:1y'06b=<<>0D9;:;I675>"3=k0?9>5+2229=5c5$57a>6dd32wi>8?50;694?6|,=9o6?:;;I661>N3<81/88l52518 75720:n7dkn:18'00d=9mh07dhn:18'00d=9mk07d:=f;29 13e2=9;76a!22j39io65rb343>5<4290;w):N3=<1C89?4$57a>1343-88<77?e:kfe?6=,=?i6>n7?k9:9l7g?=83.?9o450z&77a<5<=1C88;4H562?!22j38??6*=318:4`=nmh0;6)::b;3gf>=nnh0;6)::b;3ge>=n<;l1<7*;5c8775=5}#<:n188:4H576?M2392.?9o4;529'666=19o0eho50;&71g<6l010e?j;hgb>5<#<5<#<>n7=mc:9~f70529086=4?{%60`?22<2B?985G4538 13e2=?87)<<0;;3a>obi3:1(9;m:0f:?>o5:o0;6)::b;3g=>=h;k31<7*;5c80ff=;7>54;294~"3;m09895G4478L1263->>n7<;3:&175<>8l1bil4?:%66f?7cj21bjl4?:%66f?7ci21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl=6283>6<729q/8>j54468L1323A>?=6*;5c8716=#:::15=k4idc94?"3=k0:h454i30e>5<#<ll;:a60?=83>1<7>t$51g>7233A>>96F;409'00d=:=90(?=?:82f?lcf290/88l51e`8?l`f290/88l51ec8?l25n3:1(9;m:513?>i4j00;6)::b;1ag>=zj;>86F;549K017<,=?i69;<;%004??7m2cnm7>5$57a>4b>32c9>k4?:%66f?7c121d?o750;&71g<4jj10qo<:d;297?6=8r.??i4;559K003<@=>:7)::b;667>"5;902!22j3;o565f23d94?"3=k0:h454o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e:o?1<7=50;2x 15c2=??7E::5:J704=#<<6b3`oj6=4+44`95a?<3`89j7>5$57a>4b>32e8n44?:%66f?5ek21vn?j8:187>5<7s->8h7<;4:J710=O<=;0(9;m:360?!44833;i6gja;29 13e28ni76gia;29 13e28nj76g;2g83>!22j3>8<65`3c;94?"3=k08nn54}c134?6=;3:1;%66f?22;2.9?=460d9jad<72->>n7?k9:9j67`=83.?9o4>d898k6d>290/88l53ca8?xd5m:0;694?:1y'06b=:=>0D9;:;I675>"3=k098>5+2229=5c5$57a>6dd32wi?=650;194?6|,=9o69;;;I661>N3<81/88l54418 75720:n7dkn:18'00d=9m307d<=f;29 13e28n276a!22j39io65rb3g6>5<3290;w):N3=<1C89?4$57a>7243-88<77?e:kfe?6=,=?i6>n7:<0:9l7g?=83.?9o450z&77a<3==1C88;4H562?!22j3>>?6*=318:4`=nmh0;6)::b;3g=>=n:;l1<7*;5c82`<=5}#<:n1>9:4H576?M2392.?9o4=429'666=19o0eho50;&71g<6lk10eko50;&71g<6lh10e9j;hgb>5<#<>n7=mc:9~f7c>290?6=4?{%60`?43<2B?985G4538 13e2;>87)<<0;;3a>obi3:1(9;m:0fa?>oai3:1(9;m:0fb?>o3:o0;6)::b;604>=h;k31<7*;5c80ff=53;294~"3;m0?995G4478L1263->>n7::3:&175<>8l1bil4?:%66f?7c121b>?h50;&71g<6l010c>l6:18'00d=;ki07pl=ec83>1<729q/8>j52568L1323A>?=6*;5c8106=#:::15=k4idc94?"3=k0:ho54igc94?"3=k0:hl54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a75e=8391<7>t$51g>1333A>>96F;409'00d=<<90(?=?:82f?lcf290/88l51e;8?l45n3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj;oo6=4;:183!24l38?86F;549K017<,=?i6?:<;%004??7m2cnm7>5$57a>4be32cmm7>5$57a>4bf32c?>k4?:%66f?24821d?o750;&71g<4jj10qo=?d;297?6=8r.??i4;559K003<@=>:7)::b;667>"5;902!22j3;o565f23d94?"3=k0:h454o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e;9o1<7=50;2x 15c2=??7E::5:J704=#<<6b3`oj6=4+44`95a?<3`89j7>5$57a>4b>32e8n44?:%66f?5ek21vn?h>:187>5<7s->8h7<;4:J710=O<=;0(9;m:360?!44833;i6gja;29 13e28ni76gia;29 13e28nj76g;2g83>!22j3>8<65`3c;94?"3=k08nn54}c13b?6=;3:1;%66f?22;2.9?=460d9jad<72->>n7?k9:9j67`=83.?9o4>d898k6d>290/88l53ca8?xd5k:0;694?:1y'06b=:=>0D9;:;I675>"3=k098>5+2229=5c5$57a>6dd32wi>k850;194?6|,=9o69;;;I661>N3<81/88l54418 75720:n7dkn:18'00d=9m307d<=f;29 13e28n276a!22j39io65rb3a6>5<3290;w):N3=<1C89?4$57a>7243-88<77?e:kfe?6=,=?i6>n7:<0:9l7g?=83.?9o450z&77a<3==1C88;4H562?!22j3>>?6*=318:4`=nmh0;6)::b;3g=>=n:;l1<7*;5c82`<=5}#<:n1>9:4H576?M2392.?9o4=429'666=19o0eho50;&71g<6lk10eko50;&71g<6lh10e9j;hgb>5<#<>n7=mc:9~f7e>290?6=4?{%60`?43<2B?985G4538 13e2;>87)<<0;;3a>obi3:1(9;m:0fa?>oai3:1(9;m:0fb?>o3:o0;6)::b;604>=h;k31<7*;5c80ff=53;294~"3;m0?995G4478L1263->>n7::3:&175<>8l1bil4?:%66f?7c121b>?h50;&71g<6l010c>l6:18'00d=;ki07pl=cc83>1<729q/8>j52568L1323A>?=6*;5c8106=#:::15=k4idc94?"3=k0:ho54igc94?"3=k0:hl54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a6cg=8391<7>t$51g>1333A>>96F;409'00d=<<90(?=?:82f?lcf290/88l51e;8?l45n3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj;io6=4;:183!24l38?86F;549K017<,=?i6?:<;%004??7m2cnm7>5$57a>4be32cmm7>5$57a>4bf32c?>k4?:%66f?24821d?o750;&71g<4jj10qo:7)::b;667>"5;902!22j3;o565f23d94?"3=k0:h454o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e:oi1<7=50;2x 15c2=??7E::5:J704=#<<6b3`oj6=4+44`95a?<3`89j7>5$57a>4b>32e8n44?:%66f?5ek21vn?j>:187>5<7s->8h7<;4:J710=O<=;0(9;m:360?!44833;i6gja;29 13e28ni76gia;29 13e28nj76g;2g83>!22j3>8<65`3c;94?"3=k08nn54}c0e`?6=;3:1;%66f?22;2.9?=460d9jad<72->>n7?k9:9j67`=83.?9o4>d898k6d>290/88l53ca8?xd5l:0;694?:1y'06b=:=>0D9;:;I675>"3=k098>5+2229=5c5$57a>6dd32wi>kk50;194?6|,=9o69;;;I661>N3<81/88l54418 75720:n7dkn:18'00d=9m307d<=f;29 13e28n276a!22j39io65rb3f6>5<3290;w):N3=<1C89?4$57a>7243-88<77?e:kfe?6=,=?i6>n7:<0:9l7g?=83.?9o450z&77a<3==1C88;4H562?!22j3>>?6*=318:4`=nmh0;6)::b;3g=>=n:;l1<7*;5c82`<=5}#<:n1>9:4H576?M2392.?9o4=429'666=19o0eho50;&71g<6lk10eko50;&71g<6lh10e9j;hgb>5<#<>n7=mc:9~f7be290?6=4?{%60`?43<2B?985G4538 13e2;>87)<<0;;3a>obi3:1(9;m:0fa?>oai3:1(9;m:0fb?>o3:o0;6)::b;604>=h;k31<7*;5c80ff=7>53;294~"3;m0?995G4478L1263->>n7::3:&175<>8l1bil4?:%66f?7c121b>?h50;&71g<6l010c>l6:18'00d=;ki07pl=de83>1<729q/8>j52568L1323A>?=6*;5c8106=#:::15=k4idc94?"3=k0:ho54igc94?"3=k0:hl54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a755=8391<7>t$51g>1333A>>96F;409'00d=<<90(?=?:82f?lcf290/88l51e;8?l45n3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj;nm6=4;:183!24l38?86F;549K017<,=?i6?:<;%004??7m2cnm7>5$57a>4be32cmm7>5$57a>4bf32c?>k4?:%66f?24821d?o750;&71g<4jj10qo=?4;297?6=8r.??i4;559K003<@=>:7)::b;667>"5;902!22j3;o565f23d94?"3=k0:h454o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e;9?1<7=50;2x 15c2=??7E::5:J704=#<<6b3`oj6=4+44`95a?<3`89j7>5$57a>4b>32e8n44?:%66f?5ek21vn>>9:180>5<7s->8h7::4:J710=O<=;0(9;m:570?!44833;i6gja;29 13e28n276g=2g83>!22j3;o565`3c;94?"3=k08nn54}c106?6=<3:1;%66f?43;2.9?=460d9jad<72->>n7?kb:9jbd<72->>n7?ka:9j07`=83.?9o4;3198k6d>290/88l53ca8?xd4=80;6>4?:1y'06b=<<>0D9;:;I675>"3=k0?9>5+2229=5c5$57a>6dd32wi?>:50;694?6|,=9o6?:;;I661>N3<81/88l52518 75720:n7dkn:18'00d=9mh07dhn:18'00d=9mk07d:=f;29 13e2=9;76a!22j39io65rb270>5<4290;w):N3=<1C89?4$57a>1343-88<77?e:kfe?6=,=?i6>n7?k9:9l7g?=83.?9o450z&77a<5<=1C88;4H562?!22j38??6*=318:4`=nmh0;6)::b;3gf>=nnh0;6)::b;3ge>=n<;l1<7*;5c8775=5}#<:n188:4H576?M2392.?9o4;529'666=19o0eho50;&71g<6l010e?j;hgb>5<#<5<#<>n7=mc:9~f63229086=4?{%60`?22<2B?985G4538 13e2=?87)<<0;;3a>obi3:1(9;m:0f:?>o5:o0;6)::b;3g=>=h;k31<7*;5c80ff=54;294~"3;m09895G4478L1263->>n7<;3:&175<>8l1bil4?:%66f?7cj21bjl4?:%66f?7ci21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl<5783>6<729q/8>j54468L1323A>?=6*;5c8716=#:::15=k4idc94?"3=k0:h454i30e>5<#<ll;:a76>=83>1<7>t$51g>7233A>>96F;409'00d=:=90(?=?:82f?lcf290/88l51e`8?l`f290/88l51ec8?l25n3:1(9;m:513?>i4j00;6)::b;1ag>=zj:?<6=4<:183!24l3>>86F;549K017<,=?i69;<;%004??7m2cnm7>5$57a>4b>32c9>k4?:%66f?7c121d?o750;&71g<4jj10qo=<9;290?6=8r.??i4=459K003<@=>:7)::b;077>"5;902!22j3;on65ff`83>!22j3;om65f43d94?"3=k0??=54o2`:>5<#<7E:;1:&71g<3=:1/>>>591g8m`g=83.?9o4>d898m74a290/88l51e;8?j5e13:1(9;m:2``?>{e;:k1<7:50;2x 15c2;>?7E::5:J704=#<

9=4$313><6b3`oj6=4+44`95ad<3`lj6=4+44`95ag<3`>9j7>5$57a>15732e8n44?:%66f?5ek21vn>;6:180>5<7s->8h7::4:J710=O<=;0(9;m:570?!44833;i6gja;29 13e28n276g=2g83>!22j3;o565`3c;94?"3=k08nn54}c10f?6=<3:1;%66f?43;2.9?=460d9jad<72->>n7?kb:9jbd<72->>n7?ka:9j07`=83.?9o4;3198k6d>290/88l53ca8?xd4=h0;6>4?:1y'06b=<<>0D9;:;I675>"3=k0?9>5+2229=5c5$57a>6dd32wi?>m50;694?6|,=9o6?:;;I661>N3<81/88l52518 75720:n7dkn:18'00d=9mh07dhn:18'00d=9mk07d:=f;29 13e2=9;76a!22j39io65rb27a>5<4290;w):N3=<1C89?4$57a>1343-88<77?e:kfe?6=,=?i6>n7?k9:9l7g?=83.?9o450z&77a<3==1C88;4H562?!22j3>>?6*=318:4`=nmh0;6)::b;3g=>=n:;l1<7*;5c82`<=5}#<:n1>9:4H576?M2392.?9o4=429'666=19o0eho50;&71g<6lk10eko50;&71g<6lh10e9<,;9;64>j;%3f1?3o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd49h0;694?:1y'06b=:=>0D9;:;I675>"3=k098>5+2229=5c5$57a>6dd32wi??650;794?6|,=9o6?=k;I661>N3<81/88l541:8 75720:n7)?j5;6a?l7c;3:1(9;m:828j13>2910e>57=4;n1a=?6=,=?i6>ll;:a74d=83>1<7>t$51g>7233A>>96F;409'00d=:=90(?=?:82f?lcf290/88l51e`8?l`f290/88l51ec8?l25n3:1(9;m:513?>i4j00;6)::b;1ag>=zj:;h6=4;:183!24l38?86F;549K017<,=?i6?:<;%004??7m2cnm7>5$57a>4be32cmm7>5$57a>4bf32c?>k4?:%66f?24821d?o750;&71g<4jj10qo=>d;290?6=8r.??i4=459K003<@=>:7)::b;077>"5;902!22j3;on65ff`83>!22j3;om65f43d94?"3=k0??=54o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e;;n1<7;50;2x 15c2;9o7E::5:J704=#<<6b3-;n97:m;h3g7?6=,=?i64>4n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm33294?2=83:p(9=k:367?M22=2B?8<5+44`9615<,;9;64>j;hgb>5<#<5<#<>n7=mc:9~f646290?6=4?{%60`?43<2B?985G4538 13e2;>87)<<0;;3a>obi3:1(9;m:0fa?>oai3:1(9;m:0fb?>o3:o0;6)::b;604>=h;k31<7*;5c80ff=54;294~"3;m09895G4478L1263->>n7<;3:&175<>8l1bil4?:%66f?7cj21bjl4?:%66f?7ci21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl<2583>1<729q/8>j52568L1323A>?=6*;5c8106=#:::15=k4idc94?"3=k0:ho54igc94?"3=k0:hl54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a770=83>1<7>t$51g>75f3A>>96F;409'00d=<920(?=?:82f?!7b=330e>57<4;n1a=?6=,=?i6>ll;:a74?=83>1<7>t$51g>7233A>>96F;409'00d=:=90(?=?:82f?lcf290/88l51e`8?l`f290/88l51ec8?l25n3:1(9;m:513?>i4j00;6)::b;1ag>=zj:;?6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84:;h3g7?6=,=?i64>4n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm30394?2=83:p(9=k:367?M22=2B?8<5+44`9615<,;9;64>j;hgb>5<#<5<#<>n7=mc:9~f672290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0>7d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:k2`3<72->>n77?;o66=?5<3f9i57>5$57a>6dd32wi?<<50;694?6|,=9o6?:;;I661>N3<81/88l52518 75720:n7dkn:18'00d=9mh07dhn:18'00d=9mk07d:=f;29 13e2=9;76a!22j39io65rb235>5<3290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<>3`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976a!22j39io65rb230>5<3290;w):N3=<1C89?4$57a>7243-88<77?e:kfe?6=,=?i6>n7:<0:9l7g?=83.?9o4?3:1?7>50z&77a<5;>1C88;4H562?!22j3>;46*=318:4`=#9l?1895f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07b=m9;29 13e2:hh76sm49;94?2=83:p(9=k:367?M22=2B?8<5+44`9615<,;9;64>j;hgb>5<#<5<#<>n7=mc:9~f1?c29086=4?{%60`?22<2B?985G4538 13e2=?87)<<0;;3a>obi3:1(9;m:0f:?>o5:o0;6)::b;3g=>=h;k31<7*;5c80ff=247>53;294~"3;m09?:5G4478L1263->>n7:?8:&175<>8l1/=h;5459j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;n1a=?6=,=?i6>ll;:a0=g=83>1<7>t$51g>7233A>>96F;409'00d=:=90(?=?:82f?lcf290/88l51e`8?l`f290/88l51ec8?l25n3:1(9;m:513?>i4j00;6)::b;1ag>=zj=3m6=4<:183!24l3>>86F;549K017<,=?i69;<;%004??7m2cnm7>5$57a>4b>32c9>k4?:%66f?7c121d?o750;&71g<4jj10qo:69;297?6=8r.??i4=369K003<@=>:7)::b;63<>"5;902h3=00;76g>d583>!22j33;7c::9;38?j5e13:1(9;m:2``?>{e<1h1<7:50;2x 15c2;>?7E::5:J704=#<

9=4$313><6b3`oj6=4+44`95ad<3`lj6=4+44`95ag<3`>9j7>5$57a>15732e8n44?:%66f?5ek21vn9o?:180>5<7s->8h7::4:J710=O<=;0(9;m:570?!44833;i6gja;29 13e28n276g=2g83>!22j3;o565`3c;94?"3=k08nn54}c6:e?6=;3:1;%66f?2702.9?=460d9'5`3=<=1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3f9i57>5$57a>6dd32wi85m50;694?6|,=9o6?:;;I661>N3<81/88l52518 75720:n7dkn:18'00d=9mh07dhn:18'00d=9mk07d:=f;29 13e2=9;76a!22j39io65rb5c2>5<4290;w):N3=<1C89?4$57a>1343-88<77?e:kfe?6=,=?i6>n7?k9:9l7g?=83.?9o4j3:1?7>50z&77a<5;>1C88;4H562?!22j3>;46*=318:4`=#9l?1895f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07b=m9;29 13e2:hh76sm49f94?2=83:p(9=k:367?M22=2B?8<5+44`9615<,;9;64>j;hgb>5<#<5<#<>n7=mc:9~f1g529086=4?{%60`?22<2B?985G4538 13e2=?87)<<0;;3a>obi3:1(9;m:0f:?>o5:o0;6)::b;3g=>=h;k31<7*;5c80ff=2o7>53;294~"3;m09?:5G4478L1263->>n7:?8:&175<>8l1/=h;5459j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;n1a=?6=,=?i6>ll;:a0=c=83>1<7>t$51g>7233A>>96F;409'00d=:=90(?=?:82f?lcf290/88l51e`8?l`f290/88l51ec8?l25n3:1(9;m:513?>i4j00;6)::b;1ag>=zj=k86=4<:183!24l3>>86F;549K017<,=?i69;<;%004??7m2cnm7>5$57a>4b>32c9>k4?:%66f?7c121d?o750;&71g<4jj10qo:7f;290?6=8r.??i4=459K003<@=>:7)::b;077>"5;902!22j3;on65ff`83>!22j3;om65f43d94?"3=k0??=54o2`:>5<#<7E:;1:&71g<3=:1/>>>591g8m`g=83.?9o4>d898m74a290/88l51e;8?j5e13:1(9;m:2``?>{e<0;1<7:50;2x 15c2;>?7E::5:J704=#<

9=4$313><6b3`oj6=4+44`95ad<3`lj6=4+44`95ag<3`>9j7>5$57a>15732e8n44?:%66f?5ek21vn9o::180>5<7s->8h7::4:J710=O<=;0(9;m:570?!44833;i6gja;29 13e28n276g=2g83>!22j3;o565`3c;94?"3=k08nn54}c6:7?6=<3:1;%66f?43;2.9?=460d9jad<72->>n7?kb:9jbd<72->>n7?ka:9j07`=83.?9o4;3198k6d>290/88l53ca8?xd3i?0;6>4?:1y'06b=<<>0D9;:;I675>"3=k0?9>5+2229=5c5$57a>6dd32wi84;50;694?6|,=9o6?:;;I661>N3<81/88l52518 75720:n7dkn:18'00d=9mh07dhn:18'00d=9mk07d:=f;29 13e2=9;76a!22j39io65rb5c4>5<4290;w):N3=<1C89?4$57a>1343-88<77?e:kfe?6=,=?i6>n7?k9:9l7g?=83.?9o4m3:1?7>50z&77a<3==1C88;4H562?!22j3>>?6*=318:4`=nmh0;6)::b;3g=>=n:;l1<7*;5c82`<=5}#<:n1>9:4H576?M2392.?9o4=429'666=19o0eho50;&71g<6lk10eko50;&71g<6lh10e9j;hgb>5<#<>n7=mc:9~f1g>290?6=4?{%60`?43<2B?985G4538 13e2;>87)<<0;;3a>obi3:1(9;m:0fa?>oai3:1(9;m:0fb?>o3:o0;6)::b;604>=h;k31<7*;5c80ff=io7>53;294~"3;m0?995G4478L1263->>n7::3:&175<>8l1bil4?:%66f?7c121b>?h50;&71g<6l010c>l6:18'00d=;ki07pl;ac83>1<729q/8>j52568L1323A>?=6*;5c8106=#:::15=k4idc94?"3=k0:ho54igc94?"3=k0:hl54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a0gb=8391<7>t$51g>1333A>>96F;409'00d=<<90(?=?:82f?lcf290/88l51e;8?l45n3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj=ko6=4;:183!24l38?86F;549K017<,=?i6?:<;%004??7m2cnm7>5$57a>4be32cmm7>5$57a>4bf32c?>k4?:%66f?24821d?o750;&71g<4jj10qo:me;297?6=8r.??i4;559K003<@=>:7)::b;667>"5;902!22j3;o565f23d94?"3=k0:h454o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e<6b3`oj6=4+44`95a?<3`89j7>5$57a>4b>32e8n44?:%66f?5ek21vn9l>:187>5<7s->8h7<;4:J710=O<=;0(9;m:360?!44833;i6gja;29 13e28ni76gia;29 13e28nj76g;2g83>!22j3>8<65`3c;94?"3=k08nn54}c6`4?6=;3:1;%66f?22;2.9?=460d9jad<72->>n7?k9:9j67`=83.?9o4>d898k6d>290/88l53ca8?xd3j:0;694?:1y'06b=:=>0D9;:;I675>"3=k098>5+2229=5c5$57a>6dd32wi8n?50;194?6|,=9o69;;;I661>N3<81/88l54418 75720:n7dkn:18'00d=9m307d<=f;29 13e28n276a!22j39io65rb5`6>5<3290;w):N3=<1C89?4$57a>7243-88<77?e:kfe?6=,=?i6>n7:<0:9l7g?=83.?9o450z&77a<3==1C88;4H562?!22j3>>?6*=318:4`=nmh0;6)::b;3g=>=n:;l1<7*;5c82`<=5}#<:n1>9:4H576?M2392.?9o4=429'666=19o0eho50;&71g<6lk10eko50;&71g<6lh10e9j;hgb>5<#<>n7=mc:9~f1e329086=4?{%60`?22<2B?985G4538 13e2=?87)<<0;;3a>obi3:1(9;m:0f:?>o5:o0;6)::b;3g=>=h;k31<7*;5c80ff=h:7>54;294~"3;m09895G4478L1263->>n7<;3:&175<>8l1bil4?:%66f?7cj21bjl4?:%66f?7ci21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl;d883>6<729q/8>j54468L1323A>?=6*;5c8716=#:::15=k4idc94?"3=k0:h454i30e>5<#<ll;:a0f1=83>1<7>t$51g>7233A>>96F;409'00d=:=90(?=?:82f?lcf290/88l51e`8?l`f290/88l51ec8?l25n3:1(9;m:513?>i4j00;6)::b;1ag>=zj=nj6=4<:183!24l3>>86F;549K017<,=?i69;<;%004??7m2cnm7>5$57a>4b>32c9>k4?:%66f?7c121d?o750;&71g<4jj10qo:l9;290?6=8r.??i4=459K003<@=>:7)::b;077>"5;902!22j3;on65ff`83>!22j3;om65f43d94?"3=k0??=54o2`:>5<#<7E:;1:&71g<3=:1/>>>591g8m`g=83.?9o4>d898m74a290/88l51e;8?j5e13:1(9;m:2``?>{e?7E::5:J704=#<

9=4$313><6b3`oj6=4+44`95ad<3`lj6=4+44`95ag<3`>9j7>5$57a>15732e8n44?:%66f?5ek21vn9jl:180>5<7s->8h7::4:J710=O<=;0(9;m:570?!44833;i6gja;29 13e28n276g=2g83>!22j3;o565`3c;94?"3=k08nn54}c6``?6=<3:1;%66f?43;2.9?=460d9jad<72->>n7?kb:9jbd<72->>n7?ka:9j07`=83.?9o4;3198k6d>290/88l53ca8?xd3lm0;6>4?:1y'06b=<<>0D9;:;I675>"3=k0?9>5+2229=5c5$57a>6dd32wi8nh50;694?6|,=9o6?:;;I661>N3<81/88l52518 75720:n7dkn:18'00d=9mh07dhn:18'00d=9mk07d:=f;29 13e2=9;76a!22j39io65rb5ff>5<4290;w):N3=<1C89?4$57a>1343-88<77?e:kfe?6=,=?i6>n7?k9:9l7g?=83.?9o450z&77a<5<=1C88;4H562?!22j38??6*=318:4`=nmh0;6)::b;3gf>=nnh0;6)::b;3ge>=n<;l1<7*;5c8775=5}#<:n188:4H576?M2392.?9o4;529'666=19o0eho50;&71g<6l010e?j;hgb>5<#<5<#<>n7=mc:9~f1c729086=4?{%60`?22<2B?985G4538 13e2=?87)<<0;;3a>obi3:1(9;m:0f:?>o5:o0;6)::b;3g=>=h;k31<7*;5c80ff=o97>54;294~"3;m09895G4478L1263->>n7<;3:&175<>8l1bil4?:%66f?7cj21bjl4?:%66f?7ci21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl;e083>6<729q/8>j54468L1323A>?=6*;5c8716=#:::15=k4idc94?"3=k0:h454i30e>5<#<ll;:a0`4=8391<7>t$51g>1333A>>96F;409'00d=<<90(?=?:82f?lcf290/88l51e;8?l45n3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj<5$57a>4be32cmm7>5$57a>4bf32c?>k4?:%66f?24821d?o750;&71g<4jj10qo;87;297?6=8r.??i4;559K003<@=>:7)::b;667>"5;902!22j3;o565f23d94?"3=k0:h454o2`:>5<#<7E:;1:&71g<5<:1/>>>591g8m`g=83.?9o4>dc98mcg=83.?9o4>d`98m14a290/88l54228?j5e13:1(9;m:2``?>{e=>21<7=50;2x 15c2=??7E::5:J704=#<<6b3`oj6=4+44`95a?<3`89j7>5$57a>4b>32e8n44?:%66f?5ek21vn888:187>5<7s->8h7<;4:J710=O<=;0(9;m:360?!44833;i6gja;29 13e28ni76gia;29 13e28nj76g;2g83>!22j3>8<65`3c;94?"3=k08nn54}c74=?6=;3:1;%66f?22;2.9?=460d9jad<72->>n7?k9:9j67`=83.?9o4>d898k6d>290/88l53ca8?xd2>00;694?:1y'06b=:=>0D9;:;I675>"3=k098>5+2229=5c5$57a>6dd32wi9:o50;194?6|,=9o69;;;I661>N3<81/88l54418 75720:n7dkn:18'00d=9m307d<=f;29 13e28n276a!22j39io65rb44a>5<3290;w):N3=<1C89?4$57a>7243-88<77?e:kfe?6=,=?i6>n7:<0:9l7g?=83.?9o450z&77a<3==1C88;4H562?!22j3>>?6*=318:4`=nmh0;6)::b;3g=>=n:;l1<7*;5c82`<=:i4?:583>5}#<:n1>9:4H576?M2392.?9o4=429'666=19o0eho50;&71g<6lk10eko50;&71g<6lh10e9j;hgb>5<#<>n7=mc:9~f00a290?6=4?{%60`?43<2B?985G4538 13e2;>87)<<0;;3a>obi3:1(9;m:0fa?>oai3:1(9;m:0fb?>o3:o0;6)::b;604>=h;k31<7*;5c80ff=53;294~"3;m0?995G4478L1263->>n7::3:&175<>8l1bil4?:%66f?7c121b>?h50;&71g<6l010c>l6:18'00d=;ki07pl:7083>1<729q/8>j52568L1323A>?=6*;5c8106=#:::15=k4idc94?"3=k0:ho54igc94?"3=k0:hl54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a12c=8391<7>t$51g>1333A>>96F;409'00d=<<90(?=?:82f?lcf290/88l51e;8?l45n3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj<=86=4;:183!24l38?86F;549K017<,=?i6?:<;%004??7m2cnm7>5$57a>4be32cmm7>5$57a>4bf32c?>k4?:%66f?24821d?o750;&71g<4jj10qo;8f;297?6=8r.??i4;559K003<@=>:7)::b;667>"5;902!22j3;o565f23d94?"3=k0:h454o2`:>5<#<7E:;1:&71g<3=:1/>>>591g8m`g=83.?9o4>d898m74a290/88l51e;8?j5e13:1(9;m:2``?>{e0=h1<7850;2x 15c28h87E::5:J704=#9l?1>6*;5c82fd=O<9?0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jb7<72->>n7?k9:9l7ce=83.?9o4290/88l54238?lca290/88l541;8?xd?"6m<097)::b;3ae>N38<1/>>>591g8m`6=83.?9o4jc:9ja3<72->>n7k9;:ke6?6=,=?i6>n7=mc:9j7c?=83.?9o4;3098m``=83.?9o4;0898yg>3l3:1:7>50z&77a<6j:1C88;4H562?!7b=380(9;m:0`b?M27=2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hd1>5<#<ll;:k0b<<72->>n7:<1:9jac<72->>n7:?9:9~f=36290=6=4?{%60`?7e;2B?985G4538 4c22;1/88l51cc8L1623-88<77?e:kf4?6=,=?i6hm4;hg5>5<#<5<#<;:kfb?6=,=?i69>6;:a<63=83<1<7>t$51g>4d43A>>96F;409'5`3=:2.?9o4>b`9K053<,;9;64>j;hg3>5<#<!22j3;o565`3ga94?"3=k08nn54i2d:>5<#<?4;hge>5<#<7E:;1:&2a0<53->>n7?ma:J740=#:::15=k4id294?"3=k0no65fe783>!22j3o=76gi2;29 13e28n276a!22j39io65f3g;94?"3=k0??<54idd94?"3=k0?<454}c:72?6=>3:1;%3f1?4<,=?i6"5;902!22j3oh76gj6;29 13e2l<07dh=:18'00d=9m307b=ic;29 13e2:hh76g!22j3>8=65feg83>!22j3>;565rb962>5<1290;w):N3=<1C89?4$0g6>7=#<hl:18'00d=;ki07d=i9;29 13e2=9:76gjf;29 13e2=:276sm85g94?0=83:p(9=k:0`0?M22=2B?8<5+1d796>"3=k0:nl5G4178 75720:n7dk?:18'00d=mj10eh850;&71g21bj?4?:%66f?7c121d?km50;&71g<4jj10e>h6:18'00d=<:;07dki:18'00d=<9307pl73983>3<729q/8>j51c18L1323A>?=6*>e481?!22j3;im6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo6b29K003<@=>:7)?j5;31?!22j3;im6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo;;f;292?6=8r.??i4>b29K003<@=>:7)?j5;31?!22j3;im6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo;:6;292?6=8r.??i4>b29K003<@=>:7)?j5;31?!22j3;im6F;049'666=19o0eh>50;&71g7>5$57a>4b>32e8jn4?:%66f?5ek21b?k750;&71g<3;810ehh50;&71g<38010qo8:3;292?6=8r.??i4>b39K003<@=>:7)?j5;31?!22j3;im6*=318:4`=nm90;6)::b;g`?>ob>3:1(9;m:d48?lca290/88l541;8?l`5290/88l51e;8?j5ak3:1(9;m:2``?>o3;80;6)::b;605>N3;l10qo8:b;292?6=8r.??i4>b39K003<@=>:7)?j5;08 13e28hj7)<<0;;3a>ob83:1(9;m:da8?lc1290/88l5e798m``=83.?9o4;0898mc4=83.?9o4>d898k6`d290/88l53ca8?l2493:1(9;m:512?M24m21vn;;n:185>5<7s->8h7?m2:J710=O<=;0(>n7:?9:9jb7<72->>n7?k9:9l7ce=83.?9o4N3<81/=h;5139'00d=9kk0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jac<72->>n7:?9:9jb7<72->>n7?k9:9l7ce=83.?9o4N3<81/=h;5139'00d=9kk0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jac<72->>n7:?9:9jb7<72->>n7?k9:9l7ce=83.?9o4N3<81/=h;5139'00d=9kk0(?=?:82f?lc7290/88l5eb98m`0=83.?9o4j6:9jac<72->>n7:?9:9jb7<72->>n7?k9:9l7ce=83.?9o4N3<81/=h;52:&71g<6jh1/>>>591g8m`6=83.?9o4jc:9ja3<72->>n7k9;:kfb?6=,=?i69>6;:ke6?6=,=?i6>n7=mc:9j067=83.?9o4;309K06c<3th=984?:783>5}#<:n1=o<4H576?M2392.:i84=;%66f?7ei2.9?=460d9ja5<72->>n7kl;:kf2?6=,=?i6h84;hge>5<#<5<#<ll;:k774<72->>n7:<1:J77`=87>56;294~"3;m0:n?5G4478L1263-;n97<4$57a>4df3-88<77?e:kf4?6=,=?i6hm4;hg5>5<#<5<#<;I60a>=zj??96=49:183!24l3;i>6F;549K017<,8o>6?5+44`95gg<,;9;64>j;hg3>5<#<!22j3>;565ff383>!22j3;o565`3ga94?"3=k08nn54i512>5<#<?4H51f?>{e><;1<7850;2x 15c28h97E::5:J704=#9l?1=?5+44`95gg<,;9;64>j;hg3>5<#<!22j3>;565ff383>!22j3;o565`3ga94?"3=k08nn54i512>5<#<?4H51f?>{e0?=1<7:50;2x 15c2;9j7E::5:J704=#<<6b3-;n97?m7:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=h;k31<7*;5c80ff=53;294~"3;m09?:5G4478L1263->>n7:?8:&175<>8l1/=h;5459j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;n1a=?6=,=?i6>ll;:a230=83>1<7>t$51g>75f3A>>96F;409'00d=<920(?=?:82f?!7b=33:7d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:m0f<<72->>n7=mc:9~f303290?6=4?{%60`?44i2B?985G4538 13e2=:37)<<0;;3a>"6m<02=6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?18n5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo8>0;291?6=8r.??i4=3e9K003<@=>:7)::b;63<>"5;902o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd?>o0;694?:1y'06b=::k0D9;:;I675>"3=k0?<55+2229=5c<,8o>64n57:>5=i4j00;6)::b;1ag>=zj17;%004??7m2.:i84>c49j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=9;1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3f9i57>5$57a>6dd32wi98650;794?6|,=9o6?=k;I661>N3<81/88l541:8 75720:n7)?j5;13?l7c;3:1(9;m:828j13>2910e>57=4;n1a=?6=,=?i6>ll;:a10?=83?1<7>t$51g>75c3A>>96F;409'00d=<920(?=?:82f?!7b=39:7d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:k2`3<72->>n77?;o66=?5<3f9i57>5$57a>6dd32wi94950;794?6|,=9o6?=k;I661>N3<81/88l541:8 75720:n7)?j5;48m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c22<1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;51c:8m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c2283m7d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921d?o750;&71g<4jj10qo8?e;290?6=8r.??i4=3`9K003<@=>:7)::b;63<>"5;902h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10c>l6:18'00d=;ki07pl90e83>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>4e53`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e=9>1<7;50;2x 15c2;9o7E::5:J704=#<<6b3-;n97:m;h3g7?6=,=?i64>4n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm51094?3=83:p(9=k:31g?M22=2B?8<5+44`905><,;9;64>j;%3f1?0o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd24?:1y'06b=::=0D9;:;I675>"3=k0?<55+2229=5c<,8o>65<#<=n9m>1<7*;5c8:4>h3=00:76a!22j39io65rb466>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<13`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e===1<7;50;2x 15c2;9o7E::5:J704=#<<6b3-;n97;4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl:4983>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>1do6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd?>?0;694?:1y'06b=::k0D9;:;I675>"3=k0?<55+2229=5c<,8o>69<4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?j5e13:1(9;m:2``?>{e0=:1<7:50;2x 15c2;9j7E::5:J704=#<<6b3-;n977>;h3g7?6=,=?i64>4n57:>5=i4j00;6)::b;1ag>=zj??n6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84>be9j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=:;1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<7E:;1:&71g<3811/>>>591g8 4c22=20e>57<4;h3g2?6=,=?i64>4n57:>6==54?:483>5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c22=30e5<7s->8h7<e482g6=n9m91<7*;5c8:4>h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?18o5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo;;e;291?6=8r.??i4=3e9K003<@=>:7)::b;63<>"5;902h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e4;3:197>50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1j55f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo6<1;291?6=8r.??i4=3e9K003<@=>:7)::b;63<>"5;902o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd5<>0;6>4?:1y'06b=::=0D9;:;I675>"3=k0?<55+2229=5c<,8o>6974i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76a!22j39io65rb472>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<582c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj18m6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84i8:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb90g>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a04?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj18i6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84i8:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb90:>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a04?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj18<6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84i8:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb906>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a04?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj1886=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84i8:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb93e>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a04?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj?;h6=4<:183!24l388;6F;549K017<,=?i69>7;%004??7m2.:i84;9:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<7E:;1:&71g<3811/>>>591g8 4c22?i0e>57<4;h3g2?6=,=?i64>4n57:>6=84?:583>5}#<:n1>>o4H576?M2392.?9o4;099'666=19o0(82d?944>;:k2`0<72->>n77?;o66=?4<3f9i57>5$57a>6dd32wi8ho50;694?6|,=9o6?=n;I661>N3<81/88l541:8 75720:n7)?j5;1e?l7c;3:1(9;m:828j13>2910e5<7s->8h7<e480b>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21d?o750;&71g<4jj10qo:80;290?6=8r.??i4=3`9K003<@=>:7)::b;63<>"5;902h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10c>l6:18'00d=;ki07pl73`83>1<729q/8>j522c8L1323A>?=6*;5c874==#:::15=k4$0g6>4g53`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976a!22j39io65rb7df>5<4290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a04?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c228;0e>57<4;h3g2?6=,=?i64>4n57:>6=h4?:583>5}#<:n1>>o4H576?M2392.?9o4;099'666=19o0(2910e5<7s->8h7<e4825>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f11>290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0?46g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e4873>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21d?o750;&71g<4jj10qo:8e;290?6=8r.??i4=3`9K003<@=>:7)::b;63<>"5;902o6l<0;6)::b;;3?k2213807b=m9;29 13e2:hh76sm85594?3=83:p(9=k:31g?M22=2B?8<5+44`905><,;9;64>j;%3f1?2b3`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e=j?1<7;50;2x 15c2;9o7E::5:J704=#<<6b3-;n97?m1:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb726>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<5i2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj7;%004??7m2.:i84:4:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb6::>5<3290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<6m2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65`3c;94?"3=k08nn54}c4:=?6==3:1;%66f?2702.9?=460d9'5`3=m2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj7;%004??7m2.:i84j5:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb4``>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<6i=1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;51`68m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c2201b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<7E:;1:&71g<3811/>>>591g8 4c22o?0e>57<4;n1a=?6=,=?i6>ll;:a35g=83>1<7>t$51g>75f3A>>96F;409'00d=<920(?=?:82f?!7b=3;j<6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810el3:197>50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1o6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e4810>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f2`229086=4?{%60`?44?2B?985G4538 13e2=:37)<<0;;3a>"6m<0?56g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810c>l6:18'00d=;ki07pl8fc83>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>6co6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd?=l0;684?:1y'06b=::n0D9;:;I675>"3=k0?<55+2229=5c<,8o>69l4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl75e83>1<729q/8>j522c8L1323A>?=6*;5c874==#:::15=k4$0g6>1go6l<0;6)::b;;3?k2213807b=m9;29 13e2:hh76sm71a94?2=83:p(9=k:31b?M22=2B?8<5+44`905><,;9;64>j;%3f1?7f82c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65`3c;94?"3=k08nn54}c53a?6=<3:1;%66f?2702.9?=460d9'5`3=9h:0e>57<4;n1a=?6=,=?i6>ll;:a346=83>1<7>t$51g>75f3A>>96F;409'00d=<920(?=?:82f?!7b=3;j<6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e50z&77a<5;h1C88;4H562?!22j3>;46*=318:4`=#9l?1=l>4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?j5e13:1(9;m:2``?>{e>k:1<7:50;2x 15c2;9j7E::5:J704=#<<6b3-;n97?nd:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=h;k31<7*;5c80ff=54;294~"3;m09?l5G4478L1263->>n7:?8:&175<>8l1/=h;51`f8m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3f9i57>5$57a>6dd32wi:n<50;694?6|,=9o6?=n;I661>N3<81/88l541:8 75720:n7)?j5;3b`>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21d?o750;&71g<4jj10qo8lb;290?6=8r.??i4=3`9K003<@=>:7)::b;63<>"5;902o6l<0;6)::b;;3?k2213807b=m9;29 13e2:hh76sm74194?3=83:p(9=k:31g?M22=2B?8<5+44`905><,;9;64>j;%3f1?7fm2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj>?:6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84>ad9j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=9ho0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(2910e>57=4;n1a=?6=,=?i6>ll;:a31d=83?1<7>t$51g>75c3A>>96F;409'00d=<920(?=?:82f?!7b=3;ji6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e482e`=n9m91<7*;5c8:4>h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1=lk4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl84483>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>4gb3`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e?=91<7;50;2x 15c2;9o7E::5:J704=#<<6b3-;n97?ne:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb662>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<6il1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;51`g8m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c22880e5<7s->8h7<<7:J710=O<=;0(9;m:52;?!44833;i6*>e487=>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098k6d>290/88l53ca8?xd0ko0;684?:1y'06b=::n0D9;:;I675>"3=k0?<55+2229=5c<,8o>64n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm7bf94?5=83:p(9=k:314?M22=2B?8<5+44`905><,;9;64>j;%3f1?2>3`;o?7>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;51c;8m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c22=30e:186>5<7s->8h7<e482f<=n9m91<7*;5c8:4>h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e50z&77a<5;>1C88;4H562?!22j3>;46*=318:4`=#9l?1845f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07b=m9;29 13e2:hh76sm7cc94?3=83:p(9=k:31g?M22=2B?8<5+44`905><,;9;64>j;%3f1?7e12c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj>h36=4<:183!24l388;6F;549K017<,=?i69>7;%004??7m2.:i84;9:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<7E:;1:&71g<3811/>>>591g8 4c228h27d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:k2`3<72->>n77?;o66=?5<3f9i57>5$57a>6dd32wi;o?50;194?6|,=9o6?=8;I661>N3<81/88l541:8 75720:n7)?j5;6:?l7c;3:1(9;m:828j13>2910e50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1=o74i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl8a`83>6<729q/8>j52258L1323A>?=6*;5c874==#:::15=k4$0g6>1?i4j00;6)::b;1ag>=zj>k>6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84>b89j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=<01b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3f9i57>5$57a>6dd32wi;4k50;794?6|,=9o6?=k;I661>N3<81/88l541:8 75720:n7)?j5;3a=>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f2?d29086=4?{%60`?44?2B?985G4538 13e2=:37)<<0;;3a>"6m<0?56g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810c>l6:18'00d=;ki07pl89683>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>4d>3`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e?0?1<7=50;2x 15c2;9<7E::5:J704=#<<6b3-;n97:6;h3g7?6=,=?i64>4n57:>5=;%66f?2702.9?=460d9'5`3=9k30e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>94H576?M2392.?9o4;099'666=19o0(82d?944>;:m0f<<72->>n7=mc:9~f2>1290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0:n45f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo8k4;290?6=8r.??i4=3`9K003<@=>:7)::b;63<>"5;902o6l<0;6)::b;;3?k2213807b=m9;29 13e2:hh76sm6ef94?2=83:p(9=k:31b?M22=2B?8<5+44`905><,;9;64>j;%3f1?7fl2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65`3c;94?"3=k08nn54}c4f2?6=<3:1;%66f?2702.9?=460d9'5`3=9hn0e>57<4;n1a=?6=,=?i6>ll;:a2``=83>1<7>t$51g>75f3A>>96F;409'00d=<920(?=?:82f?!7b=3;jh6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e50z&77a<5;h1C88;4H562?!22j3>;46*=318:4`=#9l?1=lj4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?j5e13:1(9;m:2``?>{e>h=1<7:50;2x 15c2;9j7E::5:J704=#<<6b3-;n97?nd:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=h;k31<7*;5c80ff=;;7>52;294~"3;m0:h:5G4478L1263->>n7j9;I01f>"5:j0:h45+2229=5c5$57a>6dd32wi>?k50;094?6|,=9o6N3<81/88l5d79K67d<,;8h6d69K003<@=>:7)::b;f5?M45j2.9>n4>d89'666=19o0ed383>!22j3;o565`3c;94?"3=k08nn54}c65;%66f?b13A89n6*=2b82`<=#:::15=k4i0f1>5<#<ll;:a03?=8381<7>t$51g>4b03A>>96F;409'00d=l?1C>?l4$30`>4b>3-88<77?e:k2`7<72->>n7?k9:9l7g?=83.?9o47>50z&77a<6l>1C88;4H562?!22j3n=7E<=b:&16f<6l01/>>>591g8m4b5290/88l51e;8?j5e13:1(9;m:2``?>{e19n1<7<50;2x 15c2:hj7E::5:J704=#<o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=52;294~"3;m08nl5G4478L1263->>n7j9;I01f>"5:j08nn5+2229=5c5$57a>6dd32wihh4?:383>5}#<:n1?oo4H576?M2392.?9o4k6:J16g=#:;i1?om4$313><6b3`;o>7>5$57a>4b>32e8n44?:%66f?5ek21vnij50;094?6|,=9o6>ln;I661>N3<81/88l5d79K67d<,;8h6>ll;%004??7m2c:h?4?:%66f?7c121d?o750;&71g<4jj10qojl:181>5<7s->8h7=ma:J710=O<=;0(9;m:e48L74e3-89o7=mc:&175<>8l1b=i<50;&71g<6l010c>l6:18'00d=;ki07plkb;296?6=8r.??i4:7)::b;f5?M45j2.9>n4d383>!22j3;o565`3c;94?"3=k08nn54}c3g4?6=:3:1;%66f?b13A89n6*=2b80ff=#:::15=k4i0f1>5<#<ll;:a5f`=8381<7>t$51g>6df3A>>96F;409'00d=l?1C>?l4$30`>6dd3-88<77?e:k2`7<72->>n7?k9:9l7g?=83.?9o47>50z&77a<4jh1C88;4H562?!22j3n=7E<=b:&16f<4jj1/>>>591g8m4b5290/88l51e;8?j5e13:1(9;m:2``?>{e<8:1<7<50;2x 15c2:hj7E::5:J704=#<o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=;j7>52;294~"3;m08nl5G4478L1263->>n7j9;I01f>"5:j08nn5+2229=5c5$57a>6dd32wi8=k50;094?6|,=9o6>ln;I661>N3<81/88l5d79K67d<,;8h6>ll;%004??7m2c:h?4?:%66f?7c121d?o750;&71g<4jj10qo:?d;296?6=8r.??i4:7)::b;f5?M45j2.9>n4d383>!22j3;o565`3c;94?"3=k08nn54}c7``?6=:3:1;%66f?b13A89n6*=2b80ff=#:::15=k4i0f1>5<#<ll;:a1fe=8381<7>t$51g>6df3A>>96F;409'00d=l?1C>?l4$30`>6dd3-88<77?e:k2`7<72->>n7?k9:9l7g?=83.?9o47>50z&77a<4jh1C88;4H562?!22j3n=7E<=b:&16f<4jj1/>>>591g8m4b5290/88l51e;8?j5e13:1(9;m:2``?>{e:h91<7=50;2x 15c2;9=7E::5:J704=#<<6b3-;n97;=;h3g7?6=,=?i64>4n57:>5=5$57a><6=zj;k>6=4<:183!24l388:6F;549K017<,=?i69>7;%004??7m2.:i84:2:k2`6<72->>n77?;o66=?6<3f9i57>5$57a>6dd32c:h94?:%66f??73g>>57?4n51`>5=53;294~"3;m09?;5G4478L1263->>n7:?8:&175<>8l1/=h;5539j5a5=83.?9o460:l71<<732e8n44?:%66f?5ek21b=i:50;&71g<>82d?944>;o60g?6<3th9m44?:283>5}#<:n1>>84H576?M2392.?9o4;099'666=19o0(ll50;194?6|,=9o6?=9;I661>N3<81/88l541:8 75720:n7)?j5;71?l7c;3:1(9;m:828j13>2910c>l6:18'00d=;ki07d?k4;29 13e20:0b9;6:09m06e=821vn?ok:180>5<7s->8h7<<6:J710=O<=;0(9;m:52;?!44833;i6*>e4866>o6l:0;6)::b;;3?k2213:07b=m9;29 13e2:hh76g>d583>!22j33;7c::9;38j15d2910qo:7)::b;63<>"5;902h3=00;76a!22j39io65f1e694?"3=k02<6`;5882?k24k3:07pl=b083>6<729q/8>j52248L1323A>?=6*;5c874==#:::15=k4$0g6>045<#<h3;j0;76sm2c194?5=83:p(9=k:315?M22=2B?8<5+44`905><,;9;64>j;%3f1?353`;o?7>5$57a><65<#<4n57:>4=i<:i1<65rb3:1>5<4290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<2:2c:h>4?:%66f??73g>>57>4;n1a=?6=,=?i6>ll;:k2`1<72->>n77?;o66=?7;%66f?2702.9?=460d9'5`3==;1b=i=50;&71g<>82d?944?;:m0f<<72->>n7=mc:9j5a2=83.?9o460:l71<<63g>8o7>4;|`1<3<72:0;6=u+42f9660<@=?>7E:;1:&71g<3811/>>>591g8 4c22<80e=8391<7>t$51g>7513A>>96F;409'00d=<920(?=?:82f?!7b=3?97d?k3;29 13e20:0b9;6:198k6d>290/88l53ca8?l7c<3:1(9;m:828j13>281e8>m50:9~f7>f29086=4?{%60`?44>2B?985G4538 13e2=:37)<<0;;3a>"6m<0>>6g>d283>!22j33;7c::9;28?j5e13:1(9;m:2``?>o6l=0;6)::b;;3?k2213;0b9=l:198yg4?k3:1?7>50z&77a<5;?1C88;4H562?!22j3>;46*=318:4`=#9l?19?5f1e194?"3=k02<6`;5883?>i4j00;6)::b;1ag>=n9m>1<7*;5c8:4>h3=00:7c:4?:1y'06b=::<0D9;:;I675>"3=k0?<55+2229=5c<,8o>68<4i0f0>5<#<=h;k31<7*;5c80ff={e:0:1<7=50;2x 15c2;9=7E::5:J704=#<<6b3-;n97;=;h3g7?6=,=?i64>4n57:>5=5$57a><6=zj;396=4<:183!24l388:6F;549K017<,=?i69>7;%004??7m2.:i84:2:k2`6<72->>n77?;o66=?6<3f9i57>5$57a>6dd32c:h94?:%66f??73g>>57?4n51`>5=53;294~"3;m09?;5G4478L1263->>n7:?8:&175<>8l1/=h;5539j5a5=83.?9o460:l71<<732e8n44?:%66f?5ek21b=i:50;&71g<>82d?944>;o60g?6<3th98n4?:283>5}#<:n1>>84H576?M2392.?9o4;099'666=19o0(9k50;194?6|,=9o6?=9;I661>N3<81/88l541:8 75720:n7)?j5;71?l7c;3:1(9;m:828j13>2910c>l6:18'00d=;ki07d?k4;29 13e20:0b9;6:09m06e=821vn?;?:180>5<7s->8h7<<6:J710=O<=;0(9;m:52;?!44833;i6*>e4866>o6l:0;6)::b;;3?k2213:07b=m9;29 13e2:hh76g>d583>!22j33;7c::9;38j15d2910qo<:2;297?6=8r.??i4=379K003<@=>:7)::b;63<>"5;902h3=00;76a!22j39io65f1e694?"3=k02<6`;5882?k24k3:07pl=5583>6<729q/8>j52248L1323A>?=6*;5c874==#:::15=k4$0g6>045<#<h3;j0;76sm24494?5=83:p(9=k:315?M22=2B?8<5+44`905><,;9;64>j;%3f1?353`;o?7>5$57a><65<#<4n57:>4=i<:i1<65rb37;>5<4290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<2:2c:h>4?:%66f??73g>>57>4;n1a=?6=,=?i6>ll;:k2`1<72->>n77?;o66=?7;%66f?2702.9?=460d9'5`3==;1b=i=50;&71g<>82d?944?;:m0f<<72->>n7=mc:9j5a2=83.?9o460:l71<<63g>8o7>4;|`1`=<72:0;6=u+42f9660<@=?>7E:;1:&71g<3811/>>>591g8 4c22<80et$51g>7513A>>96F;409'00d=<920(?=?:82f?!7b=3?97d?k3;29 13e20:0b9;6:198k6d>290/88l53ca8?l7c<3:1(9;m:828j13>281e8>m50:9~f7c129086=4?{%60`?44>2B?985G4538 13e2=:37)<<0;;3a>"6m<0>>6g>d283>!22j33;7c::9;28?j5e13:1(9;m:2``?>o6l=0;6)::b;;3?k2213;0b9=l:198yg4b03:1?7>50z&77a<5;?1C88;4H562?!22j3>;46*=318:4`=#9l?19?5f1e194?"3=k02<6`;5883?>i4j00;6)::b;1ag>=n9m>1<7*;5c8:4>h3=00:7c:4?:1y'06b=::<0D9;:;I675>"3=k0?<55+2229=5c<,8o>68<4i0f0>5<#<=h;k31<7*;5c80ff={e:li1<7=50;2x 15c2;9=7E::5:J704=#<<6b3-;n97;=;h3g7?6=,=?i64>4n57:>5=5$57a><6=zj;on6=4<:183!24l388:6F;549K017<,=?i69>7;%004??7m2.:i84:2:k2`6<72->>n77?;o66=?6<3f9i57>5$57a>6dd32c:h94?:%66f??73g>>57?4n51`>5=53;294~"3;m09?;5G4478L1263->>n7:?8:&175<>8l1/=h;5539j5a5=83.?9o460:l71<<732e8n44?:%66f?5ek21b=i:50;&71g<>82d?944>;o60g?6<3th9j?4?:283>5}#<:n1>>84H576?M2392.?9o4;099'666=19o0(n:50;194?6|,=9o6?=9;I661>N3<81/88l541:8 75720:n7)?j5;71?l7c;3:1(9;m:828j13>2910c>l6:18'00d=;ki07d?k4;29 13e20:0b9;6:09m06e=821vn?m9:180>5<7s->8h7<<6:J710=O<=;0(9;m:52;?!44833;i6*>e4866>o6l:0;6)::b;;3?k2213:07b=m9;29 13e2:hh76g>d583>!22j33;7c::9;38j15d2910qo:7)::b;63<>"5;902h3=00;76a!22j39io65f1e694?"3=k02<6`;5882?k24k3:07pl=c`83>6<729q/8>j52248L1323A>?=6*;5c874==#:::15=k4$0g6>045<#<h3;j0;76sm2ba94?5=83:p(9=k:315?M22=2B?8<5+44`905><,;9;64>j;%3f1?353`;o?7>5$57a><65<#<4n57:>4=i<:i1<65rb3af>5<4290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<2:2c:h>4?:%66f??73g>>57>4;n1a=?6=,=?i6>ll;:k2`1<72->>n77?;o66=?7;%66f?2702.9?=460d9'5`3==;1b=i=50;&71g<>82d?944?;:m0f<<72->>n7=mc:9j5a2=83.?9o460:l71<<63g>8o7>4;|`1`7<72:0;6=u+42f9660<@=?>7E:;1:&71g<3811/>>>591g8 4c22<80et$51g>7513A>>96F;409'00d=<920(?=?:82f?!7b=3?97d?k3;29 13e20:0b9;6:198k6d>290/88l53ca8?l7c<3:1(9;m:828j13>281e8>m50:9~f7b129086=4?{%60`?44>2B?985G4538 13e2=:37)<<0;;3a>"6m<0>>6g>d283>!22j33;7c::9;28?j5e13:1(9;m:2``?>o6l=0;6)::b;;3?k2213;0b9=l:198yg4ci3:1?7>50z&77a<5;?1C88;4H562?!22j3>;46*=318:4`=#9l?19?5f1e194?"3=k02<6`;5883?>i4j00;6)::b;1ag>=n9m>1<7*;5c8:4>h3=00:7c:4?:1y'06b=::<0D9;:;I675>"3=k0?<55+2229=5c<,8o>68<4i0f0>5<#<=h;k31<7*;5c80ff={e:mo1<7=50;2x 15c2;9=7E::5:J704=#<<6b3-;n97;=;h3g7?6=,=?i64>4n57:>5=5$57a><6=zj;o;6=4<:183!24l388:6F;549K017<,=?i69>7;%004??7m2.:i84:2:k2`6<72->>n77?;o66=?6<3f9i57>5$57a>6dd32c:h94?:%66f??73g>>57?4n51`>5=7>53;294~"3;m09?;5G4478L1263->>n7:?8:&175<>8l1/=h;5539j5a5=83.?9o460:l71<<732e8n44?:%66f?5ek21b=i:50;&71g<>82d?944>;o60g?6<3th8?>4?:283>5}#<:n1>>84H576?M2392.?9o4;099'666=19o0(N3<81/88l541:8 75720:n7)?j5;71?l7c;3:1(9;m:828j13>2910c>l6:18'00d=;ki07d?k4;29 13e20:0b9;6:09m06e=821vn>?j:180>5<7s->8h7<<6:J710=O<=;0(9;m:52;?!44833;i6*>e4866>o6l:0;6)::b;;3?k2213:07b=m9;29 13e2:hh76g>d583>!22j33;7c::9;38j15d2910qo==2;297?6=8r.??i4=379K003<@=>:7)::b;63<>"5;902h3=00;76a!22j39io65f1e694?"3=k02<6`;5882?k24k3:07pl<2483>6<729q/8>j52248L1323A>?=6*;5c874==#:::15=k4$0g6>045<#<h3;j0;76sm48294?5=83:p(9=k:315?M22=2B?8<5+44`905><,;9;64>j;%3f1?353`;o?7>5$57a><65<#<4n57:>4=i<:i1<65rb5;1>5<4290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<2:2c:h>4?:%66f??73g>>57>4;n1a=?6=,=?i6>ll;:k2`1<72->>n77?;o66=?7;%66f?2702.9?=460d9'5`3==;1b=i=50;&71g<>82d?944?;:m0f<<72->>n7=mc:9j5a2=83.?9o460:l71<<63g>8o7>4;|`7=3<72:0;6=u+42f9660<@=?>7E:;1:&71g<3811/>>>591g8 4c22<80et$51g>7513A>>96F;409'00d=<920(?=?:82f?!7b=3?97d?k3;29 13e20:0b9;6:198k6d>290/88l53ca8?l7c<3:1(9;m:828j13>281e8>m50:9~f1gd29086=4?{%60`?44>2B?985G4538 13e2=:37)<<0;;3a>"6m<0>>6g>d283>!22j33;7c::9;28?j5e13:1(9;m:2``?>o6l=0;6)::b;;3?k2213;0b9=l:198yg2fm3:1?7>50z&77a<5;?1C88;4H562?!22j3>;46*=318:4`=#9l?19?5f1e194?"3=k02<6`;5883?>i4j00;6)::b;1ag>=n9m>1<7*;5c8:4>h3=00:7c:4?:1y'06b=::<0D9;:;I675>"3=k0?<55+2229=5c<,8o>68<4i0f0>5<#<=h;k31<7*;5c80ff={e<6b3-;n97;=;h3g7?6=,=?i64>4n57:>5=5$57a><6=zj=h?6=4<:183!24l388:6F;549K017<,=?i69>7;%004??7m2.:i84:2:k2`6<72->>n77?;o66=?6<3f9i57>5$57a>6dd32c:h94?:%66f??73g>>57?4n51`>5=i:7>53;294~"3;m09?;5G4478L1263->>n7:?8:&175<>8l1/=h;5539j5a5=83.?9o460:l71<<732e8n44?:%66f?5ek21b=i:50;&71g<>82d?944>;o60g?6<3th?n54?:283>5}#<:n1>>84H576?M2392.?9o4;099'666=19o0(N3<81/88l541:8 75720:n7)?j5;71?l7c;3:1(9;m:828j13>2910c>l6:18'00d=;ki07d?k4;29 13e20:0b9;6:09m06e=821vn9mn:180>5<7s->8h7<<6:J710=O<=;0(9;m:52;?!44833;i6*>e4866>o6l:0;6)::b;;3?k2213:07b=m9;29 13e2:hh76g>d583>!22j33;7c::9;38j15d2910qo:lc;297?6=8r.??i4=379K003<@=>:7)::b;63<>"5;902h3=00;76a!22j39io65f1e694?"3=k02<6`;5882?k24k3:07pl;cd83>6<729q/8>j52248L1323A>?=6*;5c874==#:::15=k4$0g6>045<#<h3;j0;76sm4e294?5=83:p(9=k:315?M22=2B?8<5+44`905><,;9;64>j;%3f1?353`;o?7>5$57a><65<#<4n57:>4=i<:i1<65rb5f1>5<4290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<2:2c:h>4?:%66f??73g>>57>4;n1a=?6=,=?i6>ll;:k2`1<72->>n77?;o66=?7;%66f?2702.9?=460d9'5`3==;1b=i=50;&71g<>82d?944?;:m0f<<72->>n7=mc:9j5a2=83.?9o460:l71<<63g>8o7>4;|`7`3<72:0;6=u+42f9660<@=?>7E:;1:&71g<3811/>>>591g8 4c22<80et$51g>7513A>>96F;409'00d=<920(?=?:82f?!7b=3?97d?k3;29 13e20:0b9;6:198k6d>290/88l53ca8?l7c<3:1(9;m:828j13>281e8>m50:9~f00?29086=4?{%60`?44>2B?985G4538 13e2=:37)<<0;;3a>"6m<0>>6g>d283>!22j33;7c::9;28?j5e13:1(9;m:2``?>o6l=0;6)::b;;3?k2213;0b9=l:198yg31i3:1?7>50z&77a<5;?1C88;4H562?!22j3>;46*=318:4`=#9l?19?5f1e194?"3=k02<6`;5883?>i4j00;6)::b;1ag>=n9m>1<7*;5c8:4>h3=00:7c:j0;6>4?:1y'06b=::<0D9;:;I675>"3=k0?<55+2229=5c<,8o>68<4i0f0>5<#<=h;k31<7*;5c80ff={e=?o1<7=50;2x 15c2;9=7E::5:J704=#<<6b3-;n97;=;h3g7?6=,=?i64>4n57:>5=5$57a><6=zj<=;6=4<:183!24l388:6F;549K017<,=?i69>7;%004??7m2.:i84:2:k2`6<72->>n77?;o66=?6<3f9i57>5$57a>6dd32c:h94?:%66f??73g>>57?4n51`>5=7>53;294~"3;m09?;5G4478L1263->>n7:?8:&175<>8l1/=h;5539j5a5=83.?9o460:l71<<732e8n44?:%66f?5ek21b=i:50;&71g<>82d?944>;o60g?6<3th>;94?:283>5}#<:n1>>84H576?M2392.?9o4;099'666=19o0(o650;194?6|,=9o6?=9;I661>N3<81/88l541:8 75720:n7)?j5;71?l7c;3:1(9;m:828j13>2910c>l6:18'00d=;ki07d?k4;29 13e20:0b9;6:09m06e=821vn?78:180>5<7s->8h7<<6:J710=O<=;0(9;m:52;?!44833;i6*>e4866>o6l:0;6)::b;;3?k2213:07b=m9;29 13e2:hh76g>d583>!22j33;7c::9;38j15d2910qo<:e;297?6=8r.??i4=379K003<@=>:7)::b;63<>"5;902h3=00;76a!22j39io65f1e694?"3=k02<6`;5882?k24k3:07pl<0683>6<729q/8>j52248L1323A>?=6*;5c874==#:::15=k4$0g6>045<#<h3;j0;76sm4b794?5=83:p(9=k:315?M22=2B?8<5+44`905><,;9;64>j;%3f1?353`;o?7>5$57a><65<#<4n57:>4=i<:i1<65rb5g0>5<4290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<2:2c:h>4?:%66f??73g>>57>4;n1a=?6=,=?i6>ll;:k2`1<72->>n77?;o66=?7;%66f?2702.9?=460d9'5`3==;1b=i=50;&71g<>82d?944?;:m0f<<72->>n7=mc:9j5a2=83.?9o460:l71<<63g>8o7>4;|`12c<72<0;6=u+42f966b<@=?>7E:;1:&71g<3811/>>>591g8 4c22=:0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c22;n0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c22;n0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c22;;0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<7E:;1:&71g<3811/>>>591g8 4c22=h0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>o4H576?M2392.?9o4;099'666=19o0(2910e5<7s->8h7<e482g0=n9m91<7*;5c8:4>h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e;3:197>50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1=n:4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl88283>1<729q/8>j52578L1323A>?=6*;5c8106=#:::15=k4i0f0>5<#<>n7:<0:9l7g?=83.?9o450z&77a<5;h1C88;4H562?!22j3>;46*=318:4`=#9l?1j45f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398k6d>290/88l53ca8?xd2i00;694?:1y'06b=:=?0D9;:;I675>"3=k098>5+2229=5c5$57a>4be32c?>k4?:%66f?24821d?o750;&71g<4jj10qo;nd;297?6=8r.??i4=369K003<@=>:7)::b;63<>"5;902h3=00;76g>d583>!22j33;7c::9;38?j5e13:1(9;m:2``?>{e=ho1<7;50;2x 15c2;9o7E::5:J704=#<<6b3-;n97?n5:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb4d6>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<5n2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj<:36=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84;b:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb424>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<3>2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj>236=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i8462:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb727>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a04?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj<>h6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84>bb9j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=9o1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=m7>55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;56`9j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=9j>0e>57<4;h3g2?6=,=?i64>4n57:>6=984?:483>5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(2910e>57=4;n1a=?6=,=?i6>ll;:a1t$51g>75c3A>>96F;409'00d=<920(?=?:82f?!7b=3;87d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:k2`3<72->>n77?;o66=?5<3f9i57>5$57a>6dd32wi8:;50;794?6|,=9o6?=k;I661>N3<81/88l541:8 75720:n7)?j5;3`1>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f113290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0:o85f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo8=d;290?6=8r.??i4=3`9K003<@=>:7)::b;63<>"5;902o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21d?o750;&71g<4jj10qo8=c;291?6=8r.??i4=3e9K003<@=>:7)::b;63<>"5;902h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1:h5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo8=b;291?6=8r.??i4=3e9K003<@=>:7)::b;63<>"5;902h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1?i5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo;?3;291?6=8r.??i4=3e9K003<@=>:7)::b;63<>"5;902h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1j55f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo;je;291?6=8r.??i4=3e9K003<@=>:7)::b;63<>"5;902o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd2jm0;684?:1y'06b=::n0D9;:;I675>"3=k0?<55+2229=5c<,8o>6;74i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl:b783>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>3?o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd3mj0;684?:1y'06b=::n0D9;:;I675>"3=k0?<55+2229=5c<,8o>69?4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl;8983>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>17o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd3?10;684?:1y'06b=::n0D9;:;I675>"3=k0?<55+2229=5c<,8o>69?4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl75183>6<729q/8>j52258L1323A>?=6*;5c874==#:::15=k4$0g6>1?i4j00;6)::b;1ag>=zj19o6=4;:183!24l388m6F;549K017<,=?i69>7;%004??7m2.:i84k;h3g7?6=,=?i64>4n57:>5=i4j00;6)::b;1ag>=zj?:<6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i8468:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=n9m<1<7*;5c8:4>h3=00876a!22j39io65rb4g7>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<1k2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj0:i6=4::183!24l388h6F;549K017<,=?i69>7;%004??7m2.:i84>bd9j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=9ko0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(2910e>57=4;n1a=?6=,=?i6>ll;:a1g7=83?1<7>t$51g>75c3A>>96F;409'00d=<920(?=?:82f?!7b=3k0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>94H576?M2392.?9o4;099'666=19o0(82d?944>;:m0f<<72->>n7=mc:9~f273290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0:86g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48:?l7c;3:1(9;m:828j13>2910e5<7s->8h7<e487a>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f3d6290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<02?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48:7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f3e4290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<02?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48:7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f3b2290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<02?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48:7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f3c0290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<02?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48:7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f3?3290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<02?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48f7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f2b4290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0n?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48f7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f2e2290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0n?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48f7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f2d0290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0n?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48f7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f2g>290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0n?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48f7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f2?e290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0n?6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e48f7>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f0ga290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<0:j6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e482f==n9m91<7*;5c8:4>h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e50z&77a<5;h1C88;4H562?!22j3>;46*=318:4`=#9l?18l5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398k6d>290/88l53ca8?xd2m90;684?:1y'06b=::n0D9;:;I675>"3=k0?<55+2229=5c<,8o>64n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm8d394?3=83:p(9=k:31g?M22=2B?8<5+44`905><,;9;64>j;%3f1?573`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e0ml1<7;50;2x 15c2;9o7E::5:J704=#<<6b3-;n97=?;h3g7?6=,=?i64>4n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm8ef94?3=83:p(9=k:31g?M22=2B?8<5+44`905><,;9;64>j;%3f1?573`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e0mh1<7;50;2x 15c2;9o7E::5:J704=#<<6b3-;n97=?;h3g7?6=,=?i64>4n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm8e;94?3=83:p(9=k:31g?M22=2B?8<5+44`905><,;9;64>j;%3f1?573`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e0m=1<7;50;2x 15c2;9o7E::5:J704=#<<6b3-;n97=?;h3g7?6=,=?i64>4n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm5g494?2=83:p(9=k:31b?M22=2B?8<5+44`905><,;9;64>j;%3f1?203`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976a!22j39io65rb762>5<3290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<3i2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65`3c;94?"3=k08nn54}c:g7?6==3:1;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=;91b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=55;294~"3;m09?i5G4478L1263->>n7:?8:&175<>8l1/=h;5319j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=;%66f?2702.9?=460d9'5`3=9j;0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(2910e>57=4;n1a=?6=,=?i6>ll;:a1gd=83>1<7>t$51g>75f3A>>96F;409'00d=<920(?=?:82f?!7b=3l87d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:m0f<<72->>n7=mc:9~f0e7290>6=4?{%60`?44l2B?985G4538 13e2=:37)<<0;;3a>"6m<09<6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e482fg=n9m91<7*;5c8:4>h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1=n=4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl:f583>1<729q/8>j522c8L1323A>?=6*;5c874==#:::15=k4$0g6>4d03`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976a!22j39io65rb4d0>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a04?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj?:86=4;:183!24l388m6F;549K017<,=?i69>7;%004??7m2.:i84>b69j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=5}#<:n1>>j4H576?M2392.?9o4;099'666=19o0(82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<u+42f9`==O<;%004??7m2c:h?4?::m0f<<722h:h54?:383>5}#<:n1=i64$57a>`d<,;8h6"5;9025<#<"3=k0nn6*=318:4`=n9m81<7*;5c82`<=52z?2`=<4j016h:4>d39~yg3>k3:1>7>50z&77ai4j00;6)::b;1ag>=zj;h>6=4=:183!24l38956F;549K017<,=?i6i84$313><6b3`;o>7>5$57a>4b>32e8n44?:%66f?5ek2B?9l54}c0:0?6=:3:1;%66f?b13-88<77?e:k2`7<72->>n7?k9:9l7g?=83.?9o45}#<:n1>?74H576?M2392.?9o4k6:&175<>8l1b=i<50;&71g<6l010c>l6:18'00d=;ki0D9;n;:a6c2=8381<7>t$51g>74>3A>>96F;409'00d=l?1/>>>591g8m4b5290/88l51e;8?j5e13:1(9;m:2``?M22i21vn>:?:181>5<7s->8h7<=9:J710=O<=;0(9;m:e48 75720:n7d?k2;29 13e28n276a!22j39io6F;5`98yg53:3:1>7>50z&77a<5:01C88;4H562?!22j3n=7)<<0;;3a>o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=O<7<729q/8>j523;8L1323A>?=6*;5c8g2>"5;9025<#<{e;=<1<7<50;2x 15c2;827E::5:J704=#<5$57a>6dd3A>>m65rb26;>5<5290;w):N3=<1C89?4$57a>a0<,;9;64>j;h3g6?6=,=?i6>n7=mc:J71d=52;294~"3;m09>45G4478L1263->>n7j9;%004??7m2c:h?4?:%66f?7c121d?o750;&71g<4jj1C88o4;|`00f<72;0;6=u+42f967?<@=?>7E:;1:&71g2.9?=460d9j5a4=83.?9o4>d898k6d>290/88l53ca8L13f32wi?9k50;094?6|,=9o6?<6;I661>N3<81/88l5d79'666=19o0ei4j00;6)::b;1ag>N3=h10qo=:7)::b;f5?!44833;i6g>d383>!22j3;o565`3c;94?"3=k08nn5G44c8?xd4:h0;6?4?:1y'06b=:;30D9;:;I675>"3=k0o:6*=318:4`=n9m81<7*;5c82`<=5<#<ll;I66e>=zj:8m6=4=:183!24l38956F;549K017<,=?i6i84$313><6b3`;o>7>5$57a>4b>32e8n44?:%66f?5ek2B?9l54}c6ae?6=:3:1;%66f?b13-88<77?e:k2`7<72->>n7?k9:9l7g?=83.?9o45}#<:n1>?74H576?M2392.?9o4k6:&175<>8l1b=i<50;&71g<6l010c>l6:18'00d=;ki0D9;n;:a120=8381<7>t$51g>74>3A>>96F;409'00d=l?1/>>>591g8m4b5290/88l51e;8?j5e13:1(9;m:2``?M22i21vn87j:181>5<7s->8h7<=9:J710=O<=;0(9;m:e48 75720:n7d?k2;29 13e28n276a!22j39io6F;5`98yg1bm3:1>7>50z&77a<5:01C88;4H562?!22j3n=7)<<0;;3a>o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=O<1<729q/8>j52578L1323A>?=6*;5c8106=#:::15=k4i0f0>5<#<>n7:<0:9l7g?=83.?9o450z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1j6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->8h7<e485`>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f37c290?6=4?{%60`?43=2B?985G4538 13e2;>87)<<0;;3a>o6l:0;6)::b;3ge>=n9m>1<7*;5c82`g=5$57a>6dd32wi:N3<81/88l541:8 75720:n7)?j5;3g?l7c;3:1(9;m:828j13>2910e50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1=n?4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl93583>1<729q/8>j52578L1323A>?=6*;5c8106=#:::15=k4i0f0>5<#<>n7:<0:9l7g?=83.?9o450z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1:n5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo8<6;291?6=8r.??i4=3e9K003<@=>:7)::b;63<>"5;902h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e=3:187>50z&77a<5<<1C88;4H562?!22j38??6*=318:4`=n9m91<7*;5c82`d=9j7>5$57a>15732e8n44?:%66f?5ek21vn;79:187>5<7s->8h7<e48:?l7c;3:1(9;m:828j13>2910e5<7s->8h7<e485f>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21b=i850;&71g<>82d?944<;:m0f<<72->>n7=mc:9~f35f290?6=4?{%60`?43=2B?985G4538 13e2;>87)<<0;;3a>o6l:0;6)::b;3ge>=n9m>1<7*;5c82`g=5$57a>6dd32wi:>l50;794?6|,=9o6?=k;I661>N3<81/88l541:8 75720:n7)?j5;73?l7c;3:1(9;m:828j13>2910e>57=4;n1a=?6=,=?i6>ll;:a26e=83>1<7>t$51g>75f3A>>96F;409'00d=<920(?=?:82f?!7b=3997d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:m0f<<72->>n7=mc:9~f0c6290?6=4?{%60`?43=2B?985G4538 13e2;>87)<<0;;3a>o6l:0;6)::b;3ge>=n9m>1<7*;5c82`g=5$57a>6dd32wi9h<50;694?6|,=9o6?=n;I661>N3<81/88l541:8 75720:n7)?j5;f8m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3f9i57>5$57a>6dd32wi9h=50;794?6|,=9o6?=k;I661>N3<81/88l541:8 75720:n7)?j5;`8m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<t$51g>1643A>>96F;409Y`d65=;=0897=9:3:96<<403926p*;518741=#<<;18=:4ng49=>ha?330(?<7:2`;?!24>390("6mj0?7)?jd;68 4cb2=1/=hh54:&2b5<33-;m=7:4$0d1>1=#9o9186*>f587?!7a=3>0("6nj0?7)?id;68 4`b2=1/=kh54:&145<33-8;=7:4$321>1=#:99186*=0587?!47=3>0(?>9:59'651=<2.9<54;;%03=?2<,;:j695+21`90>"58j0?7)=h54:&155<33-8:=7:4$331>1=#:89186*=1587?!46=3>0(??9:59'641=<2.9=54;;%02=?2<,;;j695+20`90>"59j0?7)<>d;68 77b2=1/>1=#:;9186*=2587?!45=3>0(?<9:29'671=;2.:hi4;;%3ga?2<,8nm695+1d290>"6m80?7)?j2;68 4c42=1/=h:54:&772<43A>8n6*;5c8746=#0o0???5+2229=5c<,=9?64:4idf94?N4n110ehk50;J0b==5<5<?1<7*h4n?0;7)=m6;4e?k5e=3n07d9<:18'7c1=?=1e?k851:&0f3<1n2d8n84l;:k46?6=,:l<6::4n2d5>7=#;k<1:k5a3c79f>=n?80;6)=i7;57?k5a>390(>l9:7d8j6d22h10e:k50;&0b2<0<2d8j;4;;%1a2?0a3g9i9774;h5g>5<#;o=1;95a3g491>"4j?0=j6`o0k3:1(>h8:668j6`12?1/?o856g9m7g3=?21b;o4?:%1e3?133g9m:794$2`5>3`6;54i6c94?"4n>0<86`3290/?k95759m7c0=12.8n;49f:l0f0<332c<47>5$2d4>22!5a?3=?7c=i6;`8 6d12?l0b>l::398m20=83.8j:484:l0b3h4j<0;76g75;29 6`021>0b>h9:19'7g0=?o1e?o;5d:9j<6<72-9m;76;;o1e2?7<,:h=6:h4n2`6>f=h4n?097)=m6;5e?k5e=3h07d6>:18'7c1=0=1e?k853:&0f3<0n2d8n84n;:k;a?6=,:l<65:4n2d5>1=#;k<1;k5a3c79=>=n0m0;6)=i7;:7?k5a>3?0(>l9:6d8j6d22110e5m50;&0b25<#;o=1495a3g493>"4j?0o?i3:1(>h8:968j6`1211/?o857g9m7g3==21b444?:%1e3?>33g9m:774$2`5>2`6954i9:94?"4n>0386`3=m7c=m5;18?l>0290/?k95859m7c0=j2.8n;48f:l0f0<532c3:7>5$2d4>=2!5a?32?7c=i6;f8 6d12>l0b>l::198m472290/?k951068j6`1291/?o85fc9m7g3=9o10e0b>h9:09'7g0=nk1e?o;51d98m476290/?k951068j6`12;1/?o85fc9m7g3=9m10e0b>h9:29'7g0=nk1e?o;51b98m46a290/?k951068j6`12=1/?o85fc9m7g3=9k10e<>j:18'7c1=98>0b>h9:49'7g0=nk1e?o;51`98m46c290/?k951068j6`12?1/?o85fc9m7g3=9010e<>l:18'7c1=98>0b>h9:69'7g0=nk1e?o;51998m46e290/?k951068j6`1211/?o85fc9m7g3=9>10e<>n:18'7c1=98>0b>h9:89'7g0=nk1e?o;51798m46>290/?k951068j6`12h1/?o85fc9m7g3=9<10e<>7:18'7c1=98>0b>h9:c9'7g0=nk1e?o;51598m461290/?k951068j6`12j1/?o85fc9m7g3=9:10e<>::18'7c1=98>0b>h9:e9'7g0=nk1e?o;51398m463290/?k951068j6`12l1/?o85fc9m7g3=9810e<><:18'7c1=98>0b>h9:g9'7g0=nk1e?o;51198m465290/?k951068j6`128:0(>l9:g`8j6d22o10e<>>:18'7c1=98>0b>h9:038 6d12oh0b>l::d98m467290/?k951068j6`12880(>l9:g`8j6d22m10ekh50;&0b2<69=1e?k85129'7g0=nk1e?o;5c:9jb`<72-9m;7?>4:l0b3<6<2.8n;4ib:l0f05$2d4>4733g9m:7?:;%1a2?`e3g9i97o4;h32g?6=,:l<65$2d4>4733g9m:7?8;%1a2?`e3g9i9764;h32e?6=,:l<65$2d4>4733g9m:7?6;%1a2?`e3g9i9784;h325$2d4>4733g9m:7?m;%1a2?`e3g9i97:4;h322?6=,:l<67>5$2d4>4733g9m:7?k;%1a2?`e3g9i97<4;h333?6=,:l<6cd6=54i014>5<#;o=1=>84n2d5>5=#;k<1=4`<3`;897>5$2d4>4513g9m:7?4$2`5>47c3g9i97?j;:k276<72-9m;7?<6:l0b3<53-9i:7?>d:l0f0<6l21b=><50;&0b2<6;?1e?k853:&0f3<69m1e?o;51b98m456290/?k951248j6`12=1/?o8510f8j6d228h07d?<0;29 6`0289=7c=i6;78 6d128;o7c=m5;3b?>o6:o0;6)=i7;302>h4n?0=7)=m6;32`>h4j<0:565f13g94?"4n>0:?;5a3g493>"4j?0:=i5a3c795==6<94;h31g?6=,:l<6<=9;o1e2??<,:h=6o4?:%1e3?74>2d8j;4n;%1a2?76l2d8n84>5:9j57g=83.8j:4>379m7c0=j2.8n;4>1e9m7g3=9=10e<<7:18'7c1=9:<0b>h9:b9'7g0=98n0b>l::018?l75?3:1(>h8:015?k5a>3n0(>l9:03g?k5e=3;976g>2783>!5a?3;8:6`3;:h6`=n9;?1<7*5<#;o=1=>84n2d5>46<,:h=65$2d4>4513g9m:7?>;%1a2?76l2d8n84j;:k267<72-9m;7?<6:l0b3<6:2.8n;4>1e9m7g3=l21b=??50;&0b2<6;?1e?k85129'7g0=98n0b>l::b98m447290/?k951248j6`128>0(>l9:03g?k5e=3h07d?>f;29 6`0289=7c=i6;36?!5e>3;:h6`o6;l0;6)=i7;302>h4n?0::6*0:?;5a3g4952=#;k<1===47c3g9i9794;h30f?6=,:l<6<=9;o1e2?7>3-9i:7?>d:l0f0<132c:?l4?:%1e3?74>2d8j;4>a:&0f3<69m1e?o;55:9j56?=83.8j:4>379m7c0=9k1/?o8510f8j6d22=10e<=7:18'7c1=9:<0b>h9:0a8 6d128;o7c=m5;18?l74<3:1(>h8:015?k5a>3;o7)=m6;32`>h4j<0976g>2883>!5a?3;8:6`"4j?0:=i5a3c795>=n98o1<7*6=54i067>5<#;o=1=9=4n2d5>5=#;k<1=>h4n2`6>6=96=4+3g595156?54i062>5<#;o=1=9=4n2d5>7=#;k<1=>h4n2`6>4=;6=4+3g595155+3c4956`6=54i06b>5<#;o=1=974n2d5>5=#;k<1=9;4n2`6>6=36=4+3g5951?6?54i064>5<#;o=1=974n2d5>7=#;k<1=9;4n2`6>4==6=4+3g5951?5+3c495136=54i56:>5<#;o=18964n2d5>5=i<:i1<6*0?855a3g495>h3;j0;7)=m6;670>h4j<0976g;4783>!5a?3>?46`l9:567?k5e=3;07d:;5;29 6`02=>37c=i6;18j15d291/?o854568j6d22910e9:i:18'7c1=<=o0b>h9:19m06e=82.8n;4;4`9m7g3=;21b89j50;&0b2<3?n7>5$2d4>12b3g9m:7=4n51`>5=#;k<189o4n2`6>5=6d:9l537=83.8j:4>649m7c0=;2.8n;4>4b9m7g3=9j10c<8?:18'7c1=9??0b>h9:59'7g0=9=i0b>l::0`8?j72n3:1(>h8:046?k5a>3?0(>l9:06`?k5e=3;j76a>5d83>!5a?3;=96`3;?o6`=h95<#;o=1=;;4n2d5>==#;k<1=9m4n2`6>41<3f;>n7>5$2d4>4023g9m:774$2`5>42d3g9i97?9;:m21d<72-9m;7?95:l0b3<1e?k85b:&0f3<67c=i6;f8 6d128>h7c=m5;31?>i6=<0;6)=i7;351>h4n?0n7)=m6;37g>h4j<0:=65`14694?"4n>0::85a3g49b>"4j?0:8n5a3c7955=4$2`5>42d3g9i97h4;n366?6=,:l<6<8:;o1e2?763-9i:7?;c:l0f02:&0f3<6649m7c0=9:1/?o8515a8j6d22j10c<:i:18'7c1=9??0b>h9:068 6d128>h7c=m5;`8?j73m3:1(>h8:046?k5a>3;>7)=m6;37g>h4j<0j76a>6e83>!5a?3;=96`"4j?0:8n5a3c79=>=h9?i1<7*6554o04a>5<#;o=1=;;4n2d5>4><,:h=6<:l;o1a1?1<3f;=m7>5$2d4>4023g9m:7?6;%1a2?73k2d8n849;:m22<<72-9m;7?95:l0b3<6i2.8n;4>4b9m7g3==21d=;650;&0b2<6><1e?k851c9'7g0=9=i0b>l::598k400290/?k951778j6`128i0(>l9:06`?k5e=3907b?93;29 6`028<>7c=i6;3g?!5e>3;?o6`i6=10;6)=i7;351>h4n?0:i6*0::85a3g495c=#;k<1=9m4n2`6>5=6d:9l5=5=83.8j:4>869m7c0=;2.8n;4>6d9m7g3=9j10c<6=:18'7c1=91=0b>h9:59'7g0=9?o0b>l::0`8?j7?93:1(>h8:0:4?k5a>3?0(>l9:04f?k5e=3;j76a>8183>!5a?3;3;6`3;=i6`=h9>l1<7*5<#;o=1=594n2d5>==#;k<1=;k4n2`6>41<3f;5$2d4>4>03g9m:774$2`5>40b3g9i97?9;:m23f<72-9m;7?77:l0b31e?k85b:&0f3<6>l1e?o;51598k41>290/?k951958j6`12j1/?o8517g8j6d228907b?88;29 6`0282<7c=i6;f8 6d128i6?>0;6)=i7;3;3>h4n?0n7)=m6;35a>h4j<0:=65`16494?"4n>0:4:5a3g49b>"4j?0::h5a3c7955=6=4+3g595=14$2`5>40b3g9i97h4;n340?6=,:l<6<68;o1e2?763-9i:7?9e:l0f04?:%1e3?7??2d8j;4>2:&0f3<6>l1e?o;5d:9l524=83.8j:4>869m7c0=9:1/?o8517g8j6d22j10c<9>:18'7c1=91=0b>h9:068 6d128h8:0:4?k5a>3;>7)=m6;35a>h4j<0j76a>8g83>!5a?3;3;6`"4j?0::h5a3c79=>=h91o1<7*6554o0:g>5<#;o=1=594n2d5>4><,:h=6<8j;o1a1?1<3f;3o7>5$2d4>4>03g9m:7?6;%1a2?71m2d8n849;:m26d9m7g3==21d=5o50;&0b2<60>1e?k851c9'7g0=9?o0b>l::598k4>>290/?k951958j6`128i0(>l9:04f?k5e=3907b?75;29 6`0282<7c=i6;3g?!5e>3;=i6`i6?h0;6)=i7;3;3>h4n?0:i6*0:4:5a3g495c=#;k<1=;k4n2`6>5=6=4+3g595<26>54o0;0>5<#;o=1=4:4n2d5>4=#;k<1=4>4n2`6>7=6<54o0;2>5<#;o=1=4:4n2d5>6=#;k<1=4>4n2`6>5=6>54o0;:>5<#;o=1=4o4n2d5>4=#;k<1=484n2`6>7=6<54o0;4>5<#;o=1=4o4n2d5>6=#;k<1=484n2`6>5=5b`83>5}#<:n18==4H576?M2392Pom7mt17823?412;=1?>4<4;16>60=:10957=7:2;9y!2283>;86*;508741=in?097ch8:39'67>=;k20(9=9:29'5`0=<2.:i:4;;%3f"6mk0?7)?jc;68 4cc2=1/=hk54:&2ac<33-;m<7:4$0d2>1=#9o8186*>f287?!7a<3>0("6nk0?7)?ic;68 4`c2=1/=kk54:&2bc<33-8;<7:4$322>1=#:98186*=0287?!47<3>0(?>::59'650=<2.9<:4;;%03"58k0?7)=k54:&14c<33-8:<7:4$332>1=#:88186*=1287?!46<3>0(??::59'640=<2.9=:4;;%02"59k0?7)<>c;68 77c2=1/>1=#:;8186*=2287?!45<3>0(?<::59'670=;2.9>:4<;%3g`?2<,8nn695+1ed90>"6m90?7)?j1;68 4c52=1/=h=54:&2a1<33->8;7=4H51a?!22j3>;?6*7f;606>"5;902!5a?3=?7c=i6;28 6d12?l0b>l::e98m25=83.8j:484:l0b3<63-9i:78i;o1a1?e<3`=96=4+3g5931=i;o<1>6*h4j<0i76g81;29 6`02>>0b>h9:29'7g0=>o1e?o;5a:9j3`<72-9m;79;;o1e2?2<,:h=6;h4n2`6><=n1<7*h4n?0>7)=m6;4e?k5e=3207d9l:18'7c1=?=1e?k856:&0f3<1n2d8n848;:k4f?6=,:l<6::4n2d5>2=#;k<1:k5a3c792>=n?h0;6)=i7;57?k5a>320(>l9:7d8j6d22<10e:750;&0b2<0<2d8j;46;%1a2?0a3g9i97:4;h5;>5<#;o=1;95a3g49e>"4j?0=j6`o0?3:1(>h8:668j6`12k1/?o856g9m7g3=:21b;;4?:%1e3?133g9m:7m4$2`5>3`6<54i6294?"4n>0<86`32290/?k95859m7c0=82.8n;48f:l0f05$2d4>=2!5a?32?7c=i6;08 6d12>l0b>l::c98m=7=83.8j:474:l0b3<43-9i:79i;o1a1?g<3`2n6=4+3g59<1=i;o<186*h4j<0276g7d;29 6`021>0b>h9:49'7g0=?o1e?o;58:9j2=h4n?0<7)=m6;5e?k5e=3<07d6n:18'7c1=0=1e?k858:&0f3<0n2d8n84:;:k;=?6=,:l<65:4n2d5><=#;k<1;k5a3c790>=n010;6)=i7;:7?k5a>3k0(>l9:6d8j6d22:10e5950;&0b25<#;o=1495a3g49g>"4j?0o?83:1(>h8:968j6`12m1/?o857g9m7g3=821b=<;50;&0b2<69=1e?k850:&0f3f:9j545=83.8j:4>159m7c0=92.8n;4ib:l0f0<6m21b=d:9j546=83.8j:4>159m7c0=;2.8n;4ib:l0f0<6k21b==h50;&0b2<69=1e?k854:&0f3b:9j55c=83.8j:4>159m7c0==2.8n;4ib:l0f0<6i21b==j50;&0b2<69=1e?k856:&0f39:9j55e=83.8j:4>159m7c0=?2.8n;4ib:l0f0<6021b==l50;&0b2<69=1e?k858:&0f37:9j55g=83.8j:4>159m7c0=12.8n;4ib:l0f0<6>21b==750;&0b2<69=1e?k85a:&0f35:9j55>=83.8j:4>159m7c0=j2.8n;4ib:l0f0<6<21b==850;&0b2<69=1e?k85c:&0f33:9j553=83.8j:4>159m7c0=l2.8n;4ib:l0f0<6:21b==:50;&0b2<69=1e?k85e:&0f31:9j555=83.8j:4>159m7c0=n2.8n;4ib:l0f0<6821b==<50;&0b2<69=1e?k85119'7g0=nk1e?o;5f:9j557=83.8j:4>159m7c0=981/?o85fc9m7g3=m21b==>50;&0b2<69=1e?k85139'7g0=nk1e?o;5d:9jbc<72-9m;7?>4:l0b3<6;2.8n;4ib:l0f05$2d4>4733g9m:7?;;%1a2?`e3g9i97l4;hdg>5<#;o=1=<:4n2d5>43<,:h=6kl4n2`6>d=cd6454i03a>5<#;o=1=<:4n2d5>41<,:h=6kl4n2`6>==cd6:54i03:>5<#;o=1=<:4n2d5>4?<,:h=6kl4n2`6>3=cd6854i034>5<#;o=1=<:4n2d5>4d<,:h=6kl4n2`6>1=cd6>54i031>5<#;o=1=<:4n2d5>4b<,:h=6kl4n2`6>7=cd6<54iga94?"4n>0:=95a3g495c=#;k<1jo5a3c794>=n9:=1<7*5<#;o=1=>84n2d5>4=#;k<1=4c<3`;8?7>5$2d4>4513g9m:7<4$2`5>47c3g9i97?k;:k277<72-9m;7?<6:l0b3<43-9i:7?>d:l0f0<6k21b=>?50;&0b2<6;?1e?k854:&0f3<69m1e?o;51c98m457290/?k951248j6`12<1/?o8510f8j6d228k07d?=f;29 6`0289=7c=i6;48 6d128;o7c=m5;3:?>o6:l0;6)=i7;302>h4n?0<7)=m6;32`>h4j<0:465f13f94?"4n>0:?;5a3g49<>"4j?0:=i5a3c7952=6<84;h31f?6=,:l<6<=9;o1e2?g<,:h=6l4?:%1e3?74>2d8j;4m;%1a2?76l2d8n84>4:9j57>=83.8j:4>379m7c0=k2.8n;4>1e9m7g3=9:10e<<8:18'7c1=9:<0b>h9:e9'7g0=98n0b>l::008?l75>3:1(>h8:015?k5a>3o0(>l9:03g?k5e=3;:76g>2483>!5a?3;8:6`3;:h6`=n9;>1<7*6k54i000>5<#;o=1=>84n2d5>47<,:h=67>5$2d4>4513g9m:7?=;%1a2?76l2d8n84k;:k264<72-9m;7?<6:l0b3<6;2.8n;4>1e9m7g3=k21b=?>50;&0b2<6;?1e?k85159'7g0=98n0b>l::c98m47a290/?k951248j6`128?0(>l9:03g?k5e=3k07d?3;:h6`o6;m0;6)=i7;302>h4n?0:;6*0:?;5a3g495==#;k<1=2=47c3g9i9784;h30e?6=,:l<6<=9;o1e2?7f3-9i:7?>d:l0f0<232c:?44?:%1e3?74>2d8j;4>b:&0f3<69m1e?o;54:9j56>=83.8j:4>379m7c0=9j1/?o8510f8j6d22:10e<=;:18'7c1=9:<0b>h9:0f8 6d128;o7c=m5;08?l7513:1(>h8:015?k5a>3;n7)=m6;32`>h4j<0:76g>1d83>!5a?3;8:6`"4j?0:=i5a3c794>=n9=>1<7*0:8>5a3g495>"4j?0:?k5a3c796>=n9=;1<7*6*0:8>5a3g497>"4j?0:?k5a3c794>=n9=k1<7*0:845a3g495>"4j?0:885a3c796>=n9==1<7*6*0:845a3g497>"4j?0:885a3c794>=n<=31<7*3>?86`o3<>0;6)=i7;67<>h4n?0:7c:?7c=m5;08?l23>3:1(>h8:56;?k5a>380b9=l:19'7g0=<=>0b>l::098m122290/?k9545:8j6`12:1e8>m50:&0f3<3<=1e?o;50:9j01`=83.8j:4;4d9m7c0=82d??n4?;%1a2?23i2d8n84<;:k70a<72-9m;7:;e:l0b3<63g>8o7>4$2`5>12f3g9i97<4;h67g?6=,:l<69:j;o1e2?46<54i56a>5<#;o=189k4n2d5>6=i<:i1<6*0::85a3g494>"4j?0:8n5a3c795c=6c:9l536=83.8j:4>649m7c0=<2.8n;4>4b9m7g3=9k10c<;i:18'7c1=9??0b>h9:49'7g0=9=i0b>l::0c8?j72m3:1(>h8:046?k5a>3<0(>l9:06`?k5e=3;276a>5e83>!5a?3;=96`3;?o6`=h95<#;o=1=;;4n2d5><=#;k<1=9m4n2`6>40<3f;>m7>5$2d4>4023g9m:7o4$2`5>42d3g9i97?:;:m21<<72-9m;7?95:l0b3<1e?k85c:&0f3<67c=i6;g8 6d128>h7c=m5;32?>i6==0;6)=i7;351>h4n?0m7)=m6;37g>h4j<0:<65`14194?"4n>0::85a3g4955=#;k<1=9m4n2`6>c=42d3g9i97k4;n365?6=,:l<6<8:;o1e2?753-9i:7?;c:l0f03:&0f3<6649m7c0=9=1/?o8515a8j6d22k10c<:j:18'7c1=9??0b>h9:078 6d128>h7c=m5;c8?j71l3:1(>h8:046?k5a>3;=7)=m6;37g>h4j<0276a>6b83>!5a?3;=96`"4j?0:8n5a3c79<>=h9?h1<7*6:54o04b>5<#;o=1=;;4n2d5>4?<,:h=6<:l;o1a1?0<3f;=57>5$2d4>4023g9m:7?n;%1a2?73k2d8n84:;:m22=<72-9m;7?95:l0b3<6j2.8n;4>4b9m7g3=<21d=;950;&0b2<6><1e?k851b9'7g0=9=i0b>l::298k404290/?k951778j6`128n0(>l9:06`?k5e=3807b?:8;29 6`028<>7c=i6;3f?!5e>3;?o6`i6h4n?0:j6*0:4:5a3g494>"4j?0::h5a3c795c=64?:%1e3?7??2d8j;4<;%1a2?71m2d8n84>c:9l5=4=83.8j:4>869m7c0=<2.8n;4>6d9m7g3=9k10c<6>:18'7c1=91=0b>h9:49'7g0=9?o0b>l::0c8?j7?83:1(>h8:0:4?k5a>3<0(>l9:04f?k5e=3;276a>7g83>!5a?3;3;6`3;=i6`=h9>o1<7*5<#;o=1=594n2d5><=#;k<1=;k4n2`6>40<3f;5$2d4>4>03g9m:7o4$2`5>40b3g9i97?:;:m23g<72-9m;7?77:l0b31e?k85c:&0f3<6>l1e?o;51298k41?290/?k951958j6`12m1/?o8517g8j6d228807b?87;29 6`0282<7c=i6;g8 6d128i6??0;6)=i7;3;3>h4n?0m7)=m6;35a>h4j<0:<65`16794?"4n>0:4:5a3g4955=#;k<1=;k4n2`6>c=40b3g9i97k4;n347?6=,:l<6<68;o1e2?753-9i:7?9e:l0f03:&0f3<6>l1e?o;5c:9l527=83.8j:4>869m7c0=9=1/?o8517g8j6d22k10c<9?:18'7c1=91=0b>h9:078 6d128h8:0:4?k5a>3;=7)=m6;35a>h4j<0276a>8d83>!5a?3;3;6`"4j?0::h5a3c79<>=h91n1<7*6:54o0:`>5<#;o=1=594n2d5>4?<,:h=6<8j;o1a1?0<3f;3n7>5$2d4>4>03g9m:7?n;%1a2?71m2d8n84:;:m26d9m7g3=<21d=5750;&0b2<60>1e?k851b9'7g0=9?o0b>l::298k4>2290/?k951958j6`128n0(>l9:04f?k5e=3807b?8a;29 6`0282<7c=i6;3f?!5e>3;=i6`i6>o0;6)=i7;3;3>h4n?0:j6*0:595a3g494>"4j?0:5=5a3c797>=h9091<7*65`18094?"4n>0:595a3g496>"4j?0:5=5a3c795>=h90;1<7*0:5l5a3g494>"4j?0:5;5a3c797>=h9031<7*65`18:94?"4n>0:5l5a3g496>"4j?0:5;5a3c795>=h90=1<7*5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<6k<1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=54;294~"3;m09885G4478L1263->>n7<;3:&175<>8l1b=i=50;&71g<6lh10e!22j39io65rb94:>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<6k<1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=54;294~"3;m09885G4478L1263->>n7<;3:&175<>8l1b=i=50;&71g<6lh10e!22j39io65rb702>5<3290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<3?2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65`3c;94?"3=k08nn54}c416?6==3:1;%66f?2702.9?=460d9'5`3=82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=54;294~"3;m09885G4478L1263->>n7<;3:&175<>8l1b=i=50;&71g<6lh10e!22j39io65rb61:>5<2290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a05$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e?:k1<7:50;2x 15c2;9j7E::5:J704=#<<6b3-;n9774i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?j5e13:1(9;m:2``?>{e?:h1<7:50;2x 15c2;>>7E::5:J704=#<

9=4$313><6b3`;o?7>5$57a>4bf32c:h94?:%66f?7cj21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl:e`83>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>1bo6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd2mk0;684?:1y'06b=::n0D9;:;I675>"3=k0?<55+2229=5c<,8o>6h<4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl:eb83>1<729q/8>j52578L1323A>?=6*;5c8106=#:::15=k4i0f0>5<#<>n7:<0:9l7g?=83.?9o43:197>50z&77a<5;m1C88;4H562?!22j3>;46*=318:4`=#9l?1=lh4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl:e683>6<729q/8>j52258L1323A>?=6*;5c874==#:::15=k4$0g6>4bi4j00;6)::b;1ag>=zj4?:%66f?7ci21b=i:50;&71g<6lk10e9<,;9;64>j;%3f1?7e02c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj?9m6=4<:183!24l388;6F;549K017<,=?i69>7;%004??7m2.:i84>9g9j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;n1a=?6=,=?i6>ll;:a216=83>1<7>t$51g>7223A>>96F;409'00d=:=90(?=?:82f?l7c;3:1(9;m:0fb?>o6l=0;6)::b;3gf>=n<;l1<7*;5c8775=5}#<:n1>>o4H576?M2392.?9o4;099'666=19o0(2910e:180>5<7s->8h7<<7:J710=O<=;0(9;m:52;?!44833;i6*>e482=c=n9m91<7*;5c8:4>h3=00;76g>d583>!22j33;7c::9;38?j5e13:1(9;m:2``?>{e>:81<7:50;2x 15c2;>>7E::5:J704=#<

9=4$313><6b3`;o?7>5$57a>4bf32c:h94?:%66f?7cj21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl60983>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>03o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd>800;694?:1y'06b=::k0D9;:;I675>"3=k0?<55+2229=5c<,8o>68=4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?j5e13:1(9;m:2``?>{e19k1<7:50;2x 15c2;>>7E::5:J704=#<

9=4$313><6b3`;o?7>5$57a>4bf32c:h94?:%66f?7cj21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl60383>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>03o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd>8:0;694?:1y'06b=::k0D9;:;I675>"3=k0?<55+2229=5c<,8o>68=4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?j5e13:1(9;m:2``?>{e19>1<7:50;2x 15c2;>>7E::5:J704=#<

9=4$313><6b3`;o?7>5$57a>4bf32c:h94?:%66f?7cj21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl7fb83>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>03o6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd?nm0;694?:1y'06b=::k0D9;:;I675>"3=k0?<55+2229=5c<,8o>68=4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?j5e13:1(9;m:2``?>{e0oo1<7:50;2x 15c2;>>7E::5:J704=#<

9=4$313><6b3`;o?7>5$57a>4bf32c:h94?:%66f?7cj21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl90883>1<729q/8>j522c8L1323A>?=6*;5c874==#:::15=k4$0g6>4g73`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976a!22j39io65rb72b>5<4290;w):N3=<1C89?4$57a>16?3-88<77?e:&2a0<6l2c:h>4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=5}#<:n1>9;4H576?M2392.?9o4=429'666=19o0e!22j3>8<65`3c;94?"3=k08nn54}c7eb?6==3:1;%66f?2702.9?=460d9'5`3=9hl0e>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:n1>>o4H576?M2392.?9o4;099'666=19o0(2910e>:187>5<7s->8h7<;5:J710=O<=;0(9;m:360?!44833;i6g>d283>!22j3;om65f1e694?"3=k0:ho54i50e>5<#<>4;n1a=?6=,=?i6>ll;:a1c6=8391<7>t$51g>7503A>>96F;409'00d=<920(?=?:82f?!7b=3;2j6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810c>l6:18'00d=;ki07pl:f083>0<729q/8>j522f8L1323A>?=6*;5c874==#:::15=k4$0g6>4g63`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e=o81<7:50;2x 15c2;>>7E::5:J704=#<

9=4$313><6b3`;o?7>5$57a>4bf32c:h94?:%66f?7cj21b8?h50;&71g<3;910c>l6:18'00d=;ki07pl92683>7<729q/88l5d79'06b=::>0D9:>;h3g6?6=,=?i6>n7=mc:9~f34129086=4?{%66f?2702B?8<5G4478 75720:n7):"6m<0:5k5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07b=m9;29 13e2:hh76sm5g:94?4=83:p(9;m:e48 15c2;9?7E:;1:k2`7<72->>n7?k9:9l7g?=83.?9o450z&71g<3811C89?4H576?!44833;i6*;3e817<=#9l?1885f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07b=m9;29 13e2:hh76sm54d94?4=83:p(9;m:e48 15c2;9?7E:;1:k2`7<72->>n7?k9:9l7g?=83.?9o450z&71g<3811C89?4H576?!44833;i6*;3e817c=#9l?1995f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo694;296?6=8r.?9o4k6:&77a<5;=1C89?4i0f1>5<#<ll;:a<35=83?1<7>t$57a>16?3A>?=6F;549'666=19o0(9=k:31f?!7b=3??7d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:k2`3<72->>n77?;o66=?5<3f9i57>5$57a>6dd32wi8:<50;094?6|,=?i6i84$51g>7533A>?=6g>d383>!22j3;o565`3c;94?"3=k08nn54}c645?6==3:1>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:7d?k2;29 13e28n276a!22j39io65rb55a>5<2290;w)::b;63<>N3<81C88;4$313><6b3->8h7<4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj1>?6=4=:183!22j3n=7):N3<81b=i<50;&71g<6l010c>l6:18'00d=;ki07pl74283>1<729q/88l541:8L1263A>>96*=318:4`=#<:n1>>m4$0g6><7o6l<0;6)::b;;3?k2213807b=m9;29 13e2:hh76sm84694?4=83:p(9;m:e48 15c2;9?7E:;1:k2`7<72->>n7?k9:9l7g?=83.?9o42;3:197>50z&71g<3811C89?4H576?!44833;i6*;3e817`=#9l?196g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->>n7j9;%60`?44<2B?8<5f1e094?"3=k0:h454o2`:>5<#<<@=>:7E::5:&175<>8l1/8>j522g8 4c22<1b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=57>52;294~"3=k0o:6*;3e8171=O<=;0e5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976a!22j39io65rb6d:>5<5290;w)::b;f5?!24l38886F;409j5a4=83.?9o4>d898k6d>290/88l53ca8?xd0n10;684?:1y'00d=<920D9:>;I661>"5;9026?;4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl76183>7<729q/88l5d79'06b=::>0D9:>;h3g6?6=,=?i6>n7=mc:9~f=3a290?6=4?{%66f?2702B?8<5G4478 75720:n7):"6m<0?m6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e2k3:1>7>50z&71g2.??i4=359K0175$57a>6dd32wi48l50;794?6|,=?i69>7;I675>N3=<1/>>>591g8 15c2;9n7)?j5;6a?l7c;3:1(9;m:828j13>2910e>57=4;n1a=?6=,=?i6>ll;:a2dc=8381<7>t$57a>a0<,=9o6?=;;I675>o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=54;294~"3=k0?<55G4538L1323-88<77?e:&77a<5;j1/=h;51`18m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3f9i57>5$57a>6dd32wi:o950;094?6|,=?i6i84$51g>7533A>?=6g>d383>!22j3;o565`3c;94?"3=k08nn54}c4a2?6=<3:1>57<4;n1a=?6=,=?i6>ll;:a2f6=8381<7>t$57a>a0<,=9o6?=;;I675>o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=54;294~"3=k0?<55G4538L1323-88<77?e:&77a<5;j1/=h;51`18m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3f9i57>5$57a>6dd32wi:n750;094?6|,=?i6i84$51g>7533A>?=6g>d383>!22j3;o565`3c;94?"3=k08nn54}c4`>57<4;n1a=?6=,=?i6>ll;:a36b=8381<7>t$57a>a0<,=9o6?=;;I675>o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=55;294~"3=k0?<55G4538L1323-88<77?e:&77a<5;l1/=h;51c28m4b4290/88l5919m00?=821b=i:50;&71g<>82d?944>;:k2`0<72->>n77?;o66=?4<3`;o:7>5$57a><654o2`:>5<#<>:4H562?l7c:3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj?n:6=4;:183!22j3>;46F;409K003<,;9;64>j;%60`?44k2.:i84>a29j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=5}#<:7d?k2;29 13e28n276a!22j39io65rb7fb>5<3290;w)::b;63<>N3<81C88;4$313><6b3->8h7<82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<>:4H562?l7c:3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj?o86=4;:183!22j3>;46F;409K003<,;9;64>j;%60`?44k2.:i84>a29j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=5}#<:7d?k2;29 13e28n276a!22j39io65rb7g`>5<3290;w)::b;63<>N3<81C88;4$313><6b3->8h7<82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<>:4H562?l7c:3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj?l>6=4;:183!22j3>;46F;409K003<,;9;64>j;%60`?44k2.:i84>a29j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7=5}#<:7d?k2;29 13e28n276a!22j39io65rb7c7>5<3290;w)::b;63<>N3<81C88;4$313><6b3->8h7<82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<>:4H562?l7c:3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj>l=6=4::183!22j3>;46F;409K003<,;9;64>j;%60`?44m2.:i848;h3g7?6=,=?i64>4n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm79794?4=83:p(9;m:e48 15c2;9?7E:;1:k2`7<72->>n7?k9:9l7g?=83.?9o450z&71g<3811C89?4H576?!44833;i6*;3e817g=#9l?19>5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398k6d>290/88l53ca8?xd2ik0;6?4?:1y'00d=l?1/8>j52268L1263`;o>7>5$57a>4b>32e8n44?:%66f?5ek21vn8on:186>5<7s->>n7:?8:J704=O<e482g5=n9m91<7*;5c8:4>h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10en3:1>7>50z&71g2.??i4=359K0175$57a>6dd32wi:4k50;794?6|,=?i69>7;I675>N3=<1/>>>591g8 15c2;9m7)?j5;3`?l7c;3:1(9;m:828j13>2910e>57=4;n1a=?6=,=?i6>ll;:a2<7=8381<7>t$57a>a0<,=9o6?=;;I675>o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=53;294~"3=k0?<55G4538L1323-88<77?e:&77a<5;01/=h;5489j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;n1a=?6=,=?i6>ll;:a<1?=8381<7>t$57a>a0<,=9o6?=;;I675>o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=55;294~"3=k0?<55G4538L1323-88<77?e:&77a<5;o1/=h;5429j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7="3;m09?95G4538m4b5290/88l51e;8?j5e13:1(9;m:2``?>{e>k81<7:50;2x 13e2=:37E:;1:J710=#:::15=k4$51g>75d3-;n97:n;h3g7?6=,=?i64>4n57:>5=i4j00;6)::b;1ag>=zj?hh6=4=:183!22j3n=7):N3<81b=i<50;&71g<6l010c>l6:18'00d=;ki07pl9bc83>1<729q/88l541:8L1263A>>96*=318:4`=#<:n1>>m4$0g6>1go6l<0;6)::b;;3?k2213807b=m9;29 13e2:hh76sm6b794?4=83:p(9;m:e48 15c2;9?7E:;1:k2`7<72->>n7?k9:9l7g?=83.?9o450z&71g<3811C89?4H576?!44833;i6*;3e817f=#9l?18l5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398k6d>290/88l53ca8?xd1kl0;6?4?:1y'00d=l?1/8>j52268L1263`;o>7>5$57a>4b>32e8n44?:%66f?5ek21vn;mk:187>5<7s->>n7:?8:J704=O<e487e>o6l:0;6)::b;;3?k2213:07d?k4;29 13e20:0b9;6:098m4b2290/88l5919m00?=:21d?o750;&71g<4jj10qo8k7;296?6=8r.?9o4k6:&77a<5;=1C89?4i0f1>5<#<ll;:a2a0=83>1<7>t$57a>16?3A>?=6F;549'666=19o0(9=k:31`?!7b=3>j7d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:m0f<<72->>n7=mc:9~f3c729096=4?{%66f?b13->8h7<<4:J704=n9m81<7*;5c82`<=5}#<82d?944>;:k2`0<72->>n77?;o66=?4<3f9i57>5$57a>6dd32wi:h750;094?6|,=?i6i84$51g>7533A>?=6g>d383>!22j3;o565`3c;94?"3=k08nn54}c4f82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<>:4H562?l7c:3:1(9;m:0f:?>i4j00;6)::b;1ag>=zj?k26=4;:183!22j3>;46F;409K003<,;9;64>j;%60`?44k2.:i84;a:k2`6<72->>n77?;o66=?6<3`;o87>5$57a><65<#<=h;k31<7*;5c80ff=52;294~"3=k0o:6*;3e8171=O<=;0e5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976a!22j39io65rb6;1>5<5290;w)::b;f5?!24l38886F;409j5a4=83.?9o4>d898k6d>290/88l53ca8?xd0180;684?:1y'00d=<920D9:>;I661>"5;90264n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm7e394?4=83:p(9;m:e48 15c2;9?7E:;1:k2`7<72->>n7?k9:9l7g?=83.?9o450z&71g<3811C89?4H576?!44833;i6*;3e817c=#9l?1=ol4i0f0>5<#<=n9m>1<7*;5c8:4>h3=00:76g>d483>!22j33;7c::9;08?l7c>3:1(9;m:828j13>2:10c>l6:18'00d=;ki07pl8c`83>7<729q/88l5d79'06b=::>0D9:>;h3g6?6=,=?i6>n7=mc:9~f2e>290>6=4?{%66f?2702B?8<5G4478 75720:n7):"6m<0:no5f1e194?"3=k02<6`;5883?>o6l=0;6)::b;;3?k2213;07d?k5;29 13e20:0b9;6:398m4b1290/88l5919m00?=;21d?o750;&71g<4jj10qo9l3;296?6=8r.?9o4k6:&77a<5;=1C89?4i0f1>5<#<ll;:a3f4=83?1<7>t$57a>16?3A>?=6F;549'666=19o0(9=k:31e?!7b=3;in6g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->>n7j9;%60`?44<2B?8<5f1e094?"3=k0:h454o2`:>5<#<<@=>:7E::5:&175<>8l1/8>j522d8 4c228hi7d?k3;29 13e20:0b9;6:198m4b3290/88l5919m00?=921b=i;50;&71g<>82d?944=;:k2`3<72->>n77?;o66=?5<3f9i57>5$57a>6dd32wi;o;50;094?6|,=?i6i84$51g>7533A>?=6g>d383>!22j3;o565`3c;94?"3=k08nn54}c5a0?6==3:1>57<4;h3g2?6=,=?i64>4n57:>6=5}#<:7d?k2;29 13e28n276a!22j39io65rb6cg>5<2290;w)::b;63<>N3<81C88;4$313><6b3->8h7<82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=52;294~"3=k0o:6*;3e8171=O<=;0e4?:%66f??73g>>57>4;h3g0?6=,=?i64>4n57:>4=6=4+44`9=5=i<<31>65f1e494?"3=k02<6`;5880?>i4j00;6)::b;1ag>=zj>k;6=4=:183!22j3n=7):N3<81b=i<50;&71g<6l010c>l6:18'00d=;ki07pl89g83>0<729q/88l541:8L1263A>>96*=318:4`=#<:n1>>h4$0g6>4de3`;o?7>5$57a><65<#<=n9m?1<7*;5c8:4>h3=00976g>d783>!22j33;7c::9;18?j5e13:1(9;m:2``?>{e?031<7<50;2x 13e2m<0(9=k:317?M2392c:h?4?:%66f?7c121d?o750;&71g<4jj10qo968;291?6=8r.?9o4;099K017<@=?>7)<<0;;3a>"3;m09?k5+1d795gdo6l<0;6)::b;;3?k2213807d?k6;29 13e20:0b9;6:298k6d>290/88l53ca8?xd00k0;6?4?:1y'00d=l?1/8>j52268L1263`;o>7>5$57a>4b>32e8n44?:%66f?5ek21vn:6n:186>5<7s->>n7:?8:J704=O<e482fg=n9m91<7*;5c8:4>h3=00;76g>d583>!22j33;7c::9;38?l7c=3:1(9;m:828j13>2;10e7>50z&71g2.??i4=359K0175$57a>6dd32wi9o<50;794?6|,=?i69>7;I675>N3=<1/>>>591g8 15c2;9m7)?j5;6a?l7c;3:1(9;m:828j13>2910e>57=4;n1a=?6=,=?i6>ll;:a1g?=8381<7>t$57a>a0<,=9o6?=;;I675>o6l;0;6)::b;3g=>=h;k31<7*;5c80ff=55;294~"3=k0?<55G4538L1323-88<77?e:&77a<5;o1/=h;5129j5a5=83.?9o460:l71<<732c:h94?:%66f??73g>>57?4;h3g1?6=,=?i64>4n57:>7="3;m09?95G4538m4b5290/88l51e;8?j5e13:1(9;m:2``?>{e=j;1<7;50;2x 13e2=:37E:;1:J710=#:::15=k4$51g>75a3-;n9786;h3g7?6=,=?i64>4n57:>5=o6l?0;6)::b;;3?k2213907b=m9;29 13e2:hh76sm6g094?4=83:p(9;m:e48 15c2;9?7E:;1:k2`7<72->>n7?k9:9l7g?=83.?9o450z&71g<3811C89?4H576?!44833;i6*;3e817c=#9l?146g>d283>!22j33;7c::9;28?l7c<3:1(9;m:828j13>2810e5<7s->>n7j9;%60`?44<2B?8<5f1e094?"3=k0:h454o2`:>5<#<<@=>:7E::5:&175<>8l1/8>j522d8 4c2211b=i=50;&71g<>82d?944?;:k2`1<72->>n77?;o66=?7<3`;o97>5$57a><65<#<=h;k31<7*;5c80ff=:183!22j39m86F;409K5ae<,;8h6>ll;%007?5d:2e8j94?:%66f?5a<21vnl=50;394?6|,=?i6>h;;I675>N6lj1/>?m53ca8k6`3290/88l53g68?xdf<3:1=7>50z&71g<4n=1C89?4H0f`?!45k39io6a!22j39m865rb`494?7=83:p(9;m:2d7?M2392B:hn5+23a97ge5}#<n4>d89'665=;jh0e>h;:18'00d=;o>07pl>c983>4<729q/88l53g68L1263A;oo6*=2b80ff=#::91?n?4o2d7>5<#<:7E?kc:&16f<4jj1d?k:50;&71g<4n=10qo?la;295?6=8r.?9o4i4n=0;6)::b;1e0>=zj8ih6=4>:183!22j39m86F;409K5ae<,;8h6>ll;n1e0?6=,=?i6>h;;:a67b=83;1<7>t$57a>6`33A>?=6F>db9'67e=9m30(?=<:2ab?l5a<3:1(9;m:2d7?>{e;oo1<7?50;2x 13e2:l?7E:;1:J2`f=#:;i1?om4$310>6da3f9m87>5$57a>6`332wi?kh50;394?6|,=?i6>h;;I675>N6lj1/>?m53ca8k6`3290/88l53g68?xd3890;6<4?:1y'00d=;o>0D9:>;I3gg>"5:j08nn5`3g694?"3=k08j954}c636?6=93:19:182>5<7s->>n7=i4:J704=O9mi0(?!22j39m865rb51e>5<6290;w)::b;1e0>N3<81C=im4$30`>4b>3-88?7=l7:k0b1<72->>n7=i4:9~f105290:6=4?{%66f?5a<2B?8<5G1ea8 74d28n27)<<3;1`<>o4n=0;6)::b;1e0>=zj=<>6=4>:183!22j39m86F;409K5ae<,;8h65<7s->>n7=i4:J704=O9mi0(?!22j39m865rb544>5<6290;w)::b;1e0>N3<81C=im4$30`>4b>3-88?7=le:k0b1<72->>n7=i4:9~f0?a290:6=4?{%66f?5a<2B?8<5G1ea8 74d2:hh7)<<3;1`4>i4n=0;6)::b;1e0>=zj:183!22j39m86F;409K5ae<,;8h6>ll;%007?5d=2e8j94?:%66f?5a<21vn8m8:182>5<7s->>n7=i4:J704=O9mi0(?!22j39m865rb4a;>5<6290;w)::b;1e0>N3<81C=im4$30`>6dd3-88?7=l4:m0b1<72->>n7=i4:9~f0ef290:6=4?{%66f?5a<2B?8<5G1ea8 74d2:hh7)<<3;1`7>i4n=0;6)::b;1e0>=zj0:h6=4>:183!22j39m86F;409K5ae<,;8h6>ll;%007?5em2e8j94?:%66f?5a<21v4750;1xZ5<4sW;2o63>9e82`7=:90i1?k:4}r3:`?6==r7>954>d49>10?=9m>018;>:0f5?87>l39i563:5582`0=z{8i36=4<{_3`<>;6kl08n4521b:97c253z\2g<=:9jl1?o74=0a:>6`33ty:ol4?:2y]5fg<58n;6>l6;<3`e?5a<2wx=nm50;1xZ4ed34;o=7=m9:?2gf<4n=1v?h4>d39>67b=;o>0q~<=e;291~;2=10:h95223g97g?<527>994>d29~w7212908w0<;7;1a=>;2j?0:h9525`d95a552z?10=<4j016>975e`9~w72>2909w0<;9;1a=>;5j6>l6;<07=?25n2wx>9l50;0x972e2:h270<;d;gb?xu5i699j53c;8972a2lk01?;i:dc8yv43m3:1>v3=4d80f<=::=n18?h4}r07b?6=;r798k4607=mh16>;>5e`9~w7372908w0<:0;1a=>;5k5224d967`=7>53z?114<4j016>8=5e`9>637=mh1v?;=:180842:39i563=50876c=::?:1>?h4}r067?6=;r799>4603=mh16>;<5e`9~w7332908w0<:4;1a=>;5=:0?>k52273967`97>53z?110<4j016>895e`9>635=mh1v?;9:180842>39i563=54876c=::?81>?h4}r063?6=;r799:460?=mh16>;:5e`9~w73?2908w0<:8;1a=>;5=>0?>k52271967`57>52z?11<<4j016>8j5e`9~w73f2908w0<:a;1a=>;5=00?>k52276967`n7>52z?10=<3:o16>8m53c;8yv42m3:1>v3=5d80f<=::?h4}r051?6=;r79:84630=mh16>:95e`9~w7012908w0<96;1a=>;5>>0nm63=798fe>{t:?=1<7=t=344>6d>348=47kn;<04=?cf3ty9:54?:2y>63>=;k301?86:dc8971f2lk0q~<99;297~;5>008n45227c9ad=::>h1il5rs34b>5<4s48=m7=m9:?12gj0;6>u227a97g?<5;`g53z?12a<4j016>;k5e`9>62`=mh1v?8j:181841m39i563=778fe>{t:?l1<7=t=346>14a348<97<=f:?12c<4j01v?9?:180841>3>9j63=76816c=::>:1?o74}r045?6=;r79::4;2g9>62>=:;l01?9>:2`:?xu5?;0;6>u227:907`<5;=26?:=50;1x970>2=8m70<8a;01b>;5?:08n45rs357>5<4s48=m7:=f:?13g<5:o16>::53c;8yv4?83:1?v3=8180f<=::1;1il5228:9ad=z{;2:6=4<{<0;5?5e12794>4ja:?1=<5<50;1x97>52:h270<71;61b>;51109>k5rs3:0>5<4s483?7=m9:?1<09j63=98816c=z{;2>6=4<{<0;1?5e12794:4ja:?1=g5850;1x97>12:h270<75;61b>;51h09>k5rs3:4>5<4s483;7=m9:?1<<=839p1?67:2`:?84??3>9j63=9c816c=z{;226=4<{<0;=?5e12794o4ja:?1=a5o50;1x97>f2:h270<79;61b>;51j09>k5rs3:a>5<4s483n7=m9:?19j63=9e816c=z{;2o6=4<{<0;`?5e12794k4ja:?1=c5k50;1x97>b2:h270<7d;61b>;51l09>k5rs3:e>5<4s483j7=m9:?1=49j63=9g816c=z{;3:6=4={<0:5?5e12795;4ja:p6<4=839p1?7=:2`:?84>93>9j63=a1816c=z{;386=4<{<0;4?25n279584=2g9>6<2=;k30q~<67;296~;51>08n452284967`53z?1e4<4j016>l<5e`9>6g?=mh1v?o=:18084f:39i563=a58fe>;5jh0nm6s|2`194?5|5;k86>l6;<0b6?25n279n44=2g9~w7g32908w0;5i?0nm63=bc8fe>{t:h?1<7=t=3c6>6d>348j87:=f:?1fd<5:o1v?o9:18084f>39i563=a98fe>;5jj0nm6s|2`594?5|5;k<6>l6;<0b2?25n279no4=2g9~w7g?2908w0;5ih0nm63=be8fe>{t:h31<7=t=3c:>6d>348j47:=f:?1ff<5:o1v?on:18084fi39i563=ab8fe>;5jl0nm6s|2``94?5|5;ki6>l6;<0be?25n279ni4=2g9~w7gd2908w0;5il0nm63=bg8fe>{t:hn1<7=t=3cg>6d>348jo7:=f:?1f`<5:o1v?oj:18084fm39i563=b18fe>;5k90nm6s|2`d94?5|5;km6>l6;<0ba?25n279nk4=2g9~w7d72908w0;5j;0nm63=c08fe>{t:k;1<7=t=3`2>6d>348i<7:=f:?1g5<5:o1v?l=:18184e:39i563=b68fe>{t:k91<7=t=3`0>6d>348i>7:=f:?1g4<5:o1v?l;:18084f93>9j63=b7816c=::k?1?o74}r0a6g1=:;l0q~5<4s48h?7=m9:?1g09j63=f7816c=z{;i>6=4<{<0`1?5e1279o:4ja:?1b=n850;1x97e12:h270;5n>09>k5rs3a4>5<4s48h;7=m9:?1g<=839p1?m7:2`:?84d?3>9j63=f9816c=z{;i26=4<{<0`=?5e1279oo4ja:?1bdno50;1x97ef2:h270;5n009>k5rs3aa>5<4s48hn7=m9:?1ga9j63=f`816c=z{;io6=4<{<0``?5e1279ok4ja:?1bfnk50;1x97eb2:h270;5nk09>k5rs3ae>5<4s48hj7=m9:?1`49j63=fb816c=z{;n:6=4<{<0g5?5e1279h>4ja:?1b`i<50;1x97b52:h270;5nm09>k5rs3f0>5<4s48o?7=m9:?1`09j63=fd816c=z{;n>6=4<{<0g1?5e1279h44ja:?044i850;1x97b12:h270;5no09>k5rs3f4>5<4s48o;7=m9:?1a6=839p1?j7:2`:?84c?3>9j63<01816c=z{;n26=4<{<0g=?5e1279ho4ja:?047io50;1x97bf2:h270;48809>k5rs3fa>5<4s48on7=m9:?1`a4ja:p6ae=839p1?jl:2`:?84cj3>9j63<03816c=z{;no6=4<{<0g`?5e1279hk4ja:?041ik50;1x97bb2:h270;48:09>k5rs3fe>5<4s48oj7=m9:?1a49j63<05816c=z{;o:6=4={<0f5?5e1278<;4ja:p6`4=839p1?k=:2`:?84b93>9j63<04816c=z{;o86=4<{<0f7?5e1279i84ja:?04<h:50;1x97c32:h270;48109>k5rs3g6>5<4s48n97=m9:?1a29j63<08816c=z{;o<6=4<{<0f3?5e1279i44ja:?04gh650;1x97c?2:h270;48h09>k5rs3g:>5<4s48n57=m9:?1ag9j63<0c816c=z{;oi6=4<{<0ff?5e1279ii4ja:?04ahm50;1x97cd2:h270;48j09>k5rs3gg>5<4s48nh7=m9:?1ac9j63<0e816c=z{;om6=4<{<0fb?5e1279j<4ja:?04ck>50;1x97`72:h270;48l09>k5rs3d2>5<4s48m=7=m9:?1g69j63<0g816c=z{;l86=4<{<0`6?25n279j84=2g9>6c2=;k30q~=?7;296~;48>08n452314967`52z?054<4j016?<<5e`9~w6752909w0=>2;1a=>;49:0nm6s|30194?3|5:;86>l6;<5;d59>3c0=9m?01:6;:0f7?xu49=0;6?u230697g?<5:;:697}:;8<1?o74=230>14a3ty8=:4?:3y>741=;k301>?n:dc8yv5603:1>v3<1980f<=:;8=18?h4}r12=?6=:0q6?<753c;890gc28n?70;md;3g1>;2j?0:h85284295a2<519o6d29>b93;o:637dg82`3=:0mn1=i84=9fa>4b1342o57?k6:?;`2<6l?164i=51e489=b628n=706lf;3g2>;?km0:h;528b`95a0<51i26273o84>d79>:0f5?8>a93;o:637bg82`3=:0m?1=i84=9g0>4b1342n97?k6:?;a2<6l?164h751e489=ce28n=706jd;3g2>;?mo0:h;525cd95a2<51>3627>n54>d79>1f7=9m>0q~=>a;296~;49h08n45230`9ad=z{:;i6=4={<12f?5e1278=n4ja:p74e=838p1>?l:2`:?856l3oj7p}<1e83>7}:;8n1?o74=23e>`g52z?05`<4j016?v3<1g80f<=:;;:1il5rs203>5<5s499<7=m9:?0646=4={<111?5e1278>94;2g9~w6412909w0==6;1a=>;4900?>k5rs204>5<5s499;7=m9:?05d<3:o1v><7:181855039i563<1c876c=z{:826=4={<12g?25n278>l4f;61b>;4:j08n45rs20g>5<5s499h7=m9:?065<3:o1v>9j63<2g80f<=z{:996=4<{<106?5e1278?94ja:?016=50;1x96542:h270=<2;61b>;4=809>k5rs217>5<4s49887=m9:?070=::2`:?854>3oj70=:5;gb?xu4;?0;6>u232497g?<5:9<6ho4=275>`g53z?072<4j016?>65e`9>701=mh1v>=7:180854039i563<388fe>;4=10nm6s|32;94?5|5:926>l6;<10e?cf349>57kn;|q07d<72:q6?>o53c;8965e2lk01>;n:dc8yv54j3:1?v3<3c80f<=:;:i1il5234`9ad=z{:9h6=4={<10g?5e12789?4ja:p76b=838p1>;=:30e?854m39i56s|32d94?5|5:9?69;4==09>k5235097g?53z?073<3:o16?8;523d896232:h27p}<4483>6}:;:=18?h4=275>74a349?:7=m9:p711=839p1>=7:50e?852?389j63<4980f<=z{:>26=4<{<10=?25n278954=2g9>71g=;k30q~=;b;297~;4;h0?>k5234;967`<5:>h6>l6;|q00a<72:q6?>l543d8963f2;8m70=;e;1a=>{t;=l1<7=t=21`>14a349>n7<=f:?015<4j01v>;l:18`a~;3=?0:o:52323905g<5:9:69>m;<105?c?3498=7:?c:?0741278?<4n3:?074i278?<46b:?074<>k278?<46d:?074<>m278?<46f:?07470:j4;45?82b<3<<70:j4;4;?82b<3?<70:j4;7;?82b<3?270:j4;7b?82b<3?i70:j4;7`?82b<3?o70:j4;7e?82b<33270:j4;c0?82b<3k?70:j4;c4?82b<3k270:j4;cb?82b<3ki70:j4;c`?82b<33j70:j4;;a?82b<33h70:j4;;g?82b<33n70:j4;;e?82b<3k;70:j4;c1?84f93oj703lj70=3oj70<71;db?84?;3lj70<75;db?84??3lj70<79;db?84?j3lj70<7d;db?84?n3lj70<61;db?84303oj70<;9;db?843j3lj70<;d;db?843n3lj70<:1;db?842;3lj70<:5;db?842?3lj70<:9;db?84d:3oj707;db?856j3lj70=>d;db?85583lj70==1;db?855<3lj70=>1;db?856:3lj70=>3;db?82?13oj70:6d;gb?82?n3lj70:61;db?82>;3lj70:65;db?82f03oj70:mb;gb?82f13lj70:nb;db?82fl3lj70:nf;db?82e93lj70:m3;db?82e=3lj70:m7;db?82d>3oj70:k9;gb?82d?3lj70:l9;db?82dj3lj70:ld;db?82dn3lj70:k1;db?82c;3lj70:k5;db?831<3oj70;87;gb?831=3lj70;97;db?83113lj70;9b;db?831l3lj70;9f;db?83093lj70;83;db?80293om708:2;ge?802<3om708:5;ge?802>3om708:7;ge?80203om708:9;ge?802i3om708:b;ge?802;3om70;lf;60=>;2ko0??l525bd901d<5ok4;4g9>1a6=?:169i>5749>1a6=0:169i>5849>1a6=<:3018j?:51b?83c83>?n63:d1870f=:=m:189j4=4f3>12a3ty89i4?:by>3=5=;k301:mi:0f5?81d03;o:638c082`3=:?kk1=i84=6`0>4b134=jo7?k6:?4e0<6l?16;4k51e4892?028n=70960;3g2>;00?0:h;5rs27f>5<511?=n;169=;5f39>767=i?16?>?5a99>0`2=i?168h:5a99>6d7=nh16>5>5f`9>61>=nh16>n<5f`9>764=mh16?8?5e`9>762=nh16?>;5f`9>760=nh16?>95f`9>76>=nh16?>75f`9>76g=nh16?>l5f`9>76e=nh16?<95e`9>74g=nh16?74`=nh16??=5f`9>74?=nh16?0d>=nh168n85f`9>132=nh169nh51`4890b728k=7069d;3g0>;?>h0:h95rs27e>551e4892e>28n=709l2;3g2>;0jk0:h;527c695a0<5>ko627<5k4>d79>3<>=9m<01:6n:0f5?xu4>90;6?u26`:95a0<5?kj6>l6;|q024<72;q698j51e48903a2:h27p}<6383>7}:>0>1=i84=7;a>6d>3ty8:>4?:3y>3<2=;k301:7>:0f0?xu4>=0;6?u27e197g?<5>n;628n87p}<6783>f}:?<91=i=4=672>4b434=?j7?k3:?40a<6l:16;9l51e18922>28n8709;7;3g7>;0<<0:h>5275195a5<5>>:6;0k;0:h>5rs24;>5<5s4=ii7=m9:?4fg<6l:1v>86:18181e?39i5638b582`6=z{:d29~w60e2909w09n9;1a=>;0i?0:h>5rs24`>5<4s4<2;7?k4:?;26<4j0164;:51e08yv51l3:1>v38a380f<=:?0l1=i=4}r15a?6=:r7<5o43<>=9m90q~=9f;296~;00m08n45279c95a552z?6`c<4j0169h=51e48yv5093:1>v3:e180f<=:=l81=i=4}r146?6=:r7=?l426c=9m?0q~=83;296~;1n90:h;526g097g?52z?5b<<6l?16:kl53c;8yv50=3:1>v3:bc80f<=:=k21=i:4}r142?6=:r7>o=41g`=9m90q~=87;296~;?<>0:h95285;97g?52z?6g1<4j0169n?51e48yv5013:1>v3:f580f<=:=o91=i=4}r14e?6=:r7=<>4254=9m>0q~=8b;296~;2=:08n45254095a552z?611<4j01698<51e68yv50l3:1>v391d80f<=:>8n1=i=4}r14a?6=:r7==k424b=9m>0q~=8f;296~;1;<08n45262695a552z?573<4j016:>:51e68yv5?93:1>v399780f<=:>0?1=i=4}r1;6?6=:r7=5:42<3=9m>0q~=73;296~;1;k08n45262c95a552z?57f<4j016:>o51e68yv5?=3:1>v3:e380f<=:=l;1=i=4}r1;2?6=:r7>i>41`7=9m>0q~=77;296~;?<;0:h95285697g?52z?561<6l?16:?953c;8yv5?13:1>v376382`3=:0?>1?o74}r1;e?6=:r7d29><02=;k30q~=7b;296~;1i80:h85284597g?52z?5=<<6l?1648753c;8yv5?l3:1>v375e82`6=:0?:1?o74}r1;a?6=:r7d49><0e=;k30q~=7f;296~;0=:0:h;5272f97g?52z?4`1<6l=16;k953c;8yv5>93:1>v381582`3=:?1?1?o74}r1:6?6=:r7>mn4>d79>1dd=;k30q~=63;29`~;0nk0:h8527bf95a2<5>i=6d59>3g7=9m>01:on:0f7?81f;3;o86389b82`1=:?0?1=i:4=6:f>4b334=m:7=m9:?4b2<6l;1v>7;:18183fl3;o?63:ag80f<=z{:3>6=4l{<4:=?5e127=mi4>d49>2g0=9m?01;li:0f6?80d03;o9639d082`0=:>mk1=i;4=7g0>4b2347}:?:o1?o74=61`>4b33ty85:4?:by>2d4=;k301;l?:0f7?80e13;o8639c382`1=:>jh1=i:4=7f7>4b334;11:0:h95rs2;;>5<5s4=m<7=m9:?4ac<6l=1v>76:1848>3?3;o:6388682`0=:=j?1=i84=4cf>4b134=m47?k6:?6ed<4j0169ll51e08yv5>i3:1>v376g80f<=:0?o1=i84}r1:f?6=d49>36e=9m<01:6;:2`:?81?=3;o>6s|38a94?5|5l6;<7ag?7c>27>n84>d79~w6?c2909w0863;3g2>;11808n45rs2;f>5<5s4=3;7=m9:?4<6<6l:1v>7i:18181?;3;o86388980f<=z{:k;6=4={<7b`?5e127>m44>d29~w6g62909w0;ne;1a=>;2i00:h95rs2c1>5<5s4?m97?k5:?6b3<4j01v>o<:181807m39i56390e82`0=z{:k?6=4={<73<:4>d49~w6g22909w0:85;1a=>;3?=0:h;5rs2c5>5<5s4<9h7=m9:?56f<6l:1v>o8:181803939i56393b82`0=z{:k36=4={<40d79~w6g>2909w08=b;1a=>;1:h0:h;5rs2cb>5=7?k6:?40c<6l?16;9j51e48922e28n=709;9;3g2>;0<>0:h;5275795a0<5>>8627d79>36e=;k301:=k:0f1?xu4ik0;6?u25gf95a0<5?::6>l6;|q0ef<72;q69hk51e4890`52:h27p}7}:>:=1=i84=717>6d>3ty8mh4?:3y>2g7=9m<01;l<:2`:?xu4io0;6?u26cc95a0<5?hh6>l6;|q0f5<72;q6:n=51e4893e22:h27p}7}:>ji1=i84=7af>6d>3ty8n?4?:3y>2a3=9m<01;j8:2`:?xu4j:0;6?u26eg95a0<5?o;6>l6;|q0f1<72;q6:h951e4893c>2:h27p}6}Y;oo019>k:2`:?85am39m86s|3gd94?5|V:lm70:?e;1a=>;4no08j95rs523>5<4sW>;<63;0g80f<=:<9:1?k:4}r636?6=;rT?h;;|q743<72:qU8=84=524>4b534>;:7=i4:p051=83>p18;6:0f6?827?39i563:5282`6=:=<>1=i:4}r625?6=:r7>?l4i2:?7`<<4j01v9?=:18183?k3l970;87;1a=>{t<891<7c4<5=hi6>l6;|q751<72;q69>l5f39>0ag=;k30q~:>5;296~;20m0m>63:7980f<=z{=;=6=4={<6eio7=m9:p041=838p18=l:g0891be2:h27p};1983>7}:=1o1j?5256;97g?:57>52z?7b<6d>3ty?=n4?:3y>0cg=n;168ok53c;8yv26l3:1>v3:3d8e6>;3lm08n45rs53f>5<5s4?2<7h=;<74f?5e12wx85<4i2:?63f<4j01v9<=:18182ak3l970:l0;1a=>{t<;91<7c4<5=nm6>l6;|q761<72;q694<5f39>12b=;k30q~:=5;296~;3nm0m>63;c080f<=z{=8=6=4={<775?`534>n<7=m9:p071=838p187<:g08901b2:h27p};2983>7}:957>52z?6076d>3ty?>n4?:3y>115=n;168h<53c;8yv25l3:1>v3:948e6>;20908n45rs50f>5<5s4?;<7h=;<6`0?5e12wx8>h50;1xZ15a34>?<7?k2:?77c<4n=1v9:?:18683203;o:63:5882`3=:=<;1=i;4=563>6d>34?>?7?k4:p034=839pR98=;<657?7c:27?:?4;1?:0n<639748f4>;1??0n<639768f4>;1?10n<639788f4>;1?h0n<6397c8f4>;1?j0n<639758f4>;?0h0n<6378c8f4>;?1?0n<6379e8f4>;?1l0n<6379g8f4>;?i90n<637a08f4>;?i;0n<637a28f4>;?0j0n<6378e8f4>;?0l0n<6378g8f4>;?190n<637908f4>;?1;0n<637928f4>;?1=0n<637948f4>;?1>0n<637998f4>;?100n<6379`8f4>;?1k0n<6379b8f4>;1=j0n<63:d98f4>;2l00n<63:d`8f4>;2lk0n<63:db8f4>;2lm0n<639178f4>;19>0n<639198f4>;1900n<6391`8f4>;0mj0n<639608f4>;1>;0n<637098f4>;?800n<6370c8f4>;?8j0n<6370e8f4>;?8l0n<6370g8f4>;?990n<637108f4>;?9;0n<6370`8f4>;?n00n<637f98f4>;?n>0n<6386b8f4>;0>m0n<6386g8f4>;0?90n<638708f4>;0?;0n<638728f4>;0?=0n<638748f4>;0??0n<6386d8f4>;0:k0n<6382b8f4>;0:l0n<6382g8f4>;0;90n<638308f4>;0;;0n<638328f4>;0;=0n<638348f4>;0:m0n<63:a48f4>;2i?0n<63:a68f4>;2i10n<638f28f4>;21h0n<63<308fg>;20j0n<63:8e8f4>;2190n<63:8d8f4>;20o0n<63:908f4>;21;0n<63:948f4>;21:0n<63:958f4>;?;k0n<637398f4>;?;?;?;<0n<637508f4>;?;?;2;1=;0n<639558f4>;1=<0n<639578f4>;1=>0n<639598f4>;1=00n<6395`8f4>;1=k0n<639528f4>;3>:08n4525bd9aa=:=m:1ii5rs546>5<4sW>=963;6982`7=:h;;|q722<72:qU8;94=54b>4b534>=;7=i4:p03>=839p1987:2`:?8>ak3;o?637fe82`6=z{=<26=4<{<65=?5e1272d29>=55=9m90q~:9a;297~;3>h08n45291:95a5<50:26l08jn52dd82`7=z{=<<7=m9:p027=838p199;:0f0?820:39i56s|46494?1|5=oj6d49>022=;k3019kl:0f7?82?03;o863;7982`1=z{==<6=4={<65a?`534><47=m9:p02?=838p1996:2`:?820m3;o?6s|46c94?4|5==j6>l6;<64a?7c<2wx8:l50;0x911b28n>70:8c;1a=>{t<>o1<7:t=55f>6d>34>no7?k6:?7<=<6l?168:651e48yv20n3:1;v3;6e80ba=:6`c34>n47=id:?7<6<4nm1685:53gf891>72:h27p};8083>1}:<191?km4=0f3>4b534;hj7?k2:?2g`<6l;1v96=:18182?<39mo63>d082`7=z{=2>6=4={<6;7?`534>3:7=m9:p0=1=838p196;:g0891>?2:h27p};8883>6}:<131?o74=5:b>`g<5=3m6ho4}r6;e?6=;r7?4l40=d=mh168l>5e`9~w1>e2908w0:7b;1a=>;30j0nm63;a08fe>{t<1i1<7=t=5:`>6d>34>3h7kn;<6b6?cf3ty?4i4?:2y>0=b=;k30196j:dc891g42lk0q~:7e;297~;30l08n45249d9ad=:1il5rs5:e>5<4s4>3j7=m9:?7=49j63;a5816c=z{=3:6=4<{<6:5?5e127?5>4ja:?7e3;3i<09>k5rs5;0>5<4s4>2?7=m9:?7=0;3>9j63;a7816c=z{=3>6=4={<6:1?5e127?5h4ja:p0<0=839p1979:2`:?82>=3>9j63;a6816c=z{=3<6=4<{<6:3?5e127?444;2g9>0e2=8m70:n0;01b>{t<0k1<7=t=5;b>6d>34>3o7:=f:?7e4<5:o1v97m:18082>j39i563;8e876c=:?h4}r6:g?6=;r7?5n40=c=<;l019o<:30e?xu3i10;6>u24`:97g?<5=k26ho4=5``>`gj57>53z?7e<<4j0168ll5e`9>0gb=mh1v9on:18082fi39i563;a8876c=:?h4}r6bf?6=;r7?mo40db=mh168ok5e`9~w1gd2908w0:nc;1a=>;3ik0?>k524cf967`jh7>53z?7ea<4j0168lh5e`9>0g`=mh1v9oj:18082fm39i563;ae876c=:?h4}r6bb?6=;r7?mk40g7=mh168n>5e`9~w1d72908w0:m0;1a=>;3io0?>k524cd967`i=7>53z?7f4<4j0168o=5e`9>0f7=mh1v9l=:18082e:39i563;b0876c=:?h4}r6a7?6=;r7?n>40g3=mh168n<5e`9~w1d32908w0:m4;1a=>;3j:0?>k524b3967`i97>53z?7f0<4j0168o95e`9>0f5=mh1v9l9:18082e>39i563;b4876c=:?h4}r6a3?6=:r7?n:40f2=mh1v9l7:18082e039i563;b6876c=:?h4}r6a=?6=;r7?m54;2g9>0gd=:;l019ln:2`:?xu3k<0;6?u24b797g?<5=i?6?0ag=:;l0q~:l9;297~;3k008n4524b`9ad=:5<4s4>hm7=m9:?7g<<3:o168il523d8yv2dj3:1?v3;cc80f<=:0ae=:;l0q~:ld;297~;3km08n4524bd9ad=:5<4s4>hi7=m9:?7ga<3:o168ij523d8yv2dn3:1?v3;cg80f<=:0ac=:;l0q~:k1;297~;3l808n4524e19ad=:5<4s4>o>7=m9:?7`4<3:o168ih523d8yv2c;3:1?v3;d280f<=:4;2g9>0`6=:;l0q~:k5;296~;3l<08n4524d09ad=z{=n=6=4<{<6g2?5e127?h84;2g9>0`7=:;l0q~:k7;297~;3k?0?>k524e;967`<5=n36>l6;|q7a6<72;q68h=53c;891c52;8m7p};e483>1}:4b534>;i7?k2:?74a<6l;1v9k9:18182b039mo63;1182`7=z{=o26=4={<6f3?`534>nm7=m9:p0`d=838p19k7:g0891cd2:h27p};ee83>1}:4b434?;?7?k4:?7fd<6l;1v9kj:18782a039mo63:0582`1=:4b33ty?ik4?:5y>0c?=;oi019ol:0f0?83703;o963:0282`0=z{=l;6=4;{<6ee?5ak27><94>d29>0dc=9m9018>7:0f5?xu3n80;68u25029b7=:4b434>i<7?k3:?642<6l:1v9h=:18683693l970:ic;1eg>;28;0:h9524c095a5<5<:<60cb=;oi018>=:0f5?82e<3;o?63:0682`3=z{=l?6=49{<727?`534>mi7=ic:?647<6l<169<651e4891d128n870;?9;3g1>{tc4<5=lm6>hl;<730?7c>27>=54>d49>0g>=9m9018>6:0f7?xu3n?0;6;u25079b7=:=9:1?km4=427>4b234?:47?k3:?7g0<6l:169=751e18yv3793:1=;u252c9a3=:=:h1i;5252a9a3=:=:n1i;5252g9a3=:=:l1i;525529a3=:==;1i;525509a3=:==91i;524g597cb<5=l36>hk;<6e=?5al27?jl40cd=;on019hl:2dg?82al39mh63;fd80ba=:6`c34??47?k3:?646<4j01v8>=:181837:39i563:0282`3=z{<:?6=4={<730?5e127><>4>d29~w0622909w0;?5;1eg>;2i90:h?5rs425>5<5s4?;97=id:?64<<4j01v8>8:181837?39i563:0882`3=z{<:j6=4={<724?5ak27?5:4>d59~w06e2909w0;>1;1eg>;3110:h95rs42`>5<5s4?:>7=ic:?7=<<6l=1v8>k:181836;39mo63;9`82`1=z{<:n6=4={<720?5ak27?5o4>d59~w06a2909w0;>5;1eg>;31j0:h95rs435>57k9;<727?c134?:87k9;<721?c134>n87k8;<72=?5e127>ok4>a69>1a6=9h=0q~;>7;290~;29>08n45250;95a5<5<>h69;3g0>;3090:h95rs43b>5<4s4>2h7=m9:?6gch=476:p14d=839p197j:2`:?83dn32>70;k0;:1?xu29j0;6>u248d97g?<5=153z?7e5<4j0169nh58`9>1a6=011v8?j:18082f939i563:cg8;f>;2l90356s|50d94?5|5=k96>l6;<7`b?>d34?o<76n;|q665<72:q68l=53c;890ea21n018j?:9`8yv3593:1?v3;a580f<=:=jl14h525e29ok471:?6`56}:=5<50<1=9m901966:gc8yv35>3:1>v3;e580`5=:<0o1>?h4}r713?6=;r7?i940<>=9m90196n:gc8yv3503:1?v3;e580a0=:<031=i=4=5:a>cg53z?7a1<4mm1684o51e1891>d2ok0q~;=a;297~;3m=08ih5248`95a5<5=2o6ko4}r71f?6=;r7?i940v3;e580b5=:<0:1=i=4}r71`?6=:r7?i940<4=9m90q~;=e;296~;3m=08j?5248695a552z?7a1<4n:1684851e18yv3483:1?v3:3`80bf=:==?1=i84=5f;>4b53ty>?<4?:5y>16d=;oi018:::0f6?833l3;o863;c982`6=z{<996=4:{<70g?5ak27>884>d59>11b=9m?019mn:0f0?833k3;o96s|52194?3|5<9o6>hl;<771?7c;27>8i4>d29>0fe=9m9018:l:0f0?xu2;=0;6;u24d6913=:=:o1?km4=464>4b134??h7?k6:?7g`<6l:1699m51e68yv34=3:1:v3;e5854>;2;o08jn5255595a3<5<;<6d29~w051290=w0:j4;42?833839mo63:4682`1=:=8=1=i=4=46a>4b334>o>7?k3:p161=83;29>0:h;5255`95a3<5=n?6114=;oi018:9:0f7?836?3;o963:4c82`3=:8>4110=9m9018?7:0f7?833m3;o863;e282`6=z{<>?6=4m{<70e?5al27>?o416e=;on018=k:2dg?834m39mh63:3g80ba=:==:1?kj4=462>6`c34??>7=id:?606<4nm1699653c;8yv33=3:1>v3:4480f<=:==21=i;4}r772?6=:r7>8;411>=9m>0q~;;7;296~;2<>08n45255:95a052z?60<<4nj165=j51e08yv33i3:1>v3:4880ba=:==o1?o74}r77f?6=:r7>8o411c=9m?0q~;;c;296~;252z?60a<4j01699k51e18yv33n3:1=;u255d97ce<5?>86954>d29>10?=9m901;>k:0f7?83293;o?6391b82`6=:>;?1=i:4=70f>4b334<9o7?k5:?616<6l<1698:51e48935228n?708<6;3g0>;2m?0:h>5262g95a2<5?:26d29>1c6=9m9018h>:0f0?xu2=90;6?u255d9b7=:=9<4103=9m<0q~;:2;296~;2=<0:h85254097g?:7>515y>100=;oi01;>j:0f7?805=3;o?6390482`1=:=o?1=i=4=47b>4b434<9o7?k6:?56d<6l<16:=951e1890352=8m708>f;3g1>;1;<0:h;5262495a3<5?9j69i>4>d49>1`g=9m9018km:0f6?83an3;o:63:f082`0=z{n7=m9:p10>=838p18;7:2`:?832j3;o96s|54;94?4|5l6;<76e?7c>2wx98o50;0x903f2:h270;:b;3g2>{t=9i429j63:6382`0=:>k;1=i;4=7`b>4b234708j7;3g1>;1i10:h85268695a3<5>9i69d59>2d4=9m>01:ki:0f6?816<3;o9638f982`1=:?:i1=i;4=6d5>4b334=2=7?k5:?4`5<6l<16;n751e7892e528n>709mb;3g1>;0j=0:h8527`f95a3<5>k=6d49>3=g=9m?01;h>:0f5?80ai3;o:6s|57394?2>s423m7k9;<:;f?c13422:7k9;<::`?c13422i7k9;<::b?c1342j<7k9;<:b5?c1342j>7k9;<:b7?c13423o7k9;<:;`?c13423i7k9;<:;b?c13422<7k9;<::5?c13422>7k9;<::7?c1342287k9;<::1?c13422;7k9;<::o7k9;<7g=7k9;<:7`?c1342?o7k9;<:7f?c134?>:7k9;<77b?c134?=>7=m9:p132=839p188;:2`:?831=3oj70;88;gb?xu2><0;6>u257797g?<5<<<6ho4=45:>`g53z?623<4j0169;;543d8901?2;8m7p}:6683>6}:=?=1?o74=44:>`g<5<=j6ho4}r75:54131=<;l01896:30e?xu2>00;6>u257;97g?<5<`g53z?62d<4j0169;7543d8901f2;8m7p}:6c83>6}:=?h1?o74=44g>`g<5<=h6ho4}r75g?6=;r7>:n413d=<;l0189m:30e?xu2>m0;6>u257f97g?<5<`g53z?62`<4j0169;j543d8901d2;8m7p}:6g83>6}:=?l1?o74=452>`g<5<=n6ho4}r744?6=;r7>;=413`=<;l0189k:30e?xu2?80;6>u256397g?<5<=86ho4=45e>`g7>53z?637<4j0169:?543d8901b2;8m7p}:7283>7}:=>91?o74=4:3>`g53z?631<4j0169:=543d8901a2;8m7p}:7483>6}:=?>18?h4=454>74a34?<:7=m9:p1=7=838p186>:2`:?83?8389j6s|59094?5|5<2h6>hl;<7:3?7c;27>;;4>d39~w0>42908w0;7d;1eg>;21>0:h95257495a553z?6<`<4nj1694951e48900?28n87p}:8483>6}:=1l1?km4=4;4>4b234?=m7?k3:p1=0=839p187?:2d`?83>03;o:63:6b82`6=z{<2<6=4<{<7:5?5ak27>554>d49>13c=9m90q~;78;297~;21;08jn5258:95a2<5<=;6{t=1k1<7=t=4;7>6`d34?<87?k3:?6=<<6l=1v86m:18083>=39mo63:8082`6=:=031=i=4}r7:2?6=kr7>5l4j6:?6;20o08ji5258397cb<5<396>hk;<7:1?5al27>5>41<2=;on01876:2`:?xu21>0;6?u258597g?<5<32628n=7p}:9`83>70|5<>26h>4=54g>`6<5=4=5g4>`6<5=o36h>4=5:0>`6<5=2?6h>4=426>`6<5<;;6h>4=432>`6<5<;96h>4=430>`6<5<;?6h>4=436>`6<5=o?6hm4=41b>`6<5<9i6h>4=41`>`6<5<9o6h>4=41f>`6<5<9m6h>4=463>`6<5<>:6h>4=461>`6<5<>86h>4=5d4>`6<5=l36h>4=5d:>`6<5=lj6h>4=5da>`6<5=lh6h>4=5dg>`6<5=ln6h>4=5de>`6<5<:;6h>4=4;`>6d>34?hj7kj;<7g4?cb3ty>5o4?:2y>1m3;o>6s|58f94?4|5<3j6k<4=4;f>6d>3ty>5k4?:2y]1<`<5l6;<7:b?5a<2wx9l?50;5x90g22:lh70<;7;3g0>;2jm0:h9525cd95a3<5o<4>d29~w0g5290jw0;n6;1eg>;?>?0:h95225595a5<5o=4>d49>1f2=9m>015:7:0f6?83e:3;o?63:b982`6=z{d49>1g3=9m9018l>:0f6?83fn3;o963:bc82`0=:=j:1=i84=4a7>4b2342?47?k4:p1d2=83hp18o7:2d`?8>1>3;o?63:a8876c=:=kn1=i84=4`5>4b134?i=7?k6:?6ec<6l=169ol51e6890e728n?70;l4;3g7>;?<10:h;5rs4c:>5<5s4?j47h=;<7b=?5e12wx9o>50;0x90g02o8018l::2`:?xu2j80;6?u25c397g?<57}:=k?1=i;4=4`5>6d>3ty>n:4?:3y>1d0=n;169om53c;8yv3e03:1>v3:bb82`1=:=k31?o74}r7a`?6=:r7>nn4>d49>1gb=;k30q~;me;296~;2i<0m>63:c480f<=z{o84>d49~w0e62909w0;l5;3g0>;2k;08n45rs4a5>5<4sW?h:63:cc80f<=:=j<1?k:4}r7`3?6=;rT>o:525ba97g?<5h;;|q6g=<72:qU9n64=4ag>6d>34?h47=i4:p1fg=839pR8mn;<7`a?5e127>ol4;2kk0:h?5rs4a`>5<5s4?ho7?k2:?;2a<4j01v8mk:18183dl3;o>6376`80f<=z{oh4>d39~w0b5290ow0;k8;1eg>;1990:h85261g95a3<5?ln6d59>1`2=9m?018k?:0f7?83a>3;o963:f282`3=:>991=i=4=4g0>4b334?m;7?k4:p1a5=83np18j6:2d`?80an3;o86390e82`6=:>9>1=i:4=724>4b134?n87?k6:?6`c<6l:169h>51e4890`128n?70;i4;3g7>;18:0:h95226295a2<5;2nj0:h85261595a3<5j;4>d29>1c2=9m>01;><:0f6?83bi3;o:63:ec82`3=:>931=i:4=72b>4b4348<=7?k4:p1a3=83op18jm:2d`?817;3;o86390482`0=:=oi1=i:4=4d6>4b134?mh7?k5:?6b1<6l<16:=<51e1890c62=8m70;ja;3g1>;2mk0:h>525gd95a3<5?:;6;2n<0:h95261695a0<5hk4>d49>1`6=9m?018h<:0f7?807:3;o963:f182`1=:=o;1=i:4=350>4b33ty>h:4?:ey>1ab=;oi01:>8:0f7?807<3;o?63:e582`6=:=o91=i;4=721>4b134?n>7?k4:?6a6<6l:169ho51e6890ce28n?70;j6;3g1>;2m>0:h>5226695a252z?6`ai54;2m?0:h;5rs4g7>5<5s4?n87=m9:?6af<3:o1v8k::18183b>39i563:e982`1=z{i54>d29~w0c>2909w0;jc;1a=>;2m?0:h95rs4gb>5<5s4?nm7=m9:?6af<6l=1v8km:18183bj39i563:eb82`6=z{l6;<7e6?7c;2wx9k=50;0x90`42:h270;i1;3g2>{t=o?1<76d>34?m>7:=f:p1cg=838p1;>::0f5?83a039i56s|5g`94?4|56d>3ty>jn4?:2y>1ce=;k3018hi:0f7?80783;o96s|5gg94?4|5l6;<435?7c<2wx9kh50;0x93672:h2708?1;3g7>{t>981<76d>34<;=7:=f:p252=838p18hl:0f5?807<39i56s|61794?4|5?:>6>l6;<7eg?7c;2wx:=850;0x90bf2o801;>m:2`:?xu18>0;6?u261597g?<5?:267}:>9k1?o74=72a>4b43ty=1a?=n;16:=j53c;8yv07n3:1>v3:d98e6>;19908n45rs732>5;1;=0?>k5262`95a5<5?9h6?4>d79~w375290iw08>7;1eg>;1:=0:h95263f95a2<5?93627=?84>d49>26d=9m>01;=l:0f7?805:3;o96393d82`3=:>:l1=i=4}r427?6=jr7==5427b=9m?01;=7:0f0?805j3;o86393682`0=:>=;1=i:4=716>4b434<8:7?k3:?564<6l:16:?<51e18934128n87p}91583>g}:>831?km4=70f>4b234<9o7?k4:?57=<6l=16:?l51e18934f28n?708;1;3g1>;1:80:h95263095a2<5?9:6c;3g0>;1:=0:h>5262:95a3<5?8i6d29>26d=9m?01;<<:50e?xu19k0;6>u260c9b7=:;:;1:>5260f97g?52z?55f<4j016:v391g82`1=:>;91?o74}r415?6=:r7=><4275=9m>0q~8=2;296~;1:;08n45263195a552z?561<4j016:?;51e78yv05=3:1>v392480f<=:>8l1=i=4}r41d79>270=;k301;<8:0f1?xu1:00;6>u260;9b7=:;:;1:?5262097g?52z?56d<4j016:>>51e68yv05k3:1>v392b80f<=:>::1=i;4}r41a?6=:r7=>h4266=9m90q~8=f;296~;1;908n45262095a252z?574<4j016:><51e18yv04;3:1?v39198e6>;4;80==6393680f<=z{?926=4<{<423?`53498=78?;<474?5e12wx:>j50;0x935b2:h2708;0;3g0>{t>:o1<76d>34=>1?o74}r472?6=;r7=9<4204=n;16:4o51e18yv0303:1?v395380bf=:><>1j?526c095a557z?516<4nj16:9:51e6893?028n870692;3g1>;1i00:h>526g395a2<5?lj6u264797ce<5??=6k<4=7a7>4b43ty=8o4?:5y>200=;oi01;;8:g089=0428n=708ld;3g7>{t>=i1<7:t=774>6`d34<>47h=;<:57?7c=27=h;4>d29~w32c290?w08:8;1eg>;1=00m>6376282`1=:>ml1=i=4}r47a?6=20g=n;164;=51e1893c?28n87p}94g83>3}:>c4<5?>?6273:?4>d59>2c7=9m90q~8:0;291~;1=k08jn526419b7=:>0=1=i;4=941>4b4343o=708:7;g5?80203o=708:9;g5?802i3o=708:b;g5?802;3o=708:e;3g7>{t>c4<5??n6>l6;|q51c<72?q6:;?53ga8930128n?709i5;3g0>;0;l0:h95279:95a3<5>926q6:;<53ga8930328n?709;0;>0:h>5279:95a5<5>l=6<0;6?u26739b7=:>?<1?o74}r453?6=63`=9m?01?;l:0f1?80>83;o?6s|67;94?2|5?=86>hl;<07e?7c;279;=4>d29>2db=9m90q~898;290~;1?=08jn5271c95a2<5;?n6;5?80:h>526c495a554z?533<4nj16>9k51e18971528n8708mf;3g7>{t>?i1<7:t=754>6`d348><7?k3:?136<6l:16:n651e18yv01l3:18v397980bf=::<81=i=4=357>4b434p1;96:2d`?817k3;o863=5582`6=:>mk1=i=4}r45b?6=35c=9m>01?;9:0f0?80b;3;o?6s|66294?2|5?=i6>hl;<524?7c<279954>d29>2`e=9m90q~881;290~;1?j08jn5270095a2<5;?j6{t>>o1<76d>34<2h7?k3:p22`=838p1?8?:2`:?80>l3;o86s|69294?4|5;<:6>l6;<4:`?7c=2wx:5?50;0x97052:h27086d;3g2>{t>181<76d>34<2i7?k3:p2=5=838p1?8;:2`:?80>m3;o86s|69694?4|5:9:6>mi;<05b?7c>2wx:5;50;0x96562:n;709?a;3g1>{t>1<1<76bf348<<7?k6:p2=1=838p1>=>:2g6?84093;o:6s|69:94?4|5:9:6>kk;<046?7c>2wx:5750;0x96562:on70<83;3g2>{t>1k1<76ca348<87?k6:p2=d=838p1>=>:2d3?817k3;o96s|69a94?4|5:9:6>h>;<53a?7c=2wx:5j50;0x96562:l9709>0;3g1>{t>1o1<76`434=:>7?k5:p2=`=83>p1;9=:g0893?42:h270;lf;5;?83c83==7p}99483>7}:>0?1?o74=7;:>4b33ty=554?:3y>2<5=9m901;7;:2`:?xu11m0;6?u268f97g?<5?3n67}:>h;1?o74=7c1>4b23ty=m>4?:5y>222=n;16:l953c;890ea2>?018j?:608yv0f<3:1>v39a682`0=:>h?1?o74}r4b2d2=9m>0q~8nc;290~;1?:0m>639b180f<=:=jl1;4525e2932=z{?ko6=4={<4a4?7c=27=mh4;1im0:h95rs7`6>5<3s4<<97h=;<4a=?5e127>ok48a:?6`5<002wx:o850;0x93d>28n>708m7;1a=>{t>kk1<76d>34p1;99:g0893e52:h270;lf;5a?83c83=27p}9bg83>7}:>j81=i;4=7a3>6d>3ty=o>4?:3y>2f5=;k301;li:0f7?xu1k>0;69u26659b7=:>jh1?o74=4ae>2e<5d49>2f?=;k30q~8lc;296~;1kj08n4526b:95a254z?53=1f`=?m169i>57c9~w3b62909w08k4;3g1>;1l;08n45rs7f6>5<5s4;2ko0{t>mk1<74b2346d>34?hj79>;<7g4?1c3ty=i>4?:3y>2`0=9m?01;k;:2`:?xu1m>0;6?u26d597g?<5?o862``=;k3018mi:60890b72>o0q~8jc;296~;1mo0:h8526df97g?52z?5b5<4j016:hm51e68yv0a<3:18v397b8e6>;1n108n4525bd936=:=m:1;<5rs7d6>5<5s4?:18180an388=63=678ee>{t?981<7756348=;7hn;|q441<72;q6;==52238970?2ok0q~9?6;296~;08<09?<5227;9bd=z{>:36=4={<533?449279:l4ia:p35?=838p1?99:30e?817i39i56s|71`94?5|5;;5?m09>k5271g97g?53z?12a<3:o16>:k523d892772:h27p}81083>6}::?o18?h4=35e>74a34=:>7=m9:p345=83ip1;9=:d4893142l<01;9::d4893112l<01;98:d48931?2l<01;96:d48931f2l<01;9m:d48931d2l<01;9;:d4892732:h27p}81483>7}::>?1?o74=7;0>4b23ty<=;4?:3y>620=;k301;o8:0f0?xu09>0;6?u226597g?<5?h;6:653c;893d>28n87p}81883>7}::>31?o74=7a1>4b43ty<=l4?:3y>62g=;k301;mm:0f0?xu09k0;6?u226`97g?<5?n?6:m53c;893bc28n87p}81e83>7}::>n1?o74=7g5>4b43ty<=h4?:3y>62c=;k301;ki:0f0?xu09o0;6?u226d97g?<5?l36;51=0:h?5rs601>5<3s4=9o7=ic:?406<6l=16>5<51e1893d628n87p}82083>2}:?;n1?km4=662>4b33482;7?k3:?5e=<6l:16:k>51e7893`>28n>70;:d;3g1>{t?;91<7:t=60f>6`d34=?97?k4:?1<1<6l:16:oo51e18yv15<3:18v382g80bf=:?==1=i:4=3:5>4b434ji1=i=4=47f>4b13ty<>;4?:4y>367=;oi01::m:0f7?84?i3;o?639d482`6=:=31b=9m>01?6l:0f0?80cm3;o?63:5d82`1=z{>836=4:{<507?5ak27<8k4>d59>6=c=9m901;k8:0f0?832m3;o?6s|73;94?3|5>9?6>hl;<565?7c<2795=4>d29>2c6=9m9018;k:0f0?xu0:h0;68u272797ce<5d29>2c?=9m90q~9<6;296~;0:k0m>6383g80f<=z{>9<6=4={<503?5e127d29~w25?2909w09<9;1a=>;0;k0:h95rs61:>5<5s4=8m7=m9:?47g<6l:1v::?:181815l3l9709;1;1a=>{t?=81<7c4<5>>86>l6;|q401<72;q6;?k5f39>313=;k30q~9;6;296~;0:o0m>6384680f<=z{>>36=4={<504?`534=?57=m9:p31g=838p1:=>:g08922e2:h27p}84b83>7}:?:81j?5275f97g?52z?4769>6k<4=670>6d>3ty<994?:by>37d=m?16;?m5e79>37c=m?16;?h5e79>366=m?16;>?5e79>364=m?16;>=5e79>362=m?16;>;5e79>37b=m?16;8;53c;8yv12>3:1>v3=9480f<=:?:l1=i;4}r563?6=:r795;4317=9m?0q~9:8;296~;51108n45275195a357>52z?1=<<4j016;9;51e78yv12i3:1>v3=9`80f<=:?==1=i;4}r56f?6=:r795o431?=9m?0q~9:c;296~;51j08n45275`95a3h7>52z?1=a<4j016;9j51e78yv12m3:1>v3=9d80f<=:?=l1=i;4}r56b?6=:r795k4307=9m?0q~990;296~;5i908n45274195a353z?42f<4nj169nh5fb9>3<6=9m90q~993;297~;0>m08jn525bd9551<5>im6{t??>1<7=t=64e>6`d34?hj7?>2:?4g=<6l:1v:8::180810839mo63:cg8253=:?j;1=i=4}r552?6=;r7<;<41f`=98=01:ln:0f0?xu0>>0;6>u276097ce<5;0ij0:h>5rs64:>5<4s4=<87=ic:?6gc<69h16;l;51e18yv11i3:1?v387480bf=:=jl1=9>4=6;f>4b43ty<:o4?:2y>320=;oi018j?:ga892?028n87p}87683>7}:;<;1?o74=6;6>4b43ty<;54?:3y>704=;k301:6j:0f0?xu0?00;6?u234197g?<5>n?67}:;4b43ty<;n4?:3y>700=;k301:li:0f0?xu0?m0;6?u234597g?<5>h367}:;<31?o74=6cb>4b43ty<4=4?:3y>70g=;k301:o<:0f0?xu0080;6?u234`97g?<5>3h63=0=;k30q~97a;296~;00?0:h95279`97g?52z?4<`<4j016;5851e78yv1?n3:1>v386b8e6>;01908n45rs6;2>5<5s4=2<7?k4:?4=7<4j01v:7::18181>=39i56389182`0=z{>3=6=4={<542?`534=2;7=m9:p3<>=838p1:78:0f7?81>139i56s|78a94?4|5>3h6>l6;<5:3?7c=2wx;4j50;0x92122o801:7j:2`:?xu01o0;6?u278g95a2<5>k;6>l6;|q4e6<72;q6;l=53c;892?b28n>7p}8a583>7}:?>>1j?527`797g?52z?4e0<6l=16;l953c;8yv1fi3:1>v38a`80f<=:?h?1=i;4}r5bf?6=:r7<;>4i2:?4ef<4j01v:ok:18181fk3;o8638ad80f<=z{>h:6=4={<5a5?5e127d49~w2d52909w0982;d1?81e;39i56s|7c694?4|5>h86{t?k31<7c4<5>hj6>l6;|q4fg<72;q6;oo51e6892dd2:h27p}8bg83>7}:?kl1?o74=6`b>4b23ty326=n;16;n?53c;8yv1d:3:1>v38c082`1=:?j91?o74}r5`2?6=:r73f7=9m?0q~9l7;296~;0>o0m>638c980f<=z{>i26=4={<5`;0k10:h85rs6af>5<5s4==h7h=;<5`b?5e12wx;i>50;0x92ea28n?709k1;1a=>{t?m>1<76d>34=hj7?k5:p3a3=83ip1:8l:d48920c2l<01:8i:d4892172l<01:9>:d4892152l<01:9<:d4892132l<01:9::d4892112l<01:8j:d4890042:h27p}8d783>0}:;8>1=i=4=97f>4b13498?7?k3:?6gc<643?342>?7?k3:?;1g<6l:1v:j7:186856<3;o863<4182`7=:=jl1=864=970>4b3342>j7?k5:p3a?=83?p1>?;:0f6?8>2m3;o?63<4382`7=:=jl1=;=4=970>4b23ty742=9m<015;j:0f7?853<3;o>63:cg8222=:0<91=i84}r5gf?6==r78=84>d29><0c=9m?01>:9:0f1?83dn3;=46375482`0=z{>nh6=4:{<121?7c<278854>d39>1f`=9?3015;::0f7?8>2j3;o86s|7ef94?3|5:;>6ok4>6`9><00=9m9015;m:0f6?xu0ll0;68u230795a0<5:>h6d59><0d=9m<0q~9kf;291~;49?0:h>5235g95a4<5;<:61?7c;2739k4>d29~w2c7290>w0=>6;3g0>;4=90:h?525e2951b<51?=628n?70:84;3g0>;2ko0::k5rs6g1>5nm7?k4:?7<3<6l=168:>51e6891cd28n>70:78;3g1>;3?10:h8525e2952g<5==i670;lf;34e>{t?l>1<7=t=55:>4b134><97?k3:?6gc<60<1v:k::180820i3;o?63;7482`1=:=jl1=574}r5f2?6=;r7?;l4>d49>1f`=91k0199>:0f0?xu0m>0;6>u25bd95=d<5==:6;3?k0:h95rs6g:>5<4s4><97?k5:?6gc<60m168:l51e78yv1bi3:1?v3;7`82`1=:=jl1=494=552>4b13ty02?=9m90199::0f5?83c83;=j6s|7da94?>|5>oh6>hl;<5fg?5al27>:>4>d59>303=9m>01:h?:0f6?831:3;o8638ed82`7=:?8>1=i=4}r5f`?6=:r74j6:?4a`<4j01v:ki:18181bk3l9709jf;1a=>{t?o;1<7`0<5>l96>l6;|q4b6<7289p1:h<:2d`?831;3;o?6385482`6=:?o:1=i:4=441>4b434?hj7:;5:?6gc<3270;k0;671>;2l90?8;525e29011<5d49>3c4=9m901:hm:0f0?816<3;o86383b82`6=z{>l?6=4={<5e7?`534=mn7=m9:p3c3=838p1:h::2`:?81aj3;o86s|7g:94?4|5>li6{t?on1<7:t=92;>6`d3429=7?k5:?1f0<6l;16;4:51e18yv1an3:18v370880bf=:0:91=i;4=3c0>4b434=o?7?k3:p3cc=83>p15>n:2d`?8>6n3;o963=b982`6=:?1n1=i=4}r:34?6=<67=9m?01?o::0f0?81dk3;o?6s|81394?2|51:h6>hl;<:1b?7c=279m:4>d29>3f3=9m90q~6?2;290~;?8m08jn5283f95a3<5;k26;5ik0:h>527c595a554z?;4c<4nj164?751e7897gc28n8709m0;3g7>{t09?1<7:t=933>6`d3429;7?k5:?1ec<6l:16;l751e18yv>7>3:18v371080bf=:0;?1=i;4=3`2>4b434=j>7?k3:p<51=83>p15?=:2d`?8>5;3;o963=b282`6=:?0h1=i=4}r:27?6=;r79n;4<77=9m<01:7;:0f7?xu?9=0;6>u22c597g?<51;m62:h2706<3;3g2>;0l:0:h95rs935>5<4s48im7=m9:?;74<6l?16;nm51e68yv>6?3:1?v3=bc80f<=:0;l1=i84=6a6>4b33ty3=54?:2y>6ge=;k3015l6;<:1f?7c>27d59~w=7f2908w0;?:00:h;527c295a253z?1fc<4j0164?951e4892g>28n?7p}71b83>6}::j:1?o74=906>4b134=j>7?k4:p<4b=839p1?m>:2`:?8>5;3;o:6389c82`1=z{1;n6=4={<:3e?`5342:j7=m9:p<76=838p15>7:g089=462:h27p}72383>7}:0881j?5283197g?52z?;54840;d1?8>5?39i56s|83:94?4|51:m6k<4=90:>6d>3ty3>l4?:3y><5c=n;164?l53c;8yv>5k3:1>v370e8e6>;?:m08n45rs90f>5<5s42;o7h=;<:1b?5e12wx4>>50;0x9=6e2o8015=>:2`:?xu?;;0;6?u281;9b7=:0:91?o74}r:01?6=jr73?84<31=9m>0158j:0f0?8>4?3;o96388882`6=:>h;1=i=4=6d`>4b134=3;7?k4:?5=3<6l:16:4751e189=2428n87p}73783>6}:0:?1j?5282597g?<5>om61n3;o96372082`1=:0=:1=i=4=77f>4b13428?7?k4:?;74<6l=164?h51e689=4c28n?706=b;3g0>;?:00:h95283595a2<518>6d59><3e=9m<01586:0f5?xu?;00;6?u282:9b7=:0:k1?o74}r:0f?6=:1q64>l53ga8930128n870894;3g1>;1<:0:h;5260295a0<518:6273?>4>d29><67=9m90155l3;o?6372c82`6=:0;31=i=4=904>4b4342997?k3:?;66<6l:164;2il0:h85254`95a5<56ih4>d29>261=9m9018li:0f5?806m3;o86383`82`6=:=l=1=i:4=71f>4b434<8j7?k4:?574<6l=165=651e689<6>28n?707?2;3g0>;>8:0:h9528ga95a2<51lo6mn4>d49~w=5d2909w064l39i56s|82g94?76s428i7=ic:?;22<6l<1649>51e68933b28n?706<7;3g0>;11<0?>k526c095a2<5?hi6d59>2a0=9m>01;ji:0f7?80b03;o8639a882`1=:>0k1=i:4=7d2>4b2343}:0=;1?km4=9d0>4b4342><7?k3:?;07<6l<164;m51e689=0>28n>7p}74383>7}:0=;1j?5285097g?516y><10=;oi01588:0f0?8>1n3;o?637f282`1=:0==1=i=4=4`g>4b434?i:7?k3:?;7a<6l:165=l51e789<6228n>706if;3g1>;2j80:h9525`d95a0<5d29><3?=9m>014>7:0f5?8?7:3;o:637fb82`3=:=hi1=i=4=4cf>4b434?h=7?k5:p<11=838p15:9:g089=202:h27p}74c83>41|51>i6>hl;<:5a?7c=273?l4>d49><11=9m?01:68:0f0?83d<3;o:6376882`6=:=j?1=i=4=4c`>4b334?ji7?k4:?;06<6l=16;k651e1892?628n?709k0;3g0>;0k00:h9527b095a2<5>hi6d59>3d0=9m>01:7i:0f7?81>03;o86388`82`1=z{1>h6=4>7z?5bc<6l:16;=?51e18926428n8709?5;3g7>;08>0:h>5285a97ce<51>i6k<4=7df>4b434=;m7?k3:?44f<6l:16;=k51e18927728n8709>2;3g7>;5>o0:h95226295a3<5;=:64>d49>622=9m?01;79:0f6?8>1i3>9j639a382`6=:?ll1=i=4}r:7`?6=nr738i4<1e=n;16:9=51e18937728n87069f;3g0>;18l0:h>525gf95a2<5<3e=9m?018k7:50e?80383>9j63933876c=:>9h18?h4}r:7a?6=90q649k53ga89=362o801;89:0f6?801<3;o?6376d82`1=:?o:1=i=4=7`2>4b334;1m>0:h9526`:95a2<5?3?6d59>2<0=9m>01:=n:0f7?8?703;o96360882`0=:1981=i;4=820>4b2342mo7?k5:?;ba<6l<1v5:i:1818>3m3l9706:0;1a=>{t0<;1<79t=972>6`d342?h7h=;<765?7c<27>9l4>d49>10d=9m>018;::0f7?8>1l3>9j6s|84094?77s428;7?k6:?;07<6l:16;5751e7892?328n=709k3;3g2>;0kj0:h;527b795a0<5>hn627d79>3d?=9m<01:o=:0f5?81>j3;o:6388e82`3=:0<21?o74=97:>4b53ty39>4?:4y>2d7=9m<015;<:2`:?8>2<3;o>6375982`0=:=hk1=i=4}r:61?6==r739843ce=9m>01;o>:0f7?8>203;o?63:a`82`1=z{1?=6=4:{<5eg?7c=2739;4<01=9m8015;7:0f7?83fi3;o96s|84c94?75s428m7?k4:?;1a<4j016;5651e4892?328n>709k3;3g1>;0kj0:h8527b795a3<5>hn6d49>3d?=9m?01:o=:0f6?81>j3;o96388e82`0=:0=91=i;4=6d5>4b134=387?k5:?6ed<6l?1v5;m:1808>2l3;o86375c80f<=:0<0b=9m?01:=8:0f5?xu?=o0;6>u272595a2<51?m6>l6;<:54?7c:2wx4;?50;fx9=2728n>708:e;3g1>;?;>0:h>5287097g?<5?h96d49>2fb=9m?01;j9:0f6?80cn3;o9639e982`0=:>h31=i;4=7;b>4b23ty3:84?:3y><30=;k3015=k:0f6?xu?>10;6?u287;97g?<516}:01k1?km4=9g2>4b3348m87?k2:p<2d=839p156m:2d`?84c03;o?637dg82`1=z{1=:6=4;{<:;g?5ak278>54>d59>6f2=9m9015mm:0f7?xu??;0;69u289f97ce<5:836d59~w=14290?w067e;1eg>;4:10:h;522b:95a5<51i<6;4:h0:h?5rs956>5<3s422<7=ic:?1gf<6l:16?1}:00;1?km4=3af>4b4342h=7?k4:?06f<6l;1v598:1878>>:39mo63<2e82`6=::m:1=i=4=9d2>4b33ty3;54?:5y><<5=;oi01>i4>d49>6a2=9m9015kk:0f7?xu??h0;69u288797ce<5:8o6d59~w=>52908w0666;1eg>;5m=0:h>528ef95a254z?;=2<4nj16>io51e18964528n8706j9;3g0>{t0>n1<7:t=9;;>6`d348oo7?k3:?;a2<6l=16??h51e08yv>0m3:18v379880bf=::mo1=i=4=206>4b4342n97?k4:p<2`=83>p157n:2d`?855>3;o?63=e182`6=:0l91=i:4}r:;4?6=770=9m>01?k=:0f0?8>c=3;o86s|89394?2|513h6>hl;<112?7c=278<:4>d29>0q~673;297~;?1m08jn522d495a5<51ni68;3g7>;?l00:h95rs9:6>5<3s422j7=ic:?062<6l:16>ho51e189=b028n?7p}78783>1}:0h:1?km4=204>4b3348no7?k3:?;`6<6l=1v568:1878>f939mo63<2682`0=::lo1=i=4=9f2>4b33ty3454?:5y><8:0f5?84a83;o?637cg82`1=z{1226=4;{<:b7?5ak278>54>d29>6c4=9m9015mk:0f7?xu?i=0;6?u22g797g?<51o:6k853c;89=ee28n>7p}7a783>7}::o=1?o74=9a:>4b23ty3m:4?:3y>6c>=;k3015m8:0f6?xu?i10;6?u22g;97g?<51i>6ko53c;89=e428n>7p}7a`83>7}::oh1?o74=9a2>4b23ty3mo4?:3y>6ce=;k3015h>:0f6?xu?ij0;6?u22gf97g?<51om6kk53c;89=cc28n>7p}7ad83>7}::ol1?o74=9ga>4b23ty3mk4?:3y>756=;k3015ji:0f6?xu?j90;6?u231397g?<51o267p}7b383>7}:;991?o74=9g6>4b23ty3n>4?:3y>752=;k3015k<:0f6?xu?j=0;6?u231797g?<51n>67p}7b783>7}:;921?o74=9fg>4b23ty3n:4?:3y>75?=;k3015jm:0f6?xu?j10;6?u231c97g?<51n267p}7b`83>7}:;9i1?o74=9f0>4b23ty3no4?:3y>75b=;k3015j>:0f6?xu?jj0;6?u231g97g?<51im67p}7bd83>7}:00i1j?528cd97g?52z?;=4d;39i56s|8b694?4|512m6k<4=9a6>6d>3ty3o;4?:3y><=c=n;164n953c;8yv>d03:1>v378e8e6>;?k008n45rs9ab>5<5s423o7h=;<:`f?5e12wx4nm50;0x9=g42o8015mk:2`:?xu?kl0;6?u28`09b7=:0jl1?o74}r:g4?6=:r73m<4i2:?;`4<4j01v5j=:1818>f83l9706k3;1a=>{t0m>1<7c4<51n>6>l6;|q;`3<72;q644h5f39>637d880f<=z{1nj6=4={<::`?`5342on7=m9:p7}:01h1j?528ed97g?52z?;b;39i56s|8d694?4|51326k<4=9g6>6d>3ty3i;4?:3y><<>=n;164h953c;8yv>b03:1>v37968e6>;?m008n45rs9gb>5<5s42297h=;<:ff?5e12wx4hm50;0x9=?32o8015kk:2`:?xu?ml0;6?u28819b7=:0ll1?o74}r:e4?6=:r735?4i2:?;b4<4j01v5h=:182f~;?n:08n4528d395a5<51nm6d29>c;3;o?637d082`6=:0jl1=i=4=9ag>4b4342hn7?k3:?;g<<6l:164n951e189=e228n8706l3;3g7>;?k80:h>528g395a5<51hm64>d29><`3=9m9015k8:0f0?8>b13;o?637ec82`6=:0ln1=i=4=9ge>4b43ty3j94?:4y>0=0=9m901967:0f0?8?7=3;o863605876c=z{1l=6=4:{<:e=?5ak27?;=4>d29>02>=9m9014>m:0f7?8?7i3>9j6s|8gc94?4|51l<6k<4=9de>6d>3ty3jo4?:3y>7}:0o21j?5291797g?52z?:40<6l?165=:53c;8yv?7:3:1>v360380f<=:19>1=i:4}r;37?6=:r72<>4=52=9m90q~7?6;296~;?n00m>6360c80f<=z{0:<6=4={<;3f?7c>272;>8h0:h95rs82:>5<5s43;57=m9:?:4d<6l:1v4>l:180[?7k272=5e=;o>0q~;i9;296~;2n>08n4525g:95a452z?61`<4j01698h51e08yv20;3:1>v3;7080f<=:<>81=i<4}r64`?6=:r7?;o402e=9m80q~6;5;296~;?<:08n45285695a452z?4b=<4j016;k751e08yv0fn3:1>v39ae80f<=:>ho1=i<4}r4a2g1=9m80q~8l1;296~;1jo08n4526b295a452z?5g=<4j016:n751e08yv0c;3:1>v39d080f<=:>m81=i<4}r4gg?6=:r7=hl42ad=9m80q~8j5;296~;1m:08n4526d695a452z?5af<4j016:hj51e08yv0a?3:1>v39f480f<=:>o<1=i<4}r4b2?6=:r7=m942d3=9m80q~8n0;296~;11l08n45268d95a47>52z?5=5<4j016:4?51e08yv>3i3:1>v374980f<=:0=31=i<4}r4a0?6=:r7=n?42g5=9m80q~8md;296~;1jk08n4526ca95a452z?5g1<4j016:n;51e08yv0dn3:1>v39ce80f<=:>jo1=i<4}r4g2a1=9m80q~8j1;296~;1lo08n4526d295a452z?5a=<4j016:h751e08yv0fj3:1>v39a880f<=:>hk1=i<4}r4:g?6=:r7=5l427>52z?4`5<4j016;i?51e08yv1dj3:1>v38c880f<=:?jk1=i<4}r5`0?6=:r73f5=9m80q~9md;296~;0jk08n4527ca95a452z?4f1<4j016;o;51e08yv1fn3:1>v38ae80f<=:?ho1=i<4}r5b3d1=9m80q~9n1;296~;01o08n4527`295a452z?4==<4j016;4751e08yv1?k3:1>v388`80f<=:?1h1=i<4}r7a0?6=:r7>n?41g5=9m80q~;ma;296~;2j108n4525c;95a452z?6g4<4j0169n<51e08yv0a;3:1>v39f080f<=:>o81=i<4}r4eg?6=:r7=jl42cd=9m80qp`>66494?7|@=>:7D=i4;3x6?{]9j<1=v<<3;~j400?3:1=vF;409J7c2=9r81qp`>66:94?7|@=>:7D=i4;3x6?{zf8<<57>51zJ704=N;o>1=v<5}|l222g=83;pD9:>;H1e0?7|93wQ=n851z007?{zf8<51zJ704=zf8<51zJ704=N;o>1=v<5}[3`2?7|::91qp`>66f94?7|@=>:7D=i4;3x6?{zf8<51zJ704=N;o>1=v<5}|l222`=83;pD9:>;H1e0?7|:3wvb<870;295~N3<81B?k:51z39y_7d>3;p>>=5}|l22=7=83;pD9:>;|l22=4=83;pD9:>;|l22=5=83;pD9:>;|l22=2=83;pD9:>;|l22=3=83;pD9:>;|l22=0=83;pD9:>;|l22=1=83;pD9:>;|l22=>=83;pD9:>;|l22=?=83;pD9:>;|l22=g=83;pD9:>;|l22=d=83;pD9:>;|l22=e=83;pD9:>;|l22=b=83;pD9:>;|l22=c=83;pD9:>;|l22=`=83;pD9:>;|l22<6=83;pD9:>;|l22<7=83;pD9:>;|l22<4=83;pD9:>;|l22<5=83;pD9:>;|l22<2=83;pD9:>;|l22<3=83;pD9:>;|l22<0=83;pD9:>;|l22<1=83;pD9:>;|l22<>=83;pD9:>;|l22;|l22;|l22;|l22;|l22;|l22;|l22<`=83;pD9:>;|l22d6=83;pD9:>;|l22d7=83;pD9:>;|l22d4=83;pD9:>;|l22d5=83;pD9:>;|l22d2=83;pD9:>;|l22d3=83;pD9:>;|l22d0=83;pD9:>;|l22d1=83;pD9:>;|l22d>=83;pD9:>;|l22d?=83;pD9:>;|l22dg=83;pD9:>;|l22dd=83;pD9:>;|l22de=83;pD9:>;|l22db=83;pD9:>;|l22dc=83;pD9:>;|l22d`=83;pD9:>;|l22g6=83;pD9:>;|l22g7=83;pD9:>;|l22g4=83;pD9:>;|l22g5=83;pD9:>;|l22g2=83;pD9:>;|l22g3=83;pD9:>;|l22g0=83;pD9:>;|l22g1=83;pD9:>;|l22g>=83;pD9:>;|l22g?=83;pD9:>;|l22gg=83;pD9:>;|l22gd=83;pD9:>;|l22ge=83;pD9:>;|l22gb=83;pD9:>;|l22gc=83;pD9:>;|l22g`=83;pD9:>;|l22f6=83;pD9:>;|l22f7=83;pD9:>;|l22f4=83;pD9:>;|l22f5=83;pD9:>;|l22f2=83;pD9:>;|l22f3=83;pD9:>;|l22f0=83;pD9:>;|l22f1=83;pD9:>;|l22f>=83;pD9:>;|l22f?=83;pD9:>;|l22fg=83;pD9:>;|l22fd=83;pD9:>;|l22fe=83;pD9:>;|l22fb=83;pD9:>;|l22fc=83;pD9:>;|l22f`=83;pD9:>;|l22a6=83;pD9:>;|l22a7=83;pD9:>;|l22a4=83;pD9:>;|l22a5=83;pD9:>;|l22a2=83;pD9:>;|l22a3=83;pD9:>;|l22a0=83;pD9:>;|l22a1=83;pD9:>;|l22a>=83;pD9:>;|l22a?=83;pD9:>;|l22ag=83;pD9:>;|l22ad=83;pD9:>;|l22ae=83;pD9:>;|l22ab=83;pD9:>;|l22ac=83;pD9:>;|l22a`=83;pD9:>;|l22`6=83;pD9:>;|l22`7=83;pD9:>;|l22`4=83;pD9:>;|l22`5=83;pD9:>;|l22`2=83;pD9:>;|l22`3=83;pD9:>;|l22`0=83;pD9:>;|l22`1=83;pD9:>;|l22`>=83;pD9:>;|l22`?=83;pD9:>;|l22`g=83;pD9:>;|l22`d=83;pD9:>;|l22`e=83;pD9:>;|l22`b=83;pD9:>;|l22`c=83;pD9:>;|l22``=83;pD9:>;|l22c6=83;pD9:>;|l22c7=83;pD9:>;|l22c4=83;pD9:>;|l22c5=83;pD9:>;|l22c2=83;pD9:>;|l22c3=83;pD9:>;|l22c0=83;pD9:>;|l22c1=83;pD9:>;|l22c>=83;pD9:>;|l22c?=83;pD9:>;|l22cg=83;pD9:>;|l22cd=83;pD9:>;|l22ce=83;pD9:>;|l22cb=83;pD9:>;|l22cc=83;pD9:>;|l22c`=83;pD9:>;|l2356=83;pD9:>;|l2357=83;pD9:>;|l2354=83;pD9:>;|l2355=83;pD9:>;|l2352=83;pD9:>;|l2353=83;pD9:>;|l2350=83;pD9:>;|l2351=83;pD9:>;|l235>=83;pD9:>;|l235?=83;pD9:>;|l235g=83;pD9:>;|l235d=83;pD9:>;|l235e=83;pD9:>;|l235b=83;pD9:>;|l235c=83;pD9:>;|l235`=83;pD9:>;|l2346=83;pD9:>;|l2347=83;pD9:>;|l2344=83;pD9:>;|l2345=83;pD9:>;|l2342=83;pD9:>;|l2343=83;pD9:>;|l2340=83;pD9:>;|l2341=83;pD9:>;|l234>=83;pD9:>;|l234?=83;pD9:>;|l234g=83;pD9:>;|l234d=83;pD9:>;|l234e=83;pD9:>;|l234b=83;pD9:>;|l234c=83;pD9:>;|l234`=83;pD9:>;|l2376=83;pD9:>;|l2377=83;pD9:>;|l2374=83;pD9:>;|l2375=83;pD9:>;|l2372=83;pD9:>;|l2373=83;pD9:>;|l2370=83;pD9:>;|l2371=83;pD9:>;|l237>=83;pD9:>;|l237?=83;pD9:>;|l237g=83;pD9:>;|l237d=83;pD9:>;|l237e=83;pD9:>;|l237b=83;pD9:>;|l237c=83;pD9:>;|l237`=83;pD9:>;|l2366=83;pD9:>;|l2367=83;pD9:>;|l2364=83;pD9:>;|l2365=83;pD9:>;|l2362=83;pD9:>;|l2363=83;pD9:>;|l2360=83;pD9:>;|l2361=83;pD9:>;|l236>=83;pD9:>;|l236?=83;pD9:>;|l236g=83;pD9:>;|l236d=83;pD9:>;|l236e=83;pD9:>;|l236b=83;pD9:>;|l236c=83;pD9:>;|l236`=83;pD9:>;|l2316=83;pD9:>;|l2317=83;pD9:>;|l2314=83;pD9:>;|l2315=83;pD9:>;|l2312=83;pD9:>;|l2313=83;pD9:>;|l2310=83;pD9:>;|l2311=83;pD9:>;|l231>=83;pD9:>;|l231?=83;pD9:>;|l231g=83;pD9:>;|l231d=83;pD9:>;|l231e=83;pD9:>;|l231b=83;pD9:>;|l231c=83;pD9:>;|l231`=83;pD9:>;|l2306=83;pD9:>;|l2307=83;pD9:>;|l2304=83;pD9:>;|l2305=83;pD9:>;|l2302=83;pD9:>;|l2303=83;pD9:>;|l2300=83;pD9:>;|l2301=83;pD9:>;|l230>=83;pD9:>;|l230?=83;pD9:>;|l230g=83;pD9:>;|l230d=83;pD9:>;|l230e=83;pD9:>;|l230b=83;pD9:>;|l230c=83;pD9:>;|l230`=83;pD9:>;|l2336=83;pD9:>;|l2337=83;pD9:>;|l2334=83;pD9:>;|l2335=83;pD9:>;|l2332=83;pD9:>;|l2333=83;pD9:>;|l2330=83;pD9:>;|l2331=83;pD9:>;|l233>=83;pD9:>;|l233?=83;pD9:>;|l233g=83;pD9:>;|l233d=83;pD9:>;|l233e=83;pD9:>;|l233b=83;pD9:>;|l233c=83;pD9:>;|l233`=83;pD9:>;|l2326=83;pD9:>;|l2327=83;pD9:>;|l2324=83;pD9:>;|l2325=83;pD9:>;|l2322=83;pD9:>;|l2323=83;pD9:>;|l2320=83;pD9:>;|l2321=83;pD9:>;|l232>=83;pD9:>;|l232?=83;pD9:>;|l232g=83;pD9:>;|l232d=83;pD9:>;|l232e=83;pD9:>;|l232b=83;pD9:>;|l232c=83;pD9:>;|l232`=83;pD9:>;|l23=6=83;pD9:>;|l23=7=83;pD9:>;|l23=4=83;pD9:>;|l23=5=83;pD9:>;|l23=2=83;pD9:>;|l23=3=83;pD9:>;|l23=0=83;pD9:>;|l23=1=83;pD9:>;|l23=>=83;pD9:>;|l23=?=83;pD9:>;|l23=g=83;pD9:>;|l23=d=83;pD9:>;|l23=e=83;pD9:>;|l23=b=83;pD9:>;|l23=c=83;pD9:>;|l23=`=83;pD9:>;H1e0?7|:3wQ=n851z007?{zf8=2<7>51zJ704=N;o>1=v<5}|l23<7=83;pD9:>;H1e0?7|:3wvb<962;295~N3<81B?k:51z09yxh6?091<7?tH562?L5a<3;p=7sU1b495~44;3wvb<964;295~N3<81vb<965;295~N3<81vb<966;295~N3<81vb<967;295~N3<81vb<968;295~N3<81vb<969;295~N3<81vb<96a;295~N3<81vb<96b;295~N3<81vb<96c;295~N3<81vb<96d;295~N3<81vb<96e;295~N3<81vb<96f;295~N3<81vb<9n0;295~N3<81vb<9n1;295~N3<81vb<9n2;295~N3<81vb<9n3;295~N3<81vb<9n4;295~N3<81vb<9n5;295~N3<81vb<9n6;295~N3<81vb<9n7;295~N3<81vb<9n8;295~N3<81vb<9n9;295~N3<81vb<9na;295~N3<81vb<9nb;295~N3<81vb<9nc;295~N3<81vb<9nd;295~N3<81vb<9ne;295~N3<81vb<9nf;295~N3<81vb<9m0;295~N3<81vb<9m1;295~N3<81vb<9m2;295~N3<81vb<9m3;295~N3<81B?k:51z39y_7d>3;p>>=5}|l23g2=83;pD9:>;|l23g3=838pD9:>;H1e0?7|93wQ=n851z007?{zf8=i:7>52zJ704=zf8=i;7>51zJ704=N;o>1=v?5}[3`2?7|::91qp`>7c:94?7|@=>:7D=i4;3x5?{]9j<1=v<<3;~j41e13:1=vF;409J7c2=9r;1qW?l6;3x665=utd:;oo50;3xL1263td:;ol50;3xL1263td:;om50;3xL1263td:;oj50;3xL1263td:;ok50;3xL1263td:;oh50;3xL1263td:;n>50;3xL1263td:;n?50;3xL1263td:;n<50;3xL1263td:;n=50;3xL1263td:;n:50;3xL1263td:;n;50;3xL1263td:;n850;3xL1263td:;n950;3xL1263td:;n650;3xL1263td:;n750;3xL1263td:;no50;3xL1263td:;nl50;3xL1263td:;nm50;3xL1263td:;nj50;3xL1263td:;nk50;3xL1263td:;nh50;3xL1263td:;i>50;3xL1263td:;i?50;3xL1263td:;i<50;3xL1263td:;i=50;3xL1263td:;i:50;3xL1263td:;i;50;3xL1263td:;i850;3xL1263td:;i950;3xL1263td:;i650;3xL1263td:;i750;3xL1263td:;io50;3xL1263td:;il50;3xL1263td:;im50;3xL1263td:;ij50;3xL1263td:;ik50;3xL1263td:;ih50;3xL1263td:;h>50;3xL1263td:;h?50;3xL1263td:;h<50;3xL1263td:;h=50;3xL1263td:;h:50;3xL1263td:;h;50;3xL1263td:;h850;3xL1263td:;h950;3xL1263td:;h650;3xL1263td:;h750;3xL1263td:;ho50;3xL1263td:;hl50;3xL1263td:;hm50;3xL1263td:;hj50;3xL1263td:;hk50;3xL1263td:;hh50;3xL1263td:;k>50;3xL1263td:;k?50;3xL1263td:;k<50;3xL1263td:;k=50;3xL1263td:;k:50;3xL1263td:;k;50;3xL1263td:;k850;3xL1263td:;k950;3xL1263td:;k650;3xL1263td:;k750;3xL1263td:;ko50;3xL1263td:;kl50;3xL1263td:;km50;3xL1263td:;kj50;3xL1263td:;kk50;3xL1263td:;kh50;3xL1263td:4=>50;3xL1263td:4=?50;3xL1263td:4=<50;3xL1263td:4==50;3xL1263td:4=:50;3xL1263td:4=;50;3xL1263td:4=850;3xL1263td:4=950;3xL1263td:4=650;3xL1263td:4=750;3xL1263td:4=o50;3xL1263td:4=l50;3xL1263td:4=m50;3xL1263td:4=j50;3xL1263td:4=k50;3xL1263td:4=h50;3xL1263td:4<>50;3xL1263td:450;3xL1263td:4??50;3xL1263td:4?<50;3xL1263td:4?=50;3xL1263td:4?:50;3xL1263td:4?;50;3xL1263td:4?850;3xL1263td:4?950;3xL1263td:4?650;3xL1263td:4?750;3xL1263td:4?o50;3xL1263td:4?l50;3xL1263td:4?m50;3xL1263td:4?j50;3xL1263td:4?k50;3xL1263td:4?h50;3xL1263td:4>>50;3xL1263td:4>?50;3xL1263td:4><50;3xL1263td:4>=50;3xL1263td:4>:50;3xL1263td:4>;50;3xL1263td:4>850;3xL1263td:4>950;3xL1263td:4>650;3xL1263td:4>750;3xL1263td:4>o50;3xL1263td:4>l50;3xL1263td:4>m50;3xL1263td:4>j50;3xL1263td:4>k50;3xL1263td:4>h50;3xL1263td:49>50;3xL1263td:49?50;3xL1263td:49<50;3xL1263td:49=50;3xL1263td:49:50;3xL1263td:49;50;3xL1263td:49850;3xL1263td:49950;3xL1263td:49650;3xL1263td:49750;3xL1263td:49o50;3xL1263td:49l50;3xL1263td:49m50;3xL1263td:49j50;3xL1263td:49k50;3xL1263td:49h50;3xL1263td:48>50;3xL1263td:48?50;3xL1263td:48<50;3xL1263td:48=50;3xL1263td:48:50;3xL1263td:48;50;3xL1263td:48850;3xL1263td:48950;3xL1263td:48650;3xL1263td:48750;3xL1263td:48o50;3xL1263td:48l50;3xL1263td:48m50;3xL1263td:48j50;3xL1263td:48k50;3xL1263td:48h50;3xL1263td:4;>50;3xL1263td:4;?50;3xL1263td:4;<50;3xL1263td:4;=50;3xL1263td:4;:50;3xL1263td:4;;50;3xL1263td:4;850;3xL1263td:4;950;3xL1263td:4;650;3xL1263td:4;750;3xL1263td:4;o50;3xL1263td:4;l50;3xL1263td:4;m50;3xL1263td:4;j50;3xL1263td:4;k50;3xL1263td:4;h50;3xL1263td:4:>50;3xL1263td:4:?50;3xL1263td:4:<50;3xL1263td:4:=50;3xL1263td:4::50;3xL1263td:4:;50;3xL1263td:4:850;3xL1263td:4:950;3xL1263td:4:650;3xL1263td:4:750;3xL1263td:4:o50;3xL1263@9m87?t2;Y5f0=9r88?7srn0:4f?6=9rB?8<5rn0:4g?6=9rB?8<5rn0:4`?6=9rB?8<5rn0:4a?6=9rB?8<5rn0:4b?6=9rB?8<5rn0:;4?6=9rB?8<5rn0:;5?6=9rB?8<5rn0:;6?6=9rB?8<5rn0:;7?6=9rB?8<5rn0:;0?6=9rB?8<5rn0:;1?6=9rB?8<5rn0:;2?6=9rB?8<5rn0:;3?6=9rB?8<5rn0:;7sU1b495~44;3wvb<67c;295~N3<81B?k:51z09y_7d>3;p>>=5}|l2<=b=83;pD9:>;H1e0?7|:3wQ=n851z007?{zf823i7>51zJ704=zf823j7>51zJ704=zf822<7>51zJ704=zf822=7>51zJ704=zf822>7>51zJ704=zf822?7>51zJ704=zf82287>51zJ704=zf82297>51zJ704=zf822:7>51zJ704=zf822;7>51zJ704=zf82247>51zJ704=zf82257>51zJ704=zf822m7>51zJ704=zf822n7>51zJ704=zf822o7>51zJ704=zf822h7>51zJ704=zf822i7>51zJ704=zf822j7>51zJ704=zf82j<7>51zJ704=zf82j=7>51zJ704=zf82j>7>51zJ704=zf82j?7>51zJ704=zf82j87>51zJ704=zf82j97>51zJ704=zf82j:7>51zJ704=zf82j;7>51zJ704=zf82j47>51zJ704=zf82j57>51zJ704=zf82jm7>51zJ704=zf82jn7>51zJ704=zf82jo7>51zJ704=zf82jh7>51zJ704=zf82ji7>51zJ704=zf82jj7>51zJ704=zf82i<7>51zJ704=zf82i=7>51zJ704=zf82i>7>51zJ704=zf82i?7>51zJ704=zf82i87>51zJ704=zf82i97>51zJ704=zf82i:7>51zJ704=zf82i;7>51zJ704=zf82i47>51zJ704=zf82i57>51zJ704=zf82im7>51zJ704=zf82in7>51zJ704=zf82io7>51zJ704=zf82ih7>51zJ704=zf82ii7>51zJ704=zf82ij7>51zJ704=zf82h<7>51zJ704=zf82h=7>51zJ704=zf82h>7>51zJ704=zf82h?7>51zJ704=zf82h87>51zJ704=zf82h97>51zJ704=zf82h:7>51zJ704=zf82h;7>51zJ704=zf82h47>51zJ704=zf82h57>51zJ704=zf82hm7>51zJ704=zf82hn7>51zJ704=zf82ho7>51zJ704=zf82hh7>51zJ704=zf82hi7>51zJ704=zf82hj7>51zJ704=zf82o<7>51zJ704=zf82o=7>51zJ704=zf82o>7>51zJ704=zf82o?7>51zJ704=zf82o87>51zJ704=zf82o97>51zJ704=zf82o:7>51zJ704=zf82o;7>51zJ704=zf82o47>51zJ704=zf82o57>51zJ704=zf82om7>51zJ704=zf82on7>51zJ704=zf82oo7>51zJ704=zf82oh7>51zJ704=zf82oi7>51zJ704=zf82oj7>51zJ704=zf82n<7>51zJ704=zf82n=7>51zJ704=zf82n>7>51zJ704=zf82n?7>51zJ704=zf82n87>51zJ704=zf82n97>51zJ704=zf82n:7>51zJ704=zf82n;7>51zJ704=zf82n47>51zJ704=zf82n57>51zJ704=zf82nm7>51zJ704=zf82nn7>51zJ704=zf82no7>51zJ704=zf82nh7>51zJ704=zf82ni7>51zJ704=zf82nj7>51zJ704=zf82m<7>51zJ704=zf82m=7>51zJ704=zf82m>7>51zJ704=zf82m?7>51zJ704=zf82m87>51zJ704=zf82m97>51zJ704=zf82m:7>51zJ704=zf82m;7>51zJ704=zf82m47>51zJ704=zf82m57>51zJ704=zf82mm7>51zJ704=zf82mn7>51zJ704=zf82mo7>51zJ704=zf82mh7>51zJ704=zf82mi7>51zJ704=zf82mj7>51zJ704=zf83;<7>51zJ704=zf83;=7>51zJ704=zf83;>7>51zJ704=zf83;?7>51zJ704=zf83;87>51zJ704=zf83;97>51zJ704=zf83;:7>51zJ704=zf83;;7>51zJ704=zf83;47>51zJ704=zf83;57>51zJ704=zf83;m7>51zJ704=zf83;n7>51zJ704=zf83;o7>51zJ704=zf83;h7>51zJ704=zf83;i7>51zJ704=zf83;j7>51zJ704=zf83:<7>51zJ704=zf83:=7>51zJ704=zf83:>7>51zJ704=zf83:?7>51zJ704=zf83:87>51zJ704=zf83:97>51zJ704=zf83::7>51zJ704=zf83:;7>51zJ704=zf83:47>51zJ704=zf83:57>51zJ704=zf83:m7>51zJ704=zf83:n7>51zJ704=zf83:o7>51zJ704=zf83:h7>51zJ704=zf83:i7>51zJ704=zf83:j7>51zJ704=zf839<7>51zJ704=zf839=7>51zJ704=zf839>7>51zJ704=zf839?7>51zJ704=zf83987>51zJ704=zf83997>51zJ704=zf839:7>51zJ704=zf839;7>51zJ704=zf83947>51zJ704=zf83957>51zJ704=zf839m7>51zJ704=zf839n7>51zJ704=zf839o7>51zJ704=zf839h7>51zJ704=zf839i7>51zJ704=zf839j7>51zJ704=zf838<7>51zJ704=zf838=7>51zJ704=zf838>7>51zJ704=zf838?7>51zJ704=zf83887>51zJ704=zf83897>51zJ704=zf838:7>51zJ704=zf838;7>51zJ704=zf83847>51zJ704=zf83857>51zJ704=zf838m7>51zJ704=zf838n7>51zJ704=zf838o7>51zJ704=zf838h7>51zJ704=zf838i7>51zJ704=zf838j7>51zJ704=zf83?<7>51zJ704=zf83?=7>51zJ704=zf83?>7>51zJ704=zf83??7>51zJ704=zf83?87>51zJ704=zf83?97>51zJ704=zf83?:7>51zJ704=zf83?;7>51zJ704=zf83?47>51zJ704=zf83?57>51zJ704=zf83?m7>51zJ704=zf83?n7>51zJ704=zf83?o7>51zJ704=zf83?h7>51zJ704=zf83?i7>51zJ704=zf83?j7>51zJ704=zf83><7>51zJ704=zf83>=7>51zJ704=zf83>>7>51zJ704=zf83>?7>51zJ704=zf83>87>51zJ704=zf83>97>51zJ704=zf83>:7>51zJ704=zf83>;7>51zJ704=zf83>47>51zJ704=zf83>57>51zJ704=zf83>m7>51zJ704=zf83>n7>51zJ704=zf83>o7>51zJ704=zf83>h7>51zJ704=zf83>i7>51zJ704=zf83>j7>51zJ704=zf83=<7>51zJ704=zf83==7>51zJ704=zf83=>7>51zJ704=zf83=?7>51zJ704=zf83=87>51zJ704=zf83=97>51zJ704=zf83=:7>51zJ704=zf83=;7>51zJ704=zf83=47>51zJ704=zf83=57>51zJ704=zf83=m7>51zJ704=zf83=n7>51zJ704=zf83=o7>51zJ704=zf83=h7>51zJ704=zf83=i7>51zJ704=zf83=j7>51zJ704=zf83<<7>51zJ704=zf83<=7>51zJ704=zf83<>7>51zJ704=zf8351zJ704=zf83<87>51zJ704=zf83<97>51zJ704=zf83<:7>51zJ704=zf83<;7>51zJ704=zf83<47>51zJ704=zf83<57>51zJ704=zf8351zJ704=zf8351zJ704=zf8351zJ704=zf8351zJ704=zf8351zJ704=zf8351zJ704=zf833<7>51zJ704=zf833=7>51zJ704=zf833>7>51zJ704=zf833?7>51zJ704=zf83387>51zJ704=zf83397>51zJ704=zf833:7>51zJ704=zf833;7>51zJ704=zf83347>51zJ704=zf83357>51zJ704=zf833m7>51zJ704=zf833n7>51zJ704=zf833o7>51zJ704=zf833h7>51zJ704=zf833i7>51zJ704=zf833j7>51zJ704=zf832<7>51zJ704=zf832=7>51zJ704=zf832>7>51zJ704=zf832?7>51zJ704=zf83287>51zJ704=zf83297>51zJ704=zf832:7>51zJ704=zf832;7>51zJ704=zf83247>51zJ704=zf83257>51zJ704=zf832m7>51zJ704=zf832n7>51zJ704=zf832o7>51zJ704=zf832h7>51zJ704=zf832i7>51zJ704=zf832j7>51zJ704=zf83j<7>51zJ704=zf83j=7>51zJ704=zf83j>7>51zJ704=zf83j?7>51zJ704=zf83j87>51zJ704=zf83j97>51zJ704=zf83j:7>51zJ704=zf83j;7>51zJ704=zf83j47>51zJ704=zf83j57>51zJ704=zf83jm7>51zJ704=zf83jn7>51zJ704=zf83jo7>51zJ704=zf83jh7>51zJ704=zf83ji7>51zJ704=zf83jj7>51zJ704=zf83i<7>51zJ704=zf83i=7>51zJ704=zf83i>7>51zJ704=zf83i?7>51zJ704=zf83i87>51zJ704=zf83i97>51zJ704=zf83i:7>51zJ704=zf83i;7>51zJ704=zf83i47>51zJ704=zf83i57>51zJ704=zf83im7>51zJ704=zf83in7>51zJ704=zf83io7>51zJ704=zf83ih7>51zJ704=zf83ii7>51zJ704=zf83ij7>51zJ704=zf83h<7>51zJ704=zf83h=7>51zJ704=zf83h>7>51zJ704=zf83h?7>51zJ704=zf83h87>51zJ704=zf83h97>51zJ704=zf83h:7>51zJ704=zf83h;7>51zJ704=zf83h47>51zJ704=zf83h57>51zJ704=zf83hm7>51zJ704=zf83hn7>51zJ704=zf83ho7>51zJ704=zf83hh7>51zJ704=zf83hi7>51zJ704=zf83hj7>51zJ704=zf83o<7>51zJ704=zf83o=7>51zJ704=zf83o>7>51zJ704=zf83o?7>51zJ704=zf83o87>51zJ704=zf83o97>51zJ704=zf83o:7>51zJ704=zf83o;7>51zJ704=zf83o47>51zJ704=zf83o57>51zJ704=zf83om7>51zJ704=zf83on7>51zJ704=zf83oo7>51zJ704=zf83oh7>51zJ704=zf83oi7>51zJ704=zf83oj7>51zJ704=zf83n<7>51zJ704=zf83n=7>51zJ704=zf83n>7>51zJ704=zf83n?7>51zJ704=zf83n87>51zJ704=zf83n97>51zJ704=zf83n:7>51zJ704=zf83n;7>51zJ704=zf83n47>51zJ704=zf83n57>51zJ704=zf83nm7>51zJ704=zf83nn7>51zJ704=zf83no7>51zJ704=zf83nh7>51zJ704=zf83ni7>51zJ704=zf83nj7>51zJ704=zf83m<7>51zJ704=zf83m=7>51zJ704=zf83m>7>51zJ704=zf83m?7>51zJ704=zf83m87>51zJ704=zf83m97>51zJ704=zf83m:7>51zJ704=zf83m;7>51zJ704=zf83m47>51zJ704=zf83m57>51zJ704=zf83mm7>51zJ704=zf83mn7>51zJ704=zf83mo7>51zJ704=zf83mh7>51zJ704=zf83mi7>51zJ704=zf83mj7>51zJ704=zf8k;<7>51zJ704=zf8k;=7>51zJ704=zf8k;>7>51zJ704=zf8k;?7>51zJ704=zf8k;87>51zJ704=zf8k;97>51zJ704=zf8k;:7>51zJ704=zf8k;;7>51zJ704=zf8k;47>51zJ704=zf8k;57>51zJ704=zf8k;m7>51zJ704=zf8k;n7>51zJ704=zf8k;o7>51zJ704=zf8k;h7>51zJ704=zf8k;i7>51zJ704=zf8k;j7>51zJ704=zf8k:<7>51zJ704=zf8k:=7>51zJ704=zf8k:>7>51zJ704=zf8k:?7>51zJ704=zf8k:87>51zJ704=zf8k:97>51zJ704=zf8k::7>51zJ704=zf8k:;7>51zJ704=zf8k:47>51zJ704=zf8k:57>51zJ704=zf8k:m7>51zJ704=zf8k:n7>51zJ704=zf8k:o7>51zJ704=zf8k:h7>51zJ704=zf8k:i7>51zJ704=zf8k:j7>51zJ704=zf8k9<7>51zJ704=zf8k9=7>51zJ704=zf8k9>7>51zJ704=zf8k9?7>51zJ704=zf8k987>51zJ704=zf8k997>51zJ704=zf8k9:7>51zJ704=zf8k9;7>51zJ704=zf8k947>51zJ704=zf8k957>51zJ704=zf8k9m7>51zJ704=zf8k9n7>51zJ704=zf8k9o7>51zJ704=zf8k9h7>51zJ704=zf8k9i7>51zJ704=zf8k9j7>51zJ704=zf8k8<7>51zJ704=zf8k8=7>51zJ704=zf8k8>7>51zJ704=zf8k8?7>51zJ704=zf8k887>51zJ704=zf8k897>51zJ704=zf8k8:7>51zJ704=zf8k8;7>51zJ704=zf8k847>51zJ704=zf8k857>51zJ704=zf8k8m7>51zJ704=zf8k8n7>51zJ704=zf8k8o7>51zJ704=zf8k8h7>51zJ704=zf8k8i7>51zJ704=zf8k8j7>51zJ704=zf8k?<7>51zJ704=zf8k?=7>51zJ704=zf8k?>7>51zJ704=zf8k??7>51zJ704=zf8k?87>51zJ704=zf8k?97>51zJ704=zf8k?:7>51zJ704=zf8k?;7>51zJ704=zf8k?47>51zJ704=zf8k?57>51zJ704=zf8k?m7>51zJ704=zf8k?n7>51zJ704=zf8k?o7>51zJ704=zf8k?h7>51zJ704=zf8k?i7>51zJ704=N;o>1=v<5}[3`2?7|::91qp`>19194?7|ug;j8k4?:0y~j4g283:1=vsa1`72>5<6std:m8<50;3xyk7f=:0;6{|l2e0g=83;pqc?n5c83>4}zf8k>o7>51zm5d3c290:wp`>a4g94?7|ug;j9k4?:0y~j4g183:1=vsa1`42>5<6std:m;<50;3xyk7f>:0;6{|l2e3g=83;pqc?n6c83>4}zf8k=o7>51zm5d0c290:wp`>a7g94?7|ug;j:k4?:0y~j4g083:1=vsa1`52>5<6std:m:<50;3xyk7f?:0;6<1<7?t}o3b32<728qvb{|l2e2g=83;pqpsr@AAx5`>62l;<94997|BCF~6zHIZpqMN \ No newline at end of file Index: github/Snake-master/snake.gise =================================================================== --- github/Snake-master/snake.gise (revision 428) +++ github/Snake-master/snake.gise (nonexistent) @@ -1,191 +0,0 @@ - - - - - - - - - - - - - - - - - - - - 11.1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Index: github/Snake-master/base.bit =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/base.bit =================================================================== --- github/Snake-master/base.bit (revision 428) +++ github/Snake-master/base.bit (nonexistent)

github/Snake-master/base.bit Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/usage_statistics_webtalk.html =================================================================== --- github/Snake-master/usage_statistics_webtalk.html (revision 428) +++ github/Snake-master/usage_statistics_webtalk.html (nonexistent) @@ -1,1089 +0,0 @@ -Device Usage Statistics Report - -

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Software Version and Target Device
Product Version:ISE:12.3 (WebPack) - M.70dTarget Family:Spartan3A and Spartan3AN
OS Platform:LINTarget Device:xc3s200a
Project ID (random number)b896e776ba214d59bb421262ecc6d5ed.CD4206F80301AC7C78B8795C5D1329A8.1Target Package:vq100
Registration ID0_0_320Target Speed:-4
Date Generated2014-05-08T19:59:29Tool FlowISE

- - - - - - - - - - - - - - -
User Environment
OS NameDebianOS ReleaseDebian GNU/Linux 7.4 (wheezy)
CPU NameAMD Athlon(tm) II X2 255 ProcessorCPU Speed800.000 MHz

- - - - - - - - - - - - -
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
-Adders/Subtractors=10 -
    -
  • 11-bit adder=5
  • -
  • 11-bit subtractor=1
  • -
  • 26-bit adder=1
  • -
  • 4-bit adder=1
  • -
  • 5-bit addsub=1
  • -
  • 6-bit addsub=1
  • -
-
-Comparators=13 -
    -
  • 10-bit comparator greatequal=2
  • -
  • 10-bit comparator less=2
  • -
  • 10-bit comparator lessequal=2
  • -
  • 11-bit comparator greatequal=1
  • -
  • 11-bit comparator greater=1
  • -
  • 11-bit comparator less=3
  • -
  • 26-bit comparator less=1
  • -
  • 4-bit comparator less=1
  • -
-
-Counters=3 -
    -
  • 10-bit up counter=3
  • -
-
-Multipliers=2 -
    -
  • 5x6-bit registered multiplier=2
  • -
-
-RAMs=1 -
    -
  • 1200x11-bit dual-port block RAM=1
  • -
-
-Registers=138 -
    -
  • Flip-Flops=138
  • -
-
-Xors=1 -
    -
  • 1-bit xor2=1
  • -
-
-
-MiscellaneousStatistics -
    -
  • AGG_BONDED_IO=26
  • -
  • AGG_IO=26
  • -
  • AGG_SLICE=252
  • -
  • NUM_4_INPUT_LUT=461
  • -
  • NUM_BONDED_IBUF=8
  • -
  • NUM_BONDED_IOB=18
  • -
  • NUM_BUFGMUX=2
  • -
  • NUM_CYMUX=126
  • -
  • NUM_LUT_RT=92
  • -
  • NUM_MULT18X18SIO=2
  • -
  • NUM_MULTAND=5
  • -
  • NUM_RAMB16BWE=2
  • -
  • NUM_SLICEL=252
  • -
  • NUM_SLICE_FF=162
  • -
  • NUM_XOR=118
  • -
-
-
-NetStatistics -
    -
  • NumNets_Active=603
  • -
  • NumNets_Gnd=1
  • -
  • NumNets_Vcc=1
  • -
  • NumNodesOfType_Active_BRAMADDR=44
  • -
  • NumNodesOfType_Active_BRAMDUMMY=77
  • -
  • NumNodesOfType_Active_CLKPIN=111
  • -
  • NumNodesOfType_Active_CNTRLPIN=111
  • -
  • NumNodesOfType_Active_DOUBLE=954
  • -
  • NumNodesOfType_Active_DUMMY=1343
  • -
  • NumNodesOfType_Active_DUMMYBANK=33
  • -
  • NumNodesOfType_Active_DUMMYESC=8
  • -
  • NumNodesOfType_Active_GLOBAL=59
  • -
  • NumNodesOfType_Active_HFULLHEX=10
  • -
  • NumNodesOfType_Active_HLONG=2
  • -
  • NumNodesOfType_Active_HUNIHEX=36
  • -
  • NumNodesOfType_Active_INPUT=1540
  • -
  • NumNodesOfType_Active_IOBOUTPUT=8
  • -
  • NumNodesOfType_Active_OMUX=551
  • -
  • NumNodesOfType_Active_OUTPUT=569
  • -
  • NumNodesOfType_Active_PREBXBY=330
  • -
  • NumNodesOfType_Active_VFULLHEX=41
  • -
  • NumNodesOfType_Active_VLONG=3
  • -
  • NumNodesOfType_Active_VUNIHEX=83
  • -
  • NumNodesOfType_Gnd_BRAMADDR=4
  • -
  • NumNodesOfType_Gnd_BRAMDUMMY=80
  • -
  • NumNodesOfType_Gnd_DOUBLE=27
  • -
  • NumNodesOfType_Gnd_DUMMYBANK=11
  • -
  • NumNodesOfType_Gnd_INPUT=93
  • -
  • NumNodesOfType_Gnd_OMUX=21
  • -
  • NumNodesOfType_Gnd_OUTPUT=13
  • -
  • NumNodesOfType_Gnd_PREBXBY=10
  • -
  • NumNodesOfType_Gnd_VFULLHEX=2
  • -
  • NumNodesOfType_Vcc_BRAMDUMMY=6
  • -
  • NumNodesOfType_Vcc_CNTRLPIN=2
  • -
  • NumNodesOfType_Vcc_INPUT=11
  • -
  • NumNodesOfType_Vcc_PREBXBY=5
  • -
  • NumNodesOfType_Vcc_VCCOUT=12
  • -
-
-SiteStatistics -
    -
  • IBUF-DIFFMTB=4
  • -
  • IBUF-DIFFSTB=3
  • -
  • IOB-DIFFMLR=2
  • -
  • IOB-DIFFMTB=7
  • -
  • IOB-DIFFSLR=3
  • -
  • IOB-DIFFSTB=6
  • -
  • SLICEL-SLICEM=115
  • -
-
-
-SiteSummary -
    -
  • BUFGMUX=2
  • -
  • BUFGMUX_GCLKMUX=2
  • -
  • BUFGMUX_GCLK_BUFFER=2
  • -
  • IBUF=8
  • -
  • IBUF_DELAY_ADJ_BBOX=8
  • -
  • IBUF_INBUF=8
  • -
  • IBUF_PAD=8
  • -
  • IOB=18
  • -
  • IOB_OUTBUF=18
  • -
  • IOB_PAD=18
  • -
  • MULT18X18SIO=2
  • -
  • MULT18X18SIO_MULT18X18SIO=2
  • -
  • RAMB16BWE=2
  • -
  • RAMB16BWE_RAMB16BWE=2
  • -
  • SLICEL=252
  • -
  • SLICEL_C1VDD=13
  • -
  • SLICEL_C2VDD=8
  • -
  • SLICEL_CYMUXF=66
  • -
  • SLICEL_CYMUXG=60
  • -
  • SLICEL_F=237
  • -
  • SLICEL_F5MUX=22
  • -
  • SLICEL_FAND=2
  • -
  • SLICEL_FFX=97
  • -
  • SLICEL_FFY=65
  • -
  • SLICEL_G=224
  • -
  • SLICEL_GAND=3
  • -
  • SLICEL_GNDF=47
  • -
  • SLICEL_GNDG=46
  • -
  • SLICEL_XORF=62
  • -
  • SLICEL_XORG=56
  • -
-
-

- - - - - - - -
Configuration Data
-BUFGMUX -
    -
  • S=[S_INV:2] [S:0]
  • -
-
-BUFGMUX_GCLKMUX -
    -
  • DISABLE_ATTR=[LOW:2]
  • -
  • S=[S_INV:2] [S:0]
  • -
-
-IBUF_DELAY_ADJ_BBOX -
    -
  • DELAY_ADJ_ATTRBOX=[FIXED:8]
  • -
  • IBUF_DELAY_VALUE=[DLY0:8]
  • -
  • IFD_DELAY_VALUE=[DLY0:8]
  • -
  • SEL_IN=[SEL_IN:8] [SEL_IN_INV:0]
  • -
-
-IBUF_PAD -
    -
  • IOATTRBOX=[LVCMOS25:8]
  • -
-
-IOB -
    -
  • O1=[O1_INV:0] [O1:18]
  • -
-
-IOB_OUTBUF -
    -
  • IN=[IN_INV:0] [IN:18]
  • -
  • SUSPEND=[3STATE:18]
  • -
-
-IOB_PAD -
    -
  • DRIVEATTRBOX=[12:18]
  • -
  • IOATTRBOX=[LVCMOS25:18]
  • -
  • SLEW=[SLOW:18]
  • -
-
-MULT18X18SIO -
    -
  • CEA=[CEA_INV:0] [CEA:2]
  • -
  • CEB=[CEB_INV:0] [CEB:2]
  • -
  • CEP=[CEP:2] [CEP_INV:0]
  • -
  • CLK=[CLK:2] [CLK_INV:0]
  • -
  • RSTA=[RSTA:2] [RSTA_INV:0]
  • -
  • RSTB=[RSTB:2] [RSTB_INV:0]
  • -
  • RSTP=[RSTP_INV:0] [RSTP:2]
  • -
-
-MULT18X18SIO_MULT18X18SIO -
    -
  • AREG=[1:2]
  • -
  • BREG=[0:2]
  • -
  • B_INPUT=[DIRECT:2]
  • -
  • CEA=[CEA_INV:0] [CEA:2]
  • -
  • CEB=[CEB_INV:0] [CEB:2]
  • -
  • CEP=[CEP:2] [CEP_INV:0]
  • -
  • CLK=[CLK:2] [CLK_INV:0]
  • -
  • PREG=[0:2]
  • -
  • PREG_CLKINVERSION=[0:2]
  • -
  • RSTA=[RSTA:2] [RSTA_INV:0]
  • -
  • RSTB=[RSTB:2] [RSTB_INV:0]
  • -
  • RSTP=[RSTP_INV:0] [RSTP:2]
  • -
-
- -RAMB16BWE -
    -
  • CLKA=[CLKA_INV:0] [CLKA:2]
  • -
  • CLKB=[CLKB_INV:0] [CLKB:2]
  • -
  • ENA=[ENA_INV:0] [ENA:2]
  • -
  • ENB=[ENB_INV:0] [ENB:2]
  • -
  • SSRA=[SSRA_INV:0] [SSRA:2]
  • -
  • SSRB=[SSRB_INV:0] [SSRB:2]
  • -
  • WEA0=[WEA0:2] [WEA0_INV:0]
  • -
  • WEA1=[WEA1:2] [WEA1_INV:0]
  • -
  • WEA2=[WEA2:2] [WEA2_INV:0]
  • -
  • WEA3=[WEA3_INV:0] [WEA3:2]
  • -
  • WEB0=[WEB0:2] [WEB0_INV:0]
  • -
  • WEB1=[WEB1:2] [WEB1_INV:0]
  • -
  • WEB2=[WEB2_INV:0] [WEB2:2]
  • -
  • WEB3=[WEB3:2] [WEB3_INV:0]
  • -
-
-RAMB16BWE_RAMB16BWE -
    -
  • CLKA=[CLKA_INV:0] [CLKA:2]
  • -
  • CLKB=[CLKB_INV:0] [CLKB:2]
  • -
  • DATA_WIDTH_A=[2:1] [9:1]
  • -
  • DATA_WIDTH_B=[2:1] [9:1]
  • -
  • ENA=[ENA_INV:0] [ENA:2]
  • -
  • ENB=[ENB_INV:0] [ENB:2]
  • -
  • SSRA=[SSRA_INV:0] [SSRA:2]
  • -
  • SSRB=[SSRB_INV:0] [SSRB:2]
  • -
  • WEA0=[WEA0:2] [WEA0_INV:0]
  • -
  • WEA1=[WEA1:2] [WEA1_INV:0]
  • -
  • WEA2=[WEA2:2] [WEA2_INV:0]
  • -
  • WEA3=[WEA3_INV:0] [WEA3:2]
  • -
  • WEB0=[WEB0:2] [WEB0_INV:0]
  • -
  • WEB1=[WEB1:2] [WEB1_INV:0]
  • -
  • WEB2=[WEB2_INV:0] [WEB2:2]
  • -
  • WEB3=[WEB3:2] [WEB3_INV:0]
  • -
  • WRITE_MODE_A=[READ_FIRST:2]
  • -
  • WRITE_MODE_B=[READ_FIRST:2]
  • -
-
- -SLICEL -
    -
  • BX=[BX_INV:0] [BX:44]
  • -
  • BY=[BY:12] [BY_INV:1]
  • -
  • CE=[CE:89] [CE_INV:1]
  • -
  • CIN=[CIN_INV:0] [CIN:60]
  • -
  • CLK=[CLK:105] [CLK_INV:0]
  • -
  • SR=[SR:21] [SR_INV:0]
  • -
-
-SLICEL_CYMUXF -
    -
  • 0=[0:66] [0_INV:0]
  • -
  • 1=[1_INV:0] [1:66]
  • -
-
-SLICEL_CYMUXG -
    -
  • 0=[0:60] [0_INV:0]
  • -
-
-SLICEL_F5MUX -
    -
  • S0=[S0:22] [S0_INV:0]
  • -
-
-SLICEL_FFX -
    -
  • CE=[CE:83] [CE_INV:1]
  • -
  • CK=[CK:97] [CK_INV:0]
  • -
  • D=[D:97] [D_INV:0]
  • -
  • FFX_INIT_ATTR=[INIT0:89] [INIT1:8]
  • -
  • FFX_SR_ATTR=[SRLOW:96] [SRHIGH:1]
  • -
  • LATCH_OR_FF=[FF:97]
  • -
  • SR=[SR:18] [SR_INV:0]
  • -
  • SYNC_ATTR=[ASYNC:79] [SYNC:18]
  • -
-
-SLICEL_FFY -
    -
  • CE=[CE:50] [CE_INV:0]
  • -
  • CK=[CK:65] [CK_INV:0]
  • -
  • D=[D:64] [D_INV:1]
  • -
  • FFY_INIT_ATTR=[INIT0:60] [INIT1:5]
  • -
  • FFY_SR_ATTR=[SRLOW:65]
  • -
  • LATCH_OR_FF=[FF:65]
  • -
  • SR=[SR:21] [SR_INV:0]
  • -
  • SYNC_ATTR=[ASYNC:44] [SYNC:21]
  • -
-
- -SLICEL_XORF -
    -
  • 1=[1_INV:0] [1:62]
  • -
-
-

- - - - - - -
Pin Data
-BUFGMUX -
    -
  • I0=2
  • -
  • O=2
  • -
  • S=2
  • -
-
-BUFGMUX_GCLKMUX -
    -
  • I0=2
  • -
  • OUT=2
  • -
  • S=2
  • -
-
-BUFGMUX_GCLK_BUFFER -
    -
  • IN=2
  • -
  • OUT=2
  • -
-
-IBUF -
    -
  • I=8
  • -
  • PAD=8
  • -
-
-IBUF_DELAY_ADJ_BBOX -
    -
  • IBUF_OUT=8
  • -
  • SEL_IN=8
  • -
-
-IBUF_INBUF -
    -
  • IN=8
  • -
  • OUT=8
  • -
-
-IBUF_PAD -
    -
  • PAD=8
  • -
-
-IOB -
    -
  • O1=18
  • -
  • PAD=18
  • -
-
-IOB_OUTBUF -
    -
  • IN=18
  • -
  • OUT=18
  • -
-
-IOB_PAD -
    -
  • PAD=18
  • -
-
-MULT18X18SIO -
    -
  • A0=2
  • -
  • A1=2
  • -
  • A10=2
  • -
  • A11=2
  • -
  • A12=2
  • -
  • A13=2
  • -
  • A14=2
  • -
  • A15=2
  • -
  • A16=2
  • -
  • A17=2
  • -
  • A2=2
  • -
  • A3=2
  • -
  • A4=2
  • -
  • A5=2
  • -
  • A6=2
  • -
  • A7=2
  • -
  • A8=2
  • -
  • A9=2
  • -
  • B0=2
  • -
  • B1=2
  • -
  • B10=2
  • -
  • B11=2
  • -
  • B12=2
  • -
  • B13=2
  • -
  • B14=2
  • -
  • B15=2
  • -
  • B16=2
  • -
  • B17=2
  • -
  • B2=2
  • -
  • B3=2
  • -
  • B4=2
  • -
  • B5=2
  • -
  • B6=2
  • -
  • B7=2
  • -
  • B8=2
  • -
  • B9=2
  • -
  • CEA=2
  • -
  • CEB=2
  • -
  • CEP=2
  • -
  • CLK=2
  • -
  • P0=2
  • -
  • P1=2
  • -
  • P10=2
  • -
  • P2=2
  • -
  • P3=2
  • -
  • P4=2
  • -
  • P5=2
  • -
  • P6=2
  • -
  • P7=2
  • -
  • P8=2
  • -
  • P9=2
  • -
  • RSTA=2
  • -
  • RSTB=2
  • -
  • RSTP=2
  • -
-
-MULT18X18SIO_MULT18X18SIO -
    -
  • A0=2
  • -
  • A1=2
  • -
  • A10=2
  • -
  • A11=2
  • -
  • A12=2
  • -
  • A13=2
  • -
  • A14=2
  • -
  • A15=2
  • -
  • A16=2
  • -
  • A17=2
  • -
  • A2=2
  • -
  • A3=2
  • -
  • A4=2
  • -
  • A5=2
  • -
  • A6=2
  • -
  • A7=2
  • -
  • A8=2
  • -
  • A9=2
  • -
  • B0=2
  • -
  • B1=2
  • -
  • B10=2
  • -
  • B11=2
  • -
  • B12=2
  • -
  • B13=2
  • -
  • B14=2
  • -
  • B15=2
  • -
  • B16=2
  • -
  • B17=2
  • -
  • B2=2
  • -
  • B3=2
  • -
  • B4=2
  • -
  • B5=2
  • -
  • B6=2
  • -
  • B7=2
  • -
  • B8=2
  • -
  • B9=2
  • -
  • CEA=2
  • -
  • CEB=2
  • -
  • CEP=2
  • -
  • CLK=2
  • -
  • P0=2
  • -
  • P1=2
  • -
  • P10=2
  • -
  • P2=2
  • -
  • P3=2
  • -
  • P4=2
  • -
  • P5=2
  • -
  • P6=2
  • -
  • P7=2
  • -
  • P8=2
  • -
  • P9=2
  • -
  • RSTA=2
  • -
  • RSTB=2
  • -
  • RSTP=2
  • -
-
- -RAMB16BWE -
    -
  • ADDRA1=1
  • -
  • ADDRA10=2
  • -
  • ADDRA11=2
  • -
  • ADDRA12=2
  • -
  • ADDRA13=2
  • -
  • ADDRA2=1
  • -
  • ADDRA3=2
  • -
  • ADDRA4=2
  • -
  • ADDRA5=2
  • -
  • ADDRA6=2
  • -
  • ADDRA7=2
  • -
  • ADDRA8=2
  • -
  • ADDRA9=2
  • -
  • ADDRB1=1
  • -
  • ADDRB10=2
  • -
  • ADDRB11=2
  • -
  • ADDRB12=2
  • -
  • ADDRB13=2
  • -
  • ADDRB2=1
  • -
  • ADDRB3=2
  • -
  • ADDRB4=2
  • -
  • ADDRB5=2
  • -
  • ADDRB6=2
  • -
  • ADDRB7=2
  • -
  • ADDRB8=2
  • -
  • ADDRB9=2
  • -
  • CLKA=2
  • -
  • CLKB=2
  • -
  • DIA0=2
  • -
  • DIA1=2
  • -
  • DIA2=1
  • -
  • DIA3=1
  • -
  • DIA4=1
  • -
  • DIA5=1
  • -
  • DIA6=1
  • -
  • DIA7=1
  • -
  • DIPA0=1
  • -
  • DOA0=2
  • -
  • DOA1=2
  • -
  • DOA2=1
  • -
  • DOA3=1
  • -
  • DOA4=1
  • -
  • DOA5=1
  • -
  • DOA6=1
  • -
  • DOA7=1
  • -
  • DOB0=2
  • -
  • DOB1=2
  • -
  • DOB2=1
  • -
  • DOB3=1
  • -
  • DOB4=1
  • -
  • DOB5=1
  • -
  • DOB6=1
  • -
  • DOB7=1
  • -
  • DOPA0=1
  • -
  • DOPB0=1
  • -
  • ENA=2
  • -
  • ENB=2
  • -
  • SSRA=2
  • -
  • SSRB=2
  • -
  • WEA0=2
  • -
  • WEA1=2
  • -
  • WEA2=2
  • -
  • WEA3=2
  • -
  • WEB0=2
  • -
  • WEB1=2
  • -
  • WEB2=2
  • -
  • WEB3=2
  • -
-
-RAMB16BWE_RAMB16BWE -
    -
  • ADDRA1=1
  • -
  • ADDRA10=2
  • -
  • ADDRA11=2
  • -
  • ADDRA12=2
  • -
  • ADDRA13=2
  • -
  • ADDRA2=1
  • -
  • ADDRA3=2
  • -
  • ADDRA4=2
  • -
  • ADDRA5=2
  • -
  • ADDRA6=2
  • -
  • ADDRA7=2
  • -
  • ADDRA8=2
  • -
  • ADDRA9=2
  • -
  • ADDRB1=1
  • -
  • ADDRB10=2
  • -
  • ADDRB11=2
  • -
  • ADDRB12=2
  • -
  • ADDRB13=2
  • -
  • ADDRB2=1
  • -
  • ADDRB3=2
  • -
  • ADDRB4=2
  • -
  • ADDRB5=2
  • -
  • ADDRB6=2
  • -
  • ADDRB7=2
  • -
  • ADDRB8=2
  • -
  • ADDRB9=2
  • -
  • CLKA=2
  • -
  • CLKB=2
  • -
  • DIA0=2
  • -
  • DIA1=2
  • -
  • DIA2=1
  • -
  • DIA3=1
  • -
  • DIA4=1
  • -
  • DIA5=1
  • -
  • DIA6=1
  • -
  • DIA7=1
  • -
  • DIPA0=1
  • -
  • DOA0=2
  • -
  • DOA1=2
  • -
  • DOA2=1
  • -
  • DOA3=1
  • -
  • DOA4=1
  • -
  • DOA5=1
  • -
  • DOA6=1
  • -
  • DOA7=1
  • -
  • DOB0=2
  • -
  • DOB1=2
  • -
  • DOB2=1
  • -
  • DOB3=1
  • -
  • DOB4=1
  • -
  • DOB5=1
  • -
  • DOB6=1
  • -
  • DOB7=1
  • -
  • DOPA0=1
  • -
  • DOPB0=1
  • -
  • ENA=2
  • -
  • ENB=2
  • -
  • SSRA=2
  • -
  • SSRB=2
  • -
  • WEA0=2
  • -
  • WEA1=2
  • -
  • WEA2=2
  • -
  • WEA3=2
  • -
  • WEB0=2
  • -
  • WEB1=2
  • -
  • WEB2=2
  • -
  • WEB3=2
  • -
-
- -SLICEL -
    -
  • BX=44
  • -
  • BY=13
  • -
  • CE=90
  • -
  • CIN=60
  • -
  • CLK=105
  • -
  • COUT=60
  • -
  • F1=235
  • -
  • F2=177
  • -
  • F3=162
  • -
  • F4=129
  • -
  • G1=224
  • -
  • G2=170
  • -
  • G3=146
  • -
  • G4=97
  • -
  • SR=21
  • -
  • X=155
  • -
  • XB=2
  • -
  • XQ=97
  • -
  • Y=144
  • -
  • YQ=65
  • -
-
-SLICEL_C1VDD -
    -
  • 1=13
  • -
-
-SLICEL_C2VDD -
    -
  • 1=8
  • -
-
-SLICEL_CYMUXF -
    -
  • 0=66
  • -
  • 1=66
  • -
  • OUT=66
  • -
  • S0=66
  • -
-
-SLICEL_CYMUXG -
    -
  • 0=60
  • -
  • 1=60
  • -
  • OUT=60
  • -
  • S0=60
  • -
-
-SLICEL_F -
    -
  • A1=235
  • -
  • A2=177
  • -
  • A3=162
  • -
  • A4=129
  • -
  • D=237
  • -
-
-SLICEL_F5MUX -
    -
  • F=22
  • -
  • G=22
  • -
  • OUT=22
  • -
  • S0=22
  • -
-
-SLICEL_FAND -
    -
  • 0=2
  • -
  • 1=2
  • -
  • O=2
  • -
-
-SLICEL_FFX -
    -
  • CE=84
  • -
  • CK=97
  • -
  • D=97
  • -
  • Q=97
  • -
  • SR=18
  • -
-
-SLICEL_FFY -
    -
  • CE=50
  • -
  • CK=65
  • -
  • D=65
  • -
  • Q=65
  • -
  • SR=21
  • -
-
-SLICEL_G -
    -
  • A1=224
  • -
  • A2=170
  • -
  • A3=146
  • -
  • A4=97
  • -
  • D=224
  • -
-
-SLICEL_GAND -
    -
  • 0=3
  • -
  • 1=3
  • -
  • O=3
  • -
-
-SLICEL_GNDF -
    -
  • 0=47
  • -
-
-SLICEL_GNDG -
    -
  • 0=46
  • -
-
-SLICEL_XORF -
    -
  • 0=62
  • -
  • 1=62
  • -
  • O=62
  • -
-
-SLICEL_XORG -
    -
  • 0=56
  • -
  • 1=56
  • -
  • O=56
  • -
-
-

- - -
Tool Usage
Command Line History
    -
  • xst -intstyle ise -ifn <ise_file>
  • -
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200a-vq100-4 <fname>.ngc <fname>.ngd
  • -
  • map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • -
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • -
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s200a-vq100-4 <fname>.ngc <fname>.ngd
  • -
  • map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • -
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • -
  • trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • -
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • -

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Software Quality
Run Statistics
_impact8800000
bitgen191900000
map242200000
netgen1100000
ngdbuild343400000
par221930000
trce191900000
xst343400000
- - 
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Project Statistics
PROP_Enable_Message_Filtering=falsePROP_FitterReportFormat=HTML
PROP_LastAppliedGoal=BalancedPROP_LastAppliedStrategy=Xilinx Default (unlocked)
PROP_ManualCompileOrderImp=falsePROP_PropSpecInProjFile=Store non-default values only
PROP_Simulator=ISim (VHDL/Verilog)PROP_SynthTopFile=changed
PROP_Top_Level_Module_Type=HDLPROP_UseSmartGuide=false
PROP_UserConstraintEditorPreference=Text EditorPROP_intProjectCreationTimestamp=2014-05-08T19:51:12
PROP_intWbtProjectID=CD4206F80301AC7C78B8795C5D1329A8PROP_intWbtProjectIteration=1
PROP_intWorkingDirLocWRTProjDir=SamePROP_intWorkingDirUsed=No
PROP_AutoTop=truePROP_DevFamily=Spartan3A and Spartan3AN
PROP_DevDevice=xc3s200aPROP_DevFamilyPMName=spartan3a
PROP_DevPackage=vq100PROP_Synthesis_Tool=XST (VHDL/Verilog)
PROP_DevSpeed=-4PROP_PreferredLanguage=VHDL
FILE_UCF=1FILE_VHDL=5

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Unisim Statistics
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=1NGDBUILD_NUM_BUFGP=1NGDBUILD_NUM_FDCE=11NGDBUILD_NUM_FDE=112
NGDBUILD_NUM_FDR=27NGDBUILD_NUM_FDRE=11NGDBUILD_NUM_FDS=1NGDBUILD_NUM_GND=1
NGDBUILD_NUM_IBUF=7NGDBUILD_NUM_INV=22NGDBUILD_NUM_LUT1=91NGDBUILD_NUM_LUT2=36
NGDBUILD_NUM_LUT2_D=1NGDBUILD_NUM_LUT2_L=2NGDBUILD_NUM_LUT3=59NGDBUILD_NUM_LUT3_D=3
NGDBUILD_NUM_LUT3_L=20NGDBUILD_NUM_LUT4=197NGDBUILD_NUM_LUT4_D=7NGDBUILD_NUM_LUT4_L=22
NGDBUILD_NUM_MULT18X18SIO=2NGDBUILD_NUM_MULT_AND=5NGDBUILD_NUM_MUXCY=126NGDBUILD_NUM_MUXF5=22
NGDBUILD_NUM_OBUF=18NGDBUILD_NUM_RAMB16BWE=2NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=118
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=2NGDBUILD_NUM_FDCE=11NGDBUILD_NUM_FDE=112NGDBUILD_NUM_FDR=27
NGDBUILD_NUM_FDRE=11NGDBUILD_NUM_FDS=1NGDBUILD_NUM_GND=1NGDBUILD_NUM_IBUF=7
NGDBUILD_NUM_IBUFG=1NGDBUILD_NUM_INV=22NGDBUILD_NUM_LUT1=91NGDBUILD_NUM_LUT2=36
NGDBUILD_NUM_LUT2_D=1NGDBUILD_NUM_LUT2_L=2NGDBUILD_NUM_LUT3=59NGDBUILD_NUM_LUT3_D=3
NGDBUILD_NUM_LUT3_L=20NGDBUILD_NUM_LUT4=197NGDBUILD_NUM_LUT4_D=7NGDBUILD_NUM_LUT4_L=22
NGDBUILD_NUM_MULT18X18SIO=2NGDBUILD_NUM_MULT_AND=5NGDBUILD_NUM_MUXCY=126NGDBUILD_NUM_MUXF5=22
NGDBUILD_NUM_OBUF=18NGDBUILD_NUM_RAMB16BWE=2NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=118

Index: github/Snake-master/base.par =================================================================== --- github/Snake-master/base.par (revision 428) +++ github/Snake-master/base.par (nonexistent) @@ -1,239 +0,0 @@ -Release 12.3 par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -cudar75:: Thu May 08 19:59:00 2014 - -par -w -intstyle ise -ol high -t 1 base_map.ncd base.ncd base.pcf - - -Constraints file: base.pcf. -Loading device for application Rf_Device from file '3s200a.nph' in environment /opt/Xilinx/12.3/ISE_DS/ISE/. - "base" is an NCD, version 3.2, device xc3s200a, package vq100, speed -4 - -Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) -Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) - -INFO:Par:282 - No user timing constraints were detected or you have set the option to ignore timing constraints ("par - -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all - internal clocks in this design. Because there are not defined timing requirements, a timing score will not be - reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. - Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". - -Device speed data version: "PRODUCTION 1.41 2010-09-15". - - -Design Summary Report: - - Number of External IOBs 26 out of 68 38% - - Number of External Input IOBs 8 - - Number of External Input IBUFs 8 - Number of LOCed External Input IBUFs 8 out of 8 100% - - - Number of External Output IOBs 18 - - Number of External Output IOBs 18 - Number of LOCed External Output IOBs 9 out of 18 50% - - - Number of External Bidir IOBs 0 - - - Number of BUFGMUXs 2 out of 24 8% - Number of MULT18X18SIOs 2 out of 16 12% - Number of RAMB16BWEs 2 out of 16 12% - Number of Slices 252 out of 1792 14% - Number of SLICEMs 0 out of 896 0% - - - -Overall effort level (-ol): High -Placer effort level (-pl): High -Placer cost table entry (-t): 1 -Router effort level (-rl): High - -Starting initial Timing Analysis. REAL time: 1 secs -Finished initial Timing Analysis. REAL time: 1 secs - - -Starting Placer -Total REAL time at the beginning of Placer: 1 secs -Total CPU time at the beginning of Placer: 1 secs - -Phase 1.1 Initial Placement Analysis -Phase 1.1 Initial Placement Analysis (Checksum:c746b921) REAL time: 2 secs - -Phase 2.7 Design Feasibility Check -WARNING:Place:837 - Partially locked IO Bus is found. - Following components of the bus are not locked: - Comp: B<3> - Comp: B<2> - Comp: B<1> - -WARNING:Place:837 - Partially locked IO Bus is found. - Following components of the bus are not locked: - Comp: G<3> - Comp: G<2> - Comp: G<1> - -WARNING:Place:837 - Partially locked IO Bus is found. - Following components of the bus are not locked: - Comp: R<3> - Comp: R<2> - Comp: R<1> - -INFO:Place:834 - Only a subset of IOs are locked. Out of 18 IOs, 9 are locked and 9 are not locked. If you would like to - print the names of these IOs, please set the environment variable XIL_PAR_DESIGN_CHECK_VERBOSE to 1. -Phase 2.7 Design Feasibility Check (Checksum:c746b921) REAL time: 2 secs - -Phase 3.31 Local Placement Optimization -Phase 3.31 Local Placement Optimization (Checksum:c746b921) REAL time: 2 secs - -Phase 4.2 Initial Clock and IO Placement -....... -Phase 4.2 Initial Clock and IO Placement (Checksum:eb41f3af) REAL time: 8 secs - -Phase 5.30 Global Clock Region Assignment -Phase 5.30 Global Clock Region Assignment (Checksum:eb41f3af) REAL time: 8 secs - -Phase 6.36 Local Placement Optimization -Phase 6.36 Local Placement Optimization (Checksum:eb41f3af) REAL time: 8 secs - -Phase 7.3 Local Placement Optimization -....... -Phase 7.3 Local Placement Optimization (Checksum:f86d24a5) REAL time: 8 secs - -Phase 8.5 Local Placement Optimization -Phase 8.5 Local Placement Optimization (Checksum:f86d24a5) REAL time: 8 secs - -Phase 9.8 Global Placement -............................... -..................... -.................................................................................... -............... -............. -Phase 9.8 Global Placement (Checksum:dc45c9f5) REAL time: 9 secs - -Phase 10.5 Local Placement Optimization -Phase 10.5 Local Placement Optimization (Checksum:dc45c9f5) REAL time: 9 secs - -Phase 11.18 Placement Optimization -Phase 11.18 Placement Optimization (Checksum:fa3d8e13) REAL time: 10 secs - -Phase 12.5 Local Placement Optimization -Phase 12.5 Local Placement Optimization (Checksum:fa3d8e13) REAL time: 10 secs - -Total REAL time to Placer completion: 10 secs -Total CPU time to Placer completion: 10 secs -Writing design to file base.ncd - - - -Starting Router - - -Phase 1 : 1868 unrouted; REAL time: 12 secs - -Phase 2 : 1717 unrouted; REAL time: 12 secs - -Phase 3 : 351 unrouted; REAL time: 13 secs - -Phase 4 : 365 unrouted; (Par is working to improve performance) REAL time: 13 secs - -Phase 5 : 0 unrouted; (Par is working to improve performance) REAL time: 14 secs - -Updating file: base.ncd with current fully routed design. - -Phase 6 : 0 unrouted; (Par is working to improve performance) REAL time: 14 secs - -Phase 7 : 0 unrouted; (Par is working to improve performance) REAL time: 20 secs - -Updating file: base.ncd with current fully routed design. - -Phase 8 : 0 unrouted; (Par is working to improve performance) REAL time: 21 secs - -Phase 9 : 0 unrouted; (Par is working to improve performance) REAL time: 21 secs - -Phase 10 : 0 unrouted; (Par is working to improve performance) REAL time: 21 secs - -Phase 11 : 0 unrouted; (Par is working to improve performance) REAL time: 21 secs - -Phase 12 : 0 unrouted; (Par is working to improve performance) REAL time: 21 secs - -Total REAL time to Router completion: 21 secs -Total CPU time to Router completion: 21 secs - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Generating "PAR" statistics. - -************************** -Generating Clock Report -************************** - -+---------------------+--------------+------+------+------------+-------------+ -| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| -+---------------------+--------------+------+------+------------+-------------+ -| div2/temp_out | BUFGMUX_X1Y10| No | 21 | 0.036 | 0.998 | -+---------------------+--------------+------+------+------------+-------------+ -| clk_50_BUFGP | BUFGMUX_X2Y1| No | 90 | 0.089 | 1.051 | -+---------------------+--------------+------+------+------------+-------------+ - -* Net Skew is the difference between the minimum and maximum routing -only delays for the net. Note this is different from Clock Skew which -is reported in TRCE timing report. Clock Skew is the difference between -the minimum and maximum path delays which includes logic delays. - -Timing Score: 0 (Setup: 0, Hold: 0) - -Asterisk (*) preceding a constraint indicates it was not met. - This may be due to a setup or hold violation. - ----------------------------------------------------------------------------------------------------------- - Constraint | Check | Worst Case | Best Case | Timing | Timing - | | Slack | Achievable | Errors | Score ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net div | SETUP | N/A| 9.308ns| N/A| 0 - 2/temp_out | HOLD | 1.185ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - Autotimespec constraint for clock net clk | SETUP | N/A| 9.355ns| N/A| 0 - _50_BUFGP | HOLD | 0.817ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - - -All constraints were met. -INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the - constraint is not analyzed due to the following: No paths covered by this - constraint; Other constraints intersect with this constraint; or This - constraint was disabled by a Path Tracing Control. Please run the Timespec - Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. - - -Generating Pad Report. - -All signals are completely routed. - -Total REAL time to PAR completion: 21 secs -Total CPU time to PAR completion: 21 secs - -Peak Memory Usage: 151 MB - -Placement: Completed - No errors found. -Routing: Completed - No errors found. - -Number of error messages: 0 -Number of warning messages: 3 -Number of info messages: 2 - -Writing design to file base.ncd - - - -PAR done! Index: github/Snake-master/xlnx_auto_0_xdb/cst.xbcd =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xlnx_auto_0_xdb/cst.xbcd =================================================================== --- github/Snake-master/xlnx_auto_0_xdb/cst.xbcd (revision 428) +++ github/Snake-master/xlnx_auto_0_xdb/cst.xbcd (nonexistent)
github/Snake-master/xlnx_auto_0_xdb/cst.xbcd Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/base.unroutes =================================================================== --- github/Snake-master/base.unroutes (revision 428) +++ github/Snake-master/base.unroutes (nonexistent) @@ -1,9 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 19:59:22 2014 - -All signals are completely routed. - - - Index: github/Snake-master/base.ngr =================================================================== --- github/Snake-master/base.ngr (revision 428) +++ github/Snake-master/base.ngr (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$c:g1e<'aefi"bhu`lvgj)hxldhck|/qjq+qtrfb%hcigho.ffiju(o`~cyo!\n`if)hgt|lx$nl}j.wjg0f=(`fgn#aizaow`k*iwmgid~h} pip,pwsic&idhdi`/egnkv)`a}b~n"]aajg.idusm{%mhdx!vif7a>)ogdo$`j{nntal+jvbfjeyi~!hs-wvphl'jeoeja ddolw*an|ai#^`nkd/nevrbz&zybj?>.wjg0g=(`fgn#aizaow`k*iwmgid~h} pip,pwsic&idhdi`/egnkv)`a}b~n"]aajg.idusm{%ym` yhe6a?*nhel%gkxoaubm,kucikfxn"~gr.vqqkm(kfnbkb!kelmp+bos`|h$_code,obwqcu'mj"{fk1:22?4d<99::<?01234d=688:;<1`92447688;:=<941132547799;:=<>?01334566;2;;6D@_UU8GeqgF400;202855772je~by26:1:HLSQQ50?37?47=AGZ^X7okdsC?55<768>0=<4FNQWW>dbczK6:<7>116925?OIX\^1mij}_qpj846=87;<70;2=53=693CE\XZ5d`vb[lh;990;2<<4108JJUSS2mcJ0<>50?34?47=AGZ^X7|nm^fbpd:683:5=;5>1;MVPUSS2[oxyaz31183:4><980DYY^ZT;fbpdYwz`6:<7>119925?IR\Y__6io{a^qfg977294:?6?>:NWWTPR=lfK7==4?>0185458602;:6B[[PTV9vdkXimny0<>50?32?47=G\^[YY4y<0294;7638;1mij}_qpj846=87;:7038540;854750?03?47=zhgTmij}_qpj[dbc{|h;<=>31183:4c<980ym`Qndep\twoXe|f<=>?<0294;7c38;1~lcPaefq[utnWds<=>><0294;7c38;1~lcPaefq[utnWds<=>=<0294;7c38;1~lcPaefq[utnWds<=><<0294;7a38;1~lcPaefq[utnWzbj~h>?01>24?699<1:=7|nm^cg`wYtmj6:<7>115925?tfeVidyczjr=33>586k2;:6ob_bmvjqcuWds<=>?<0294;7b38;1~lcPcnwmp`tX{akyi=>?0=33>58692;:6ob_ecwe977294:86?>:scn[agsiVce0<>50?3e?47=zhgThlzn_hl\eabt}k:;<=2>0;2=5f=693xjaRjnt`]jjZkrp9:;<1>511<21>762{kfSio{a^rqm977294:96?>:scn[agsiVyno1??:150?36?47={`pnSljk0123846=87;>70?a854115912?OIX\^1HlznA=06>586>28=6B[[PTV9V`urd}6997>114912?rnkbUhcx`{es>11?69:919:7zfcj]`kphsm{Ujhi}zb1234942294:h6<9:uk`oZeh}g~n~Rczx123496=:<4i7?4Kauc?5?6998196D@_UU8Geqg;93:5=?5<:HLSQQb90>fikg{6;6?0>1:19vdkXij~bcc2=:1<2=>5=zhgTmnzfoo]nq}67896;6?0>0:19rlcpKffd~1>52?3;?62=OVg~t=>?3=194;753=0BB][[:EcweD:4294m794@UURVP?D;;3:5j6:5OTVSQQ<@4:0;2k5;:NWWTPR=Z591<3?;;58LQQVR\3Xnxb{<283:47<<3E^X][[:mgg86<7611?6_2<:1<24>2=ZVg~t=>?3=194;g<<3ceo1=50?36?10n;58oaa:4294:>6;5IORVP?Bf|hK787>11596>JSSX\^1^h}zlu>7>58c3<0{eukcy=694;d<=3zdt2;:1<27>3=xfyrS`{w012285<368?097~`sx]pldtb89:;094?>0082?OIX\^1HlznA=794;753?0BB][[:EcweG:2294:8685OTVSQQc95>uitp5?1<3?<;78skv~Xe|r;<=>30;7=50=12yextR}gasg3456;=3:5?6OHB59BC@D43HFI86OCEC68EKB5>2KEH?L>6:CM@7D5<2KEH>84AOF0F40GIL=H9:6OAD5@02>GIL=H?:6OAD4@22>GIL86OAD978Efere?1Jhi]zb59A85833K6:295M<3<5?G:4294?7O2<>99AQVYNFOE87OQ?3:@\56=EW;90NR=6;C]bja6789k0NRoad12344g?03c8FZgil9:;<>j4B^cm`5678Vcf|n5M_bos[`w789:o7OQlmq]fu56788n0NRmbp^gr4567:m1ISnc_ds34564991ISnc_ds3456Xadzh7OQlmq]op5678j1ISnc_mv3457d3KUfyu>?02>3:a=EWds<=><<1<2a>DXe|r;<==30?32`>DXe|r;<==30?0f?GYj}q:;<>2?>33f?GYj}q:;<>2?>23f?GYj}q:;<>2?>53f?GYj}q:;<>2?>43g?GYj}q:;<>2?>733?GYj}q:;<>2?>^kntf=EWds<=><<02>>0g8FZkrp9:;?1?110f8FZkrp9:;?1?12d9A[hs89:80<0=1d9A[hs89:80<0<1d9A[hs89:80<0;1d9A[hs89:80<0:1e9A[hs89:80<09119A[hs89:80<0Pilr`?GYj}q:;<>2=>e9A[hs89:80?0>e:@\ip~78997>3?>d:@\ip~78997>3?j;C]nq}678:6929?j;C]nq}678:6928?k;C]nq}678:692;??;C]nq}678:692Rgbpd9A[hs89:80>4?>b9A[hs89:80>0k;C]nq}678:682h5M_lw{45644:49=h5M_lw{45644:48=h5M_lw{45644:4?=h5M_lw{45644:4>=i5M_lw{45644:4===5M_lw{45644:4Te`~6;C]mkq678;;37OQaou2347YneyUISca{012154=D:2IN?6MCR89@KHKN\]OO;6M]E@VF@6=Ddb?0OaeJn89@hnCiWOYF;6Mck^DPI4=C<2ND[C:4D`vb3>Bf|h6;245Kauc?5?69?2Njxl2>>49GeqgF02NjxlO30?`8@drfI5;;6=06;EcweD:68730HlznA=32:<=Ci}kJ0<<19:FbpdG;9:427Io{a@>20;?89GeqgF48<556Jnt`C?528>3MkmL2>8?;8@drfI5;2255KaucB848>3MkmL2=0?;8@drfI58:245KaucB874912NjxlO322<:?AgsiH6983l4D`vbE94229427Io{a@>11;>5m6Jnt`C?1?6902NjxlO35?:8@drfI5<546Jnt`C?3;>0;2==>Bf|hH7==07;EcweG:6611OmyoM<3<;?AgsiK68255KaucA818f3MkmO2::1<;?AgsiK6>255KaucA838?3MkmO28>99GeqgE41437Io{aC>::1=ALJO87KJJ3:DGV1=AL[O?7KHk169EBa7*Ag20JKj>-Hl2=>@Al8'Bb3e9EBa7*Ag;:Sdc9:DE`4+Nf88h7KHk1,Km5Zojx11MJi?"Io0:?C@c9$Ce>-Hl16f=ANm;&Ec@Al8'Bb><>9:DE`4+Nf:9h7KHk1,Km7Zojxk1MJi?"Io]jiu33OLo==#Fn0c8BCb68$Ce=<74FGf24(Oi:j1MJi??-Hl\mhv23OLo=<64FGf25(Oi9?1MJi?>-Hl\mhvXNOn:= Ga159EBa403OLo> Ga8:DE`7+Nf830JKj=-Hl25d=ANm8&Ec?>10:8BCb5%@d:=Rgbp^DE`7+Nf8;:m6HId3/Jj44612LMh?#Fn01`?C@c:$Ce=Rgbp99EBa4*Ag827KHk2,Km64g<74FGf1)Lh5;j1MJi<"Io0\mhv?3OLo> Ga3c9EBa4*AgUba}:4FGf03>@Al:'Bb55IFe1.Mk7>3OLo? Ga10c8BCb4%@d:=<74FGf0)Lh6:j1MJi="Io3\mhv>3OLo? Ga20:8BCb4%@d8n6HId2/JjZojx=1MJi:8;GDg0(Oi02LMh9#Fn0;8BCb3%@d:=<84FGf7)Lh6W`g{SKHk4,Km54?@Al>>0JKj77:DE`=+Nf8>0JKj7-Hl\mhvXNOn3!D`>4:DE`<5-qcqakr63N>0K1>14:E?5;2A;;790KCJ<;F]37>AX9:1LS?=4G^1`?BYj}q:;<>2?>e9D[hs89:80=0>e:E\ip~78997<3?>d:E\ip~78997<3?j;F]nq}678:6;29?j;F]nq}678:6;28?k;F]nq}678:6;2;??;F]nq}678:6;2Rgbpb9D[hs89:80<0k;F]nq}678:6:2h5H_lw{45644849=h5H_lw{45644848=h5H_lw{4564484?=h5H_lw{4564484>=i5H_lw{4564484===5H_lw{4564484Te`~l;F]nq}678:692i5H_lw{45644;4:i6IPmtz3455;:7;:h6IPmtz3455;:78n7JQbuy2346:56;;n7JQbuy2346:56:;n7JQbuy2346:56=;n7JQbuy2346:56<;o7JQbuy2346:56?;;7JQbuy2346:56Vcf|h5H_lw{45644:0;2n5H_lw{45644:4o7JQbuy2346:468o0KRczx123795998n0KRczx1237959:l1LS`{w012086859l1LS`{w012086849l1LS`{w012086839l1LS`{w012086829m1LS`{w01208681991LS`{w0120868Xadz:7D<4I108M44<4I508M04OI^:1Bb==4Io30?Lh5;2Ce?>5Fn518Mk3b3BON\RFFESCQGM_33EOMX:5COFK@EIg?0035?HgclVxjaRokds]b`a6789;:h6Cnde]qehYflmxT|gPaefpqg6789;:o6Cnde]qehYflmxT|gPsicqa56788;i7@okd^pbiZeh}g~n~R}gasg345669;1FmijPshxf[dbc89:;=?1078IfijxhxTNRmbp^nw456798?0Anabp`p\FZejxVf<=>>10f8IfijxhxThd|jcukljZejxVf<=>?10;8IfijxhxTd~wac^antZab89:;=<74MbmntdtX`zseoRmbp^nf45679;:0Anabp`p\vdkXimnyS}|f_bos[br789::>=5BcnosewYuidUjhi|Ppsk\ghvXd}:;<=?>c:O`khvfzVxjaR|jae]`iuY`m9:;<3Dida}o}_rvbp`YdeyUgx=>?203:?HeheykyS{}vnb]`iuY`m9:;<KuidUYM@?>c:OpqgYuidUomyoPio]b`aurj9:;<<94Mymq[cd53G:97C?<;O337>H69:1E=?<4N308J64HHZ1;0C>5@R378KW4E9:1D^>=4OS66?JT3J890C_;<;NP50>Ir|9o0Cxz?_hos[Jss88>0Cxz>5:Mvp46b3F=Rgbp^Mvp4733F>h5@uu0\mhvXG|~9=95@uu1f?Jss;Vcf|RAzt237?Jss<=1Dyy;;;Nww21=H}}=?7B{{859Lqq?63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM81Z86_30?68U979<2[7>3:4Q=1=0>W;<7>0]1;14:S?2;20]1711:P7?W:76=1Y0<0;;S>1:3=U4:0;295]<2<6?WO@@]90^YB<;S]37>TX9:1YS?=4R^1`?WYj}q:;<>2?>e9Q[hs89:80=0>e:P\ip~78997<3?>d:P\ip~78997<3?j;S]nq}678:6;29?j;S]nq}678:6;28?k;S]nq}678:6;2;??;S]nq}678:6;2Rgbpb9Q[hs89:80<0k;S]nq}678:6:2h5]_lw{45644849=h5]_lw{45644848=h5]_lw{4564484?=h5]_lw{4564484>=i5]_lw{4564484===5]_lw{4564484Te`~l;S]nq}678:692i5]_lw{45644;4:i6\Pmtz3455;:7;:h6\Pmtz3455;:78n7_Qbuy2346:56;;n7_Qbuy2346:56:;n7_Qbuy2346:56=;n7_Qbuy2346:56<;o7_Qbuy2346:56?;;7_Qbuy2346:56Vcf|h5]_lw{45644:0;2n5]_lw{45644:4o7_Qbuy2346:468o0^Rczx123795998n0^Rczx1237959:l1YS`{w012086859l1YS`{w012086849l1YS`{w012086839l1YS`{w012086829m1YS`{w01208681991YS`{w0120868Xadz=7_k|umv:?Wct}e~7<3m4Rdqvhq:683:5m6\jstnw8469i2Xnxb{<03=e>Tb{|f0<<1a:Pfwpjs4895m6\jstnw8429i2Xnxb{<07=e>Tb{|f0<81a:Pfwpjs48=5m6\jstnw84>9i2Xnxb{<0;==>Tb{|f0<0n;Sgpqir;:94j7_k|umv?648f3[oxyaz323>0n;Sgpqir;:=4h7_k|umv?60<76h1Yi~{ct=06:<=Umzgx1<1b:Pfwpjs4:0;245]erwop959j2Xnxb{<583:<=Umzgx1:1b:Pfwpjs4<0;245]erwop93912Xnxb{<7<:?Wct}e~7;374Rdqvhq:?601Yi~{ct=;=a>Tb{|fSl`k012367=UmzgxRoad1234ZojxVXnxb{_`lg45679l1Yi~{ct^cm`5679;80^h}zlu]bja6788Uba}Q]erwopZgil9:;=PNM^U_U]K>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG9;YQWHLD03QY_SJ@K1d9[WQYNEYFNAH@[AUKLJZEHFZ^YMD@[S028\VRXZ]FT\_A_ESVZ3>^T\V\HO;5Wdc]J`467ljkes78eabuI11jhi|N<119:cg`wG;99437ljkr@>2:==flmxJ0?07;`fgvD:4611jhi|N<5<;?dbczH6>255ndepB838?3hno~L28>99b`atF41437ljkr@>::0=flmxI46okds@?4;d720mij}B=5=<>gcl{H74364aefqF9?9j2kohQrh>3:`=flmxT|g31183:f=flmxT|g311gcl{U{~d29>c9b`atXx{c7;3l4aefq[utn414i7ljkr^rqm9?9j2kohQ|eb>3:`=flmxThm31183:f=flmxThm311gcl{Uxin29>c9b`atX{li7;3l4aefq[vcd414i7ljkr^qfg9?9>2koh~{m4:`bw`51h`fQ`uuc8gjjhz5:1>364cnnlv96902id`b|31?:8gjjhz585i6m`mcovhqowd`oym6m`nuplhicu>2idycz>8:alqkr;8720ob{at=3=<>eh}g~7>364cnwmp95902idycz34?:8gjsi|5?546m`uov?2;>eh}g~To`~Pep23457692idyczPclr\at67898:=6m`uov\ghvXmx:;<==>4:alqkrXkdzTi|>?01]jiu14j7io{a^km8=8f3mkmRga<81e:fbpdYwz`6:<7>1c:fbpdYwz`6:<3l4d`vb[utn484i7io{a^rqm949j2njxlQrh>0:g=ci}kT|g34?`8`drfWyxb080m;ecweZvua5<5n6jnt`]svl:06k1omyoPpsk?<;d1e:fbpdYtmj6:<7>1c:fbpdYtmj6:<3l4d`vb[vcd484i7io{a^qfg949j2njxlQ|eb>0:g=ci}kThm34?`8`drfWzoh080m;ecweZubk5<5n6jnt`]paf:06k1omyoPsda?<;d2nbM1>19:fjE977294<7igN<02=2>bnI5;5:6jfA=0=2>bnI595:6jfA=6=2>bnI5?5:6jfA=4=2>bnI5=5:6jfA=:=2>bnI53556jfrdawmjh6<2nb~hm{inl\ghvXmx:;<=?;;ekqafrnggUha}Qjq123542bnzlieb`Pclr\at678=;?7ig}ebvjkkYdeyUn}=>?5068`ltbk}cdbRmbp^nw4567981oeklthmm[kis89::>55kisg`pliiWge<=>>_hos[aoumj~bccQaou23447682nb~hm{inl\kw67898=7ig}ebvjkkYhz9:;?0137?aoq:?1oe{2?>79gms:66?1oe{2=>79gms:46?1oe{2;>79gms:26?1oe{29>79gms:06?1oe{27>99gms:>294=7igy<8<0?aiF>2ndM1>19:flE977294<7iaN<02=2>bhI5;5:6j`A=0=2>bhI595:6j`A=6=2>bhI5?5:6j`A=4=2>bhI5=5:6j`A=:=2>bhI535?6j`B79gkG:7601ocO2>0;2=3>bhJ5;;2;5koC>2:3=cgK692;5koC>0:3=cgK6?2;5koC>6:3=cgK6=2;5koC>4:3=cgK632;5koC>::==c}hfxewk6;ewbhroc|a80ic<4fc68baoq?2myilzjrd9dv`gsm{eyi|{nl69kgjsi|830dnaznu>3:<=okfex1?19:j`kphs4;427em`uov?7;?<`je~by2;>89kgjsi|5?556flotlw838>3aidycz37?;8lfirf}632o5gcnwmp9?=8730dnaznu>::47<`je~byQlmq]fu5678880dnaznu]`iuYby9:;<?01026>ndg|dSnc_ds345649<1cob{at^antZcv89:;Sdc5:jp}kec3ayrbnQnne2345473ayrbnQnne2345YneyUct`l_`lg456798:0d~wac^antZab89:;==5gsxl`[fkwWeo;<=>9;hl`85813`dh0<09;hl`878?3`dh0>4?>79jjf:46<1bbnQ?5:kmgZ723`dhS?;4ioa\747018mkeXimnxyo>?01>0>58692ceoRokdrwa45674:4:<6gac^ov|56785:1?3k4ioa\ip~789:7<3h4ioa\ip~789:7<3?>1:kmgZkrp9:;<1>111324>oikVg~t=>?0=2=54763`dhS`{w0123858698;:7d`l_lw{4567494:>3028mkeXe|r;<=>30?3755=nfjUfyu>?01>3:77682ceoRczx1234969;8l0ecmPmtz3456;87>:<6gac^ov|56785:580:kmgZkrp9:;<1>150d8mkeXe|r;<=>30?424>oikVg~t=>?0=2=24773`dhS`{w0123858098:0ecmPmtz3456;872:==5fnb]nq}67896;24?>2:kmgZkrp9:;<1>1_hosa>oikVg~t=>?0=3=b>oikVg~t=>?0=3=547109jjfYj}q:;<=2>>00255=nfjUfyu>?01>2:45682ceoRczx12349799=;;7d`l_lw{45674849=<>4ioa\ip~789:7=3=>f:kmgZkrp9:;<1?14028mkeXe|r;<=>31?62b>oikVg~t=>?0=3=1468:0ecmPmtz3456;97<:==5fnb]nq}67896:2:?>0:kmgZkrp9:;<1?18033?lhdWds<=>?<0<:5440:kmgZkrp9:;<1<11032?lhdWds<=>?<3<254763`dhS`{w01238786:8;;7d`l_lw{45674;4:?<>4ioa\ip~789:7>3?;119jjfYj}q:;<=2=>3324>oikVg~t=>?0=0=74`38>0:kmgZkrp9:;<1<16033?lhdWds<=>?<3<45466991bbnQbuy2345:560;:>6gac^ov|5678585Sdce:kmgZkrp9:;<1=1f:kmgZkrp9:;<1=11038mkeXe|r;<=>33?33546119jjfYj}q:;<=2<>0125>oikVg~t=>?0=1=567692ceoRczx12349599=;:<6gac^ov|5678595=8??;hl`[hs89:;0>0>6028mkeXe|r;<=>33?0255=nfjUfyu>?01>0:67682ceoRczx1234959<8;;7d`l_lw{45674:4>=k5fnb]nq}6789682;??;hl`[hs89:;0>091g9jjfYj}q:;<=2<>633?lhdWds<=>?<2<45c=nfjUfyu>?01>0:=773`dhS`{w0123868?98:0ecmPmtz3456;;73:=?5fnb]nq}6789682Rgbp`9jjfYhz9:;<jb{zh0`h}|osgrqdj43e?6cjm89sm}ckp5:556~fxdn{848>3ycsiav32?;8tl~bdq68245iygo|929j2zbthbw<483:<=waqogt1;18:rj|`jW920|dvjly]2<>vnplfsS?64phzfh}Y402zbthbw_5:8tl~bdqU>i6~fxdn{[dhc89:;>?5iygo|Zgil9:;?189sm}ckq5:556~fxdnz848>3ycsiaw32?;8tl~bdp682o5iygo}92=8730|dvjlx>7:==waqoguR>7;qk{aiX911{eukcy^0;?uomesT?55iygo}Z2f3yegSljkst`4?uikWjf`56~`l^aoo`h?3yegS~mcr79skiYpmh1{czPabavi<=wg{~Tmij}b:rlvqYflmy~n55osv\gimf3yeyxRmckdl:?uiu|Vnjxl64pnpw[aoi12zd~yQkotvg?uiu|Vn~maokdsf8tjtsWmj`iazt99skwrXgyk37}a}t^msf3=wg{~T}o5osv\v`urd}30|b|{_raov2=wg{~T{h94pnq{85803yext1?17:rlw}:56>1{c~v33?58tju4=427}a|x=794;1?119skv~XkdzTi|>?01324>vh{qUha}Qjq12347773yextRmbp^gr4567;890|b}w_bos[`w789:Te`~i;qmp|ZejxVoz<=>>259skv~XkdzTi|>?00]jiuYwgzrTo`~Pep23447692zduQbuy2345:72<4m7}a|x^ov|56785:5==5orz\ip~789:7<3?>1:rlw}Yj}q:;<=2?>0324>vh{qUfyu>?01>3:7763yextRczx1234969:8;:7}a|x^ov|56785:5?;qmp|Zkrp9:;<1>14032?uitpVg~t=>?0=2=14763yextRczx1234969>8;;7}a|x^ov|56785:5;?<1<;5469:1{c~vPmtz3456;87Uba}h4pnq{[hs89:;0<0>0:rlw}Yj}q:;<=2>>032?uitpVg~t=>?0=3=54773yextRczx1234979:8;0|b}w_lw{45674849=?<0<7546119skv~Xe|r;<=>31?527>vh{qUfyu>?01>2:Zojxo1{c~vPmtz3456;:7;;7}a|x^ov|5678585=1028tjuWds<=>?<3<154=wgzrTaxv?012?6;46981{c~vPmtz3456;:79:=<5orz\ip~789:7>3:>119skv~Xe|r;<=>32?725>vh{qUfyu>?01>1:07692zduQbuy2345:56?;:<6~`sy]nq}6789692:?<;qmp|Zkrp9:;<1<1_hosb>vh{qUfyu>?01>0:461:rlw}Yj}q:;<=2<>3325>vh{qUfyu>?01>0:67692zduQbuy2345:46=;:=6~`sy]nq}67896828?>1:rlw}Yj}q:;<=2<>7324>vh{qUfyu>?01>0:2763yextRczx1234959?8;:7}a|x^ov|56785954?<2<\mhva3yextRczx1234929991{c~vPmtz3456;<7;:=6~`sy]nq}67896?20:rlw}Yj}q:;<=2;>332?uitpVg~t=>?0=6=64763yextRczx1234929;8;:7}a|x^ov|56785>58;qmp|Zkrp9:;<1:15032?uitpVg~t=>?0=6=24773yextRczx1234929?8;0|b}w_lw{45674=4<=?<5<:56=wgzrTaxv?012?0;Yneyl0|b}w_lw{45674<4:<6~`sy]nq}67896>2;qmp|Zkrp9:;<1;11033?uitpVg~t=>?0=7=647?<4<6547109skv~Xe|r;<=>35?:255=wgzrTaxv?012?1;?6;2zduQbuy2345:26Vcf|n5orz\ip~789;:i6~`sy]nq}6788Uba}Qorz\ip~789;:n6~`sy]lv56788i0|b}w_np3456XadzT|b}w_np34566j2zduQ`r12364e?0=1=54=wgzrTeo}e12349299:1{c~vPsicqa56785?1<3?>;qmp|Zuoi{o;<=>35?58tju~494<7}a|y=3=3>vh{p692:5or{?7;?69skv;<7<0|b}v_148tju~W8<0|b}v_348tju~W:<0|b}v_5d8tju~Wjg{Sh?01210>vh{pUha}Qjq1234ZojxVzdtQlmq]fu56788l0|b}v_bos[`w789;986~`sx]`iuYby9:;=Rgbp^rlw|YdeyUn}=>?1032?uitqVg~t=>?1=290;`;qmp}Zkrp9:;=1>12033?uitqVg~t=>?1=2=747109skvXe|r;<=?30?7254=wgzsTaxv?013?4;06991{c~wPmtz3457;87=:=6~`sx]nq}67886;2:?>1:rlw|Yj}q:;<<2?>9324>vh{pUfyu>?00>3:<743yexuRczx1235969W`g{j6~`sx]nq}67886:2<>4pnqz[hs89::0<0>109skvXe|r;<=?31?3254=wgzsTaxv?013?5;46991{c~wPmtz3457;979:=6~`sx]nq}67886:2>?>0:rlw|Yj}q:;<<2>>532?uitqVg~t=>?1=3=04763yexuRczx1235979=8;:7}a|y^ov|56795;5:><0<45475or{\ip~789;7=3Qfmqd8tju~Wds<=>><3<24>vh{pUfyu>?00>1:4763yexuRczx123594998;:7}a|y^ov|5679585>><3<0546:=<5or{\ip~789;7>3;>109skvXe|r;<=?32?4255=wgzsTaxv?013?6;1692zdtQbuy2344:56>;:=6~`sx]nq}67886925?>0:rlw|Yj}q:;<<2=>830?uitqVg~t=>?1=0=[lkwn2zdtQbuy2344:468:0|b}v_lw{45664:4:=<5or{\ip~789;7?3?>119skvXe|r;<=?33?025>vh{pUfyu>?00>0:77692zdtQbuy2344:46:;:=6~`sx]nq}67886829?>0:rlw|Yj}q:;<<2<>432?uitqVg~t=>?1=1=14763yexuRczx1235959>8;;7}a|y^ov|5679595;<=4pnqz[hs89::0>0Pilre?uitqVg~t=>?1=6=55=wgzsTaxv?013?0;7692zdtQbuy2344:368;:=6~`sx]nq}67886?2??>0:rlw|Yj}q:;<<2;>232?uitqVg~t=>?1=6=74773yexuRczx1235929<8;0|b}v_lw{45664=4?=><5<5546119skvXe|r;<=?34?;27>vh{pUfyu>?00>7:Zojxk1{c~wPos23457d3yexuRa}0123[lkwWyexuRa}0123547038tju~Wzbj~h>?01>0:45;qpmcZciWds<=>?2033?utioVoeS`{w01237456~}nf]fjZiu89:;Sdc_qpmcZciWfx;<=>>b:rqjbYqie6;2h5roe\rdj;990;2n5roe\rdj;994i7}|ag^tbh979j2zybjQyam>1:g=wzgmTzlb33?`8twh`Wkg090m;qpmcZpfd5?5n6~}nf]uei:16k1{~ciPv`n?3;dtfeVkhxdaa<383:a=uidUjoyg`n=0=g>tfeVkhxdaa_0a8vdkXij~bccQ=169qehYfk}cdbRczx123496=:7;>7ob_`awmjhXe|r;<=>30?35?wgjWhieb`Pmtz3456;87;:;6|nm^c`pliiWds<=>?<1<25407ob_`awmjhXe|r;<=>31?35?wgjWhieb`Pmtz3456;97;:;6|nm^c`pliiWds<=>?<0<2540?01]jiuYuidUjoyg`n^mq45679k1ym`Qndep?4;ctfeVkoh2>>c9qehYflmx7>3l4r`o\eabu4:4i7ob_`fgv929j2xjaRokds>6:g=uidUjhi|36?`8vdkXimny0:0m;scn[dbcz525n6|nm^cg`w:>6h1ym`Qndep\4d=uidUjhi|P1c9qehYflmxT==o4r`o\eabuW;k0~lcPaefq[6g?0=2=53=uidUjhi|Paef3456;990;2<:4r`o\eabuWhno<=>?<02=56=uidUjhi|Paef3456;97;87ob_`fgvZgcl9:;<1<1129qehYflmxTmij?012?7;743{kfSljkr^cg`56785>5=>5}al]b`atXimn;<=>35?30?wgjWhno~Rokd12349099:1ym`Qndep\eab789:7;3?<;scn[dbczVkoh=>?0=:=56=uidUjhi|Paef3456;17;?7ob_`fgvZkrd}:;<=2?>058vdkXimnyS`{ct1234977294:96|nm^cg`wYj}e~;<=>311<20>tfeVkohQbumv3456;97;?7ob_`fgvZkrd}:;<=2=>068vdkXimnyS`{ct12349599=1ym`Qndep\ipjs89:;090>4:pbiZgcl{Ufyaz?012?1;733{kfSljkr^ovhq67896=2<:4r`o\eabuWdgx=>?0=5=51=uidUjhi|Pmtnw4567414:86|nm^cg`wYj}e~;<=>39?d8vdkXimnyS}|f<1<26>tfeVkohQrh>24?69991ym`Qndep\two;994m7ob_`fgvZvua5;5j6|nm^cg`wYwz`692k5}al]b`atXx{c7?3h4r`o\eabuWyxb090i;scn[dbczVzye1;1f:pbiZgcl{U{~d29>g9qehYflmxT|g37?d8vdkXimnyS}|f<9tfeVkohQrh]3a>tfeVkohQrh]2b>tfeVkohQrh]24`=uidUjhi|Ppsk\6`=uidUjhi|Ppsk\7`=uidUjhi|Ppsk\0`=uidUjhi|Ppsk\1`=uidUjhi|Ppsk\2`=uidUjhi|Ppsk\3`=uidUjhi|Ppsk\<`=uidUjhi|Ppsk\=4g30?3g?wgjWhno~R~}i^cg`vse89:;0<>50?3a?wgjWhno~R~}i^cg`vse89:;0<>11`9qehYflmxT|gPaefpqg67896:2?0=6=5d=uidUjhi|Ppsk\eabt}k:;<=2:>0c8vdkXimnyS}|f_`fgwpd789:7:3?n;scn[dbczVzyeRokdrwa45674>4:m6|nm^cg`wYwz`Ujhi}zb12349>99h1ym`Qndep\twoXimnxyo>?01>::42h5}al]b`atXx{cTmcj?012\mhvXzhgTmij}_qpj[dhc89:;=<:4r`o\eabuWyxbSl`k01226`=uidUjhi|Ppsk\ekb789;Te`~Pr`o\eabuWyxbSl`k0122541>7:pbiZgcl{U{~dQlmq]op5678820~lcPaefq[utnWdgx=>?0=2=5g=uidUjhi|Ppsk\ipjs89:;0<>50?3:?wgjWhno~R~}i^ovhq67896:<3?7;scn[dbczVzyeRczlu2345:66820~lcPaefq[utnWdgx=>?0=0=5==uidUjhi|Ppsk\ipjs89:;0>0>8:pbiZgcl{U{~dQbumv3456;<7;37ob_`fgvZvuaVg~`y>?01>6:4>4:46|nm^cg`wYwz`Ufyaz?012?<;7?3{kfSljkr^rqmZkrd}:;<=26>058vdkXimnyS}|f_lw{4566494:46|nm^cg`wYwz`Ufyu>?00>3:47f3{kfSljkr^rqmZkrp9:;=1>11132=>tfeVkohQrh]nq}67886;2a:pbiZgcl{U{~dQbuy2344:768;:=l5}al]b`atXx{cTaxv?013?4;7598k0~lcPaefq[utnWds<=>><1<2747f3{kfSljkr^rqmZkrp9:;=1>11532=>tfeVkohQrh]nq}67886;2<;>9:pbiZgcl{U{~dQbuy2344:768<:46|nm^cg`wYwz`Ufyu>?00>3:77>3{kfSljkr^rqmZkrp9:;=1>1203;?wgjWhno~R~}i^ov|56795:5?<74r`o\eabuWyxbS`{w012285849820~lcPaefq[utnWds<=>><1<75<=uidUjhi|Ppsk\ip~789;7<3:>189qehYflmxT|gPmtz3457;87?:=45}al]b`atXx{cTaxv?013?4;06911ym`Qndep\twoXe|r;<=?30?52=>tfeVkohQrh]nq}67886;2:?>8:pbiZgcl{U{~dQbuy2344:761;27ob_`fgvZvuaVg~t=>?1=2=<47>3{kfSljkr^rqmZkrp9:;=1>1903a?wgjWhno~R~}i^ov|56795:5Sdc1`9qehYflmxT|gPmtz3457;990;2<64r`o\eabuWyxbS`{w01228469901ym`Qndep\twoXe|r;<=?311<25g=uidUjhi|Ppsk\ip~789;7==0>003b?wgjWhno~R~}i^ov|56795;;2b:pbiZgcl{U{~dQbuy2344:687;:=?00>24;7498h0~lcPaefq[utnWds<=>><02=5176i2xjaRokds]svlYj}q:;<<2>0?365d=uidUjhi|Ppsk\ip~789;7==0>60;8vdkXimnyS}|f_lw{456648:5>?1=33:67f3{kfSljkr^rqmZkrp9:;=1??>232=>tfeVkohQrh]nq}67886:<3:>a:pbiZgcl{U{~dQbuy2344:687>:=l5}al]b`atXx{cTaxv?013?558298k0~lcPaefq[utnWds<=>><02=247>3{kfSljkr^rqmZkrp9:;=1??>63b?wgjWhno~R~}i^ov|56795;;2:?>9:pbiZgcl{U{~dQbuy2344:6872:m6|nm^cg`wYwz`Ufyu>?00>24;>69h1ym`Qndep\twoXe|r;<=?311<:54e1_hos52=uidUjhi|Ppsk\ip~789;7=3?7;scn[dbczVzyeRczx123597998k0~lcPaefq[utnWds<=>><0<2447>3{kfSljkr^rqmZkrp9:;=1?1103b?wgjWhno~R~}i^ov|56795;5=a:pbiZgcl{U{~dQbuy2344:6688:=l5}al]b`atXx{cTaxv?013?5;7498k0~lcPaefq[utnWds<=>><0<2047>3{kfSljkr^rqmZkrp9:;=1?1143:?wgjWhno~R~}i^ov|56795;5=;?7;scn[dbczVzyeRczx1235979:830~lcPaefq[utnWds<=>><0<154>>532=>tfeVkohQrh]nq}67886:28?>9:pbiZgcl{U{~dQbuy2344:66?;:46|nm^cg`wYwz`Ufyu>?00>2:27>3{kfSljkr^rqmZkrp9:;=1?1703;?wgjWhno~R~}i^ov|56795;54<74r`o\eabuWyxbS`{w0122848?9830~lcPaefq[utnWds<=>><0<:54dtfeVkohQrh]nq}6788692<64r`o\eabuWyxbS`{w012287869h1ym`Qndep\twoXe|r;<=?32?3354?10c8vdkXimnyS}|f_lw{45664;4:=?00>1:4569h1ym`Qndep\twoXe|r;<=?32?3754?50;8vdkXimnyS}|f_lw{45664;4::<64r`o\eabuWyxbS`{w01228785901ym`Qndep\twoXe|r;<=?32?025==uidUjhi|Ppsk\ip~789;7>3=>9:pbiZgcl{U{~dQbuy2344:56:;:46|nm^cg`wYwz`Ufyu>?00>1:17>3{kfSljkr^rqmZkrp9:;=1<1403:?wgjWhno~R~}i^ov|567958598;37ob_`fgvZvuaVg~t=>?1=0=34?6901ym`Qndep\twoXe|r;<=?32?;25g=uidUjhi|Ppsk\ip~789;7>3Qfmq34?wgjWhno~R~}i^ov|5679595=55}al]b`atXx{cTaxv?013?7;76i2xjaRokds]svlYj}q:;<<2<>0225<=uidUjhi|Ppsk\ip~789;7?3?>1`9qehYflmxT|gPmtz3457;;7;:=?1=1=5676i2xjaRokds]svlYj}q:;<<2<>0625<=uidUjhi|Ppsk\ip~789;7?3?:189qehYflmxT|gPmtz3457;;7;==55}al]b`atXx{cTaxv?013?7;4612xjaRokds]svlYj}q:;<<2<>332<>tfeVkohQrh]nq}6788682>?6;scn[dbczVzyeRczx1235959;8;37ob_`fgvZvuaVg~t=>?1=1=04?0;10;8vdkXimnyS}|f_lw{45664:4>=<74r`o\eabuWyxbS`{w012286819820~lcPaefq[utnWds<=>><2<45<=uidUjhi|Ppsk\ip~789;7?39>199qehYflmxT|gPmtz3457;;72:56|nm^cg`wYwz`Ufyu>?00>0:=7612xjaRokds]svlYj}q:;<<2<>832f>tfeVkohQrh]nq}6788682Rgbp058vdkXimnyS}|f_lw{45664=4:46|nm^cg`wYwz`Ufyu>?00>7:47f3{kfSljkr^rqmZkrp9:;=1:11132=>tfeVkohQrh]nq}67886?2a:pbiZgcl{U{~dQbuy2344:368;:=l5}al]b`atXx{cTaxv?013?0;7598k0~lcPaefq[utnWds<=>><5<2747f3{kfSljkr^rqmZkrp9:;=1:11532=>tfeVkohQrh]nq}67886?2<;>9:pbiZgcl{U{~dQbuy2344:368<:46|nm^cg`wYwz`Ufyu>?00>7:77>3{kfSljkr^rqmZkrp9:;=1:1203;?wgjWhno~R~}i^ov|56795>5?<74r`o\eabuWyxbS`{w012281849820~lcPaefq[utnWds<=>><5<75<=uidUjhi|Ppsk\ip~789;783:>189qehYflmxT|gPmtz3457;<7?:=45}al]b`atXx{cTaxv?013?0;06911ym`Qndep\twoXe|r;<=?34?52=>tfeVkohQrh]nq}67886?2:?>8:pbiZgcl{U{~dQbuy2344:361;27ob_`fgvZvuaVg~t=>?1=6=<47>3{kfSljkr^rqmZkrp9:;=1:1903a?wgjWhno~R~}i^ov|56795>5Sdc169qehYflmxT|gPmtz3457;=7;37ob_`fgvZvuaVg~t=>?1=7=54g003:?wgjWhno~R~}i^ov|56795?5=?00>6:4469h1ym`Qndep\twoXe|r;<=?35?3054g403:?wgjWhno~R~}i^ov|56795?5=8?6;scn[dbczVzyeRczx12359399?;37ob_`fgvZvuaVg~t=>?1=7=64?tfeVkohQrh]nq}67886>29?>9:pbiZgcl{U{~dQbuy2344:26<;:56|nm^cg`wYwz`Ufyu>?00>6:37602xjaRokds]svlYj}q:;<<2:>63:?wgjWhno~R~}i^ov|56795?5;><4<;54?7:pbiZgcl{U{~dQbuy2344:16820~lcPaefq[utnWds<=>><7<25d=uidUjhi|Ppsk\ip~789;7:3??10;8vdkXimnyS}|f_lw{45664?4:=?1=4=5776i2xjaRokds]svlYj}q:;<<29>0125d=uidUjhi|Ppsk\ip~789;7:3?;10;8vdkXimnyS}|f_lw{45664?4:9<74r`o\eabuWyxbS`{w01228386>820~lcPaefq[utnWds<=>><7<15<=uidUjhi|Ppsk\ip~789;7:3<>199qehYflmxT|gPmtz3457;>79:56|nm^cg`wYwz`Ufyu>?00>5:67602xjaRokds]svlYj}q:;<<29>53:?wgjWhno~R~}i^ov|56795<58?1=4=247?3{kfSljkr^rqmZkrp9:;=18170;8vdkXimnyS}|f_lw{45664?4<=<64r`o\eabuWyxbS`{w0122838?901ym`Qndep\twoXe|r;<=?36?:25<=uidUjhi|Ppsk\ip~789;7:37>1c9qehYflmxT|gPmtz3457;>7Uba}?8;scn[dbczVzyeRczx1235919911ym`Qndep\twoXe|r;<=?37?32e>tfeVkohQrh]nq}67886<2<>>189qehYflmxT|gPmtz3457;?7;:=l5}al]b`atXx{cTaxv?013?3;7698k0~lcPaefq[utnWds<=>><6<2647f3{kfSljkr^rqmZkrp9:;=1911232e>tfeVkohQrh]nq}67886<2<:>189qehYflmxT|gPmtz3457;?7;>=45}al]b`atXx{cTaxv?013?3;71911ym`Qndep\twoXe|r;<=?37?02=>tfeVkohQrh]nq}67886<2??>8:pbiZgcl{U{~dQbuy2344:06:;27ob_`fgvZvuaVg~t=>?1=5=747?3{kfSljkr^rqmZkrp9:;=19140;8vdkXimnyS}|f_lw{45664>4?=<74r`o\eabuWyxbS`{w012282829830~lcPaefq[utnWds<=>><6<554>612xjaRokds]svlYj}q:;<<28>932=>tfeVkohQrh]nq}67886<24?>b:pbiZgcl{U{~dQbuy2344:06Vcf|<94r`o\eabuWyxbS`{w01228=8602xjaRokds]svlYj}q:;<<27>03b?wgjWhno~R~}i^ov|5679525==?>9:pbiZgcl{U{~dQbuy2344:?68;:m6|nm^cg`wYwz`Ufyu>?00>;:4769h1ym`Qndep\twoXe|r;<=?38?3154g303b?wgjWhno~R~}i^ov|5679525=9?>9:pbiZgcl{U{~dQbuy2344:?68?:56|nm^cg`wYwz`Ufyu>?00>;:40602xjaRokds]svlYj}q:;<<27>33:?wgjWhno~R~}i^ov|5679525>9;830~lcPaefq[utnWds<=>><9<054>:=45}al]b`atXx{cTaxv?013?<;36901ym`Qndep\twoXe|r;<=?38?425==uidUjhi|Ppsk\ip~789;7439>9:pbiZgcl{U{~dQbuy2344:?6>;:46|nm^cg`wYwz`Ufyu>?00>;:=7>3{kfSljkr^rqmZkrp9:;=161803:?wgjWhno~R~}i^ov|567952559W`g{=:5}al]b`atXx{cTaxv?013?=;7?3{kfSljkr^rqmZkrp9:;=17110c8vdkXimnyS}|f_lw{4566404:<?1=;=5476i2xjaRokds]svlYj}q:;<<26>0025d=uidUjhi|Ppsk\ip~789;753?<10c8vdkXimnyS}|f_lw{4566404:8?1=;=537?3{kfSljkr^rqmZkrp9:;=17120;8vdkXimnyS}|f_lw{45664049=<64r`o\eabuWyxbS`{w01228<84901ym`Qndep\twoXe|r;<=?39?125==uidUjhi|Ppsk\ip~789;753:>9:pbiZgcl{U{~dQbuy2344:>6=;:56|nm^cg`wYwz`Ufyu>?00>::07612xjaRokds]svlYj}q:;<<26>732<>tfeVkohQrh]nq}6788622:?6;scn[dbczVzyeRczx12359?9?8;37ob_`fgvZvuaVg~t=>?1=;=<4??03>3:4>199qehYflmxT|gPmtz3454;878:n6|nm^cg`wYwz`Ufyu>?03>3:Zojx8k0~lcPaefq[utnWds<=>=<0294;703{kfSljkr^rqmZkrp9:;>1?1359qehYflmxT|gPmtz3454;97Uba}Q}al]b`atXx{cTaxv?010?5;76?2xjaRokds]svlYj}q:;268vdkXimnyS}|f_lw{45654;4Te`~Pr`o\eabuWyxbS`{w012187869>1ym`Qndep\twoXe|r;<=<33?17?wgjWhno~R~}i^ov|567:595Sdc_scn[dbczVzyeRczx123695998=0~lcPaefq[utnWds<=>=<5<00>tfeVkohQrh]nq}678;6?2Rgbp^pbiZgcl{U{~dQbuy2347:368;<7ob_`fgvZvuaVg~t=>?2=7=71=uidUjhi|Ppsk\ip~7898793Qfmq]qehYflmxT|gPmtz3454;=7;:;6|nm^cg`wYwz`Ufyu>?02>3:4>189qehYflmxT|gPmtz3455;87;:=45}al]b`atXx{cTaxv?011?4;46911ym`Qndep\twoXe|r;<==30?12f>tfeVkohQrh]nq}678:6;2Rgbp0c8vdkXimnyS}|f_lw{456448:1<3?7;scn[dbczVzyeRczx12379776830~lcPaefq[utnWds<=><<02=54g1103b?wgjWhno~R~}i^ov|567;5;;2??>9:pbiZgcl{U{~dQbuy2346:6879:o6|nm^cg`wYwz`Ufyu>?02>24;Yney;<7ob_`fgvZvuaVg~t=>?3=3=5==uidUjhi|Ppsk\ip~78997=3?>9:pbiZgcl{U{~dQbuy2346:668;:56|nm^cg`wYwz`Ufyu>?02>2:77602xjaRokds]svlYj}q:;<>2>>23a?wgjWhno~R~}i^ov|567;5;5Sdc169qehYflmxT|gPmtz3455;:7;37ob_`fgvZvuaVg~t=>?3=0=54?10;8vdkXimnyS}|f_lw{45644;49=<64r`o\eabuWyxbS`{w012087849k1ym`Qndep\twoXe|r;<==32?]jiu703{kfSljkr^rqmZkrp9:;?1=1199qehYflmxT|gPmtz3455;;7;:56|nm^cg`wYwz`Ufyu>?02>0:47612xjaRokds]svlYj}q:;<>2<>332<>tfeVkohQrh]nq}678:682>?m;scn[dbczVzyeRczx1237959W`g{=:5}al]b`atXx{cTaxv?011?0;7?3{kfSljkr^rqmZkrp9:;?1:110;8vdkXimnyS}|f_lw{45644=4:=<74r`o\eabuWyxbS`{w012081859820~lcPaefq[utnWds<=><<5<05g=uidUjhi|Ppsk\ip~7899783Qfmq34?wgjWhno~R~}i^ov|567;5?5=55}al]b`atXx{cTaxv?011?1;7612xjaRokds]svlYj}q:;<>2:>032=>tfeVkohQrh]nq}678:6>2??>8:pbiZgcl{U{~dQbuy2346:26:;i7ob_`fgvZvuaVg~t=>?3=7=[lkw9>1ym`Qndep\twoXe|r;<==36?3;?wgjWhno~R~}i^ov|567;5<5=<74r`o\eabuWyxbS`{w012083869830~lcPaefq[utnWds<=><<7<154>7Uba}?8;scn[dbczVzyeRczx1237919911ym`Qndep\twoXe|r;<==37?32=>tfeVkohQrh]nq}678:6<29:pbiZgcl{U{~dQbuy2346:06;;:46|nm^cg`wYwz`Ufyu>?02>4:67e3{kfSljkr^rqmZkrp9:;?191_hos52=uidUjhi|Ppsk\ip~7899743?7;scn[dbczVzyeRczx12379>99830~lcPaefq[utnWds<=><<9<254??3=;=5==uidUjhi|Ppsk\ip~7899753?>9:pbiZgcl{U{~dQbuy2346:>68;:56|nm^cg`wYwz`Ufyu>?02>::77602xjaRokds]svlYj}q:;<>26>23a?wgjWhno~R~}i^ov|567;535Sdc159qehYflmxT|gPnnv34545m2xjaRokds]svlYig}:;tfeVkohQrh]lv5679Vcf|R|nm^cg`wYwz`Ud~=>?1030?wgjWhno~R~}i^mq4565:j1ym`Qndep\twoXg{:;?<1<2g>tfeVkohQrh]pldtb89:;0<>50?3b?wgjWhno~R~}i^qkewc789:7==0>9:pbiZgcl{U{~dQ|h`pf4567484:56|nm^cg`wYwz`Uxdl|j0123878612xjaRokds]svlYt`hxn<=>?<2<2=>tfeVkohQrh]pldtb89:;090>9:pbiZgcl{U{~dQ|h`pf45674<4:56|nm^cg`wYwz`Uxdl|j0123838612xjaRokds]svlYt`hxn<=>?<6<2=>tfeVkohQrh]pldtb89:;050>9:pbiZgcl{U{~dQ|h`pf4567404m7ob_`fgvZubk5:5=?5}al]b`atX{li7==4?>028vdkXimnyS~kl<02=b>tfeVkohQ|eb>2:c=uidUjhi|Psda?6;`7l0~lcPaefq[vcd4>4m7ob_`fgvZubk525j6|nm^cg`wYtmj622h5}al]`kphsm{6;2g9qehYdg|di2>0?g8vdkXkfexh|31?g8vdkXkfexh|32?g8vdkXkfexh|33?g8vdkXkfexh|34?g8vdkXkfexh|35?g8vdkXkfexh|36?g8vdkXkfexh|37?g8vdkXkfexh|38?g8vdkXkfexh|39?f8vdkXkfexh|P0e9qehYdg|diQ>e:pbiZeh}g~n~R??d:pbiZeh}g~n~Rj4r`o\gjsi|lxT8i5}al]`kphsm{U>h6|nm^alqkrbzVn0~lcPcnwmp`tX0m1ym`QlotlwawY>9:1ym`QlotlwawYffm:;<=189qehYdg|diQbuy2345:768::=55}al]`kphsm{Ufyu>?01>3:47612xjaRm`uovfvZkrp9:;<1>11032=>tfeVidyczjr^ov|56785:5=??>8:pbiZeh}g~n~Rczx12349699:;37ob_bmvjqcuWds<=>?<1<20418:pbiZeh}g~n~Rczx1234969:8;37ob_bmvjqcuWds<=>?<1<054>169qehYdg|diQbuy2345:76<;37ob_bmvjqcuWds<=>?<1<654>199qehYdg|diQbuy2345:76>;:;6|nm^alqkrbzVg~t=>?0=2=<4>169qehYdg|diQbuy2345:760;37ob_bmvjqcuWds<=>?<1<:54g0?32e>tfeVidyczjr^ov|56785;;2<>>189qehYdg|diQbuy2345:687;:=l5}al]`kphsm{Ufyu>?01>24;7698k0~lcPcnwmp`tXe|r;<=>311<2647>3{kfSnaznugq[hs89:;0<>1123:?wgjWje~byk}_lw{456748:5=9?7;scn[firf}oyS`{w01238469:830~lcPcnwmp`tXe|r;<=>311<154?0?725<=uidUhcx`{es]nq}67896:<38>189qehYdg|diQbuy2345:687=:=55}al]`kphsm{Ufyu>?01>24;>612xjaRm`uovfvZkrp9:;<1??>932<>tfeVidyczjr^ov|56785;;24?6;scn[firf}oyS`{w0123846918;i7ob_bmvjqcuWds<=>?<02=[lkw9?1ym`QlotlwawYj}q:;<=2>>058vdkXkfexh|Pmtz3456;97;:56|nm^alqkrbzVg~t=>?0=3=557602xjaRm`uovfvZkrp9:;<1?1103:?wgjWje~byk}_lw{4567484:=?<0<274>?<0<154>199qehYdg|diQbuy2345:66=;:;6|nm^alqkrbzVg~t=>?0=3=14>199qehYdg|diQbuy2345:66?;:46|nm^alqkrbzVg~t=>?0=3=34703{kfSnaznugq[hs89:;0<07199qehYdg|diQbuy2345:661;:;6|nm^alqkrbzVg~t=>?0=3==4>1`9qehYdg|diQbuy2345:66Vcf|<84r`o\gjsi|lxTaxv?012?6;703{kfSnaznugq[hs89:;0?0>189qehYdg|diQbuy2345:568::=55}al]`kphsm{Ufyu>?01>1:47612xjaRm`uovfvZkrp9:;<1<11032=>tfeVidyczjr^ov|5678585=??>8:pbiZeh}g~n~Rczx12349499:;37ob_bmvjqcuWds<=>?<3<20413<>8:pbiZeh}g~n~Rczx1234949:8;37ob_bmvjqcuWds<=>?<3<054>3:>169qehYdg|diQbuy2345:56<;37ob_bmvjqcuWds<=>?<3<654>38>199qehYdg|diQbuy2345:56>;:;6|nm^alqkrbzVg~t=>?0=0=<4>36>169qehYdg|diQbuy2345:560;37ob_bmvjqcuWds<=>?<3<:54g3Qfmq35?wgjWje~byk}_lw{45674:4:;6|nm^alqkrbzVg~t=>?0=1=54??01>0:476901ym`QlotlwawYj}q:;<=2<>0025==uidUhcx`{es]nq}6789682<=>8:pbiZeh}g~n~Rczx12349599=;<7ob_bmvjqcuWds<=>?<2<15==uidUhcx`{es]nq}6789682??>8:pbiZeh}g~n~Rczx1234959;8;37ob_bmvjqcuWds<=>?<2<75418:pbiZeh}g~n~Rczx1234959=8;37ob_bmvjqcuWds<=>?<2<554>169qehYdg|diQbuy2345:461;37ob_bmvjqcuWds<=>?<2<;5418:pbiZeh}g~n~Rczx123495918;j7ob_bmvjqcuWds<=>?<2<\mhv6>2xjaRm`uovfvZkrp9:;<1:1169qehYdg|diQbuy2345:368;27ob_bmvjqcuWds<=>?<5<2447?3{kfSnaznugq[hs89:;090>10;8vdkXkfexh|Pmtz3456;<7;:=<74r`o\gjsi|lxTaxv?012?0;759820~lcPcnwmp`tXe|r;<=>34?305==uidUhcx`{es]nq}67896?2<:>7:pbiZeh}g~n~Rczx1234929:820~lcPcnwmp`tXe|r;<=>34?025==uidUhcx`{es]nq}67896?2>?>8:pbiZeh}g~n~Rczx1234929<8;<7ob_bmvjqcuWds<=>?<5<65==uidUhcx`{es]nq}67896?28?>8:pbiZeh}g~n~Rczx1234929>8;37ob_bmvjqcuWds<=>?<5<45418:pbiZeh}g~n~Rczx123492908;<7ob_bmvjqcuWds<=>?<5<:5==uidUhcx`{es]nq}67896?24?>a:pbiZeh}g~n~Rczx1234929W`g{=;5}al]`kphsm{Ufyu>?01>6:419:pbiZeh}g~n~Rczx123493999;:46|nm^alqkrbzVg~t=>?0=7=547>3{kfSnaznugq[hs89:;080>103:?wgjWje~byk}_lw{45674<4:>35?3752=uidUhcx`{es]nq}67896>2??7;scn[firf}oyS`{w012380859820~lcPcnwmp`tXe|r;<=>35?125==uidUhcx`{es]nq}67896>29?>7:pbiZeh}g~n~Rczx1234939=820~lcPcnwmp`tXe|r;<=>35?725==uidUhcx`{es]nq}67896>2;?>8:pbiZeh}g~n~Rczx1234939?8;<7ob_bmvjqcuWds<=>?<4<;5==uidUhcx`{es]nq}67896>25?>7:pbiZeh}g~n~Rczx12349391820~lcPcnwmp`tXe|r;<=>35?;25d=uidUhcx`{es]nq}67896>2Rgbp048vdkXkfexh|Pmtz3456;>7;<7ob_bmvjqcuWds<=>?<7<25<=uidUhcx`{es]nq}67896=2<>>199qehYdg|diQbuy2345:168;:56|nm^alqkrbzVg~t=>?0=4=547612xjaRm`uovfvZkrp9:;<1811332<>tfeVidyczjr^ov|56785<5=>?7;scn[firf}oyS`{w01238386<8=0~lcPcnwmp`tXe|r;<=>36?02<>tfeVidyczjr^ov|56785<5>36?6252=uidUhcx`{es]nq}67896=28?7;scn[firf}oyS`{w012383829820~lcPcnwmp`tXe|r;<=>36?425==uidUhcx`{es]nq}67896=2:?>7:pbiZeh}g~n~Rczx12349090820~lcPcnwmp`tXe|r;<=>36?:252=uidUhcx`{es]nq}67896=24?7;scn[firf}oyS`{w0123838>98k0~lcPcnwmp`tXe|r;<=>36?]jiu713{kfSnaznugq[hs89:;0:0>7:pbiZeh}g~n~Rczx12349199830~lcPcnwmp`tXe|r;<=>37?3354>189qehYdg|diQbuy2345:068;:=45}al]`kphsm{Ufyu>?01>4:446911ym`QlotlwawYj}q:;<=28>012<>tfeVidyczjr^ov|56785=5=9?8;scn[firf}oyS`{w01238285911ym`QlotlwawYj}q:;<=28>332<>tfeVidyczjr^ov|56785=5?37?72<>tfeVidyczjr^ov|56785=5937?5252=uidUhcx`{es]nq}67896<25?7;scn[firf}oyS`{w0123828?98=0~lcPcnwmp`tXe|r;<=>37?;2<>tfeVidyczjr^ov|56785=55?0=:=52=uidUhcx`{es]nq}6789632?<9<254?10;8vdkXkfexh|Pmtz3456;07;9=<64r`o\gjsi|lxTaxv?012?<;74911ym`QlotlwawYj}q:;<=27>0623>tfeVidyczjr^ov|5678525><64r`o\gjsi|lxTaxv?012?<;46911ym`QlotlwawYj}q:;<=27>232<>tfeVidyczjr^ov|56785258432<>tfeVidyczjr^ov|5678525:38?:2<>tfeVidyczjr^ov|56785254911ym`QlotlwawYj}q:;<=27>832e>tfeVidyczjr^ov|5678525Sdc179qehYdg|diQbuy2345:>68=0~lcPcnwmp`tXe|r;<=>39?32=>tfeVidyczjr^ov|5678535==?>8:pbiZeh}g~n~Rczx12349?998;27ob_bmvjqcuWds<=>?<8<2547>3{kfSnaznugq[hs89:;040>203;?wgjWje~byk}_lw{4567404:?<64r`o\gjsi|lxTaxv?012?=;739>1ym`QlotlwawYj}q:;<=26>33;?wgjWje~byk}_lw{45674049=<64r`o\gjsi|lxTaxv?012?=;56911ym`QlotlwawYj}q:;<=26>5323>tfeVidyczjr^ov|56785359<64r`o\gjsi|lxTaxv?012?=;36911ym`QlotlwawYj}q:;<=26>732<>tfeVidyczjr^ov|5678535;9323>tfeVidyczjr^ov|56785355<64r`o\gjsi|lxTaxv?012?=;?69h1ym`QlotlwawYj}q:;<=26>^knt45?2^kntZtfeVidyczjr^llp567:8;97ob_bmvjqcuWfx;<=>=a:pbiZeh}g~n~Ra}0123[lkwW{kfSnaznugq[jt789::=55}al]`kphsm{Uxdl|j01238586j2xjaRm`uovfvZuoi{o;<=>31183:4?0:8vdkXkfexh|Psicqa56785;5=55}al]`kphsm{Uxdl|j0123878602xjaRm`uovfvZuoi{o;<=>33?3;?wgjWje~byk}_rjbv`67896?2<64r`o\gjsi|lxTeo}e1234939911ym`QlotlwawYt`hxn<=>?<7<2<>tfeVidyczjr^qkewc789:7;3?7;scn[firf}oyS~fnrd2345:?6820~lcPcnwmp`tX{akyi=>?0=;=f>tfeVnjxl2?>d9qehYci}k7==4?>b9qehYci}k7==0m;scn[agsi5;5n6|nm^fbpd:56k1ym`Qkauc?7;dtfeVnjxlQfn=2=54=uidUomyoPio>24?69n2xjaRjnt`]jj9776l1ym`Qkauc\mk:66l1ym`Qkauc\mk:56l1ym`Qkauc\mk:46l1ym`Qkauc\mk:36l1ym`Qkauc\mk:26l1ym`Qkauc\mk:16l1ym`Qkauc\mk:06l1ym`Qkauc\mk:?6l1ym`Qkauc\mk:>6m1ym`Qkauc\mkY7l2xjaRjnt`]jjZ7b3{kfSio{a^km[46c3{kfSio{a^km[7btfeVnjxlQfn^7g?wgjWmkmRga_7f8vdkXlh~jSd`P7e9qehYci}kTecQ7d:pbiZbf|hUbbR7>9:pbiZbf|hUbbRokdrwa4567494:o6|nm^fbpdYnfVkoh~{m0123846=87;j7ob_ecweZoiWhnoxl?012?558612xjaRjnt`]jjZgclzi<=>?<0<2=>tfeVnjxlQfn^cg`vse89:;0?0>9:pbiZbf|hUbbRokdrwa45674:4:56|nm^fbpdYnfVkoh~{m0123818612xjaRjnt`]jjZgclzi<=>?<4<2=>tfeVnjxlQfn^cg`vse89:;0;0>9:pbiZbf|hUbbRokdrwa45674>4:56|nm^fbpdYnfVkoh~{m01238=8612xjaRjnt`]jjZgclzi<=>?<8<2=>tfeVnjxlQfn^ov|56785:1==0>6:pbiZbf|hUbbRczx12349699>1ym`Qkauc\mkYj}q:;<=2?>03:?wgjWmkmRga_lw{4567494:<30?3244?10;8vdkXlh~jSd`Pmtz3456;87;9=<74r`o\`drfW`dTaxv?012?4;749820~lcPd`vb[lhXe|r;<=>30?375<=uidUomyoPio]nq}67896;2<:>189qehYci}kTecQbuy2345:768?:=45}al]geqgXagUfyu>?01>3:406911ym`Qkauc\mkYj}q:;<=2?>052=>tfeVnjxlQfn^ov|56785:5=:?>9:pbiZbf|hUbbRczx123496991;:56|nm^fbpdYnfVg~t=>?0=2=5<7612xjaRjnt`]jjZkrp9:;<1>12132<>tfeVnjxlQfn^ov|56785:5>30?1252=uidUomyoPio]nq}67896;29?7;scn[agsiVceS`{w012385839820~lcPd`vb[lhXe|r;<=>30?725==uidUomyoPio]nq}67896;2;?>7:pbiZbf|hUbbRczx1234969?820~lcPd`vb[lhXe|r;<=>30?5252=uidUomyoPio]nq}67896;25?7;scn[agsiVceS`{w0123858?98=0~lcPd`vb[lhXe|r;<=>30?;2<>tfeVnjxlQfn^ov|56785:55?0=33:4>1`9qehYci}kTecQbuy2345:687;;=<74r`o\`drfW`dTaxv?012?558698k0~lcPd`vb[lhXe|r;<=>311<2557f3{kfSio{a^km[hs89:;0<>11032e>tfeVnjxlQfn^ov|56785;;2<<>1`9qehYci}kTecQbuy2345:687;8=<74r`o\`drfW`dTaxv?012?5586<8k0~lcPd`vb[lhXe|r;<=>311<2047f3{kfSio{a^km[hs89:;0<>11432e>tfeVnjxlQfn^ov|56785;;2<8>189qehYci}kTecQbuy2345:687;<=l5}al]geqgXagUfyu>?01>24;7098k0~lcPd`vb[lhXe|r;<=>311<2<47f3{kfSio{a^km[hs89:;0<>11832e>tfeVnjxlQfn^ov|56785;;2?>>189qehYci}kTecQbuy2345:6878:=45}al]geqgXagUfyu>?01>24;45901ym`Qkauc\mkYj}q:;<=2>0?125==uidUomyoPio]nq}67896:<3:>9:pbiZbf|hUbbRczx12349776=;:56|nm^fbpdYnfVg~t=>?0=33:07612xjaRjnt`]jjZkrp9:;<1??>732<>tfeVnjxlQfn^ov|56785;;2:?6;scn[agsiVceS`{w01238469?8;37ob_ecweZoiWds<=>?<02=<4??01>24;?69k1ym`Qkauc\mkYj}q:;<=2>0?]jiu713{kfSio{a^km[hs89:;0<0>7:pbiZbf|hUbbRczx12349799830~lcPd`vb[lhXe|r;<=>31?3354>189qehYci}kTecQbuy2345:668;;=45}al]geqgXagUfyu>?01>2:476901ym`Qkauc\mkYj}q:;<=2>>0025<=uidUomyoPio]nq}67896:2<=>199qehYci}kTecQbuy2345:668>:56|nm^fbpdYnfVg~t=>?0=3=517612xjaRjnt`]jjZkrp9:;<1?11432=>tfeVnjxlQfn^ov|56785;5=;?>8:pbiZbf|hUbbRczx12349799>;27ob_ecweZoiWds<=>?<0<2347>3{kfSio{a^km[hs89:;0<0>803:?wgjWmkmRga_lw{4567484:5?<0<154>?0=3=04>199qehYci}kTecQbuy2345:66<;:46|nm^fbpdYnfVg~t=>?0=3=24703{kfSio{a^km[hs89:;0<08199qehYci}kTecQbuy2345:66>;:;6|nm^fbpdYnfVg~t=>?0=3=<4>169qehYci}kTecQbuy2345:660;37ob_ecweZoiWds<=>?<0<:54g?0=0=54?3??10:8vdkXlh~jSd`Pmtz3456;:7;:=45}al]geqgXagUfyu>?01>1:477901ym`Qkauc\mkYj}q:;<=2=>0325<=uidUomyoPio]nq}6789692<<>189qehYci}kTecQbuy2345:5689:=55}al]geqgXagUfyu>?01>1:42612xjaRjnt`]jjZkrp9:;<1<11532=>tfeVnjxlQfn^ov|5678585=8?>9:pbiZbf|hUbbRczx12349499?;:46|nm^fbpdYnfVg~t=>?0=0=527>3{kfSio{a^km[hs89:;0?0>703:?wgjWmkmRga_lw{45674;4:4?<3<1447?3{kfSio{a^km[hs89:;0?0=10:8vdkXlh~jSd`Pmtz3456;:789=55}al]geqgXagUfyu>?01>1:676?2xjaRjnt`]jjZkrp9:;<1<140:8vdkXlh~jSd`Pmtz3456;:7>:=55}al]geqgXagUfyu>?01>1:07602xjaRjnt`]jjZkrp9:;<1<16034?wgjWmkmRga_lw{45674;4<=55}al]geqgXagUfyu>?01>1:276?2xjaRjnt`]jjZkrp9:;<1<180:8vdkXlh~jSd`Pmtz3456;:72:=:5}al]geqgXagUfyu>?01>1:<7?3{kfSio{a^km[hs89:;0?0610c8vdkXlh~jSd`Pmtz3456;:7Uba}?9;scn[agsiVceS`{w01238686?2xjaRjnt`]jjZkrp9:;<1=110;8vdkXlh~jSd`Pmtz3456;;7;;=<64r`o\`drfW`dTaxv?012?7;76901ym`Qkauc\mkYj}q:;<=2<>0335<=uidUomyoPio]nq}6789682189qehYci}kTecQbuy2345:4688:=45}al]geqgXagUfyu>?01>0:456911ym`Qkauc\mkYj}q:;<=2<>062=>tfeVnjxlQfn^ov|5678595=9?>9:pbiZbf|hUbbRczx12349599<;:56|nm^fbpdYnfVg~t=>?0=1=537602xjaRjnt`]jjZkrp9:;<1=1163:?wgjWmkmRga_lw{45674:4:;?<2<2=47>3{kfSio{a^km[hs89:;0>0=003;?wgjWmkmRga_lw{45674:49=<64r`o\`drfW`dTaxv?012?7;45911ym`Qkauc\mkYj}q:;<=2<>2323>tfeVnjxlQfn^ov|56785958<64r`o\`drfW`dTaxv?012?7;26911ym`Qkauc\mkYj}q:;<=2<>432<>tfeVnjxlQfn^ov|5678595:6323>tfeVnjxlQfn^ov|56785954<64r`o\`drfW`dTaxv?012?7;>69>1ym`Qkauc\mkYj}q:;<=2<>83;?wgjWmkmRga_lw{45674:42=?<5<23>tfeVnjxlQfn^ov|56785>5=<74r`o\`drfW`dTaxv?012?0;779820~lcPd`vb[lhXe|r;<=>34?325<=uidUomyoPio]nq}67896?2?01>7:446901ym`Qkauc\mkYj}q:;<=2;>0125==uidUomyoPio]nq}67896?2<:>9:pbiZbf|hUbbRczx12349299=;:56|nm^fbpdYnfVg~t=>?0=6=507612xjaRjnt`]jjZkrp9:;<1:11732<>tfeVnjxlQfn^ov|56785>5=:?6;scn[agsiVceS`{w01238186?8;27ob_ecweZoiWds<=>?<5<2<47>3{kfSio{a^km[hs89:;090>903:?wgjWmkmRga_lw{45674=49<34?015==uidUomyoPio]nq}67896?2>?>7:pbiZbf|hUbbRczx1234929<820~lcPd`vb[lhXe|r;<=>34?625==uidUomyoPio]nq}67896?28?>8:pbiZbf|hUbbRczx1234929>8;<7ob_ecweZoiWds<=>?<5<45==uidUomyoPio]nq}67896?2:?>7:pbiZbf|hUbbRczx12349290820~lcPd`vb[lhXe|r;<=>34?:252=uidUomyoPio]nq}67896?24?7;scn[agsiVceS`{w0123818>98k0~lcPd`vb[lhXe|r;<=>34?]jiu713{kfSio{a^km[hs89:;080>7:pbiZbf|hUbbRczx12349399830~lcPd`vb[lhXe|r;<=>35?3354>189qehYci}kTecQbuy2345:268;;=45}al]geqgXagUfyu>?01>6:476901ym`Qkauc\mkYj}q:;<=2:>0025<=uidUomyoPio]nq}67896>2<=>199qehYci}kTecQbuy2345:268>:56|nm^fbpdYnfVg~t=>?0=7=517612xjaRjnt`]jjZkrp9:;<1;11432=>tfeVnjxlQfn^ov|56785?5=;?>8:pbiZbf|hUbbRczx12349399>;27ob_ecweZoiWds<=>?<4<2347>3{kfSio{a^km[hs89:;080>803:?wgjWmkmRga_lw{45674<4:5?<4<154>?0=7=04>199qehYci}kTecQbuy2345:26<;:46|nm^fbpdYnfVg~t=>?0=7=24703{kfSio{a^km[hs89:;0808199qehYci}kTecQbuy2345:26>;:;6|nm^fbpdYnfVg~t=>?0=7=<4>169qehYci}kTecQbuy2345:260;37ob_ecweZoiWds<=>?<4<:54g?0=4=54?7;:=45}al]geqgXagUfyu>?01>5:477901ym`Qkauc\mkYj}q:;<=29>0325<=uidUomyoPio]nq}67896=2<<>189qehYci}kTecQbuy2345:1689:=55}al]geqgXagUfyu>?01>5:42612xjaRjnt`]jjZkrp9:;<1811532=>tfeVnjxlQfn^ov|56785<5=8?>9:pbiZbf|hUbbRczx12349099?;:46|nm^fbpdYnfVg~t=>?0=4=527>3{kfSio{a^km[hs89:;0;0>703:?wgjWmkmRga_lw{45674?4:4?<7<1447?3{kfSio{a^km[hs89:;0;0=10:8vdkXlh~jSd`Pmtz3456;>789=55}al]geqgXagUfyu>?01>5:676?2xjaRjnt`]jjZkrp9:;<18140:8vdkXlh~jSd`Pmtz3456;>7>:=55}al]geqgXagUfyu>?01>5:07602xjaRjnt`]jjZkrp9:;<1816034?wgjWmkmRga_lw{45674?4<=55}al]geqgXagUfyu>?01>5:276?2xjaRjnt`]jjZkrp9:;<18180:8vdkXlh~jSd`Pmtz3456;>72:=:5}al]geqgXagUfyu>?01>5:<7?3{kfSio{a^km[hs89:;0;0610c8vdkXlh~jSd`Pmtz3456;>7Uba}?9;scn[agsiVceS`{w01238286?2xjaRjnt`]jjZkrp9:;<19110;8vdkXlh~jSd`Pmtz3456;?7;;=<64r`o\`drfW`dTaxv?012?3;76901ym`Qkauc\mkYj}q:;<=28>0335<=uidUomyoPio]nq}67896<2189qehYci}kTecQbuy2345:0688:=45}al]geqgXagUfyu>?01>4:456911ym`Qkauc\mkYj}q:;<=28>062=>tfeVnjxlQfn^ov|56785=5=9?>9:pbiZbf|hUbbRczx12349199<;:56|nm^fbpdYnfVg~t=>?0=5=537602xjaRjnt`]jjZkrp9:;<191163:?wgjWmkmRga_lw{45674>4:;?<6<2=47>3{kfSio{a^km[hs89:;0:0=003;?wgjWmkmRga_lw{45674>49=<64r`o\`drfW`dTaxv?012?3;45911ym`Qkauc\mkYj}q:;<=28>2323>tfeVnjxlQfn^ov|56785=58<64r`o\`drfW`dTaxv?012?3;26911ym`Qkauc\mkYj}q:;<=28>432<>tfeVnjxlQfn^ov|56785=5:6323>tfeVnjxlQfn^ov|56785=54<64r`o\`drfW`dTaxv?012?3;>69>1ym`Qkauc\mkYj}q:;<=28>83;?wgjWmkmRga_lw{45674>42=?<9<23>tfeVnjxlQfn^ov|5678525=<74r`o\`drfW`dTaxv?012?<;779820~lcPd`vb[lhXe|r;<=>38?325<=uidUomyoPio]nq}6789632?01>;:446901ym`Qkauc\mkYj}q:;<=27>0125==uidUomyoPio]nq}6789632<:>9:pbiZbf|hUbbRczx12349>99=;:56|nm^fbpdYnfVg~t=>?0=:=507612xjaRjnt`]jjZkrp9:;<1611732<>tfeVnjxlQfn^ov|5678525=:?6;scn[agsiVceS`{w01238=86?8;27ob_ecweZoiWds<=>?<9<2<47>3{kfSio{a^km[hs89:;050>903:?wgjWmkmRga_lw{45674149<38?015==uidUomyoPio]nq}6789632>?>7:pbiZbf|hUbbRczx12349>9<820~lcPd`vb[lhXe|r;<=>38?625==uidUomyoPio]nq}67896328?>8:pbiZbf|hUbbRczx12349>9>8;<7ob_ecweZoiWds<=>?<9<45==uidUomyoPio]nq}6789632:?>7:pbiZbf|hUbbRczx12349>90820~lcPd`vb[lhXe|r;<=>38?:252=uidUomyoPio]nq}67896324?7;scn[agsiVceS`{w01238=8>98k0~lcPd`vb[lhXe|r;<=>38?]jiu713{kfSio{a^km[hs89:;040>7:pbiZbf|hUbbRczx12349?99830~lcPd`vb[lhXe|r;<=>39?3354>189qehYci}kTecQbuy2345:>68;;=45}al]geqgXagUfyu>?01>::476901ym`Qkauc\mkYj}q:;<=26>0025<=uidUomyoPio]nq}6789622<=>199qehYci}kTecQbuy2345:>68>:56|nm^fbpdYnfVg~t=>?0=;=517612xjaRjnt`]jjZkrp9:;<1711432=>tfeVnjxlQfn^ov|5678535=;?>8:pbiZbf|hUbbRczx12349?99>;27ob_ecweZoiWds<=>?<8<2347>3{kfSio{a^km[hs89:;040>803:?wgjWmkmRga_lw{4567404:5?<8<154>6:;:;6|nm^fbpdYnfVg~t=>?0=;=04>199qehYci}kTecQbuy2345:>6<;:46|nm^fbpdYnfVg~t=>?0=;=24703{kfSio{a^km[hs89:;0408199qehYci}kTecQbuy2345:>6>;:;6|nm^fbpdYnfVg~t=>?0=;=<4>169qehYci}kTecQbuy2345:>60;37ob_ecweZoiWds<=>?<8<:54g<4r`o\`drfW`dTc>?01]jjsYneyUym`Qkauc\mkYhz9:;tfeVnjxlQrh>24?69991ym`Qkauc\two;994m7ob_ecweZvua5;5j6|nm^fbpdYwz`692k5}al]geqgXx{c7?3h4r`o\`drfWyxb090i;scn[agsiVzye1;1f:pbiZbf|hU{~d29>g9qehYci}kT|g37?d8vdkXlh~jS}|f<9tfeVnjxlQ|eb>3:44g9qehYci}kThm35?d8vdkXlh~jS~kl<7tfeVnjxlQ|eb>;:c=uidUomyoPsda?=;>tfeVxnmiQnne2345YneyUym`Q}e`f\ekb789::==5}al]qadbXign;<=?=6:pbiZtbimUjbi>?00]jiuYuidUyiljPaof345769:1ym`Q}e`f\ghvXol:;<=m4r`o\v`gcW`d}=h5}al]qadbXag|Te`~Pr`o\v`gcW`d}=<>4r`o\v`gcWds<=>?109qehYumhnTaxv?012256=uidUyiljPmtz3456688;87ob_sgb`Zkrp9:;<139qehYumhnTaxv?01226441:pbiZtbimUfyu>?01126>tfeVxnmiQbuy2345569;1ym`Q}e`f\ip~789:?=<<4r`o\v`gcWds<=>?5032?wgjW{ojhRczx12343753{kfSknd^ov|5678?;:>6|nm^pfeaYj}q:;<=9>139qehYumhnTaxv?012;544?_hos[wgjW{ojhRa}01235==uidUu}k9;scn[rcb3{kfSzkPmtz3456a3{kfSzkPmtz34566981ym`Qxe^ov|56788::==5}al]taZkrp9:;<1:pbiZqbWds<=>?10225>tfeV}nS`{w0123547692xjaRyj_lw{45679;;:=6|nm^uf[hs89:;=>?>0:pbiZqbWds<=>?1532?wgjW~oTaxv?012204763{kfSzkPmtz34566=8;:7ob_vg\ip~789:::;scn[rcXe|r;<=>>7032?wgjW~oTaxv?0122<4773{kfSzkPmtz3456618:0~lcPwd]nq}67898:j6|nm^uf[hs89:;?<>4r`o\s`Yj}q:;<==>119qehYpmVg~t=>?05324>tfeV}nS`{w012314`8;;7ob_vg\ip~789:<=<>4r`o\s`Yj}q:;<=6>119qehYpmVg~t=>?08326>tfeV}nS`{w0123[lkwl2xjaRyj_np3456582xjaRyj_np3456XadzT~lcPwd]lv56788n0~lcPwd]lv5679;:0~lcPwd]lv5679Vcf|R|nm^uf[jt789;:?6||t99qpiYsqyo?7~ghn59pmc03zcqi1>1a:qj~`:683:546}fzd>24;1<{`pn0<08;rkya949?2ybvh2<>69pmc;<7=0dtj<4<4?vo}m5<5;6}fzd>4:2=taso74394shxf8<813zcqiR>9;rkyaZ703zcqiR??6:qj~`Y5>2ybvhQ<6:qj~`Y3>2ybvhQ:6:qj~`Y1>2ybvhQ86:qj~`Y?>2ybvhQ6f:qj~`Yflm:;<=2?>008wl|bWhno<=>?<0294;773zcqiRokd12349776o1xewkPaef3456;97l0dtj_`fg45674;4m7~gue^cg`5678595j6}fzd]b`a67896?2k5|i{g\eab789:793h4shxf[dbc89:;0;0i;rkyaZgcl9:;<191f:qj~`Yflm:;<=27>g9pmcXimn;<=>39?31?vo}mVg~t=>?0=29558a3zcqiRczx1234969991xewkPmtz3456;87;:=6}fzd]nq}67896;21:qj~`Yj}q:;<=2?>3324>unrlUfyu>?01>3:6743zcqiRczx1234969W`g{==5|i{g\ip~789:7==0>1:qj~`Yj}q:;<=2>0?326>unrlUfyu>?01>24;769;1xewkPmtz3456;9949=13068wl|bWds<=>?<02=[lkwn2ybvhQbuy2345:668:0dtj_lw{4567484:=<5|i{g\ip~789:7=3?>109pmcXe|r;<=>31?0255=tasoTaxv?012?5;56;2ybvhQbuy2345:66Vcf|k5|i{g\ip~789:7>3??;rkyaZkrp9:;<1<11038wl|bWds<=>?<3<2547<{`pnS`{w0123878598:0dtj_lw{45674;48=>5|i{g\ip~789:7>3Qfmqd8wl|bWds<=>?<2<24>unrlUfyu>?01>0:4763zcqiRczx123495998;:7~gue^ov|5678595>?<2<\mhva3zcqiRczx1234929991xewkPmtz3456;<7;:=6}fzd]nq}67896?21:qj~`Yj}q:;<=2;>3324>unrlUfyu>?01>7:6743zcqiRczx1234929W`g{j6}fzd]nq}67896>2<>4shxf[hs89:;080>109pmcXe|r;<=>35?3254=tasoTaxv?012?1;46991xewkPmtz3456;=79:?6}fzd]nq}67896>2Rgbpg9pmcXe|r;<=>36?33?vo}mVg~t=>?0=4=547<{`pnS`{w0123838698;0dtj_lw{45674?49=<>4shxf[hs89:;0;0<129pmcXe|r;<=>36?]jiu`<{`pnS`{w0123828682ybvhQbuy2345:068;:7~gue^ov|56785=5=;rkyaZkrp9:;<1912033?vo}mVg~t=>?0=5=745<{`pnS`{w0123828Xadzm7~gue^ov|5678525==5|i{g\ip~789:743?>1:qj~`Yj}q:;<=27>0325>unrlUfyu>?01>;:77682ybvhQbuy2345:?6:;87~gue^ov|5678525Sdcf:qj~`Yj}q:;<=26>028wl|bWds<=>?<8<254=tasoTaxv?012?=;76981xewkPmtz3456;178:==5|i{g\ip~789:753=>3:qj~`Yj}q:;<=26>^kntg=tasoTc>?013`?vo}mVey<=>?_hos[vo}mVey<=>?1g9pkptdmVlb`h`nmdf8wjsuklUgeckab49ppdrbl2ymykPaof3456b3z~jxhQnne23457b3z~jxhQnne23454b3z~jxhQnne23455692ymykPaof3456Xadz:<6}{aug\ghvXmx:;<=?>;rvbp`YdeyUn}=>?0032?vrf|lUha}Qjq12347763z~jxhQlmq]fu5678:;?7~zntd]`iuYby9:;4sucwaZejxVoz<=>:119ppdrbWjg{Sh?01424>usi}oTo`~Pep2342773z~jxhQlmq]fu56708:0yo{e^antZcv89:2==5|t`vf[fkwWe~;<=>>0:qweqcXkdzT`y>?0033?vrf|lUha}Qct1236a=t|h~nSca{012365=t|h~nSca{0123[lkwWz~jxhQaou234576m2ymykPos2345YneyUxxlzj_np345669l1xxlzj_np3457XadzTyo{e^mq456698o0yo{e^mq4565W`g{S~zntd]lv567:8;n7~zntd]lv567;Vcf|R}{aug\kw678:;j7~{mtsc`pjt?3}of|Razt028p`kwWfSca{012263=smdzTcxzPnnv3457XadzTxhc_nww[kis89::=k5{ibi\gjsi|lx7<3??;uk`oZeh}g~n~1??>028plelWje~byk}<03=55=sajaTob{atdp?578682~bofQlotlwaw:6;7;;7yglk^alqkrbz5;?2<>4thah[firf}oy0<;1119wmfmXkfexh|317<24>rnkbUhcx`{es>23;773}chgRm`uovfv97?68:0xdmd_bmvjqcu4835j6zfcj]`kphsm{6:2<>4thah[firf}oy0?>1119wmfmXkfexh|320<24>rnkbUhcx`{es>16;773}chgRm`uovfv94468:0xdmd_bmvjqcu4;>5=?5{ibi\gjsi|lx7>84?>028plelWje~byk}<37=b>rnkbUhcx`{es>1:c=sajaTob{atdp?7;`<|`i`Snaznugq818a3}chgRm`uovfv939n2~bofQlotlwaw:16o1enePcnwmp`t;?7l0xdmd_bmvjqcu414m7yglk^alqkrbz535i6zfcj]`kphsm{U;i6zfcj]`kphsm{U:j6zfcj]`kphsm{U:4g9wmfmXkfexh|P14d8plelWje~byk}_04e?qodcVidyczjr^34b>rnkbUhcx`{es]2m7yglk^alqkrbzV8>i6zfcj]`kphsm{U8i6zfcj]`kphsm{U?i6zfcj]`kphsm{U>i6zfcj]`kphsm{U=i6zfcj]`kphsm{U?0=32:4d<|`i`Snaznugq[dbc{|h;<=>313<2f>rnkbUhcx`{es]b`aurj9:;<1?<>0`8plelWje~byk}_`fgwpd789:7=90>b:vjgnYdg|diQndeqvf56785;>2?0=3::4g<|`i`Snaznugq[dbc{|h;<=>31?3a?qodcVidyczjr^cg`vse89:;0?>11c9wmfmXkfexh|Paefpqg678969=3?m;uk`oZeh}g~n~Rokdrwa45674;85=o5{ibi\gjsi|lxTmij|uc2345:5;7;i7yglk^alqkrbzVkoh~{m012387299m1enePcnwmp`tXimnxyo>?01>11?699k1enePcnwmp`tXimnxyo>?01>11;7f3}chgRm`uovfvZgclzi<=>?<3<2e>rnkbUhcx`{es]b`aurj9:;<1=11`9wmfmXkfexh|Paefpqg67896?2?0=5=5d=sajaTob{atdp\eabt}k:;<=27>0c8plelWje~byk}_`fgwpd789:753?n;uk`oZeh}g~n~Rczx123496=:<4:;6zfcj]`kphsm{Ufyu>?01>3:4><|`i`Snaznugq[hs89:;0=0>189wmfmXkfexh|Pmtz3456;87;:=45{ibi\gjsi|lxTaxv?012?4;46911enePcnwmp`tXe|r;<=>30?12f>rnkbUhcx`{es]nq}67896;2Rgbp0:8plelWje~byk}_lw{456748:5=45{ibi\gjsi|lxTaxv?012?55869h1enePcnwmp`tXe|r;<=>311<254g<|`i`Snaznugq[hs89:;0<>1203:?qodcVidyczjr^ov|56785;;2>?l;uk`oZeh}g~n~Rczx12349776Vcf|<64thah[firf}oyS`{w01238479901enePcnwmp`tXe|r;<=>310<25d=sajaTob{atdp\ip~789:7=<0>10c8plelWje~byk}_lw{456748;5>?0=32:Zojx820xdmd_bmvjqcuWds<=>?<00=5<=sajaTob{atdp\ip~789:7=?0>1`9wmfmXkfexh|Pmtz3456;9;4:=?0=31:67d3}chgRm`uovfvZkrp9:;<1?=>^knt4><|`i`Snaznugq[hs89:;0<=1189wmfmXkfexh|Pmtz3456;9:4:=l5{ibi\gjsi|lxTaxv?012?568698k0xdmd_bmvjqcuWds<=>?<01=647>3}chgRm`uovfvZkrp9:;<1?<>23`?qodcVidyczjr^ov|56785;82Rgbp0:8plelWje~byk}_lw{456748>5=45{ibi\gjsi|lxTaxv?012?51869h1enePcnwmp`tXe|r;<=>315<254g<|`i`Snaznugq[hs89:;0<:1203:?qodcVidyczjr^ov|56785;?2>?l;uk`oZeh}g~n~Rczx12349736Vcf|<64thah[firf}oyS`{w01238439901enePcnwmp`tXe|r;<=>314<25d=sajaTob{atdp\ip~789:7=80>10c8plelWje~byk}_lw{456748?5>?0=36:Zojx820xdmd_bmvjqcuWds<=>?<04=5<=sajaTob{atdp\ip~789:7=;0>1`9wmfmXkfexh|Pmtz3456;9?4:=?0=35:67d3}chgRm`uovfvZkrp9:;<1?9>^knt4><|`i`Snaznugq[hs89:;0<91189wmfmXkfexh|Pmtz3456;9>4:=l5{ibi\gjsi|lxTaxv?012?528698k0xdmd_bmvjqcuWds<=>?<05=647>3}chgRm`uovfvZkrp9:;<1?8>23`?qodcVidyczjr^ov|56785;<2Rgbp0:8plelWje~byk}_lw{45674825=45{ibi\gjsi|lxTaxv?012?5=869h1enePcnwmp`tXe|r;<=>319<254g<|`i`Snaznugq[hs89:;0<61203:?qodcVidyczjr^ov|56785;32>?l;uk`oZeh}g~n~Rczx123497?6Vcf|<64thah[firf}oyS`{w012384?9901enePcnwmp`tXe|r;<=>318<25d=sajaTob{atdp\ip~789:7=40>10c8plelWje~byk}_lw{45674835>6:;h7yglk^alqkrbzVg~t=>?0=3::Zojx8=0xdmd_bmvjqcuWds<=>?<0<2<>rnkbUhcx`{es]nq}67896:2?0=3=647?3}chgRm`uovfvZkrp9:;<1?130`8plelWje~byk}_lw{4567484Te`~>8:vjgnYdg|diQbuy2345:587;27yglk^alqkrbzVg~t=>?0=03:47f3}chgRm`uovfvZkrp9:;<1032e>rnkbUhcx`{es]nq}678969<3<>189wmfmXkfexh|Pmtz3456;:948=n5{ibi\gjsi|lxTaxv?012?658Xadz:46zfcj]`kphsm{Ufyu>?01>15;7>3}chgRm`uovfvZkrp9:;<1<>>03b?qodcVidyczjr^ov|567858:2a:vjgnYdg|diQbuy2345:5978:=45{ibi\gjsi|lxTaxv?012?64849j1enePcnwmp`tXe|r;<=>320<\mhv602~bofQlotlwawYj}q:;<=2=2?3:?qodcVidyczjr^ov|56785892?01>16;46901enePcnwmp`tXe|r;<=>323<05f=sajaTob{atdp\ip~789:7>?0Pilr2<>rnkbUhcx`{es]nq}678969?3?6;uk`oZeh}g~n~Rczx123494468;j7yglk^alqkrbzVg~t=>?0=00:476i2~bofQlotlwawYj}q:;<=2=3?025<=sajaTob{atdp\ip~789:7>>0<1b9wmfmXkfexh|Pmtz3456;::4Te`~>8:vjgnYdg|diQbuy2345:5<7;27yglk^alqkrbzVg~t=>?0=07:47f3}chgRm`uovfvZkrp9:;<1<;>032e>rnkbUhcx`{es]nq}67896983<>189wmfmXkfexh|Pmtz3456;:=48=n5{ibi\gjsi|lxTaxv?012?618Xadz:46zfcj]`kphsm{Ufyu>?01>11;7>3}chgRm`uovfvZkrp9:;<1<:>03b?qodcVidyczjr^ov|567858>2a:vjgnYdg|diQbuy2345:5=78:=45{ibi\gjsi|lxTaxv?012?60849j1enePcnwmp`tXe|r;<=>324<\mhv6?2~bofQlotlwawYj}q:;<=2=>0:8plelWje~byk}_lw{45674;4:=45{ibi\gjsi|lxTaxv?012?6;76901enePcnwmp`tXe|r;<=>32?025==sajaTob{atdp\ip~789:7>3=>b:vjgnYdg|diQbuy2345:56Vcf|<94thah[firf}oyS`{w0123868602~bofQlotlwawYj}q:;<=2<>03:?qodcVidyczjr^ov|5678595=?0=1=74d<|`i`Snaznugq[hs89:;0>0Pilr23>rnkbUhcx`{es]nq}67896?2<64thah[firf}oyS`{w01238186901enePcnwmp`tXe|r;<=>34?325<=sajaTob{atdp\ip~789:783<>199wmfmXkfexh|Pmtz3456;<79:n6zfcj]`kphsm{Ufyu>?01>7:Zojx8=0xdmd_bmvjqcuWds<=>?<4<2<>rnkbUhcx`{es]nq}67896>2?0=7=647?3}chgRm`uovfvZkrp9:;<1;130`8plelWje~byk}_lw{45674<4Te`~>7:vjgnYdg|diQbuy2345:16820xdmd_bmvjqcuWds<=>?<7<25<=sajaTob{atdp\ip~789:7:3?>189wmfmXkfexh|Pmtz3456;>78:=55{ibi\gjsi|lxTaxv?012?2;56j2~bofQlotlwawYj}q:;<=29>^knt41<|`i`Snaznugq[hs89:;0:0>8:vjgnYdg|diQbuy2345:068;27yglk^alqkrbzVg~t=>?0=5=547>3}chgRm`uovfvZkrp9:;<191203;?qodcVidyczjr^ov|56785=5??01>;:4><|`i`Snaznugq[hs89:;050>189wmfmXkfexh|Pmtz3456;07;:=45{ibi\gjsi|lxTaxv?012?<;46911enePcnwmp`tXe|r;<=>38?12f>rnkbUhcx`{es]nq}6789632Rgbp058plelWje~byk}_lw{4567404:46zfcj]`kphsm{Ufyu>?01>::47>3}chgRm`uovfvZkrp9:;<171103:?qodcVidyczjr^ov|5678535>?<8<\mhv6;2~bofQlotlwawYhz9:;0z1>17:t?55<76<1}0<>14:t?5;2<~58586x33?68r929<2|793:4v=4=0>p;?7>0z1614:t?=;0<~hf7<374v`n?55<76>1}ma2>0?48rdj;97<0zlb32?48rdj;;7<0zlb34?48rdj;=7<0zlb36?48rdj;?7<0zlb38?48rdj;17?0zlbP049ueiY6>2|j`R??5:tbhZ423kgS>;4v`n\00=qieU>96xnl^46?sgkW>?0zlbP849ueiY>12|j`xkPchl:?sgk}lUd|o94vbmvjq7>3idycz30?;8rfirf}6:245ycnwmp94912|hcx`{<2<:?seh}g~78374vbmvjq:2601}ob{at=4==>pdg|d0:06;walqkr;07h0znaznu>:>58>3idycz39?g8rfirf}Ujbi>?0101?seh}g~Tmcj?012\mhvX~je~byQnne23457692|hcx`{_bos[`w789::>6xlotlw[fkwWl{;<=>>139ugjsi|Vif|Rk~0123644<~je~byQlmq]fu5678:;>7{m`uov\ghvXmx:;<=Qfmq18rbgb3cn{Nacos>3>78d3cn{Nacos>3:f=qal}Hcaa}<0<`?sobJegc2=>c9um`qDgeeyS=l4vhgtGjjhzV;i7{gjwBmokwY59?1}ehyLommq[hs89:;0=4=>068rlcpKffd~Rczx12349699<1}ehyLommq[hs89:;0=0>179um`qDgeeyS`{w0123858698?0zdkxCnnlvZkrp9:;<1>12048rlcpKffd~Rczx1234969:8;>7{gjwBmokwYj}q:;<=2?>235?sobJegcQbuy2345:76:;::6xfevAlhjtXe|r;<=>30?6253=qal}Hcaa}_lw{4567494>=<;4vhgtGjjhzVg~t=>?0=2=240<~`o|Obb`r^ov|56785:5:?01>3:276=2|bizM`lnp\ip~789:7<36>8:tjarEhdfxTaxv?012?4;Yney;?7{gjwBmokwYj}q:;<=2>>078rlcpKffd~Rczx123497998<0zdkxCnnlvZkrp9:;<1?11036?sobJegcQbuy2345:66;;=7{gjwBmokwYj}q:;<=2>>3321>pnm~Id`b|Pmtz3456;979::6xfevAlhjtXe|r;<=>31?1253=qal}Hcaa}_lw{4567484?=<84vhgtGjjhzVg~t=>?0=3=14723cn{Nacos]nq}67896:2;?9;wkfsFikg{Ufyu>?01>2:376>2|bizM`lnp\ip~789:7=39>149um`qDgeeyS`{w0123848?911}ehyLommq[hs89:;0<0Pilr20>pnm~Id`b|Pmtz3456;:7;>7{gjwBmokwYj}q:;<=2=>035?sobJegcQbuy2345:568;:96xfevAlhjtXe|r;<=>32?022>pnm~Id`b|Pmtz3456;:78:=85yidu@kiiuWds<=>?<3<053=qal}Hcaa}_lw{45674;48=<84vhgtGjjhzVg~t=>?0=0=04713cn{Nacos]nq}67896928?>5:tjarEhdfxTaxv?012?6;06>2|bizM`lnp\ip~789:7>38>179um`qDgeeyS`{w0123878098?0zdkxCnnlvZkrp9:;<1<180:8rlcpKffd~Rczx1234949W`g{==5yidu@kiiuWfx;<=>=6:tjarEhdfxTc>?01]jiuYqal}Hcaa}_np34566=2|xucmk;wqzjfYffm:;<=?1028rvikVif|Rij012355=q{pdhSnc_mg345653~o87zkN5:uj`qn682rh?~2;?i6<7k:01014bd2:=9=>ua24;95>h5=h0=7)<:8;066>{Tk1099o4>9e827636lj08;??:;e0:5?6=93;p_n7524`95=im536027>pA9o<1<7?51;2xWf?=:8:?6*=5082=g=#9l:19<5m28394?7f28:h67>4}%07a?4>92P357;t568627?|=;0>87;l:7390f<4?39>6?l52g806?212==18549c;4f>3b=>>0=9789:5f90`<393>;69<54g864?5d2:h1?i4<9;1;>0c==o0=<7=<:23971<4m39m6>85318~kg>42900e03g;n=7>4;n:5>5<#9l814:5a1d395>=h0<0;6)?j2;:4?k7b93807b6;:18'5`4=0>1e=h?53:9l50?=83.:i?4>599m5`7=821d=8950;&2a7<6=11e=h?51:9l500=83.:i?4>599m5`7=:21d=8;50;&2a7<6=11e=h?53:9l5c2=83.:i?4>f29m5`7=821d=k<50;&2a7<6n:1e=h?51:9l5c7=83.:i?4>f29m5`7=:21d=k>50;&2a7<6n:1e=h?53:9l7<>=83.:i?4<969m5`7=821d?4850;&2a7<41>1e=h?51:9l7<3=83.:i?4<969m5`7=:21d?4:50;&2a7<41>1e=h?53:9j64o50;&2a7<5111e=h?51:9j68:50;394?6|,;>n6<;n;I067>i6==0;66sm2df94?e=<3op@?;::0yK5:703<,h:96=5r$36f>6703S226>3:17d3:1(059K6056{K:<<1>v*n0382?kg7;3;h7pgl5;29?le12900enk50;9l5`5=831vn?7j:18`>5<7sE8>:77t$8;4>7ga3-8n=7l>5:&0g4=92.j;oc37?7e3t.98h4=9d9Y<<<6so0veno50;9j61b=831d=h:50;&2a7<6mm1e=h?59:9l5`3=83.:i?4>ee9m5`7=021d=h850;&2a7<6mm1e=h?57:9l5`1=83.:i?4>ee9m5`7=>21d=h650;&2a7<6mm1e=h?55:9l5`?=83.:i?4>ee9m5`7=<21d=ho50;&2a7<6mm1e=h?53:9l5`d=83.:i?4>ee9m5`7=:21d=hm50;&2a7<6mm1e=h?51:9l5`c=83.:i?4>ee9m5`7=821vn?oj:18a>64?::k1e1<722c9m84?::k1e3<722c9m:4?::k1e<<722h9mo4?:483>5}#:=o1;i5G2418m4>>2900e<6n:188m4>e2900e<6l:188k4e?2900qo5<2290;w)<;e;5`?M42;2c:444?::k24h50;0xZ7?a348jn7?7c:p6d6=838pR?o?;<0bf?7?12wx>l?50;0xZ7g6348jn7?7a:p6d4=838pR?o=;<0bf?7?j2wx>l=50;0xZ7g4348jo7?79:p6d2=838pR?o;;<0bg?7?j2wx>l;50;0xZ7g2348jo7?7a:p6d0=838pR?o9;<0bg?7?k2wx>l950;0xZ7g0348jh7?79:p6d?=838pR?o6;<0b`?7?i2wx>ll50;0x97ge28i370{t:hi1<74e?348jh7?7c:~fd4329096=4?{%07a?7>:2B99>5f19:94?=h9j21<75rs3;5>5<4sW82:63n238`1>;51l0hm6s|2`c94?5|5h896n84=3;f>72c348ji76}Y:0=01l<=:0g0?8g5<3;346s|2`;94?4|5;3n6l950;0x97?b28oh70"5{t:h<1<74ce348ji79o52:p6d3=838p1?7j:0gb?84fm38j96*=4c81e==i:=k1?6s|2`694?4|5;3n6l=50;0x97?b28o370"5{t:h81<74c0348ji79o56:p6d7=838p1?7j:0g5?84fm38j=6*=4c81e==i:=k1;6s|2`294?4|5;3n64h50;0x97?b28o?70"5{zj;3o6=4=9;67>2?|D;?>638pbl><:448 d65291v(?:j:c6f?_>>2?q>;7;7:4;961<3j3;n6pT=488e04===0>o71>i4=e;l6<1=831b>4850;9lf=5=831d??l50;9l<=<72-;n>768;o3f5?6<3f2=6=4+1d09<2=i9l;1=65`8483>!7b:32<7c?j1;08?j>3290/=h<5869m5`7=;21d=8750;&2a7<6=11e=h?50:9l501=83.:i?4>599m5`7=921d=8850;&2a7<6=11e=h?52:9l503=83.:i?4>599m5`7=;21d=k:50;&2a7<6n:1e=h?50:9l5c4=83.:i?4>f29m5`7=921d=k?50;&2a7<6n:1e=h?52:9l5c6=83.:i?4>f29m5`7=;21d8no50;&2a7<3k01e=h?50:9l0a5=83.:i?4;c89m5`7=921d8i<50;&2a7<3k01e=h?52:9l0a7=83.:i?4;c89m5`7=;21d8i>50;&2a7<3k01e=h?54:9l0f`=83.:i?4;c89m5`7==21d8nk50;&2a7<3k01e=h?56:9l0fb=83.:i?4;c89m5`7=?21d8nm50;&2a7<3k01e=h?58:9l0fd=83.:i?4;c89m5`7=121d8n650;&2a7<3k01e=h?5a:9j64o50;&2a7<5111e=h?51:9j650;&2a7<0j01e=h?54:9j3g`=83.:i?48b89m5`7==21b;ok50;&2a7<0j01e=h?56:9j3gb=83.:i?48b89m5`7=?21b;om50;&2a7<0j01e=h?58:9j3gd=83.:i?48b89m5`7=121b;o650;&2a7<0j01e=h?5a:9a601=83;1<7>t$36f>7373A8>?6a>dg83>>{e0h0;694?:1y'61c=99?0D?;<;M062?4|,h:9695aa1191<=zaj?1<75fcd83>>o6mo0;66a>e283>>{e0k0;694?:1y'61c=99?0D?;<;M062?4|,h:9695aa1191<=zaj?1<75fcd83>>o6mo0;66a>e283>>{e0j0;694?:1y'61c=99?0D?;<;M062?4|,h:9695aa1191<=zaj?1<75fcd83>>o6mo0;66a>e283>>{e0m0;694?:1y'61c=99?0D?;<;M062?4|,h:9695aa1191<=zaj?1<75fcd83>>o6mo0;66a>e283>>{e;;h1<7:50;2x 72b28:>7E<:3:N113<5s-k;>7:4n`20>116=44ibg94?=n9ll1<75`1d194?=zj8?i6=4;:183!43m3;;96F=529O600=:r.j5;haf>5<5<o7>54;294~"55;n3f7?6=3th:9i4?:583>5}#:=o1==;4H370?I42>38p(l>=:59me55==11ven;50;9jg`<722c:ik4?::m2a6<722wi=8k50;694?6|,;>n6<>:;I067>J5=?09w)o?2;68jd642<20qdm::188mfc=831b=hh50;9l5`5=831vn5<7s-8?i7??5:J116=K:<<1>v*n0387?kg7;3?<7pgl5;29?leb2900e049K605hf8:0>;6sfc483>>odm3:17d?jf;29?j7b;3:17pl>f883>1<729q/>9k51178L7343E8>:71=ii9919:5rib794?=nkl0;66g>eg83>>i6m:0;66sm1gc94?2=83:p(?:j:026?M42;2F99;4={%c36?25<5<3290;w)<;e;331>N5=:1G>8852z&b47<33gk;?7:>;|k`1?6=3`in6=44i0ge>5<8852z&b47<33gk;?7;=;|k`1?6=3`i=6=44ibg94?=h9l91<75rb2c6>5<3290;w)<;e;330>N5=:1G>8;51z&2=4<23tF99;4={%c36?25<>i6m:0;66sm3`494?2=83:p(?:j:027?M42;2F9984>{%3:5?3:71=ii9919?5rib794?=nk?0;66gle;29?j7b;3:17pl1<729q/>9k51168L7343E8>97?t$0;2>0=zD;?=6?u+a1090>hf8:0>>6sfc483>>od>3:17dmj:188k4c42900qo=n8;290?6=8r.98h4>059K6056{K:<<1>v*n0387?kg7;3?97pgl5;29?le12900enk50;9l5`5=831vn>o6:187>5<7s-8?i7??4:J116=K:9086?xJ5=?09w)o?2;68jd642<80qdm::188mf0=831boh4?::m2a6<722wi?o<50;694?6|,;>n6<>;;I067>J5=<0:w)?61;78yI42>38p(l>=:59me55==:1ven;50;9jg3<722chi7>5;n3f7?6=3th8n>4?:583>5}#:=o1==:4H370?I42=3;p(<7>:49~H7312;q/m=<54:lb46<2;2wbo84?::k`2?6=3`in6=44o0g0>5<54;294~"55;ha5>5<6=4;:183!43m3;;86F=529O603=9r.:5<4:;|N113<5s-k;>7:4n`20>056=44ib494?=nkl0;66a>e283>>{e;k<1<7:50;2x 72b28:?7E<:3:N110<6s-;2=7;4}M062?4|,h:9695aa11916=zaj?1<75fc783>>odm3:17b?j3;29?xd3l=0;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3l<0;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3l>0;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3l10;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3l00;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3lh0;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3lk0;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3lj0;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3lm0;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3ll0;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd3l?0;694?:1y'61c=99>0D?;<;M062?4|,h:9695aa11911=zaj?1<75fc783>>odm3:17b?j3;29?xd49h0;6n4?:1yO600=jr.25:4=ag9'6`7=j8?0(>m>:bg8 6dd2180(>m7:0g0?!5en3ij7c=m8;38 6ef2;>h7c=ma;38 d652=1em==5359~ 72b2:;j7W66:0ye>xodi3:17d<;c;29?j7b<3:1(3:1(m>:bg8 6dd2180(>m7:0g0?!5en3ij7c=m8;38 6e72jh0b>l6:09'7fg=:=i0b>ln:09'e54=<2dj<>4=e:'61c=j:h0V5751zd9ylef2900enl50;9j61e=831d=h:50;&2a7<6mm1e=h?59:9l5`3=83.:i?4>ee9m5`7=021d=h850;&2a7<6mm1e=h?57:9l5`1=83.:i?4>ee9m5`7=>21d=h650;&2a7<6mm1e=h?55:9l5`?=83.:i?4>ee9m5`7=<21d=ho50;&2a7<6mm1e=h?53:9l5`d=83.:i?4>ee9m5`7=:21d=hm50;&2a7<6mm1e=h?51:9l5`c=83.:i?4>ee9m5`7=821vn<7i:182g?6=8rF99;48{%;:3?42l2.8o;4k4:&0g29g9Y<<<4s8<1:44>f;j`0<72-;n>7j9;o3f5?g<3`o:6=4+1d09`3=i9l;1565fe883>!7b:3n=7c?j1;:8?lce290/=h<5d79m5`7=?21bii4?:%3f6?b13g;n=784;hge>5<#9l81h;5a1d391>=nn90;6)?j2;f5?k7b93>07dh>:18'5`4=l?1e=h?53:9jb7<72-;n>7j9;o3f5?4<3`l?6=4+1d09`3=i9l;1=65fd683>!7b:3n=7c?j1;28?l`1290/=h<5fe9m5`7==21bj44?:%3f6?`c3g;n=7:4;hdb>5<#9l81ji5a1d397>=nnk0;6)?j2;dg?k7b93807dhl:18'5`4=nm1e=h?51:9jb`<72-;n>7hk;o3f5?6<3f8997>5$0g1>7413g;n=7o4;n005?6=,8o96?<9;o3f5??<3f8857>5$0g1>7413g;n=764;n00f?6=,8o96?<9;o3f5?1<3f88h7>5$0g1>7413g;n=784;n00b?6=,8o96?<9;o3f5?3<3f8?<7>5$0g1>7413g;n=7:4;n075?6=,8o96?<9;o3f5?5<3f8?>7>5$0g1>7413g;n=7<4;n077?6=,8o96?<9;o3f5?7<3f89;7>5$0g1>7413g;n=7>4;|`2f1<728:1<7>tL375>3}#;j<1h95+b2c91>"4k009>95+98596n67}1:3;m6pgk5;29 4c52li0b:598m`7=83.:i?4jc:l2a4<432cn57>5$0g1>`ee082?>obl3:1(:`98k756290/=h<52348j4c62010c?=6:18'5`4=:;<0b:998k75e290/=h<52348j4c62>10c?=k:18'5`4=:;<0b:798k75a290/=h<52348j4c62<10c?:?:18'5`4=:;<0b:598k726290/=h<52348j4c62:10c?:=:18'5`4=:;<0b:398k724290/=h<52348j4c62810c?<8:18'5`4=:;<0b:198yg7fi3:1n7>50zN113<0s-9h:7j;;%`0e?g<,:i26:>4$8;4>67>3-3247l>4:&b47<33gk;?7:9;|&10`<6ih1Q444>{e8~ma3=83.:i?4i3:l2a4<>32cn=7>5$0g1>c5e084?>obj3:1(1=e38e7>h6m80876gi1;29 4c52o90b:398mc4=83.:i?4i3:l2a4<632cm87>5$0g1>c53=p(>m9:e68 g5f2k1/?n75739'=<1=;0k0(477:c37?!g7:3>0bl><:548y!43m3;jn6T79;3x`?{nl<0;6)?j2;d0?k7b93307dk>:18'5`4=n:1e=h?58:9ja<<72-;n>7h<;o3f5?1<3`oi6=4+1d09b6=i9l;1:65fee83>!7b:3l87c?j1;78?lca290/=h<5f29m5`7=<21bj=4?:%3f6?`43g;n=7=4;hd2>5<#9l81j>5a1d396>=nn;0;6)?j2;d0?k7b93;07dh;:18'5`4=n:1e=h?50:9l37<722wi=l950;`94?6|D;?=6:u+3b49`1=#j:k1=>5+3b;934=#10=1?474$8;;>g733-k;>7:4n`20>075<#9l81j>5a1d39<>=nm00;6)?j2;d0?k7b93=07dkm:18'5`4=n:1e=h?56:9jaa<72-;n>7h<;o3f5?3<3`om6=4+1d09b6=i9l;1865ff183>!7b:3l87c?j1;18?l`6290/=h<5f29m5`7=:21bj?4?:%3f6?`43g;n=7?4;hd7>5<#9l81j>5a1d394>=h?80;66sm1`:94?d=83:p@?;9:6y'7f0=l=1/n>o59:&0g<<092.25:4<989'=<>=j8>0(l>=:59me55==81v(?:j:0c;?_>>28qo6pgk5;29 4c52o90b:898m`7=83.:i?4i3:l2a45$0g1>c5e085?>obl3:1(6=e38e7>h6m80976gi2;29 4c52o90b:098mc2=83.:i?4i3:l2a4<732e<=7>5;|`2f7<72k0;6=uC24493~"4k?0o86*m3`820>"4k00<<6*696805<=#1021n<:4$`21>1=ii9918=5r$36f>4d53S226<=e38e7>h6m80376gj9;29 4c52o90b:698m`d=83.:i?4i3:l2a4<132cnh7>5$0g1>c5e087?>oa83:1(4=1<7*>e38e7>h6m80;76a80;29?xd6j:0;6o4?:1yO600=?r.8o;4k4:&a7d<6=2.8o4482:&:=2<41h1/5465b068 d652=1em==5419~ 72b28h87W66:0yg>xoc=3:1(2=e38e7>h6m80=76gjd;29 4c52o90b:498m``=83.:i?4i3:l2a4<332cm<7>5$0g1>c554ig394?"6m;0m?6`>e081?>oa:3:1(l4?:c80>a}#:=o1??o4o205>5<5<5<5<5<5<n6:l4H370?l7?13:17d?7a;29?l7?j3:17d?7c;29?j7d03:17pl<2883>0<729q/>9k57b9K6055<5<;5233;95f>52z\05g=:;;=1=5o4}r12g?6=:rT8=n5233595=?52z\05a=:;;=1=5l4}r12a?6=:rT8=h5233595=e52z\05c=:;;21=5o4}r114?6=:rT8>=5233;95=?52z\064=:;;21=574}r116?6=:rT8>?5233;95=g52z\066=:;;21=5l4}r111?6=:rT8>85233:95=e52z?062<6k116??7519`8yv5503:1>v3<2982g==:;;31=5m4}|``6?6=<3<15v*=4d8`6>ie13:17d7<:188m7?e2900e5k50;9ag4<72:0;6=u+25g936=O:<90e<66:188m4>f2900c?6g>8883>>o60h0;66a>c983>>{ejm0;6>4?:1y'61c=?:1C>8=4i0::>5<5<=831vnoh50;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17pll0;297?6=8r.98h4>c89K6055<5<5sWh270m?:0a;?xu>;3:1?vP63:?`5?7?127ij7?79:p6pR?7m;4>f34hi6<6n;<`g>4>f3ty3i7>53z\;a>;ej3;3563md;3;=>{tjh0;6?u2c082g==:k90:445rsc`94?4|5kh1=n64=cg95=?4e?34hn6<6n;|q2f<<72;q6nh4>c99>fc<60h1v0;6949:8y'61c=j>1dmh4?::k:7?6=3`82n7>5;h:f>5<>0D?;<;h3;=?6=3`;3m7>5;n3`53;294~"5=831vno<50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17plm3;297?6=8r.98h4>c89K6055<5<4290;w)<;e;57?M42;2c:444?::k2vPne:?a1?7d02wx5>4?:2y]=6=:j?0:4l52b582<<=z{;3i6=4;{_0:f>;e>3;3563m0;3;e>;e:3;3m6s|8d83>6}Y0l16n=4>889>f7<6001vlh50;0x9g0=9j201o;519;8yvd72909w0l?:0a;?8d428227p}m1;296~;e:3;h463m3;3;e>{t9k31<7<5k>1=5o4}r3ae?6=:r7i87?l8:?a1?7?i2wvnlj50;692??|,;>n6lj4o`694?=n1:0;66g=9c83>>o?m3:17ool:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sma783>6<729q/>9k5749K6055<5<4290;w)<;e;50?M42;2c:444?::k250z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zjhh1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wxm94?:3y]e1=:ik0:o55rs8194?5|V0901lm519c89dg=9130q~<6b;290~X51k16mn4>889>e3<60h16m54>8`9~w=c=839pR5k4=`495=?<5h21=574}rc6>5<5s4kh64>>3tyj:7>52z?b2?7d027j57?79:pe2<72;q6m54>c99>e<<60h1v28i370on:0:b?xu6jh0;6?u2a`82g==:ik0:4l5r}cc0>5<32?02w)<;e;c0?j?f2900e4=50;9j6N5=:1b=5750;9j5=g=831d=n650;9~ft$36f>23<@;?87d?79;29?l7?i3:17b?l8;29?xd>m3:1?7>50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj0l1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wim=4?:283>5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qoo>:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rs8c94?4|V0k01l?51b:8yv?42908wS7<;4>f34k;6<66;|q1=g<72=qU>4l4=`095=?<50i1=5o4=8g95=gj3:1>v3n2;3`<>;f93;356s|9b83>7}:1j0:o5529g82<<=z{0n1<7<50l1=5o4}r3a=?6=:r72j7?l8:?b4?7?i2wx=oo50;0x9d6=9j201l?519c8yxd60>0;6949:8y'61c=91=0c<9j:188m<5=831b>4o50;9j<`<722h:4;4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo?70;297?6=8r.98h485:J116=n9131<75f19c94?=h9j21<75rb0:1>5<4290;w)<;e;50?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{e91>1<7=50;2x 72b2>>0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17p}>7d83>7}Y9>o01<6::0a;?xu>;3:1?vP63:?2<3<60016=5:519;8yv4>i3:18vP=9`9>5=0=91k01<6?:0:b?87?:3;3m6s|8d83>6}Y0l16=5>519;894>528227p}>7g83>7}:91<1=n64=0:6>4>>3ty:4=4?:3y>5=6=9j201<6<:0::?xu6080;6?u219095f><58286<6n;|q2f<<72;q6=5=51b:894>3282j7p}>b`83>7}:91>1=n64=0:6>4>f3twi=:m50;692??|,;>n6<9l;n347?6=3`386=44i3;b>5<5;h3;e?6=3f;h47>5;|`230<72:0;6=u+25g930=O:<90e<66:188m4>f2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj8=36=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn<96:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm16c94?5=83:p(?:j:0a:?M42;2c:444?::k24?:2y]=6=:9>h1=5o4=05:>4>>3ty95l4?:5y]68`9~w=c=839pR5k4=056>4>>34;<;7?79:p522=838p1<9m:0a;?870i3;356s|16794?4|58=>6{t9k31<74e?34;<57?7a:p5gg=838p1<96:0a;?870i3;3m6srb051>5<32?02w)<;e;346>i6>00;66g63;29?l4>i3:17d6j:188f41629086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e9?h1<7=50;2x 72b2>?0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo?9e;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f41729086=4?{%07a?7d12B99>5f19;94?=n91k1<75`1b:94?=z{8<26=4={_35=>;6?90:o55rs8194?5|V0901<9>:0:b?871n3;356s|28c94?2|V;3j70?81;3;=>;6>k0:4l5217f95=g6e82<<=z{8889~w40e2909w0?9b;3`<>;6>l0:445rs04`>5<5s4;=h7?l8:?22`<60h1v6g828`9~yg7103:187859z&10`<6>11d=8h50;9j=6<722c95l4?::k;a?6=3k;=;7>53;294~"5=831vn<8>:180>5<7s-8?i79:;I067>o6000;66g>8`83>>i6k10;66sm17194?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`221<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd6><0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5>2900e<6n:188k4e?2900q~?:f;296~X6=o16=;851b:8yv?42908wS7<;<353?7?i27::84>889~w7?f290?wS<6a:?222<60016=;?519c89404282j7p}7e;297~X?m27::<4>889>535=9130q~?90;296~;6>>0:o55217495=?52z?224<6k116=;:519;8yv71:3:1>v3>6282g==:9?>1=5o4}r3a=?6=:r7::94>c99>533=91k0q~?ma;296~;6><0:o55217495=g>4?:585><}#:=o1>?=4o33b>5<6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f77b29086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e:8l1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wi>?>50;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17pl=2083>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|q15d<72;qU>4e?3ty2?7>53z\:7>;5:;0:445223295=?54z\1=<=::;81=5o4=33`>4>f348:i7?7a:p<`<72:qU4h5220a95=?<5;;n6<66;|q15g<72;q6>?<51b:8974628227p}=1b83>7}::8i1=n64=33e>4>>3ty9=i4?:3y>64c=9j201??i:0:b?xu6j00;6?u220d95f><5;8;6<6n;|q2fd<72;q6>?>51b:89746282j7psm20:94?2=>33p(?:j:33;?j47n3:17d7<:188m7?>2900e5k50;9a641=8391<7>t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd5980;6>4?:1y'61c=?<1C>8=4i0::>5<5<53;294~"5=831vn??;:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rb336>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{t:9l1<73;h46s|9283>6}Y1:16><9519c8977228227p}=9883>1}Y:0301??8:0::?84693;3m63=12823;3;=>{t:8:1<74e?348::7?79:p647=838p1??>:0a;?846<3;356s|20094?4|5;;865;3;e>{t9kk1<74e?348::7?7a:~f76b290?6;46{%07a?47m2e9<84?::k:7?6=3`8257>5;h:f>5<=950;194?6|,;>n6:;4H370?l7?13:17d?7a;29?j7d03:17pl=0883>6<729q/>9k5729K6055<f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj;:h6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831v?>::181[47=279c99~w<5=839pR4=4=32g>4>f348;n7?79:p6pR?76;<03`?7?1279<:4>8`9>65?=91k0q~6j:180[>b348;;7?79:?14<<6001v?>9:181847l3;h463=0b82<<=z{;:<6=4={<033?7d0279889~w76?2909w0;58h0:4l5rs0`:>5<5s48;m7?l8:?14g<60h1v54;49=~"5>o?m3:17o5<4290;w)<;e;56?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd5890;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qoc89K6055<;58:0:44521gf95=g<58lm6<6n;|q;a?6=;rT3i63>fe82<<=:9ol1=574}r3eg?6=:r79<>4>c99>654=9130q~?id;296~;6nm0:o55221295=?52z?2bc<6k116>=>519c8yv7e13:1>v3=0182g==::9;1=5o4}r3ae?6=:r79<<4>c99>654=91k0qpl67;29g?5=mr.98h467:m:7?6=3`=i47>5;h5af?6=3`=io7>5;h5a`?6=3`=ii7>5;h5ab?6=3`=h<7>5;h5`5?6=3`=h>7>5;h5`7?6=3`=im7>5;c;7>5<>290;w)<;e;:2?M42;2c:444?::k2f2900e<6m:188k4e?2900qo79:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66s|9283>7}Y1:165;4>c99~w2d?2909wS9m8:?:0?7?i2wx;ol50;0xZ2de343?6<66;|q4ff<72;qU;om4=8695=d52z\4fa=:1=0:4i5rs6`f>5<5sW=ii6364;3;g>{t?kl1<77}Y?j:014:51828yv1d93:1>vP8c09>=1<60o1v:m=:181[1d:27297?7a:p3f5=838pR:m<;<;6>4>>3ty5<5s43?64>>3ty297>52z?:1?7d0272:7?7a:~fg2c290i6>4k{%07a?d3l2ei844?::ka7f<722ci?i4?::ka7`<722ci?k4?::ka05<722ci8<4?::ka07<722ci8>4?::ka01<722ci8;4?::`a0d<72<0;6=u+25g93f=O:<90e<66:188m4>f2900e<6m:188m4>d2900c50z&10`<0m2B99>5f19;94?=n91k1<75f19`94?=n91i1<75`1b:94?=zjk>h6=4::183!43m3=h7E<:3:k2<<<722c:4l4?::k234h?o7?l8:pf6e=838pRo=l;<`7e?7?i2wxn>j50;0xZg5c34h?m7?79:pf6c=838pRo=j;<`7f?7?12wxn>h50;0xZg5a34h?m7?7b:pf16=838pRo:?;<`7f?7?i2wxn9?50;0xZg2634h?n7?7c:pf14=838pRo:=;<`7f?7?j2wxn9=50;0xZg2434h?o7?79:pf12=838pRo:;;<`7g?7?i2wxn9850;0xZg2134h?m7?7c:pf1g=838p1o:n:0a;?8d3k3;3n6s|b5`94?4|5k>i6n64<4o9g94?=n?k21<75f7c`94?=n?ki1<75f7cf94?=n?ko1<75f7cd94?=n?j:1<75f7b394?=n?j81<75f7b194?=n?kk1<75m8g83><<729q/>9k51b58L7343`;357>5;h3;e?6=3`;3n7>5;h3;g?6=3`;3h7>5;h3;a?6=3`;3j7>5;h3:4?6=3f;h47>5;|`:4?6=<3:1N5=:1b=5750;9j5=g=831b=5l50;9l5f>=831vn4?50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17p}7e;296~X?m272=7?l8:p3g>=838pR:l7;<:e>4>f3tyho6=4={_5a`>;?n3;3h6s|7cg94?4|V>hn706i:0:`?xu0jo0;6?uQ7cd89=`=91o0q~9l0;296~X0k9164k4>919~w2e62909wS9l1:?;b?7?n2wx;n<50;0xZ2e5343;6<6n;|q4g6<72;qU;n=4=8295=?52z\4fd=:190:4o5rs9d94?4|51l1=n64=8395=?4e?343:6<6n;|a77b=8391<7>t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xde0<0;6>4?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5=831vn>om:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66smc583>7<729q/>9k51808L7343`;347>5;n3`70?:b;a6?872k3i>70?:d;a6?872m3i>70?i7;a6?87a03i>70?i9;a6?87ai3i>70l73;a6?85f<3i>70=n5;a6?85f>3i>70=n7;a6?85f03i>70=n9;a6?85e:3i>70=m3;a6?85e<3i>70=m5;a6?85e>3i>70:k4;a6?82c=3i>70:k7;a6?82c03i>70:k9;a6?82ci3i>70:kb;a6?82ck3i>70:kd;a6?82cm3i>70:k6;a6?856i3ij70l428o87p}<2c83>7}Y;;h01>;3:1hv3l2;;0?8d020901lj5929>e6<>;27:4:463:?23f<>;27:;?463:?22=<>;279>>463:?15=<>;279;272;77<;|q:;01>om:0:b?xu4:j0;6?u233`95``<5:8o6228i37p}7e;29`~;d:32n70l8:9g89db=0l16m>47e:?2<2b3ty8ml4?:0:x96g32j<01>o::b4896g12j<01>o8:b4896g?2j<01>o6:b4896d52j<01>l<:b4896d32j<01>l::b4896d12j<019j;:b4891b22j<019j8:b4891b?2j<019j6:b4891bf2j<019jm:b4891bd2j<019jk:b4891bb2j<019j9:b4896ge28i370m;:0:;?xue0>0;6?u21c1937=:j1?1=5o4}r`;2?6=:r7:n?480:?a<0<6001v>{t;;o1<726<5:8o6<66;|qa0<<72;q6n9j5b5;89g2?28227p}l3;29`~;?i3;nj637b;3fb>;?k3;nj637d;3fb>;6=k0:ik5214a95``<58?o6eg9>5c>=9ll01{t;;<1<7:t=23b>72d34h8n7mm;<11e?55>27i854>8`9~wg202909w0l;e<10:o55rs9:94?4|V12015j51d18 72e21=0b?:n:19~w=0=838pR584=9a95`5<,;>i6594n36b>4=z{1?1<7=1j6>5rs07:>5<5sW;>563>5d82a6=#:=h1=864n36b>5=z{8?<6=4={_363>;6=m0:i>5+25`950>j6<5rs075>5<5sW;>:63>5b82a6=#:=h1=864n36b>7=z{8?>6=4={_361>;6=k0:i>5+25`950>j6>5rs0d7>5<5sW;m863>f`82a6=#:=h1=k=4n36b>5=z{8l96=4={_3e6>;6n00:i>5+25`95c5j6<5rs0d2>5<5sW;m=63>f982a6=#:=h1=k=4n36b>7=z{8l;6=4={_3e4>;6n>0:i>5+25`95c5j6>5rs5ab>5<5sW>hm63;d782a6=#:=h18n74n36b>5=z{=n86=4={_6g7>;3ll0:i>5+25`90f?j6<5rs5f1>5<5sW>o>63;de82a6=#:=h18n74n36b>7=z{=n:6=4={_6g5>;3lj0:i>5+25`90f?j6>5rs5f3>5<5sW>o<63;dc82a6=#:=h18n74n36b>1=z{=im6=4={_6`b>;3lh0:i>5+25`90f?j685rs5af>5<5sW>hi63;d882a6=#:=h18n74n36b>3=z{=io6=4={_6``>;3l10:i>5+25`90f?j6:5rs5a`>5<5sW>ho63;d682a6=#:=h18n74n36b>==z{=ii6=4={_6`f>;3l<0:i>5+25`90f?j645rs5a;>5<5sW>h463;d582a6=#:=h18n74n36b>d=z{;326=4:{_0:=>;5::09545220:9612.98o4=999m61g=82wx>4o50;7xZ7?f34;3;7<6a:?23f<51h16=:<528c8940?2;3j7)<;b;0:<>h50}Y:0h01n<528`89g1=:0h01lj528`89d5=:0h0(?:m:3;;?k43i380q~9ma;297~X0jh165:48b`9>=7<0jh1/>9l57c;8j72f291v:m<:180[1d;272;79l3:?:6?1d;2.98o48b89m61g=92wx;n<50;1xZ2e5343<6:m=;<;1>2e53-8?n79m9:l10d<53tyi6:l6;o07e?553z\4g5=:1>01=z{>hm6=4<{_5ab>;>?3=ij6362;5ab>"5{t?ko1<7=t^6`f?8?02>hn707=:6`f?!43j3=i56`=4`85?xu0jm0;6>uQ7cf89<1=?kn014<57cf8 72e2>h27c<;a;58yv1ek3:1?vP8bb9>=2<0jj165?48bb9'61d=?k30b?:n:99~w2de2908wS9mb:?:3?1ej272>79mb:&10g<0j01e>9o59:p3g>=839pR:l7;<;4>2d?34396:l7;%07f?1e12d98l4n;|qa03<72l51dg894g02o>0101o:k:c65?!43j3h?96`=4`83?xue<=0;6;u23c49g`=:j:h1=hm4=0c4>c4<58h96k<4=0`0>c4<5k>o6o:;;%07f?d3=2d98l4>;|qa06<72?q6?o;5cd9>f6d=9lh0187)<;b;`71>h53}:;k>1oh52b2`95`g<58k<6k>4=0`1>c6<58h86k>4=c6g>g253-8?n7l;5:l10d<43tyi8<4?:7y>7g5=kl16n>l51d;894g02ll01`b<58h96hj4=0`0>`b<5k>o6o:?;%07f?d3=2d98l4:;|qa7c<72l51d5894g02lh01`?<58h86h74=c6g>g5b3-8?n7l;5:l10d<03tyi?i4?:4y>f6d=9l?01h50}:j:h1=h:4=0c4>a3<58h96i;4=0`0>a3<5k>o6o=l;%07f?d3=2d98l46;|qa=?6=:r73h7mj;g?<,;>i6o64n36b>5=z{ho1<70ji6*=4c8a<>h5e6<>i2.98o4m8:l10d<43ty:;h4?:3y>50c=kl16=59516g8 72e28=o7c<;a;28yv70;3:1>v3>5e8`a>;6?j0:;>5+25`952bj6<5rs04:>5<5s4;>o7mj;<346?7112.98o4>7e9m61g=:2wx=8h50;0x943e2jo01<87:07e?!43j3;77>3g8?m7>4}r03b?6=:r7:j44le:?15=<58o1/>9l520;8j72f281v?>::18187a03in70"5{t9oh1<7fc<5;:?674g=9lo01017)<;b;110>h53}:;h21oh5230c95`e<58kj6k<4=0ca>c4<58k36k<4=20b>6443-8?n7==4:l10d<63ty8>?4?:7y>7d1=kl16?c6<58ki6k>4=0c;>c6<5:8j6><>;%07f?55<2d98l4<;|q065<72?q6?l;5cd9>74g=9l301h53}:;h>1oh5230c95`><58kj6hj4=0ca>`b<58k36hj4=20b>67a3-8?n7==4:l10d<23ty8=h4?:4y>74g=9l=01h50}:;8k1=h84=0cb>`?<58ki6h74=0c;>`?<5:8j6>?k;%07f?55<2d98l48;|q05f<72a3<58k36i;4=20b>67e3-8?n7==4:l10d<>3ty8m>4?:3y>7d?=9l901<7i:gg8 72e2:k97c<;a;28yv5f93:1>v3j6<5rs2c3>5<5s49j;7?j3:?2=c6`=4`80?xu41l0;6?u23`795`5<583m6k74$36a>6g53g8?m7:4}r1:`?6=:r78m94>e29>5<`=n?1/>9l53`08j72f2<1v>l>:18185e>3;n?63>b58f`>"5{t;hl1<74c434;i87km;%07f?5e82d98l4>;|q0e`<72;q6?o:51d1894d32l30(?:m:2`3?k43i380q~=nd;296~;4j:0:i>521c69a4=#:=h1?o>4n36b>6=z{:kh6=4={<1a6?7b;27:n94k5:&10g<4j91e>9o54:p0`7=838p19j9:bg894?a2;8<7)<;b;6f4>h57}:i69k?;o07e?7n57>52z?7`an38?=6*=4c87a5=i:=k1?6s|4d594?4|5=ni6nk4=0;e>7273-8?n7:j0:l10d<33ty?i;4?:3y>0ag=kl16=4h522d8 72e2=o;7c<;a;78yv2b=3:1>v3;d88`a>;61o09?i5+25`90`6j6;5rs5g7>5<5s4>o47mj;<3:b?44j2.98o4;e19m61g=?2wx8h=50;0x91b02jo01<7i:31:?!43j3>n<6`=4`8;?xu3m;0;6?u24e79g`=:90l1>>?4$36a>1c73g8?m774}r6gb?6=:r7?h94le:?2=c<5:<1/>9l54d28j72f2h1v9kk:18187>n3n<70?m4;013>"5{tc2<58h?6?:<;%07f?2bk2d98l4>;|q7b0<72;q6=4h5f39>5g2=:=80(?:m:5g`?k43i380q~:i4;296~;61o0m=63>b58104=#:=h18hm4n36b>6=z{=l86=4={<3:b?`734;i87<;0:&10g<3mj1e>9o54:p0c4=838p1<7i:dd894d32;9m7)<;b;6fg>h57p};f083>7}:90l1ii521c6966b<,;>i69kl;o07e?0m<7>52z?2=c7563-8?n7:jc:l10d<>3ty?io4?:3y>5<`=l<16=o:52378 72e2=oh7c<;a;c8yv7ei3:1?v3=5682`c=:;;h1oh52b919g`=zuk92o7>53882>6?|D;?>638pbl><:458 d65291v(?:j:5`6?_>>2:0a95d<6j3wQ>9755z0:>7g=:109:7<8:|k1=7<722ci454?::m1f`<72-;n>7;:m1g3<72-;n>774?:%3f6?4el2d:i<4:;:m1g7<72-;n>7777777732e9o54?:%3f6?4d12d:i<4n;:k1f7<72-;n>7;:k1fd<72-;n>77774?:%3f6?4e92d:i<46;:k1f5<72-;n>77<89:l2a4<632c94?4?:%3f6?4012d:i<4=;:k1<4<72-;n>7<89:l2a4<432c94=4?:%3f6?4012d:i<4;;:k13c<72-;n>7<89:l2a4<232c9;h4?:%3f6?4012d:i<49;:k13a<72-;n>7<89:l2a4<032c9;n4?:%3f6?4012d:i<47;:k13g<72-;n>7<89:l2a4<>32c9;54?:%3f6?4012d:i<4n;:k1<3<72-;n>7<75:l2a4<732c94k4?:%3f6?4?=2d:i<4>;:k1<`<72-;n>7<75:l2a4<532c94i4?:%3f6?4?=2d:i<4<;:k17<75:l2a4<332c94o4?:%3f6?4?=2d:i<4:;:k17<75:l2a4<132c9444?:%3f6?4?=2d:i<48;:k1<=<72-;n>7<75:l2a47<75:l2a41d23-3<:7=6b:&0fc<51:1e?o651:&0gghe0h0i7)=l4;05f>"4k<08<;5a30190g6hf8:09?6s+25g95g0>oe000;66g=5g83>!7b:38=<6`>e08b?>o5>;0;6)?j2;054>h6m80276g=6283>!7b:38=<6`>e08;?>o5>=0;6)?j2;054>h6m80<76g=6483>!7b:38=<6`>e085?>o5>?0;6)?j2;054>h6m80>76g=6683>!7b:38=<6`>e087?>o5>10;6)?j2;054>h6m80876g=6883>!7b:38=<6`>e081?>o5>h0;6)?j2;054>h6m80:76g=6083>!7b:38=<6`>e083?>o5l<0;6)?j2;0g2>h6m80j76g=d983>!7b:38o:6`>e08:?>o5l00;6)?j2;0g2>h6m80376g=d`83>!7b:38o:6`>e084?>o5lk0;6)?j2;0g2>h6m80=76g=db83>!7b:38o:6`>e086?>o5lm0;6)?j2;0g2>h6m80?76g=dd83>!7b:38o:6`>e080?>o5lo0;6)?j2;0g2>h6m80976g=e183>!7b:38o:6`>e082?>o5l>0;6)?j2;0g2>h6m80;76g=6b83>!7b:38=h6`>e08b?>o5>o0;6)?j2;05`>h6m80276g=7183>!7b:38=h6`>e08;?>o5?80;6)?j2;05`>h6m80<76g=7383>!7b:38=h6`>e085?>o5?:0;6)?j2;05`>h6m80>76g=7583>!7b:38=h6`>e087?>o5?<0;6)?j2;05`>h6m80876g=7783>!7b:38=h6`>e081?>o5?>0;6)?j2;05`>h6m80:76g=6d83>!7b:38=h6`>e083?>i5nh0;6)?j2;0ef>h6m80j76a=fe83>!7b:38mn6`>e08:?>i5nl0;6)?j2;0ef>h6m80376a=fg83>!7b:38mn6`>e084?>i4890;6)?j2;0ef>h6m80=76a<0083>!7b:38mn6`>e086?>i48;0;6)?j2;0ef>h6m80?76a<0283>!7b:38mn6`>e080?>i48=0;6)?j2;0ef>h6m80976a<0483>!7b:38mn6`>e082?>i5nj0;6)?j2;0ef>h6m80;76a<0683>!7b:39;46`>e08b?>i48h0;6)?j2;13<>h6m80276a<0c83>!7b:39;46`>e08;?>i48j0;6)?j2;13<>h6m80<76a<0e83>!7b:39;46`>e085?>i48l0;6)?j2;13<>h6m80>76a<0g83>!7b:39;46`>e087?>i4990;6)?j2;13<>h6m80876a<1083>!7b:39;46`>e081?>i49;0;6)?j2;13<>h6m80:76a<0883>!7b:39;46`>e083?>{t:081<7382?6s|b9:94?4|Vk2370?m6;`;=>{t:ko1<738mo6*=4c81fa=i:=k1<6s|2b594?4|V;i<70?m6;131>"5{t:j<1<739;86*=4c81fa=i:=k1>6s|2b794?4|V;i>70?m6;137>"5{t:j>1<739;>6*=4c81fa=i:=k186s|2b194?4|V;i870?m6;135>"5{t:j81<739;<6*=4c81fa=i:=k1:6s|2b394?4|V;i:70?m6;0eb>"5{t:j:1<738mi6*=4c81fa=i:=k146s|2cd94?4|V;hm70?m6;0e`>"5{t:ki1<738mm6*=4c81fa=i:=k1m6s|2bc94?4|V;ij70?m6;13=>"5{t:m91<739:>6*=4c81g<=i:=k1=6s|2e094?4|V;n970?m6;125>"5{t:m;1<739:<6*=4c81g<=i:=k1?6s|2e294?4|V;n;70?m6;13b>"5{t:jl1<739;i6*=4c81g<=i:=k196s|2bg94?4|V;in70?m6;13`>"5{t:jn1<739;o6*=4c81g<=i:=k1;6s|2ba94?4|V;ih70?m6;13f>"5{t:jh1<739;m6*=4c81g<=i:=k156s|2b:94?4|V;i370?m6;133>"5{t:k81<738o;6*=4c81f4=i:=k1<6s|2c`94?4|V;hi70?m6;0f4>"5{t:kk1<738oj6*=4c81f4=i:=k1>6s|2c;94?4|V;h270?m6;0ga>"5{t:k21<738oh6*=4c81f4=i:=k186s|2c594?4|V;h<70?m6;0gg>"5{t:k<1<738on6*=4c81f4=i:=k1:6s|2c794?4|V;h>70?m6;0ge>"5{t:k>1<738o56*=4c81f4=i:=k146s|2c194?4|V;h870?m6;0g<>"5{t:k:1<738o96*=4c81f4=i:=k1m6s|26c94?4|V;=j70?m6;055>"5{t:191<738=m6*=4c813<=i:=k1=6s|29094?4|V;2970?m6;05=>"5{t:1;1<738=46*=4c813<=i:=k1?6s|29294?4|V;2;70?m6;053>"5{t:>l1<738=:6*=4c813<=i:=k196s|26g94?4|V;=n70?m6;051>"5{t:>n1<738=86*=4c813<=i:=k1;6s|26a94?4|V;=h70?m6;057>"5{t:>h1<738=>6*=4c813<=i:=k156s|26:94?4|V;=370?m6;06b>"5{t:1<1<738=i6*=4c81<0=i:=k1<6s|29d94?4|V;2m70?m6;043>"5{t:1o1<738<:6*=4c81<0=i:=k1>6s|29f94?4|V;2o70?m6;041>"5{t:1i1<738<86*=4c81<0=i:=k186s|29`94?4|V;2i70?m6;047>"5{t:1k1<738<>6*=4c81<0=i:=k1:6s|29;94?4|V;2270?m6;045>"5{t:121<738<<6*=4c81<0=i:=k146s|29594?4|V;2<70?m6;05b>"5{t:1>1<738=o6*=4c81<0=i:=k1m6srb5;f>53tF99;4={oc37?3?3-k;>7>4}%07a?2>n2P357?t248~^72>28q??7sf28094?=n;8?1<75f98494?=hj821<7*>e38a52=i9l;1<65`b3394?"6m;0i=:5a1d395>=hj;:1<7*>e38a52=i9l;1>65`b0d94?"6m;0i=:5a1d397>=hj8o1<7*>e38a52=i9l;1865`b0f94?"6m;0i=:5a1d391>=hj8i1<7*>e38a52=i9l;1:65`b0`94?"6m;0i=:5a1d393>=hj8k1<7*>e38a52=i9l;1465`b0;94?"6m;0i=:5a1d39=>=hj8<1<7*>e38a52=i9l;1m65m1c:94?5=;3?p@?;::0yK5:79t$8;4>g>d3-9h=7j?;%1`=?45<2d2544=;o`;e?77=z,;>n6<7l;[::>4}3i3wQ>9751z6:>xi5:=0;66gk3;29 4c52m80b:198ma7=83.:i?4k2:l2a4<632h9854?:283>5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17pl=4483>6<729q/>9k5759K6055<N5=:1b=5750;9j5=g=831d=n650;9~w7432909wS<=4:?10=<6k11v?:;:18184303;3563=4482g==z{;>=6=4={<07c99~wa5=839pRi=4=366>4>>348?;7?7a:&10ga4j6<5r}c`1a?6==3:12wG>8852z&b47<53gk;?7e283>>{ej;l1<7;50;2x 72b28:87E<:3:N110<6s-;2=7;4}M062?4|,h:96?5aa11965=zaj?1<75fc783>>od?3:17dmj:188k4c42900qol<1;291?6=8r.98h4>029K6056{K:<<1>v*n0381?kg7;38;7pgl5;29?le12900en950;9jg`<722e:i>4?::af64=83?1<7>t$36f>4643A8>?6B=5482!7>93?0qA<:6;0x d652;1em==5219~mf3=831bo;4?::k`3?6=3`in6=44o0g0>5<55;294~"55G2418H73228q/=4?55:O600=:r.j5;ha5>5<>i6m:0;66smb2694?3=83:p(?:j:020?M42;2F9984>{%3:5?0:77=ii991>=5rib794?=nk?0;66gl7;29?leb2900c50z&10`<68:1C>8=4L376>4}#90;1:6sC24496~"f8;097co?3;03?xod=3:17dm9:188mf1=831boh4?::m2a6<722win>850;794?6|,;>n6<><;I067>J5=<0:w)?61;48yI42>38p(l>=:39me55=:91ven;50;9jg3<722ch;7>5;haf>5<8852z&b47<53gk;?7e283>>{ej:21<7;50;2x 72b28:87E<:3:N110<6s-;2=7;4}M062?4|,h:96?5aa11965=zaj?1<75fc783>>od?3:17dmj:188k4c42900qol<0;291?6=8r.98h4>029K6056{K:<<1>v*n0381?kg7;38;7pgl5;29?le12900en950;9jg`<722e:i>4?::p6<4=83ipR?7=;<`1a?e234h9j7m:;<`05?e234h8>7m:;<`07?e234h887m:;<`01?e234h8:7m:;<`03?e234h847m:;<`04?e23ty8=84?:by]743<5k8n6n84=c0e>f0<5k9:6n84=c11>f0<5k986n84=c17>f0<5k9>6n84=c15>f0<5k9<6n84=c1;>f0<5k9;6n84}r;:2?6=krT25;52b3g9g2=:j;l1o:52b239g2=:j:81o:52b219g2=:j:>1o:52b279g2=:j:<1o:52b259g2=:j:21o:52b229g2=z{:;=6=4={<3ah4le:pf72=839pRo?7;<3a9o50:pf7b=839pRo<>;<`09o51:pf7e=83>pRo2wxn?950;1xZg7e34h8>7?j3:?a7634h9j7?j3:?a74:18`>497?tH0;:?xJ5=?09w)o?2;28jd642>n0q)<;e;366>odi3:17dmm:188k4b72900c7zN110<6sA;256s+25g96`75<6=44i0;5>5<5<>i6l90;66a>d383>>i6l<0;66a>d683>>d68>0;694?:1y'61c=99>0D?;<;M061?7|,83:6;5rib794?=nk?0;66gle;29?j7b;3:17pl>2683>1<729q/>9k51168L7343E8>97?t$0;2>3=zaj?1<75fc783>>odm3:17b?j3;29?xd69?0;694=:4y'61c=98<0e<7;:188m4?12900e<78:188k4db2900n5<7s-8?i79:;I067>o6000;66g>8`83>>i6k10;66sm10694?5=83:p(?:j:0ab?M42;2c:444?::k25<32:0=w)<;e;33b>o6:>0;66g>9683>>o61?0;66a>bg83>>d68l0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5=831vn<>k:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rs004>5<4sW;9;63>0d8252z\2=3=:99i1=5o4}r3ab?6=:rT:nk5211f95f>52z?24`<6k116==j519;8yv77j3:1>v3>0b82g==:99n1=5o4}|`254<72=0968u+25g95476=44i0;;>5<5<n6o6000;66g>8`83>>i6k10;66s|18794?4|V83>70??b;3;=>{t9021<70;3;e>{t9k31<76<0s-8?i7?<5:k242<722c:584?::k2=3<722c:5:4?::m2g5<722h:?94?:283>5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo?<2;290?6=8r.98h487:J116=n9131<75f19c94?=n91h1<75`1b:94?=zj8986=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831v<>8:180[77?27:?94>889>564=91h0q~?65;296~X61<16=>:519c8yv7>>3:1>vP>979>564=9130q~?67;296~X61>16=><519c8yv7d83:1>vP>c19>565=9j20q~?<0;296~;6;=0:o55212195=?52z?277<6k116=>=519c8yxd6;>0;6;4<:9y'61c=9:=0e<7<:188m4402900e5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm13c94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`274<72=0;6=u+25g95fd<@;?87d?79;29?l7?i3:17d?7b;29?j7d03:17p}>9283>7}Y90901<=>:0::?xu6:>0;6?uQ1358945128227p}>b`83>7}Y9kk01<=9:0:b?xu61=0;6?uQ1868944f28227p}>c183>7}Y9j:01<2883>7}:9:<1=n64=012>4>f3ty:>l4?:3y>57g=9j201<=>:0:a?x{e9;l1<7853;:x 72b288m7d?65;29?l7><3:17d??7;29?l7>>3:17d?l1;29?j7ei3:17o?=e;297?6=8r.98h484:J116=n9131<75f19c94?=h9j21<75rb00a>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>4ec3A8>?6g>8883>>o60h0;66g>8c83>>o60j0;66a>c983>>{t90?1<7{t99=1<7=t^024?875m3;3m63>2c82<<=z{83=6=4={_3:2>;6:l0:445rs0a2>5<5sW;h=63>2c82;6:m0:o55rs00:>5<5s4;9i7?l8:?26a<60k1v<2e8255;193~"590D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo?>a;297?6=8r.98h4>c89K6055<:5210`95=?52z\2=0=:98h1=5o4}r333?6=:rT:<:5210;95=?52z\2f<=:9831=5o4}r3`6?6=:rT:o?5210c95f>52z?25g<6k116=v3>1882g==:98k1=5o4}|`25a<72?0865u+25g954b5<5<5<n6:=4H370?l7?13:17d?7a;29?j7d03:17pl>1283>1<729q/>9k51b`8L7343`;357>5;h3;e?6=3`;3n7>5;n3`4?:3y]5<5<58;86<66;|q242<72;qU==94=034>4>>3ty:nh4?:3y]5gc<58;<6<6n;|q2=1<72;qU=4:4=02b>4>>3ty:o?4?:3y]5f4<58:j6<6n;|q24=<72;qU==64=030>4e?3ty:<44?:3y>541=9j201<58;86<6m;|a5a7=8391<7>t$36f>23<@;?87d?79;29?l7?i3:17b?l8;29?xd6l=0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5=831vn5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm13a94?5=83:p(?:j:0ab?M42;2c:444?::k28:0g0?874=3;;;63>2g8242=:98i1==94=03g>46034;o=7?7a:?2`1<60016=i8519c894b?282j7p}>2683>=}:9;=1=h=4=02e>44034;8;7?=7:?25f<6:>16=i?519;894b3282j70?k6;3;=>;6l10:445rs0;0>5<4sW;2?63>3682=6=:98n1=4=4}r3:0?6==rT:595210495<2<589<6<7;;<31b?7><27:=i4>959~w4?2290>wS?65:?254<61<16=>;51878944a283>70?>c;3:1>{t90<1<7;t^0;5?876>3;2:63>0g82=3=:9:?1=484=00e>4?13ty:5:4?:4y]5<1<58;=6<78;<33b?7>?27:?84>969>57e=91k0q~?68;297~X61116=;6l80:o55rs0f1>5<5sW;o>63>d582g==z{8n>6=4={_3g1>;6l?0:o55rs0f4>5<5sW;o;63>d982g==z{8:36=4={<333?eb34;:h7??8:p57>=838p1<<8:bg8945028837p}>b883>7}:98;1=o74=03`>4d>3ty:nl4?:3y>561=9kk01<c183>7}:9:?1=n>4=014>4e73ty:o<4?:3y>57`=9j;01<vP>d39>507=9m80q~?k5;296~X6l<16=8?51e78yv7c?3:1>vP>d69>507=9m=0q~?63;296~X61:16=8?51818yv7><3:1>vP>959>507=90>0q~?65;296~X61<16=8?51878yv7>>3:1>vP>979>507=90<0q~?67;296~X61>16=8?51858yv7>03:1>vP>999>507=9020qpln0583>44=93;9wA<:5;3xL4?>3tF99;4={%c36?65;haa>5<5<5<5<5<5<5<5<5<2;37>4d|D;?>69283>>o61=0;66g>9483>>o61?0;66g>9683>>odl3:17dml:188k4b72900c2900c5<7s-8?i7??4:J116=K:9086?xod=3:17dm9:188mfc=831d=h=50;9~f460290?6=4?{%07a?77<2B99>5C24795~"6180>7pgl5;29?le12900enk50;9l5`5=831vn<<8:187>5<7s-8?i7??4:J116=K:9086?xod=3:17dm9:188mfc=831d=h=50;9~f425290?6=4?{%07a?77<2B99>5C24795~"6180>7pgl5;29?le12900enk50;9l5`5=831vn<:k:187>5<7s-8?i7??4:J116=K:9086?xod=3:17dm9:188mfc=831d=h=50;9~f47b290?6=4?{%07a?77<2B99>5C24795~"6180>7pgl5;29?le12900enk50;9l5`5=831vn<<;:187>5<7s-8?i7??4:J116=K:9085?xod=3:17dm9:188mfc=831d=h=50;9~f437290?6=4?{%07a?77<2B99>5C24795~"6180>7pgl5;29?le12900enk50;9l5`5=831vn<:l:187>5<7s-8?i7??4:J116=K:9086?xod=3:17dm9:188mfc=831d=h=50;9~f42e290?6=4?{%07a?77<2B99>5C24795~"6180>7pgl5;29?le12900enk50;9l5`5=831vn<:n:187>5<7s-8?i7??4:J116=K:9086?xod=3:17dm9:188mfc=831d=h=50;9~f47c290>6>48{%07a?76l2c:5;4?::k2`0<722c:594?::k2`2<722e:<54?::`252<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj8;86=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831v<79:181[7>>27:889~w4b22909wS?k5:?24d<60h1v<7;:181[7><27:=:4>889~w4b02909wS?k7:?252<60h1v<>7:181[77027:=>4>c99~w46>2909w0?>7;3`<>;69:0:445rs02b>5<5s4;;m7?l8:?256<60h1vqo?<7;290?4==r.98h4>369j5ac=831b=4850;9j5a3=831d=?650;9a566=8391<7>t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd6:h0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`4>>3ty:h84?:3y]5a3<589;6<6n;|q26=<72;qU=?64=00b>4e?3ty:>44?:3y>566=9j201<;3:17d?kb;29?j76n3:17o?=2;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb002>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~w4bd2909wS?kc:?264<60h1v<7<:181[7>;27:>?4>889~w4be2909wS?kb:?267<60h1v<4>c99~w4472909w0?=2;3`<>;6:80:445r}c30a?6=<3819v*=4d827`=n9m=1<75f18194?=n9mh1<75`1c;94?=e9:n1<7=50;2x 72b2>>0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17p}>d683>7}Y9m=01<=l:0::?xu61:0;6?uQ1818945c28227p}>dc83>7}Y9mh01<=k:0:b?xu6j00;6?uQ1c;8945d28i37p}>3`83>7}:9:n1=n64=01`>4>f3twi=9?50;797?1|,;>n6<:>;h3:1?6=3`;o57>5;h3:0?6=3`;i57>5;n30=?6=3k;?<7>53;294~"5=831vn<=m:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm12d94?5=83:p(?:j:0a:?M42;2c:444?::k234;?<7?7a:p5<2=838pR<7;;<30f?7?12wx=o750;0xZ4d>34;8n7?7a:p56?=838pR<=6;<30b?7d02wx=>o50;0x942728i370?{t9:h1<74e?34;8j7?7a:~f42>290=6>47{%07a?7312c:5>4?::k2=1<722c:ho4?::k2`d<722c:nl4?::m206<722h:854?:583>5}#:=o1;:5G2418m4>>2900e<6n:188m4>e2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj8><6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831v<7<:181[7>;27:854>889~w4?32909wS?64:?20=<60h1v8c9~w4bf2909wS?ka:?200<6001v8`9~w4242909wS?;3:?202<6k11v<:;:18187303;h463>4682<<=z{8>>6=4={<371?7d027:8:4>8`9~yg73n3:1?7>50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj88=6=4;:183!43m3=37E<:3:k2<<<722c:4l4?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{t9091<7:t^0;0?875;3;2?63>3d82=6=:9=31=4=4}r3:0?6=:6<7;;<37=?7><2wx=4;50;7xZ4?234;?=7?65:?20c<60016=?8519c8942128227p}>9783>6}Y90<01;67m9;<37`?e134;:i7m9;<310?e134;><7m9;<37g?e134;?n7m9;<37e?e13ty:h=4?:2y]5a6<58>o6i6d49~w4b0290?wS?k7:?242<6m:16=d883>1}Y9m301<=7:0g0?87393;o563>4g82;6<;0:i>5215;95ag<588=6<6m;|q2`g<724c434;9?7?kb:?27`<6lk16=9751e`8yv7ck3:1?vP>db9>572=9l901<<<:0f`?xu6lm0;6>uQ1ef8942d28o870?;b;af?xu6ll0;6>uQ1eg8947b28o870?<7;3ga>{t9m91<7=t^0f0?87283;n?63>4b8`a>{t9921<7fc<58;o6<>7;|q26=<72;q6=?95cd9>561=9;20q~?<9;296~;6;10hi63>40827<=z{8>86=4={<376?eb34;?57?;3:p51c=838p1<:k:bg8942a28i37p}>1g83>7}:98o1oh52131954`52z?261;4>c99~w4d>2909w0?;6<80:n45rs0`b>5<5s4;?57?ma:?203<6k11vq~mn:181[ef34;>=7mk;|q`f?6=:rThn63>508`g>{t9m91<7{t9m81<76s|1e794?4|V8n>70?:1;3g1>{t9m=1<7{t9mk1<7{t9mi1<7{t9mo1<7{t90>1<770?:1;3:1>{t90<1<7{zj<:86=4;:183!43m3;;86F=529O600=:r.j5;ha5>5<5;ha5>5<5;ha5>5<5;ha5>5<5;ha5>5<5;ha5>5<5;ha5>5<5;ha5>5<5;ha5>5<5;ha5>5<6=4;:183!43m3;;86F=529O600=:r.j5;ha5>5<;6=4;:183!43m3;;86F=529O603=9r.:5<4:;|N113<5s-k;>7>4n`20>db6=44ib494?=nkl0;66a>e283>>{ei=;1<7:50;2x 72b28:?7E<:3:N110<6s-;2=7;4}M062?4|,h:96=5aa119ea=zaj?1<75fc783>>odm3:17b?j3;29?xdf0D?;<;M061?7|,83:685rL375>7}#i981<6`n028b`>{nk<0;66gl6;29?leb2900c50z&10`<68=1C>8=4L376>4}#90;196sC24496~"f8;0;7co?3;cg?xod=3:17dm9:188mfc=831d=h=50;9~fd33290?6=4?{%07a?77<2B99>5C24795~"6180>7pB=5781!g7:3:0bl><:`f8yle22900en850;9jg`<722e:i>4?::ae03=83>1<7>t$36f>4633A8>?6B=5482!7>93?0qA<:6;0x d65291em==5ae9~mf3=831bo;4?::k`a?6=3f;n?7>5;|`b13<72=0;6=u+25g9552<@;?87A<:5;3x 4?62<1v@?;9:3y'e54=82dj<>4nd:jg0<722ch:7>5;haf>5<8852z&b47<73gk;?7ok;|k`1?6=3`i=6=44ibg94?=h9l91<75rb`7;>5<3290;w)<;e;330>N5=:1G>8;51z&2=4<23tF99;4={%c36?65<>i6m:0;66sma4;94?2=83:p(?:j:027?M42;2F9984>{%3:5?3:75=ii991mi5rib794?=nk?0;66gle;29?j7b;3:17pln4383>1<729q/>9k51168L7343E8>97?t$0;2>0=zD;?=6?u+a1094>hf8:0jh6sfc483>>od>3:17dmj:188k4c42900qoo;3;290?6=8r.98h4>059K6056{K:<<1>v*n0383?kg7;3ko7pgl5;29?le12900enk50;9l5`5=831vnl:;:187>5<7s-8?i7??4:J116=K:9086?xJ5=?09w)o?2;28jd642hn0qdm::188mf0=831boh4?::m2a6<722wim9;50;694?6|,;>n6<>;;I067>J5=<0:w)?61;78yI42>38p(l>=:19me55=im1ven;50;9jg3<722chi7>5;n3f7?6=3thj8;4?:583>5}#:=o1==:4H370?I42=3;p(<7>:49~H7312;q/m=<50:lb465<54;294~"55;ha5>5<36=4;:183!43m3;;86F=529O603=9r.:5<4:;|N113<5s-k;>7>4n`20>db6=44ib494?=nkl0;66a>e283>>{ei=31<7:50;2x 72b28:?7E<:3:N110<6s-;2=7;4}M062?4|,h:96=5aa119ea=zaj?1<75fc783>>odm3:17b?j3;29?xdf0D?;<;M061?7|,83:685rL375>7}#i981<6`n028b`>{nk<0;66gl6;29?leb2900c50z&10`<68=1C>8=4L376>4}#90;196sC24496~"f8;0;7co?3;cg?xod=3:17dm9:188mfc=831d=h=50;9~fd2c290?6=4?{%07a?77<2B99>5C24795~"6180>7pB=5781!g7:3:0bl><:`f8yle22900en850;9jg`<722e:i>4?::ae1c=83>1<7>t$36f>4633A8>?6B=5482!7>93?0qA<:6;0x d65291em==5ae9~mf3=831bo;4?::k`a?6=3f;n?7>5;|`b0c<72=0;6=u+25g9552<@;?87A<:5;3x 4?62<1v@?;9:3y'e54=82dj<>4nd:jg0<722ch:7>5;haf>5<8852z&b47<73gk;?7ok;|k`1?6=3`i=6=44ibg94?=h9l91<75rb`72>5<3290;w)<;e;330>N5=:1G>8;51z&2=4<23tF99;4={%c36?65<>i6m:0;66sma4094?2=83:p(?:j:027?M42;2F9984>{%3:5?3:75=ii991mi5rib794?=nk?0;66gle;29?j7b;3:17pl1<729q/>9k51168L7343E8>97?t$0;2>0=zD;?=6?u+a1094>hf8:0>od>3:17dmj:188k4c42900qo=k4;290?6=8r.98h4>059K6056{K:<<1>v*n0383?kg7;3=n7pgl5;29?le12900enk50;9l5`5=831vn>j::187>5<7s-8?i7??4:J116=K:9086?xJ5=?09w)o?2;28jd642>o0qdm::188mf0=831boh4?::m2a6<722wi?i850;694?6|,;>n6<>;;I067>J5=<0:w)?61;78yI42>38p(l>=:19me55=?l1ven;50;9jg3<722chi7>5;n3f7?6=3th8h:4?:583>5}#:=o1==:4H370?I42=3;p(<7>:49~H7312;q/m=<50:lb46<0m2wbo84?::k`2?6=3`in6=44o0g0>5<54;294~"55;ha5>5<?6=4;:183!43m3;;86F=529O603=9r.:5<4:;|N113<5s-k;>7>4n`20>2`6=44ib494?=nkl0;66a>e283>>{e<=?1<7:50;2x 72b28:?7E<:3:N110<6s-;2=7;4}M062?4|,h:96=5aa1193c=zaj?1<75fc783>>odm3:17b?j3;29?xd30D?;<;M061?7|,83:685rL375>7}#i981<6`n0284b>{nk<0;66gl6;29?leb2900c50z&10`<68=1C>8=4L376>4}#90;196sC24496~"f8;0;7co?3;5e?xod=3:17dm9:188mfc=831d=h=50;9~f12?290?6=4?{%07a?77<2B99>5C24795~"6180>7pB=5781!g7:3:0bl><:6d8yle22900en850;9jg`<722e:i>4?::a0d6=83>1<7>t$36f>4633A8>?6B=5482!7>93?0qA<:6;0x d65291em==5b59~mf3=831bo;4?::k`a?6=3f;n?7>5;|`7f<<72=0;6=u+25g9552<@;?87A<:5;3x 4?62<1v@?;9:3y'e54=82dj<>48a:jg0<722ch:7>5;haf>5<8852z&b47<73gk;?79n;|k`1?6=3`i=6=44ibg94?=h9l91<75rb8c5>5<3290;w)<;e;330>N5=:1G>8;51z&2=4<23tF99;4={%c36?64}ha6>5<>i6m:0;66sm9`594?2=83:p(?:j:027?M42;2F9984>{%3:5?3:75=ii9914=5rib794?=nk?0;66gle;29?j7b;3:17pl6a883>1<729q/>9k51168L7343E8>97?t$0;2>0=zD;?=6?u+a1094>hf8:03<6sfc483>>od>3:17dmj:188k4c42900qo7na;290?6=8r.98h4>059K6056{K:<<1>v*n0383?kg7;32;7pgl5;29?le12900enk50;9l5`5=831vn4om:187>5<7s-8?i7??4:J116=K:9086?xJ5=?09w)o?2;28jd6421:0qdm::188mf0=831boh4?::m2a6<722wi5lm50;694?6|,;>n6<>;;I067>J5=<0:w)?61;78yI42>38p(l>=:19me55=091ven;50;9jg3<722chi7>5;n3f7?6=3th2mi4?:583>5}#:=o1==:4H370?I42=3;p(<7>:49~H7312;q/m=<50:lb465<54;294~"573tch97>5;ha5>5<7>4n`20>=66=44ib494?=nkl0;66a>e283>>{e1k:1<7:50;2x 72b28:?7E<:3:N110<6s-;2=7;4}M062?4|,h:96=5aa119<5=zaj?1<75fc783>>odm3:17b?j3;29?xd>i10;694?:1y'61c=99>0D?;<;M061?7|,83:685rL375>7}#i981<6`n028;4>{nk<0;66gl6;29?leb2900c50z&10`<68?1C>8=4L376>4}#90;196sC24496~"f8;0;7co?3;7f?xod=3:17dm9:188mfc=831b=hh50;9l5`5=831vno;::187>5<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;3=h7pgl5;29?le12900enk50;9l5`5=831vno;;:187>5<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;3=h7pgl5;29?le12900enk50;9l5`5=831vno;<:187>5<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;3=h7pgl5;29?le12900enk50;9l5`5=831vn:j?:187>5<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;3:187>5<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:<<1>v*n0383?kg7;35<7s-8?i7??4:J116=K:9086?xJ5=?09w)o?2;28jd642?90qdm::188mf0=831boh4?::m2a6<722wi:o:50;694?6|,;>n6<>;;I067>J5=<0:w)?61;78yI42>38p(l>=:19me55=>:1ven;50;9jg3<722chi7>5;n3f7?6=3th=n;4?:583>5}#:=o1==:4H370?I42=3;p(<7>:49~H7312;q/m=<50:lb46<1;2wbo84?::k`2?6=3`in6=44o0g0>5<54;294~"55;ha5>5<7>4n`20>356=44ib494?=nkl0;66a>e283>>{e>k31<7:50;2x 72b28:?7E<:3:N110<6s-;2=784}M062?4|,h:96=5aa11926=zaj?1<75fc783>>odm3:17b?j3;29?xd1jh0;694?:1y'61c=99>0D?;<;M061?7|,83:685rL375>7}#i981<6`n02857>{nk<0;66gl6;29?leb2900c50z&10`<68=1C>8=4L376>4}#90;1:6sC24496~"f8;0;7co?3;40?xod=3:17dm9:188mfc=831d=h=50;9~f3dd290?6=4?{%07a?77<2B99>5C24795~"6180>7pB=5781!g7:3:0bl><:718yle22900en850;9jg`<722e:i>4?::a2gb=83>1<7>t$36f>4633A8>?6B=5482!7>93?0qA<:6;0x d65291em==5629~mf3=831bo;4?::k`a?6=3f;n?7>5;|`5f0<72=0;6=u+25g9552<@;?87A<:5;3x 4?62?1v@?;9:3y'e54=82dj<>493:jg0<722ch:7>5;haf>5<8852z&b47<73gk;?76>;|k`1?6=3`i=6=44ibg94?=h9l91<75rb215>5<3290;w)<;e;330>N5=:1G>8;51z&2=4<23tF99;4={%c36?65<>i6m:0;66sm32594?2=83:p(?:j:027?M42;2F9984>{%3:5?3:75=ii9914<5rib794?=nk?0;66gle;29?j7b;3:17pl<3983>1<729q/>9k51168L7343E8>97?t$0;2>0=zD;?=6?u+a1094>hf8:03=6sfc483>>od>3:17dmj:188k4c42900qo787;290?6=8r.98h4>059K6056{K:<<1>v*n0383?kg7;3<:7pgl5;29?le12900enk50;9l5`5=831vn7>4n`20>g5!7b:3n=7c?j1;c8?lc6290/=h<5d79m5`7=121bi44?:%3f6?b13g;n=764;hga>5<#9l81h;5a1d393>=nmm0;6)?j2;f5?k7b93<07dki:18'5`4=l?1e=h?55:9jb5<72-;n>7j9;o3f5?2<3`l:6=4+1d09`3=i9l;1?65ff383>!7b:3n=7c?j1;08?l`3290/=h<5d79m5`7=921bh:4?:%3f6?b13g;n=7>4;n011?6=,8o96?<9;o3f5?g<3f88=7>5$0g1>7413g;n=774;n00=?6=,8o96?<9;o3f5?><3f88n7>5$0g1>7413g;n=794;n00`?6=,8o96?<9;o3f5?0<3f88j7>5$0g1>7413g;n=7;4;n074?6=,8o96?<9;o3f5?2<3f8?=7>5$0g1>7413g;n=7=4;n076?6=,8o96?<9;o3f5?4<3f8??7>5$0g1>7413g;n=7?4;n013?6=,8o96?<9;o3f5?6<3th:m<4?:3394?6|D;?=6:u+985960b<,:i=6i:4$2a4>c3<,:i26?<;;%`0=?3<,h:96=5aa1193==z,;>n6;[::>6}6>3;<65<#9l81h;5a1d39e>=nm80;6)?j2;f5?k7b93307dk6:18'5`4=l?1e=h?58:9jag<72-;n>7j9;o3f5?1<3`oo6=4+1d09`3=i9l;1:65feg83>!7b:3n=7c?j1;78?l`7290/=h<5d79m5`7=<21bj<4?:%3f6?b13g;n=7=4;hd1>5<#9l81h;5a1d396>=nn=0;6)?j2;f5?k7b93;07dj8:18'5`4=l?1e=h?50:9jb3<72-;n>7h8;o3f5?g<3`l26=4+1d09b2=i9l;1565ff`83>!7b:3l<7c?j1;:8?l`e290/=h<5f69m5`7=?21bjn4?:%3f6?`03g;n=784;hdf>5<#9l81j:5a1d391>=nno0;6)?j2;d4?k7b93>07d??0;29 4c52o=0b:298m466290/=h<5f69m5`7=:21b==<50;&2a7;:ke5=6=4+1d096705<#9l81>?84n0g2><=5<#9l81>?84n0g2>2=5<#9l81>?84n0g2>0=;6=4+1d096705<#9l81>?84n0g2>6=96=4+1d096705<#9l81>?84n0g2>4=?382<6*"e;h0=7)=me;:0?k5e?3:0(>m6:307?!d413?0(l>=:19me55=1;1v(?:j:0c6?_>>2;q=478n:|k;7?6=3`n>6=4+1d09a`=i9l;1965fe083>!7b:3on7c?j1;68?lc>290/=h<5ed9m5`7=;21bio4?:%3f6?cb3g;n=7<4;hgg>5<#9l81ih5a1d395>=nmo0;6)?j2;gf?k7b93:07b<=5;29 4c52;9n7c?j1;78?j4493:1(07b<<9;29 4c52;9n7c?j1;18?j44j3:1(a783>g<729qG>8859z&:=2<5191/?n85d59'f6g=>2.8nh473:l0f2<73-9h57<=4:&a7<<23-k;>7>4n`20><4;0=?7sf8283>>oc=3:1(7=e38fg>h6m80:76gjd;29 4c52li0b:198k742290/=h<522a8j4c62=10c?=>:18'5`4=::i0b:298k75>290/=h<522a8j4c62;10c?=m:18'5`4=::i0b:098k75c290/=h<522a8j4c62910qo?n2;2953<729qG>8857z&:=2<5=m1/?n85d59'f6g=>2.8o44=259'f6?==2.ja39Y<<<5s8<1=k4rie794?"6m;0o:6`>e08b?>ob93:1(2d:i<47;:kff?6=,8o96i84n0g2>2=e38g2>h6m80=76gjf;29 4c52m<0b:498mc6=83.:i?4k6:l2a4<332cm=7>5$0g1>a054ig094?"6m;0o:6`>e081?>oa<3:1(2d:i<4?;:m160<72-;n>7<=6:l2a42d:i<46;:m17<<72-;n>7<=6:l2a42d:i<48;:m17a<72-;n>7<=6:l2a4<132e9?k4?:%3f6?45>2d:i<4:;:m105<72-;n>7<=6:l2a4<332e98<4?:%3f6?45>2d:i<4<;:m107<72-;n>7<=6:l2a4<532e98>4?:%3f6?45>2d:i<4>;:m162<72-;n>7<=6:l2a4<732wi=l>50;35>5<7sE8>:79t$8;4>73c3-9h:7j;;%`0e?0<,:i26?<;;%`0=?3<,h:96=5aa119fc=z,;>n67}6>3;m6pgk5;29 4c52m<0b:`98m`7=83.:i?4k6:l2a4<>32cn57>5$0g1>a0e084?>obl3:1(2d:i<4:;:ke4?6=,8o96i84n0g2>1=e38g2>h6m80876gi2;29 4c52m<0b:398mc2=83.:i?4k6:l2a4<632co;7>5$0g1>a05<#9l81>?84n0g2>d=5<#9l81>?84n0g2>==5<#9l81>?84n0g2>3=5<#9l81>?84n0g2>1=:6=4+1d0967054o361>5<#9l81>?84n0g2>7=86=4+1d096705<#9l81>?84n0g2>5=53583>5}K:<<1:v*696811a=#;j<1h95+b2c92>"4k009>95+b2;91>"f8;0;7p*=4d82e1=]0009w9:5448~ma3=83.:i?4j7:l2a4<6121bi<4?:%3f6?c03g;n=7?7;:kf=?6=,8o96h94n0g2>41<3`oi6=4+1d09a2=i9l;1=;54idf94?"6m;0n;6`>e0821>=nmo0;6)?j2;g4?k7b93;?76gi0;29 4c52l=0b:018?l`6290/=h<5e69m5`7=9;10ek<50;&2a71:9jb1<72-;n>7k8;o3f5?7732co;7>5$0g1>`1e08f?>oc13:1(g=e38f3>h6m80j76gkd;29 4c52l=0b:898mac=83.:i?4j7:l2a45$0g1>`1e085?>ob:3:1(6=e38f3>h6m80976gj6;29 4c52l=0b:098m`>=83.:i?4j7:l2a4<732e9>84?:%3f6?44?2d:i<4>9:9l667=83.:i?4=369m5`7=9110c?=6:18'5`4=::=0b:058?j44j3:1(!7b:388;6`>e0821>=h::l1<7*>e38172=i9l;1=954o363>5<#9l81>>94n0g2>45<3f8?=7>5$0g1>7503g;n=7?=;:m107<72-;n>7<<7:l2a4<6921d>9=50;&2a7<5;>1e=h?51198k740290/=h<52258j4c62o10c?<7:18'5`4=::=0b:d98k74>290/=h<52258j4c62m10c?:b98k74e290/=h<52258j4c62k10c?:`98k74c290/=h<52258j4c62010c?:998k74a290/=h<52258j4c62>10c?=?:18'5`4=::=0b:798k755290/=h<52258j4c62<10c?=<:18'5`4=::=0b:598k753290/=h<52258j4c62:10c?=::18'5`4=::=0b:398k751290/=h<52258j4c62810c?=7:18'5`4=::=0b:198yg7e?3:1=;4?:1yO600=?r.25:4n209'7f0=l=1/n>o56:&0g<<5:=1/n>755:&b47<73gk;?78j;|&10`<6j>1Q444={0495ce38g2>h6m80j76gj1;29 4c52m<0b:898m`?=83.:i?4k6:l2a45$0g1>a0e085?>obn3:1(50;&2a72d:i<4;;:ke5?6=,8o96i84n0g2>6=e38g2>h6m80976gi4;29 4c52m<0b:098ma1=83.:i?4k6:l2a4<732e9>84?:%3f6?45>2d:i<4n;:m174<72-;n>7<=6:l2a4<>32e9?44?:%3f6?45>2d:i<47;:m17g<72-;n>7<=6:l2a4<032e9?i4?:%3f6?45>2d:i<49;:m17c<72-;n>7<=6:l2a4<232e98=4?:%3f6?45>2d:i<4;;:m104<72-;n>7<=6:l2a4<432e98?4?:%3f6?45>2d:i<4=;:m106<72-;n>7<=6:l2a4<632e9>:4?:%3f6?45>2d:i<4?;:a5tL375>2}#10=1>8j4$2a5>a2<,k9j6;5+3b;9672<,k92685+a1094>hf8:0o>6s+25g95v;9:4c9ylb2290/=h<5e`9m5`7=;21bi<4?:%3f6?cf3g;n=7<4;hg:>5<#9l81il5a1d395>=nmk0;6)?j2;gb?k7b93:07b<=5;29 4c52;9j7c?j1;18?j4493:1(b483>46=83:p@?;9:7y'7f0=l=1/n>o55:&0g<<5:=1/549528a8 d65291em==59c9~ 72b28h>7W66:3y56?7a2tco97>5$0g1>`ee080?>ob13:1(;:kf`?6=,8o96hm4n0g2>5=6=4+1d096705<#9l81>?84n0g2><=5<#9l81>?84n0g2>2=5<#9l81>?84n0g2>0=;6=4+1d096705<#9l81>?84n0g2>6=96=4+1d096705<#9l81>?84n0g2>4=">110i=95+a1094>hf8:0jo6s+25g95d`4?<3`o:6=4+1d09a2=i9l;1=554id;94?"6m;0n;6`>e0823>=nmk0;6)?j2;g4?k7b93;=76gjd;29 4c52l=0b:078?lca290/=h<5e69m5`7=9=10ek>50;&2a73:9jb4<72-;n>7k8;o3f5?7532cm>7>5$0g1>`15<#9l81i:5a1d3955=e38f3>h6m80m76gk8;29 4c52l=0b:d98ma?=83.:i?4j7:l2a45$0g1>`1e08a?>ock3:1(==e38f3>h6m80<76gj0;29 4c52l=0b:798m`4=83.:i?4j7:l2a4<232cn?7>5$0g1>`1e080?>ob=3:1(;:kf5=;1<75rb0`3>50(o=n:69'7f?=?81/549538;8 \?13;p=;4rie794?"6m;0o:6`>e08b?>ob93:1(2d:i<47;:kff?6=,8o96i84n0g2>2=e38g2>h6m80=76gjf;29 4c52m<0b:498mc6=83.:i?4k6:l2a4<332cm=7>5$0g1>a054ig094?"6m;0o:6`>e081?>oa<3:1(2d:i<4?;:m45?6=3th:n<4?:483>5}K:<<1;v*"e;h037)=l9;52?!?>?39256*6998a51=#i981<6`n028g5>{#:=o1=o?4Z9;95~312tco97>5$0g1>`g54id394?"6m;0nm6`>e081?>ob13:1(5}K:<<1;v*"e;h0<7)=l9;52?!?>?39256*6998a51=#i981<6`n02853>{#:=o1=l74Z9;95~712tco97>5$0g1>a0e08:?>ob13:1(2d:i<48;:kf`?6=,8o96i84n0g2>3=e38g2>h6m80>76gi0;29 4c52m<0b:598mc7=83.:i?4k6:l2a4<432cm>7>5$0g1>a0e082?>oc?3:1(0=#;j31:k5+985974><,0336o?;;%c36?65$0g1>a0e08;?>obj3:1(10ehj50;&2a72d:i<49;:kfb?6=,8o96i84n0g2>0=e38g2>h6m80?76gi1;29 4c52m<0b:298mc4=83.:i?4k6:l2a4<532cm87>5$0g1>a0e083?>i1n3:17pl>ae83>f<729qG>8857z&0g39k51`f8^=?=9r;=6pgk5;29 4c52m<0b:`98m`7=83.:i?4k6:l2a4<>32cn57>5$0g1>a0e084?>obl3:1(2d:i<4:;:ke4?6=,8o96i84n0g2>1=e38g2>h6m80876gi2;29 4c52m<0b:398mc2=83.:i?4k6:l2a4<632co;7>5$0g1>a03=p(>m9:e68 g5f2>1/?n75719'=<1=;830(477:c37?!g7:3:0bl><:708y!43m3;ji6T79;3x53e38g2>h6m80j76gj1;29 4c52m<0b:898m`?=83.:i?4k6:l2a45$0g1>a0e085?>obn3:1(50;&2a72d:i<4;;:ke5?6=,8o96i84n0g2>6=e38g2>h6m80976gi4;29 4c52m<0b:098ma1=83.:i?4k6:l2a4<732e<<7>5;|`b4`<72j086hu+25g9e5c5<?6=44i866>5<=6=44i864>5<36=44i86:>5<j6=44i86a>5<h6=44i860>5<n6:84H370?l7?13:17d?7a;29?l7?j3:17b?l8;29?xdf8m0;6>4?:1y'61c=?:1C>8=4i0::>5<5<52z\b4d=:i9n1=n64}r;75?6=:rT28<52a1`95=g52z\:01=:i9h1=574}r;71?6=:rT28852a1`95=d52z\:03=:i9h1=5j4}r;73?6=:rT28:52a1`95=e52z\:0==:i9h1=5k4}r;7=?6=:rT28452a1`95<652z\:0d=:i9h1=5h4}r;7f?6=:rT28o52a1a95=g52z\:0f=:i9i1=574}r;77?6=:rT28>52a1a95=d52z?b4g<6k116m=j519;8yvg7k3:1>v3n0b82g==:i9n1=5o4}|`61f<72l0m603d3f??97>5;h0f5;hc3b?6=3`k:<7>5;h4:5?6=3`k:=7>5;hc2=?6=3`>jn7>5;h6e=?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k??h7>53;294~"5=831vn8:j:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm54294?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`617<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn8;9:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm54:94?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`9l4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo;:b;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f02>29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e==k1<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd24?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`884?:3y]113<5<>h6h64=46g>4>>34??n7?79:p2d?=839pR;o6;<77`?7?i27>8h4>8`9~wd6a2909wSo?f:?60`<6001vl??:181[g6827>9=4>889~w3?62908wS861:?615<60h16986519`8yvg693:1>vPn109>100=9130q~o>9;297~Xf901698<519;8903328227p};ac83>7}Y;2<00:4l5rs`31>5<5sWk:>63:4682<<=z{h:>6=4<{_c31>;2=10:445254c95=?52z\65c=:=<21=5o4}r4;`?6=:rT=4i5255;95=?52z?60a<6k11699m519;8yv3303:1>v3:4d82g==:==k1=574}r77b?6=:r7>9=4>c99>11g=91k0q~;:1;296~;2=;0:o55254795=??7>52z?611<6k11698;519c8yv7e13:1>v3:5482g==:=<<1=5o4}r3ae?6=:r7>9;4>c99>11g=91h0q~;:7;296~;2=10:o55254`95=?57>52z?61d<6k11698l519c8yv7em3:1>v3:5c82g==:===1=5o4}r3ab?6=:r7>8:4>c99>11g=91i0q~;;9;296~;2<00:o55255c95=b52z?60d<6k11699l519c8yv7d93:1>v3:4c82g==:==i1=5o4}|`571<72l0m63533f<:h7>5;h0f7>5;hc3b?6=3`k:<7>5;h4:e?6=3`k:=7>5;hc2=?6=3`>i87>5;h736?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k<997>53;294~"5=831vn;<9:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm63:94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`56d<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj?8o6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn;5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm62294?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo8<3;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f34629086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e>;81<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd1:=0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`h64=706>4>>34<9?7?79:p2g4=839pR;l=;<411?7?i27=>;4>8`9~wd6a2909wSo?f:?563<6001vl??:181[g6827=>54>889~w3?f2908wS86a:?56=<60h16:>>519`8yvg693:1>vPn109>27c=9130q~o>9;297~Xf9016:?o519;8934d28227p};b583>7}Y01;;1:80:4l5rs`31>5<5sWk:>6391g82<<=z{h:>6=4<{_c31>;1;90:445262095=?52z\65c=:>::1=5o4}r4;`?6=:rT=4i5263395=?52z?560<6k116:?:519;8yv0583:1>v392782g==:>;81=574}r413?6=:r7=>54>c99>274=91k0q~8=9;296~;1:h0:o55263f95=?52z?56f<6k116:?j519c8yv7e13:1>v392e82g==:>;o1=5o4}r3ae?6=:r7=>h4>c99>274=91h0q~8=f;296~;1;90:o55262195=?52z?577<6k116:>=519c8yv7em3:1>v393282g==:>8l1=5o4}r3ab?6=:r7==k4>c99>274=91i0q~8=1;296~;1:80:o55263095=b52z?567<6k116:?=519c8yv7d93:1>v392282g==:>;>1=5o4}|`55f<72l0m637d3f<;97>5;h0f5;hc3b?6=3`k:<7>5;h4:=?6=3`k:=7>5;hc2=?6=3`>i?7>5;h735?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k<;h7>53;294~"5=831vn;>j:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm60294?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`557<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj?;>6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn;?9:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm60:94?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo8>b;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f36>29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e>9k1<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd18j0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`h64=72g>4>>34<;n7?79:p2g7=839pR;l>;<43`?7?i27=

8`9~wd6a2909wSo?f:?54`<6001vl??:181[g6827===4>889~w3?>2908wS869:?555<60h16:<6519`8yvg693:1>vPn109>240=9130q~o>9;297~Xf9016:<<519;8937328227p};b283>7}Ya;3;e>;1800:4l5rs`31>5<5sWk:>6390682<<=z{h:>6=4<{_c31>;1910:445260c95=?52z\65c=:>821=5o4}r4;`?6=:rT=4i5261;95=?52z?54a<6k116:=m519;8yv0703:1>v390d82g==:>9k1=574}r43b?6=:r7===4>c99>25g=91k0q~8>1;296~;19;0:o55260795=?52z?551<6k116:<;519c8yv7e13:1>v391482g==:>8<1=5o4}r3ae?6=:r7==;4>c99>25g=91h0q~8>7;296~;1910:o55260`95=?52z?55d<6k116:v391c82g==:>9=1=5o4}r3ab?6=:r7=<:4>c99>25g=91i0q~8?9;296~;1800:o55261c95=b52z?54d<6k116:=l519c8yv7d93:1>v390c82g==:>9i1=5o4}|`541<72l0m63633f?nh7>5;h0f5;hc3b?6=3`k:<7>5;h4:5;hc2=?6=3`>i>7>5;h734?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k?m97>53;294~"5=831vn8h9:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm5g:94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`6bd<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj=831vn8hj:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm61294?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo8?3;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f0`629086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e=o81<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd2n=0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`ii4?:3y]1`b<5h64=4d6>4>>34?m?7?79:p2g6=839pR;l?;<7e1?7?i27>j;4>8`9~wd6a2909wSo?f:?6b3<6001vl??:181[g6827>j54>889~w3??2908wS868:?6b=<60h16:=>519`8yvg693:1>vPn109>1cc=9130q~o>9;297~Xf90169ko519;890`d28227p};b383>7}Y;2n80:4l5rs`31>5<5sWk:>63:eg82<<=z{h:>6=4<{_c31>;1890:445261095=?52z\65c=:>9:1=5o4}r4;`?6=:rT=4i525g395=?52z?6b0<6k1169k:519;8yv3a83:1>v3:f782g==:=o81=574}r7e3?6=:r7>j54>c99>1c4=91k0q~;i9;296~;2nh0:o5525gf95=?52z?6bf<6k1169kj519c8yv7e13:1>v3:fe82g==:=oo1=5o4}r3ae?6=:r7>jh4>c99>1c4=91h0q~;if;296~;1890:o55261195=?52z?547<6k116:==519c8yv7em3:1>v390282g==:=ll1=5o4}r3ab?6=:r7>ik4>c99>1c4=91i0q~;i1;296~;2n80:o5525g095=b52z?6b7<6k1169k=519c8yv7d93:1>v3:f282g==:=o>1=5o4}|`6af<72l0m60cd3f?o97>5;h0f5;hc3b?6=3`k:<7>5;h4:3?6=3`k:=7>5;hc2=?6=3`>i=7>5;h6eb?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k?oh7>53;294~"5=831vn8jj:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm5d294?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`6a7<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn8k9:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm5d:94?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`il4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo;jb;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f0b>29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e=mk1<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd2lj0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`h84?:3y]1a3<5h64=4fg>4>>34?on7?79:p2d`=839pR;oi;<7g`?7?i27>hh4>8`9~wd6a2909wSo?f:?6``<6001vl??:181[g6827>i=4>889~w3?02908wS867:?6a5<60h169h6519`8yvg693:1>vPn109>1`0=9130q~o>9;297~Xf90169h<519;890c328227p};b083>7}Y;2l00:4l5rs`31>5<5sWk:>63:d682<<=z{h:>6=4<{_c31>;2m10:44525dc95=?52z\65c=:=l21=5o4}r4;`?6=:rT=4i525e;95=?52z?6`a<6k1169im519;8yv3c03:1>v3:dd82g==:=mk1=574}r7gb?6=:r7>i=4>c99>1ag=91k0q~;j1;296~;2m;0:o5525d795=?52z?6a1<6k1169h;519c8yv7e13:1>v3:e482g==:=l<1=5o4}r3ae?6=:r7>i;4>c99>1ag=91h0q~;j7;296~;2m10:o5525d`95=?52z?6ad<6k1169hl519c8yv7em3:1>v3:ec82g==:=m=1=5o4}r3ab?6=:r7>h:4>c99>1ag=91i0q~;k9;296~;2l00:o5525ec95=b52z?6`d<6k1169il519c8yv7d93:1>v3:dc82g==:=mi1=5o4}|`6`1<72l0m60b33f?ih7>5;h0f5;hc3b?6=3`k:<7>5;h4:2?6=3`k:=7>5;hc2=?6=3`>i<7>5;h6ea?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k?h97>53;294~"5=831vn8m9:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm5b:94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`6gd<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj=831vn8mj:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm5e294?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`h?4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo;k3;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f0e629086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e=j81<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd2k=0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`ni4?:3y]1gb<5h64=4a6>4>>34?h?7?79:p2dc=839pR;oj;<7`1?7?i27>o;4>8`9~wd6a2909wSo?f:?6g3<6001vl??:181[g6827>o54>889~w3?12908wS866:?6g=<60h169i>519`8yvg693:1>vPn109>1fc=9130q~o>9;297~Xf90169no519;890ed28227p};b183>7}Y;2k80:4l5rs`31>5<5sWk:>63:bg82<<=z{h:>6=4<{_c31>;2l90:44525e095=?52z\65c=:=m:1=5o4}r4;`?6=:rT=4i525b395=?52z?6g0<6k1169n:519;8yv3d83:1>v3:c782g==:=j81=574}r7`3?6=:r7>o54>c99>1f4=91k0q~;l9;296~;2kh0:o5525bf95=?52z?6gf<6k1169nj519c8yv7e13:1>v3:ce82g==:=jo1=5o4}r3ae?6=:r7>oh4>c99>1f4=91h0q~;lf;296~;2l90:o5525e195=?52z?6`7<6k1169i=519c8yv7em3:1>v3:d282g==:=kl1=5o4}r3ab?6=:r7>nk4>c99>1f4=91i0q~;l1;296~;2k80:o5525b095=b52z?6g7<6k1169n=519c8yv7d93:1>v3:c282g==:=j>1=5o4}|`6ff<72l0m60dd3f?j97>5;h0f5;hc3b?6=3`k:<7>5;h4:1?6=3`k:=7>5;hc2=?6=3`>jj7>5;h6e`?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k?jh7>53;294~"5=831vn8oj:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm5c294?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`6f7<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn8l9:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm5c:94?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`nl4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo;mb;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f0g>29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e=hk1<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd2ij0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`m84?:3y]1d3<5h64=4cg>4>>34?jn7?79:p2db=839pR;ok;<7b`?7?i27>mh4>8`9~wd6a2909wSo?f:?6e`<6001vl??:181[g6827>n=4>889~w3?22908wS865:?6f5<60h169o6519`8yvg693:1>vPn109>1g0=9130q~o>9;297~Xf90169o<519;890d328227p};ag83>7}Y;2i00:4l5rs`31>5<5sWk:>63:a682<<=z{h:>6=4<{_c31>;2j10:44525cc95=?52z\65c=:=k21=5o4}r4;`?6=:rT=4i525`;95=?52z?6ea<6k1169lm519;8yv3f03:1>v3:ad82g==:=hk1=574}r7bb?6=:r7>n=4>c99>1dg=91k0q~;m1;296~;2j;0:o5525c795=?52z?6f1<6k1169o;519c8yv7e13:1>v3:b482g==:=k<1=5o4}r3ae?6=:r7>n;4>c99>1dg=91h0q~;m7;296~;2j10:o5525c`95=?52z?6fd<6k1169ol519c8yv7em3:1>v3:bc82g==:=h=1=5o4}r3ab?6=:r7>m:4>c99>1dg=91i0q~;n9;296~;2i00:o5525`c95=b52z?6ed<6k1169ll519c8yv7d93:1>v3:ac82g==:=hi1=5o4}|`6e1<72l0m60g33f?3h7>5;h0f5;hc3b?6=3`k:<7>5;h4:0?6=3`k:=7>5;hc2=?6=3`>ji7>5;h6eg?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k?297>53;294~"5=831vn879:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm58:94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`6=d<72:0;6=u+25g936=O:<90e<66:188m4>f2900ck3:1?7>50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj<3o6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn87j:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm5`294?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`m?4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo;n3;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f0?629086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e=081<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd21=0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`4i4?:3y]1=b<5<3?6h64=4;6>4>>34?2?7?79:p2de=839pR;ol;<7:1?7?i27>5;4>8`9~wd6a2909wSo?f:?6=3<6001vl??:181[g6827>554>889~w3?32908wS864:?6==<60h169l>519`8yvg693:1>vPn109>19;297~Xf901694o519;890?d28227p};ad83>7}Y;2180:4l5rs`31>5<5sWk:>63:8g82<<=z{h:>6=4<{_c31>;2i90:44525`095=?52z\65c=:=h:1=5o4}r4;`?6=:rT=4i5258395=?52z?6=0<6k11694:519;8yv3>83:1>v3:9782g==:=081=574}r7:3?6=:r7>554>c99>1<4=91k0q~;69;296~;21h0:o55258f95=?52z?6=f<6k11694j519c8yv7e13:1>v3:9e82g==:=0o1=5o4}r3ae?6=:r7>5h4>c99>1<4=91h0q~;6f;296~;2i90:o5525`195=?52z?6e7<6k1169l=519c8yv7em3:1>v3:a282g==:=1l1=5o4}r3ab?6=:r7>4k4>c99>1<4=91i0q~;61;296~;2180:o55258095=b52z?6=7<6k11694=519c8yv7d93:1>v3:9282g==:=0>1=5o4}|`60>d3f?<97>5;h0f5;hc3b?6=3`k:<7>5;h4:7?6=3`k:=7>5;hc2=?6=3`>jh7>5;h6ef?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k?53;294~"5=831vn89j:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm59294?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`6<7<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj<2>6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn869:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm59:94?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`4l4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo;7b;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f01>29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e=>k1<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd2?j0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`;84?:3y]123<5<=h6h64=45g>4>>34?;h4>8`9~wd6a2909wSo?f:?63`<6001vl??:181[g6827>4=4>889~w3?42908wS863:?6<5<60h16956519`8yvg693:1>vPn109>1=0=9130q~o>9;297~Xf901695<519;890>328227p};ae83>7}Y3282j70;7a;3;e>;2?00:4l5rs`31>5<5sWk:>63:7682<<=z{h:>6=4<{_c31>;2010:445259c95=?52z\65c=:=121=5o4}r4;`?6=:rT=4i5256;95=?52z?63a<6k1169:m519;8yv3003:1>v3:7d82g==:=>k1=574}r74b?6=:r7>4=4>c99>12g=91k0q~;71;296~;20;0:o55259795=?52z?6<1<6k11695;519c8yv7e13:1>v3:8482g==:=1<1=5o4}r3ae?6=:r7>4;4>c99>12g=91h0q~;77;296~;2010:o55259`95=?52z?6v3:8c82g==:=>=1=5o4}r3ab?6=:r7>;:4>c99>12g=91i0q~;89;296~;2?00:o55256c95=b52z?63d<6k1169:l519c8yv7d93:1>v3:7c82g==:=>i1=5o4}|`631<72l0m60133f?>h7>5;h0f5;hc3b?6=3`k:<7>5;h4:6?6=3`k:=7>5;hc2=?6=3`>jo7>5;h6ee?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k?=97>53;294~"5=831vn889:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm57:94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`62d<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj<=831vn88j:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm56294?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`;?4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo;83;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f00629086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e=?81<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd2>=0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`9i4?:3y]10b<5<h64=446>4>>34?=?7?79:p2dg=839pR;on;<751?7?i27>:;4>8`9~wd6a2909wSo?f:?623<6001vl??:181[g6827>:54>889~w3?52908wS862:?62=<60h169:>519`8yvg693:1>vPn109>13c=9130q~o>9;297~Xf90169;o519;8900d28227p};ab83>7}Y;2>80:4l5rs`31>5<5sWk:>63:5g82<<=z{h:>6=4<{_c31>;2?90:445256095=?52z\65c=:=>:1=5o4}r4;`?6=:rT=4i5257395=?i7>52z?620<6k1169;:519;8yv3183:1>v3:6782g==:=?81=574}r753?6=:r7>:54>c99>134=91k0q~;99;296~;2>h0:o55257f95=?52z?62f<6k1169;j519c8yv7e13:1>v3:6e82g==:=?o1=5o4}r3ae?6=:r7>:h4>c99>134=91h0q~;9f;296~;2?90:o55256195=?52z?637<6k1169:=519c8yv7em3:1>v3:7282g==:=9k4>c99>134=91i0q~;91;296~;2>80:o55257095=b52z?627<6k1169;=519c8yv7d93:1>v3:6282g==:=?>1=5o4}|`606<72l0m60243f?9o7>5;h0f5;hc3b?6=3`k:<7>5;h4;b?6=3`k:=7>5;hc2=?6=3`>j57>5;h6e3?6=3`k:>7>5;hc31?6=3`?:j7>5;h4;`?6=3k?887>53;294~"5=831vn8=::180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm52594?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`67<<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj<9h6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn8=k:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm52d94?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`8<4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo;;2;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f05729086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e=:;1<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd2;:0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`>n4?:3y]17e<5<986h64=417>4>>34?8>7?79:p2d1=839pR;o8;<700?7?i27>?84>8`9~wd6a2909wSo?f:?670<6001vl??:181[g6827>?:4>889~w3>a2908wS87f:?672<60h169>h519`8yvg693:1>vPn109>16b=9130q~o>9;297~Xf90169>7519;8905e28227p};a883>7}Y0;69uQ4g58905e282j70;;1;3;e>;2;90:4l5rs`31>5<5sWk:>63:2d82<<=z{h:>6=4<{_c31>;2;o0:445255395=?52z\65c=:=:l1=5o4}r4;`?6=:rT=4i5252295=?52z?671<6k1169>=519;8yv35n3:1>v3:3482g==:=:;1=574}r702?6=:r7>?:4>c99>167=91k0q~;<8;296~;2;00:o55252a95=?52z?67g<6k1169>m519c8yv7e13:1>v3:3b82g==:=:n1=5o4}r3ae?6=:r7>?i4>c99>167=91h0q~;52z?604<6k11699<519c8yv7em3:1>v3:4382g==:=;o1=5o4}r3ab?6=:r7>>h4>c99>167=91i0q~;<0;296~;2;90:o55252395=b52z?674<6k1169><519c8yv7d93:1>v3:3382g==:=:91=5o4}|`b3g<72<086:u+25g9e2d5<5<5<4290;w)<;e;57?M42;2c:444?::k2=83>1<7>t$36f>20<@;?87d?79;29?l7?i3:17d?7b;29?j7d03:17pln7883>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|qb33<72;qUm:84=`5:>4e?3tyi=?4?:2y]f44<5h=j6<66;950;0xZd5034k=838pRl?7;6<0s-8?i7omd:mbf=<722ci=?4?::kb70<722cj=54?::kb2d<722hjnn4?:283>5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qooma;290?6=8r.98h486:J116=n9131<75f19c94?=n91h1<75`1b:94?=zjhhi6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vll7:181[ge027jno4>c99~wg752908wSl>2:?bff<60016moo519;8yvg4=3:1>vPn349>ege=91k0q~o>8;296~Xf9116moo519c8yvg1i3:1>vPn6`9>egg=91h0q~om9;296~;fjj0:o552ac`95=?52z?bfd<6k116mol519c8yxdfm>0;684<:6y'61c=il=0clk=:188mg752900el=;:188md7?2900el86:188fdc129086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{eil>1<7:50;2x 72b2><0D?;<;h3;=?6=3`;3m7>5;h3;f?6=3f;h47>5;|`ba0<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xufm;0;6?uQad089dc228i37p}m1383>6}Yj8801lk9:0::?8gb<3;356s|a2694?4|Vh9?70oj6;3;e>{ti821<7{til91<74e?34kn97?79:pe`2=838p1lk;:0a;?8gb=3;3m6srb`gg>5<22:0ifm10;66gm1383>>of;:0;66gn1983>>of>10;66lneb83>6<729q/>9k5759K6055<N5=:1b=5750;9j5=g=831b=5l50;9l5f>=831vnlkm:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rs`g;>5<5sWkn463nec82g==z{k;96=4<{_`26>;fmj0:4452adc95=?52z\b76=:ili1=5o4}rc252z\b2==:ilk1=5l4}rcf=?6=:r7jin4>c99>e`d=9130q~oja;296~;fmh0:o552ad`95=g4?:480>2}#:=o1mk=4o`gf>5<5<5<50;694?6|,;>n6:84H370?l7?13:17d?7a;29?l7?j3:17b?l8;29?xdfn80;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`4>>34km<7?79:pe64=838pRl==;{tio:1<74e?34km=7?7a:~fd`>290>6>48{%07a?ga12ejj94?::ka57<722cj?<4?::kb5=<722cj:;4?::`bb=<72:0;6=u+25g931=O:<90e<66:188m4>f2900c3:187>50z&10`<0>2B99>5f19;94?=n91k1<75f19`94?=h9j21<75rb`d4>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~wd`32909wSoi4:?bb2<6k11vo?=:180[d6:27jj54>889>ec0=9130q~o<1;296~Xf;816mk6519c8yvg603:1>vPn199>ec0=91k0q~o96;296~Xf>?16mk8519`8yvga=3:1>v3nf982g==:io=1=574}rce2?6=:r7jj;4>c99>ec1=91k0qplnfg83>0<42>q/>9k5agd8kd`f2900eo?=:188md4a2900el?7:188md032900nlhj:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66smaga94?2=83:p(?:j:648L7343`;357>5;h3;e?6=3`;3n7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17p}nf`83>7}Yiok01lhk:0a;?xue9;0;6>uQb0089d`b282270oic;3;=>{ti;l1<7{ti?>1<7{zjk:>6=4::284!43m3h;96am0183>>oe9;0;66gn2d83>>of910;66gn6283>>de8=0;6>4?:1y'61c=?=1C>8=4i0::>5<5<7>54;294~"55f19;94?=n91k1<75`1b:94?=z{k:;6=4={_`34>;e8:0:o55rsc31>5<4sWh:>63m0582<<=:j981=574}rc1a?6=:rTj>h52b1695=g52z\b5==:j981=5o4}rc57?6=:rTj:>52b1095=d52z?a41<6k116n==519;8yvd7:3:1>v3m0382g==:j991=5o4}|`a4g<72<086:u+25g9f5d5<5<5<4290;w)<;e;57?M42;2c:444?::k2=83>1<7>t$36f>20<@;?87d?79;29?l7?i3:17d?7b;29?j7d03:17plm0883>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|qa43<72;qUn=84=c2:>4e?3tyi=?4?:2y]f44<5k:j6<66;<`3=838pRl?7;<`3n:0a;?8d713;356s|b1:94?4|5k:36:186>6<0s-8?i7l>1:ma4f<722ci=?4?::kb6f<722cj=54?::kb24<722hi==4?:283>5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qol?e;290?6=8r.98h486:J116=n9131<75f19c94?=n91h1<75`1b:94?=zjk:m6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vo>l:181[d7k27ic99~wg752908wSl>2:?a55<60016n=k519;8yvg5k3:1>vPn2b9>f46=91k0q~o>8;296~Xf9116n=k519c8yvg193:1>vPn609>f5c=91h0q~l?d;296~;e990:o552b1d95=?52z?a4`<6k116n=h519c8yxdf080;684<:6y'61c=i1;0cl9l:188mg752900el729086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{ei>o1<7:50;2x 72b2><0D?;<;h3;=?6=3`;3m7>5;h3;f?6=3f;h47>5;|`b3c<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xuf?j0;6?uQa6a89d1a28i37p}m1383>6}Yj8801l6?:0::?8g0m3;356s|a3`94?4|Vh8i70o70;3;e>{ti821<7{ti>n1<74e?34k5<22:0if0;0;66gm1383>>of:h0;66gn1983>>of=o0;66ln8783>6<729q/>9k5759K6055<N5=:1b=5750;9j5=g=831b=5l50;9l5f>=831vnl6::180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rs`:1>5<5sWk3>63n8482g==z{k;96=4<{_`26>;f0?0:4452a9695=?52z\b6d=:i1<1=5o4}rc2j7>52z\b1c=:i1>1=5l4}rc;7?6=:r7j4;4>c99>e=3=9130q~o74;296~;f0=0:o552a9795=g2}#:=o1m5j4o`:;>5<5<5<n6:84H370?l7?13:17d?7a;29?l7?j3:17b?l8;29?xdf0k0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`<5h2i64>>34k3m7?79:pe7?=838pRl<6;d28i370o7b;3;=>{ti1k1<74e?34k3n7?7a:~fd?4290>6>48{%07a?g>;2ej4h4?::ka57<722cj>54?::kb5=<722cj9i4?::`b=7<72:0;6=u+25g931=O:<90e<66:188m4>f2900c83:187>50z&10`<0>2B99>5f19;94?=n91k1<75f19`94?=h9j21<75rb`;2>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~wd>b2909wSo7e:?b=4<6k11vo?=:180[d6:27j5?4>889>e<6=9130q~o=8;296~Xf:116m4<519c8yvg603:1>vPn199>e<6=91k0q~o:d;296~Xf=m16m4>519`8yvg?n3:1>v3n9382g==:i0;1=574}rc:4?6=:r7j5=4>c99>e<7=91k0qpln9883>0<42>q/>9k5a8;8kd?32900eo?=:188md402900el?7:188md3d2900nl77:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sma8494?2=83:p(?:j:648L7343`;357>5;h3;e?6=3`;3n7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17p}n9583>7}Yi0>01l78:0a;?xue9;0;6>uQb0089d??282270o66;3;=>{ti;=1<703;3m6s|a0:94?4|Vh;370o66;3;e>{ti>3;3n6s|a8794?4|5h336{zjh3m6=4::284!43m3k2j6an9`83>>oe9;0;66gn2783>>of910;66gn5c83>>df1l0;6>4?:1y'61c=?=1C>8=4i0::>5<5<54;294~"55f19;94?=n91k1<75`1b:94?=z{h3j6=4={_c:e>;f1m0:o55rsc31>5<4sWh:>63n9d82<<=:i0i1=574}rc12?6=:rTj>;52a8g95=g52z\b5==:i0i1=5o4}rc6f?6=:rTj9o52a8a95=d52z?b=`<6k116m4j519;8yvg>k3:1>v3n9b82g==:i0n1=5o4}|`be0<72<086:u+25g9ed35<5<5<4290;w)<;e;57?M42;2c:444?::k21<7>t$36f>20<@;?87d?79;29?l7?i3:17d?7b;29?j7d03:17plna283>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|qbe5<72;qUml>4=`c0>4e?3tyi=?4?:2y]f44<5hk?6<66;h50;0xZd5a34kj87?7a:pe4>=838pRl?7;7?7b:ped7=838p1lo;:0a;?8gf;3;356s|a`094?4|5hk966<0s-8?i7onb:mbe3<722ci=?4?::kb7`<722cj=54?::kb36<722hjml4?:283>5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qoon8;290?6=8r.98h486:J116=n9131<75f19c94?=n91h1<75`1b:94?=zjhk26=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vlo9:181[gf>27jm44>c99~wg752908wSl>2:?bed<60016ml6519;8yvg4m3:1>vPn3d9>edg=91k0q~o>8;296~Xf9116ml6519c8yvg0;3:1>vPn729>ed>=91h0q~on7;296~;fih0:o552a`;95=?52z?be=<6k116ml7519c8yxdfj80;684<:6y'61c=ik;0clol:188mg752900el=k:188md7?2900el9=:188fdd729086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{eiho1<7:50;2x 72b2><0D?;<;h3;=?6=3`;3m7>5;h3;f?6=3f;h47>5;|`bec<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xufij0;6?uQa`a89dga28i37p}m1383>6}Yj8801ll?:0::?8gfm3;356s|a2f94?4|Vh9o70om0;3;e>{ti821<7{tihn1<74e?34kjj7?79:pedc=838p1loj:0a;?8gfn3;3m6srb``4>5<22:0ifj;0;66gm1383>>of;j0;66gn1983>>of?80;66lnb783>6<729q/>9k5759K6055<N5=:1b=5750;9j5=g=831b=5l50;9l5f>=831vnll::180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rs``1>5<5sWki>63nb482g==z{k;96=4<{_`26>;fj?0:4452ac695=?52z\b7f=:ik<1=5o4}rc252z\b34=:ik>1=5l4}rca7?6=:r7jn;4>c99>eg3=9130q~om4;296~;fj=0:o552ac795=g4?:480>2}#:=o1mn=4o``f>5<5<5<50;694?6|,;>n6:84H370?l7?13:17d?7a;29?l7?j3:17b?l8;29?xdfk80;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`4>>34kh<7?79:pe6d=838pRl=m;{tij:1<74e?34kh=7?7a:~fde>290>6>48{%07a?gd12ejo94?::ka57<722cj?l4?::kb5=<722cj:k4?::`bg=<72:0;6=u+25g931=O:<90e<66:188m4>f2900c3:187>50z&10`<0>2B99>5f19;94?=n91k1<75f19`94?=h9j21<75rb`a4>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~wde32909wSol4:?bg2<6k11vo?=:180[d6:27jo54>889>ef0=9130q~ovPn199>ef0=91k0q~o9f;296~Xf>o16mn8519`8yvgd=3:1>v3nc982g==:ij=1=574}rc`2?6=:r7jo;4>c99>ef1=91k0qplncg83>0<42>q/>9k5abd8kdef2900eo?=:188md5>2900el?7:188md0b2900nlmj:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66smaba94?2=83:p(?:j:648L7343`;357>5;h3;e?6=3`;3n7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17p}nc`83>7}Yijk01lmk:0a;?xue9;0;6>uQb0089deb282270olc;3;=>{ti:31<7{ti?o1<7{zjhn>6=4::284!43m3ko96and183>>oe9;0;66gn3983>>of910;66gn6e83>>dfl=0;6>4?:1y'61c=?=1C>8=4i0::>5<5<7>54;294~"55f19;94?=n91k1<75`1b:94?=z{hn;6=4={_cg4>;fl:0:o55rsc31>5<4sWh:>63nd582<<=:im81=574}rc052z\b5==:im81=5o4}rc5`?6=:rTj:i52ae095=d52z?b`1<6k116mi=519;8yvgc:3:1>v3nd382g==:im91=5o4}|`b`g<72<086:u+25g9ead5<5<6=44b`fb>5<4290;w)<;e;57?M42;2c:444?::k2=83>1<7>t$36f>20<@;?87d?79;29?l7?i3:17d?7b;29?j7d03:17plnd883>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|qb`3<72;qUmi84=`f:>4e?3tyi=?4?:2y]f44<5hnj6<66;>50;0xZd5734kom7?7a:pe4>=838pRl?7;:186>6<0s-8?i7oj1:mb`f<722ci=?4?::kb60<722cj=54?::kb1d<722hji=4?:283>5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qooke;290?6=8r.98h486:J116=n9131<75f19c94?=n91h1<75`1b:94?=zjhnm6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vljl:181[gck27jhk4>c99~wg752908wSl>2:?ba5<60016mik519;8yvg5=3:1>vPn249>e`6=91k0q~o>8;296~Xf9116mik519c8yvg2i3:1>vPn5`9>eac=91h0q~okd;296~;fm90:o552aed95=?52z?b``<6k116mih519c8yxd>180;6n4>3;3f!43m332=6a67983>>o>?>0;66g=e983>>o3j?0;66g;b983>>o29h0;66g69383>>of9<0;66gn0`83>>of8<0;66gn1383>>o>1=0;66l68783>6<729q/>9k5759K6055<f2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj0226=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi55o50;694?6|,;>n6o6000;66g>8`83>>o60k0;66a>c983>>{e11h1<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo77e;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f5f19;94?=n91k1<75`1b:94?=zj0=j6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi5:j50;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17pl67d83>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`:3c<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj0296=4;:183!43m3;hn6F=529j5=?=831b=5o50;9j5=d=831d=n650;9~f<>429086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e11>1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wi55;50;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17p}67983>7}Y1>20146::0a;?xu>?>0;6:uQ96589<>1282j70779;3;e>;>0m0:4l5296f95=g<502:6<6n;<;;1?7?12wx>h650;1xZ7c?3433n7?79:?:<6<6001v9l9:181[2e>2724l4>889~w1d?2909wS:m8:?:<2<6001v8?n:180[36i2724;4>889>=2e=9130q~762;296~X>1;16557519;8yvg6=3:1>vPn149>=2g=9130q~o?a;297~Xf8h1655h519;890}Yi9?0146k:0::?8??m3;3m6367e82<<=:1>o1=5o4}rc26?6=:rTj=?5296d95=?52z\:=1=:11;1=574}r;4=?6=:r724;4>c99>=2e=91k0q~?m9;296~;>?j0:o55299595=g52z?:<2<6k11655o519c8yv??03:1>v368882g==:11k1=5l4}r3aa?6=:r724l4>c99>==d=91k0q~?mf;296~;>0k0:o55299695=?52z?:v368d82g==:11l1=5o4}r3`5?6=:r724k4>c99>=<6=91k0q~?l2;296~;>190:o55296c95=g52z?:3d<6k11655<519;8yv?0j3:1>v367e82g==:1>o1=574}r3`0?6=:r72;h4>c99>=2`=91k0q~?l5;296~;>?o0:o55299095=g52z?:<4<6k11655<519`8yv7ej3:1>v368382g==:1191=5o4}r3ag?6=:r724>4>c99>==2=91k0q~?md;296~;>0=0:o55299795=g44|,;>n6>kj;n1f5?6=3`k::7>5;h607?6=3`9o>7>5;h0f2?6=3`9o57>5;h60`?6=3`8n;7>5;h1ga?6=3`>897>5;c1f`?6=;3:1N5=:1b=5750;9j5=g=831d=n650;9~f6c4290?6=4?{%07a?103A8>?6g>8883>>o60h0;66g>8c83>>i6k10;66sm3d794?5=83:p(?:j:668L7343`;357>5;h3;e?6=3f;h47>5;|`0a3<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd4m>0;6>4?:1y'61c=?:1C>8=4i0::>5<5<54;294~"5>2900e<6n:188m4>e2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj:oi6=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi?hm50;194?6|,;>n6o6000;66g>8`83>>i6k10;66s|3d394?4|V:o:70=jc;3`<>{ti8<1<7{t;m81<7=t^2f1?85bl3;3m63;4m:0:4o5rs2f:>5<5sW9o563;4m:0:4l523d795=g52z\1a2=:;l=1=574}r1ga?6=;rT8hh523d795=?<5:o=6<66;|q770<72;qU8>;4=2ga>4>>3ty8i?4?:3y>7`b=9j201>k7:0::?xu4m:0;6?u23d195f><5:o36<6n;|q0a1<72;q6?h;51b:896c1282j7p}>b883>7}:;l<1=n64=2g4>4>f3ty:nl4?:3y>7`1=9j201>k7:0:a?xu6jl0;6?u23d:95f><5:o26<6n;|q2fc<72;q6?h751b:896cd28227p}7}:;lh1=n64=2g`>4>f3twi?ko50;`93?76s-8?i7=ia:m0ac<722c9i?4?::kb53<722c??>4?::k0`5<722c9i;4?::k0`<<722c??o4?::k1a2<722c8hh4?::k770<722h8j44?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo=i1;290?6=8r.98h487:J116=n9131<75f19c94?=n91h1<75`1b:94?=zj:l86=4;:183!43m3=<7E<:3:k2<<<722c:4l4?::k21<7>t$36f>4ee3A8>?6g>8883>>o60h0;66g>8c83>>i6k10;66sm3g794?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`0b2<72:0;6=u+25g931=O:<90e<66:188m4>f2900c50z&10`<6kk1C>8=4i0::>5<5<7>52z\1a7=:;o21=574}rc22?6=:rTj=;523g795=?8?7>52z\776=:;o31=574}r1g4?6=;rT8h=523g;95=g<5:l<6<6n;|q1a3<72;qU>h84=2d2>4>e3ty8h44?:3y]7a?<5:l:6<66;|q77g<72:qU8>l4=2d2>4>f349m?7?7a:p6`1=838pR?k8;<1e7?7?j2wx?ik50;0xZ6bb349m?7?79:p063=838pR9=:;<1e3?7?12wx?k>50;0x96`>28i370=i4;3;=>{t;o;1<74e?349m87?7a:p7c4=838p1>h<:0a;?85a<3;3n6s|1c;94?4|5:l?6{t;o<1<74e?349m47?7b:~f161290j6:4>0z&10`<38?1d?kl50;9je40=831b8>=50;9j7f`=831b>h850;9j7a?=831b8>o50;9j6`1=831b?ik50;9j063=831i8=;50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl1<729q/>9k5769K6055<5<54;294~"55f19;94?=n91k1<75f19`94?=h9j21<75rb522>5<4290;w)<;e;50?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd38=0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`4>>3ty??>4?:3y]065<5=:>6<66;|q0gc<72:qU?nh4=526>4>f34>;?7?7a:p6`0=838pR?k9;<1e`?7?j2wx?i750;0xZ6b>349mh7?79:p06g=839pR9=n;<1e`?7?i278jk4>8`9~w7c02909wSjj:181[5cm278jk4>889~w1522909wS:<5:?746<6001v>hl:181827=3;h463;0182<<=z{:lo6=4={<1e`?7d027?<=4>8`9~w6`b2909w0=if;3`<>;3890:4o5rs0`:>5<5s4>;<7?l8:?744<60h1v8`9~yg26<3:1m77513y'61c=<8>0c9>8:188md712900e9=<:188m6eb2900e?k9:188m6b>2900e9=6:188m7c02900e>jj:188m1522900n9?<:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm41;94?2=83:p(?:j:658L7343`;357>5;h3;e?6=3`;3n7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo:?c;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f16b290?6=4?{%07a?7dj2B99>5f19;94?=n91k1<75f19`94?=h9j21<75rb52e>5<4290;w)<;e;50?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd39;0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`4>>3ty??>4?:3y]065<5=;86<66;|q0g`<72:qU?nk4=530>4>f34>:=7?7a:p6`0=838pR?k9;<63=?7?j2wx?i750;0xZ6b>34>;57?79:p06?=839pR9=6;<63=?7?i27?8`9~w7c02909wSjj:180[5cm27?889>05e=9130q~:<5;296~X3;<168v3;1282g==:<9o1=574}r63=?6=:r7?<44>c99>05c=91k0q~:?a;296~;38k0:o55241a95=g52z?74f<6k1168=j519c8yv7ei3:1>v3;0e82g==:<9o1=5l4}r3aa?6=:r7?

c99>05`=91k0q~?mf;296~;38o0:o55240095=?:<7>52z?754<6k1168<<519c8yxd3:;0;6o46:01x 72b2=897b:>5;29?l4b:3:17do>6;29?l24;3:17d=ld;29?l4b>3:17d=k9;29?l2403:17d50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj=;<6=4;:183!43m3=<7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd39h0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo:>c;290?6=8r.98h4>cc9K6055<5<:h7>53;294~"5=831vn9?i:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm43294?2=83:p(?:j:0aa?M42;2c:444?::k29<7?l8:p6`4=838pR?k=;<614?7?12wxm<850;0xZd7134>:h7?79:p065=838pR9=<;<615?7?12wx?nj50;1xZ6ec34>9=7?7a:?75c<60h1v?k9:181[4b>27?=:4>8c9~w6b>2909wS=k9:?752<6001v9=7:180[24027?=:4>8`9>04?=91k0q~16804?=913019?n:0::?xu3;<0;6?uQ4278917a28227p};1783>7}:<;;1=n64=53`>4>>3ty?=:4?:3y>041=9j2019?l:0:b?xu3910;6?u240;95f><5=;j6<6n;|q2f<<72;q68b`83>7}:<8h1=n64=53`>4>e3ty:nh4?:3y>04e=9j2019?k:0:b?xu6jo0;6?u240f95f><5=8;6<6n;|q75`<72;q68i3::0;66g=e383>>of9?0;66g;3283>>o4kj0;66g=e783>>o4l00;66g;3683>>o5m>0;66g>o3;<0;66l;2g83>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831b=5l50;9l5f>=831vn9<8:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm43:94?5=83:p(?:j:0a:?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd3:h0;694?:1y'61c=9jh0D?;<;h3;=?6=3`;3m7>5;h3;f?6=3f;h47>5;|`76g<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj=8n6=4;:183!43m3;hn6F=529j5=?=831b=5o50;9j5=d=831d=n650;9~w1442909wS:=3:?76`<6k11v?k=:181[4b:27?>h4>889~wd712909wSo>6:?76g<6001v9=<:181[24;27?>k4>889~w6ed2908wS=lc:?76c<60h168?j519c8yv4b>3:1>vP=e79>073=91h0q~=k9;296~X4l0168?;519;8yv24?3:1?vP;369>073=91k019<8:0:b?xu5m>0;6?uQ2d58914>28227p}6}Y;mo019<8:0::?82503;356s|42794?4|V=9>70:=d;3;=>{t<;>1<74e?34>9m7?79:p073=838p19<::0a;?825i3;3m6s|43494?4|5=8<6{t9kk1<74e?34>9m7?7b:p5gc=838p19{zj=?h6=4n:8826~"55;h3;e?6=3f;h47>5;|`715<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd3=80;6>4?:1y'61c=?:1C>8=4i0::>5<5<>?7>54;294~"5?6g>8883>>o60h0;66a>c983>>{e<<<1<7:50;2x 72b28ii7E<:3:k2<<<722c:4l4?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd3=00;6>4?:1y'61c=?=1C>8=4i0::>5<5<>m7>53;294~"5>2900e<6n:188k4e?2900q~:;e;296~X33:1>vPn179>001=9130q~00d=913019;?:0::?xu31m0;6>uQ48f8913e282j70::3;3;e>{t:l?1<7i70::3;3;=>{t<0<1<7870::5;3;e>;3=00:4l5rs516>5<5sW>8963;5882<<=z{=>m6=4={<66f?7d027?9=4>8`9~w4d>2909w0::0;3`<>;3=80:4l5rs0`b>5<5s4>>=7?l8:?713<6001v9;=:181822;3;h463;57828c9~w4db2909w0::6;3`<>;3=>0:4l5rs0`e>5<5s4>>;7?l8:?71d<6001v9;7:18182213;h463;5`82=n7>5b;;956}#:=o18;l4o57g>5<5<5<5<i6=44i5;5>5<:6=44i516>5<n6o6000;66g>8`83>>i6k10;66sm47294?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`727<72=0;6=u+25g932=O:<90e<66:188m4>f2900e<6m:188k4e?2900qo:94;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb546>5<3290;w)<;e;3`f>N5=:1b=5750;9j5=g=831b=5l50;9l5f>=831vn989:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm47:94?5=83:p(?:j:668L7343`;357>5;h3;e?6=3f;h47>5;|`72<<72=0;6=u+25g95fd<@;?87d?79;29?l7?i3:17d?7b;29?j7d03:17p};5e83>7}Y<28227p}n1783>7}Yi8<01989:0::?xu5m=0;6?uQ2d68910728227p};4883>6}Y<=30198n:0::?822n3;356s|48`94?5|V=3i70:9a;3;e>;3>;0:4l5rs3g6>5<5sW8n963;6382i6=4={_67f>;3>;0:445rs5;5>5<5sW>2:63;6582<<=z{=>:6=4<{_675>;3>=0:4l5247:95=g897>52z\770=:c99>00`=91k0q~?m9;296~;3=o0:o55247295=g52z?725<6k1168;;519;8yv2193:1>v3;6382g==:c99>033=91h0q~?me;296~;3><0:o55247495=g52z?723<6k1168;7519c8yv21?3:1>v3;6982g==:11f3f>=o7>5;h0f6?6=3`k::7>5;h0f0?6=3`>?57>5;h6:e?6=3`8n97>5;h67f?6=3`>2:7>5;h674?6=3`>897>5;c64=?6=;3:1N5=:1b=5750;9j5=g=831d=n650;9~f10b29086=4?{%07a?7d12B99>5f19;94?=n91k1<75`1b:94?=zj=n6:94H370?l7?13:17d?7a;29?l7?j3:17b?l8;29?xd3?:0;6>4?:1y'61c=?:1C>8=4i0::>5<5<<87>54;294~"5>2900e<6n:188m4>e2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj==<6=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi8:650;694?6|,;>n6o6000;66g>8`83>>o60k0;66a>c983>>{t{ti8<1<7{t<=31<7=t^56:?82013;3563;6d82<<=z{=3j6=4<{_6:e>;3?00:4l5246395=g52z\1a0=:<>;1=5l4}r67f?6=:rT?8o5246395=?2:7>52z\7=3=:<>91=574}r674?6=;rT?8=5246195=g<5==<6<6n;|q770<72;qU8>;4=554>4>>3ty?:i4?:3y>02?=9j20198j:0:b?xu6j00;6?u247g95f><5=7}:<>;1=n64=557>4>f3ty?;?4?:3y>025=9j20199;:0:a?xu6jl0;6?u246695f><5==>6<6n;|q2fc<72;q68:;51b:8911?282j7p};7783>7}:<>=1=n64=55;>4>e3twi85850;`93?76s-8?i7:76:m73g<722c9i?4?::kb53<722c9i94?::k70<<722c?544?::k1a0<722c?8o4?::k7=3<722c??k4?::k770<722h?484?:583>5}#:=o1;:5G2418m4>>2900e<6n:188m4>e2900c50z&10`<0?2B99>5f19;94?=n91k1<75f19`94?=h9j21<75rb55e>5<4290;w)<;e;50?M42;2c:444?::k21<7>t$36f>4ee3A8>?6g>8883>>o60h0;66g>8c83>>i6k10;66sm49394?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`7<6<72:0;6=u+25g931=O:<90e<66:188m4>f2900c50z&10`<6kk1C>8=4i0::>5<5<7>52z\1a7=:<1>1=574}rc22?6=:rTj=;5249395=?52z\1a1=:<1?1=5l4}r67=?6=:rT?845249795=?257>53z\7=<=:<1?1=5o4=55g>4>f3ty9i84?:3y]6`3<5==o6<6m;|q70g<72;qU89l4=55g>4>>3ty?5;4?:3y]0<0<5==m6<66;|q77c<72:qU8>h4=55e>4>f34>3?7?7a:p063=838pR9=:;<6;7?7?12wx8:m50;0x91>228i370:70;3;=>{t<>n1<74e?34>3<7?7a:p02c=838p199i:0a;?82?83;3n6s|1c;94?4|5=2;6628i370:74;3;e>{t<181<74e?34>387?7b:~f1?2290j644>2z&10`<31<1d85950;9je40=831b>h:50;9j01?=831b84650;9j6`3=831b89l50;9j0<0=831b8>k50;9j063=831i84:50;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17pl;8883>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`7f2900c50z&10`<0?2B99>5f19;94?=n91k1<75f19`94?=h9j21<75rb5:f>5<4290;w)<;e;50?M42;2c:444?::k21<7>t$36f>4ee3A8>?6g>8883>>o60h0;66g>8c83>>i6k10;66sm48294?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`7=7<72:0;6=u+25g931=O:<90e<66:188m4>f2900c;3:1?7>50z&10`<6k01C>8=4i0::>5<5<3;7>52z\7<2=:<091=n64}rc22?6=:rTj=;5248295=?52z\1a1=:<1k1=574}r67=?6=;rT?845248695=?<5=226<66;|q7==<72:qU8464=5;7>4>f34>3o7?7a:p6`3=838pR?k:;<6;g?7?j2wx89l50;0xZ12e34>3o7?79:p0<0=838pR979;<6;a?7?12wx8>k50;1xZ15b34>3i7?7a:?7=7<60h1v9=::181[24=27?5?4>889~w1>?2909w0:64;3`<>;3000:4l5rs0`:>5<5s4>357?l8:?78`9~w1>c2909w0:7e;3`<>;30o0:4o5rs0`f>5<5s4>3j7?l8:?7=5<60h1v83;h463;9282<<=z{=3:6=4={<6:6?7d027?5>4>8`9~yg2f>3:1:7=58z&10`<3i?1d8l?50;9je41=831bm50;9j0d1=831i8l;50;694?6|,;>n6:94H370?l7?13:17d?7a;29?l7?j3:17b?l8;29?xd3i:0;6>4?:1y'61c=?=1C>8=4i0::>5<5<j87>54;294~"5>2900e<6n:188m4>e2900cvP;a09>0d2=9j20q~o>7;296~Xf9>168l:519;8yvg693:1>vPn109>0d3=91h0q~o>9;296~Xf90168l;519;8yv2f83:1?vP;a19>0d3=91k019o<:0:b?xu3i>0;6?uQ4`5891g428227p};a383>7}:4>f3ty?m>4?:3y>0d5=9j2019o;:0:a?x{e5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~w1dd2909wS:mc:?7f`<6k11vl>i:181[g7n27?nh4>889~w1e12909wS:l6:?7fc<6001v9l7:181[2e027?nk4>8`9~w1dc2909w0:mf;3`<>;3jl0:4l5r}c6`1?6=<3819v*=4d87g0=h1<7=50;2x 72b2>>0D?;<;h3;=?6=3`;3m7>5;n3`4?:283>5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17p};c083>7}Y7}Y7}:1=n64=5a0>4>f3twi5n=50;797?1|,;>n64m<;n;aa?6=3`k:;7>5;h;a7?6=3`k;<7>5;h;:g?6=3k3h>7>53;294~"5=831vn4m?:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm9b394?5=83:p(?:j:0a:?M42;2c:444?::k27?79:p=g5=838pR4l<;<;`6?7?i2wxm=>50;0xZd67343h<7?79:p={t1j:1<74e?343h=7?7a:~f6>48{%07a??dn2e2ol4?::kb52<722c2nn4?::kb45<722c2m84?::`:g`<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj0io6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831v4mn:181[?di272oi4>c99~wd702909wSo>7:?:g`<6001v4ll:181[?ek272oh4>8`9~wd672909wSo?0:?:gf<6001v4o::181[?f=272on4>8`9~w;>km0:445rs8a`>5<5s43ho7?l8:?:ga<60h1vqo7k5;291?5=?r.98h46d49l=a6=831bm<950;9j=gd=831bm=>50;9j=d2=831i5i:50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl6d383>6<729q/>9k5759K6055<f2900cvP6d19>=a5=9j20q~o>7;296~Xf9>165i:519;8yv?ej3:1>vP6bc9>=a2=91k0q~o?0;296~Xf89165i<519;8yv?f<3:1>vP6a59>=a4=91k0q~7k1;296~;>l=0:o5529e195=?7>52z?:`7<6k1165i=519c8yxd>lk0;684<:6y'61c=1mh0c4j9:188md702900e4ln:188md672900e4o<:188f?6g>8883>>o60h0;66a>c983>>{e1m21<7=50;2x 72b2>>0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17p}6d783>7}Y1m<014j6:0a;?xuf9>0;6?uQa05897}Y1kk014jn:0:b?xuf890;6?uQa12897}Y1h9014j7:0:b?xu>l>0;6?u29ec95f><50n26<66;|q:`=<72;q65i651b:89282j7psm9d394?3=;3=p(?:j:8g2?j?ck3:17do>7;29?l?e13:17do?0;29?l?f:3:17o7j0;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb8ff>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{t1mi1<7{t1k31<7{t1h81<7{zj0o<6=4::284!43m33n;6a6e383>>of9>0;66g6b983>>of890;66g6a083>>d>m?0;6>4?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5=831vn4k::180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rs8g1>5<5sW3n>636e482g==z{h;<6=4={_c23>;>m?0:445rs8`;>5<5sW3i4636e782;>m=0:445rs8c2>5<5sW3j=636e582889~w;>m<0:4l5r}c;f`?6==391;v*=4d8:aa=h1l21<75fa0594?=n1k=1<75fa1294?=n1h:1<75m9da94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`:ad<72:0;6=u+25g931=O:<90e<66:188m4>f2900c50z&10`<6k01C>8=4i0::>5<5<52z\:a==:1lh1=n64}rc23?6=:rTj=:529da95=?52z\:f2=:1li1=5o4}rc34?6=:rTj<=529dc95=?52z\:e5=:1lk1=5o4}r;f=?6=:r72in4>c99>=`d=9130q~7ja;296~;>mh0:o5529d`95=g4?:480>2}#:=o15k=4o8gf>5<5<5<50;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17pl6f083>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|q:a`<72;qU5hk4=8d2>4e?3tyj=:4?:3y]e41<50l96<66;|q:f3<72;qU5o84=8d1>4>f3tyj<=4?:3y]e56<50l;6<66;|q:=c<72;qU54h4=8d3>4>f3ty2ik4?:3y>=c4=9j2014h>:0::?xu>n90;6?u29g295f><50l:6<6n;|a=c?=83<1?76t$36f><`>3f3m87>5;h0f6?6=3`k:;7>5;h;a1?6=3`k;<7>5;h;:a?6=3k3m47>53;294~"5=831vn4h9:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm9g594?2=83:p(?:j:0aa?M42;2c:444?::k250;0xZd67343m:7?79:p={t1o<1<74e?343m;7?7b:~f<`a290>6>48{%07a??an2e2jl4?::kb52<722c2n94?::kb45<722c25i4?::`:b`<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj0lo6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831v4hn:181[?ai272ji4>c99~wd702909wSo>7:?:b`<6001v4l;:181[?e<272jh4>8`9~wd672909wSo?0:?:bf<6001v47k:181[?>l272jn4>8`9~w<`e2909w07ie;3`<>;>nm0:445rs8d`>5<5s43mo7?l8:?:ba<60h1vqo7l9;292?5=0r.98h46c89l=f2=831b>h<50;9je41=831b5o?50;9je56=831b54o50;9a=f>=8391<7>t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd>k?0;6>4?:1y'61c=?=1C>8=4i0::>5<5<54;294~"5>2900e<6n:188m4>e2900cvP6c59>=f1=9j20q~vPn169>=f>=9130q~7m1;296~X>j8165n6519c8yvg783:1>vPn019>=f0=9130q~76a;296~X>1h165n8519c8yv?d=3:1>v36c982g==:1j=1=5o4}r;`2?6=:r72o;4>c99>=f1=91h0qpl67083>g1;29?lg613:17d7:9;29?lg6:3:17do?5;29?l0?l3:17o795;297?6=8r.98h484:J116=n9131<75f19c94?=h9j21<75rb845>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~f<0029086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e1?31<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=no4H370?l7?13:17d?7a;29?j7d03:17pl66c83>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f<0b290=6=4?{%07a?7dm2B99>5f19;94?=n91k1<75f19`94?=n91i1<75f19f94?=h9j21<75rb84e>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{e1?91<7=50;2x 72b2>>0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17p}66083>7}Y1?;0148;:0a;?xu>?>0;6>uQ96589<04282270794;3;=>{t:l21<7=t^3g;?8?1n3;356367182<<=z{h:m6=4={_c3b>;>>l0:445rs`33>5<5sWk:<6366d82;>>>0:445rs`3:>5<4sWk:56366482<<=:1?<1=574}r;6=?6=:;<;5=?7?1272:l4>889~w3>c2909wS87d:?:2a<6001v48=:1818?1=3;h463667828`9~w4df2909w0797;3`<>;>>l0:4o5rs84;>5<5s43=57?l8:?:2d<60h1v8b9~w<0d2909w079d;3`<>;>>l0:4i5rs0a3>5<5s43=i7?l8:?:2c<60h1v:1818?1n3;h463671824>8`9~w4e42909w0793;3`<>;>>=0:4l5r}c`50?6=0321jv*=4d8a21=hj<=1<75f2d094?=n:031<75fa1d94?=ni8>1<75fa0:94?=nj<:1<75fb9394?=ej?91<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qol:b;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rbc7g>5<4290;w)<;e;56?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{ej90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qol92;291?6=8r.98h4>cb9K6055<5<7>52z\1a7=:j?91=574}r0:=?6=;rT95452b7195=g<5k?26<6n;|qb4c<72;qUm=h4=c7:>4>>3tyj=94?:3y]e42<5k?m6<66;|qb5=<72:qUm<64=c7a>4>>34h>h7?79:pf06=83>pRo;?;<`6f?7?i27i9i4>8`9>f37=91k0q~l71;296~Xe0816n;?519;8yvd203:1>v3m6282g==:j?81=574}r`6=?6=:r7i944>c99>f34=91k0q~l:a;296~;e=k0:o552b4g95=?o7>52z?a1a<6k116n8k519c8yv7e13:1>v3m5d82g==:jc99>f34=91h0q~l90;296~;e>80:o552b7095=ec}#:=o1n:<4oc46>5<5<5<5<5<4290;w)<;e;50?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xde>00;6>4?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5=831vno8l:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rbc4g>5<4290;w)<;e;50?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xde?90;684?:1y'61c=9ji0D?;<;h3;=?6=3`;3m7>5;h3;f?6=3`;3o7>5;n3`h<4=c52>4>>3ty95l4?:2y]6:187[d2927i:44>8`9>f3d=91k01o8i:0:b?xue080;6?uQb9389g0a28227p}m6783>7}:j>;1=n64=c53>4>>3tyi::4?:3y>f31=9j201o9?:0:b?xue>10;6?u2b7;95f><5kb883>7}:j?i1=n64=c4g>4>f3ty:nl4?:3y>f3b=9j201o9?:0:a?xue>l0;6?u2b7d95f><5k=;6<6l;|af=6=832147ht$36f>g>73fh5;h0f6?6=3`82n7>5;hc3b?6=3`k:87>5;hc2>7>5;h`;5?6=3kh53;294~"5=831vno9::180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66smb6594?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`a3<<72:0;6=u+25g930=O:<90e<66:188m4>f2900c50z&10`<6k01C>8=4i0::>5<5<53;294~"5=831vno9k:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66smb6g94?3=83:p(?:j:0a`?M42;2c:444?::k2h<50;0xZ7c534h8`9~wd6a2909wSo?f:?a30<6001vl?;:181[g6<27i;o4>889~wd7?2908wSo>8:?a32<60016n:7519;8yvd2:3:18vPm539>f21=91k01o96:0:b?8d0l3;3m6s|b9394?4|Vk2:70l8d;3;=>{tj>>1<74e?34h28i370l8a;3;e>{t9k31<74e?34h9:18f>43=:;q/>9k58148k2c?2900e?k7:188m1d?2900e8?n:188m2bc2900e:m9:188m29086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e?li1<7=50;2x 72b2>>0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17pl8fc83>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f2`b29086=4?{%07a?7d12B99>5f19;94?=n91k1<75`1b:94?=zj>lm6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi4=?50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl70283>6<729q/>9k5759K6055<N5=:1b=5750;9j5=g=831d=n650;9~f2cf29086=4?{%07a?7d12B99>5f19;94?=n91k1<75`1b:94?=zj>oo6=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi;hk50;194?6|,;>n6o6000;66g>8`83>>i6k10;66sm7dd94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`4b4<72:0;6=u+25g931=O:<90e<66:188m4>f2900c50z&10`<6k01C>8=4i0::>5<5<53;294~"5=831vn:h::180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm7g494?2=83:p(?:j:0aa?M42;2c:444?::k2n6::4H370?l7?13:17d?7a;29?j7d03:17pl8f983>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|q4a=<72;qU;h64=6d;>4e?3ty9i54?:2y]6`><5>lm6<66;<5e3?7?12wx8o650;0xZ1d?34=mn7?79:p14g=839pR8?n;<5e=?7?127889~w2bc2909wS9kd:?4b<<60h1v:m9:185[1d>278`9>3cb=91k015><:0:b?81a93;3m638f482;0nm0:445rs`36>5<5sWk:9638eg82<<=z{h:j6=4<{_c3e>;?880:44527df95=?52z\:f6=:09;1=5o4}rc31?6==rTj<85281195=?<51:>6<66;<5e5?7?1278`9~w4>889~woi6=4={<5fg?7d0278`9~w4d>2909w09ia;3`<>;0nk0:4l5rs0`b>5<5s4=mn7?l8:?4b`<6001v:hl:18181al3;h4638fd828`9~w4da2909w09if;3`<>;0n10:445rs923>5<5s42;=7?l8:?4a`<6001v5>=:1818>7;3;h4638e`82<<=z{1:?6=4={<:31?7d0278`9~w4e72909w09ja;3`<>;0mm0:4l5rs0a2>5<5s4=nh7?l8:?4a`<60h1v889~w2`72909w09i1;3`<>;0n;0:445rs0a7>5<5s4=m>7?l8:?4b6<60h1vl?6=4={<5e1?7d0278c9~w4de2909w09i6;3`<>;0n>0:4l5rs0``>5<5s4=m;7?l8:?4b=<60h1vqo6;4;29a?722;8p(?:j:967?j>5>3:17da;29?l1b>3:17d9lf;29?l?>:3:17do>5;29?lg7i3:17d7mc;29?lg7=3:17d7n5;29?lg6:3:17d764;29?g>4?3:1?7>50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj18j6=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi4>650;194?6|,;>n6o6000;66g>8`83>>i6k10;66sm82;94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`;7g<72:0;6=u+25g931=O:<90e<66:188m4>f2900c4k3:1?7>50z&10`<6k01C>8=4i0::>5<5<53;294~"5=831vn5=i:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm85394?5=83:p(?:j:668L7343`;357>5;h3;e?6=3f;h47>5;|`;06<72:0;6=u+25g936=O:<90e<66:188m4>f2900c503:1?7>50z&10`<6k01C>8=4i0::>5<5<53;294~"5=831vn55<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rb90g>5<4290;w)<;e;50?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd?;90;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo6<3;297?6=8r.98h484:J116=n9131<75f19c94?=h9j21<75rb917>5<3290;w)<;e;3`f>N5=:1b=5750;9j5=g=831b=5l50;9l5f>=831vn5=::180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm82494?5=83:p(?:j:0a:?M42;2c:444?::k2h650;1xZ7c?3428h7?79:?;70<6001v9l7:181[2e0273?44>889~w07f2908wS;>a:?;72<600164?o519;8yv1b>3:1>vP8e79><61=91k0q~9lf;292~X0ko164?o519c89=5e282j706;1;3;e>;?:o0:4l5282195=g7>52z\:=7=:0:h1=574}rc21?6=:rTj=85283f95=?53z\b4d=:0:l1=574=90a>4>>3ty2nn4?:3y]=ge<519m6<6n;|qb40<724>>342??7?79:?;6c<600164>>519c8yv?f=3:1>vP6a49><15=91k0q~o>2;296~Xf9;164>?519;8yv?><3:1>vP6959><65=9130q~6=7;296~;?;>0:o55282:95=?52z?;6d<6k1164>6519c8yv7e13:1>v373982g==:0:31=5o4}r3ae?6=:r73?44>c99><6e=9130q~652z?;7f<6k1164>j519c8yv7en3:1>v373e82g==:0:<1=574}r:0a?6=:r73?k4>c99><7e=9130q~6;0;296~;?<80:o55283:95=?7>52z?;06<6k1164?6519c8yv7d83:1>v372982g==:0;h1=5o4}r3`5?6=:r73>o4>c99><7e=91k0q~?l2;296~;?:j0:o55283f95=g52z?;6a<6k1164>:519;8yv>5m3:1>v372g82g==:0::1=574}r3`0?6=:r73?=4>c99><67=91k0q~?l5;296~;?;80:o55282695=g7>52z?;76<6k1164>:519`8yv7ej3:1>v373582g==:0:?1=5o4}r3ag?6=:r73?84>c99><60=91k0qpl76283>`<6=389w)<;e;:57>i?<<0;66g=e983>>o3j10;66g:1`83>>o0m<0;66g8cd83>>o>1;0;66gn1483>>of8h0;66g6bc83>>of8<0;66g6a583>>of9;0;66g69583>>d?=?0;6>4?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5=831vn5;8:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rb97;>5<4290;w)<;e;50?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd?=k0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo6:e;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb943>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd?<>0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo6;b;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f=2b29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e0=l1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wi48>50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl75383>6<729q/>9k5759K6055<f2900e<6m:188k4e?2900qo6:4;297?6=8r.98h484:J116=n9131<75f19c94?=h9j21<75rb976>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~w=222909wS6;5:?;10<6k11v?k7:180[4b02739n4>889><02=9130q~:m8;296~X3j116486519;8yv36i3:1?vP:1`9><00=913015:6:0::?xu0m<0;6?uQ7d789=31282j7p}8cd83>3}Y?jo015:6:0:b?8>2i3;3m63761824>f3ty25?4?:3y]=<4<51?j6<66;|qb50<72;qUm<;4=96`>4>>3tyji7?7a:pe53=83?pRl>:;<:54?7?1273:?4>889><1c=913015:i:0:b?xu>i=0;6?uQ9`689=05282j7p}n1383>7}Yi88015;?:0::?xu>1=0;6?uQ98689=3528227p}74783>7}:0<<1=n64=974>4>>3ty3854?:3y><1?=9j2015;8:0:b?xu6j00;6?u284595f><51?36<6n;|q2fd<72;q648651b:89=3e28227p}75883>7}:04>f3ty:nh4?:3y><0d=9j2015;l:0:b?xu6jo0;6?u284a95f><51?>6<66;|q;1a<72;q648k51b:89=2e28227p}75g83>7}:0?:1=n64=964>4>>3ty3:<4?:3y><34=9j2015:8:0:b?xu6k90;6?u285595f><51>j6<6n;|q2g4<72;q649o51b:89=2e282j7p}>c383>7}:0=h1=n64=96`>4>f3ty:o>4?:3y><1e=9j2015;<:0::?xu?<51>m6<66;|q2g1<72;q649h51b:89=37282j7p}>c483>7}:0<:1=n64=970>4>f3ty39<4?:3y><04=9j2015;<:0:a?xu6jk0;6?u284195f><51??6<6n;|q2ff<72;q648:51b:89=32282j7psm89094?c=9<09>v*=4d8;<7=h0?>1<75f2d:94?=n1<75f7bf94?=n1081<75fa0794?=ni9k1<75f9cc94?=ni9?1<75f9`194?=ni881<75f98694?=e0>?1<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo686;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f=1>29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e0>k1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wi4:l50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl77e83>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f=>629086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e0?<1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wi4;750;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17pl76`83>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`;2g<72:0;6=u+25g936=O:<90e<66:188m4>f2900c1l3:1?7>50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj1=831vn58i:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm86394?5=83:p(?:j:668L7343`;357>5;h3;e?6=3f;h47>5;|`;37<72=0;6=u+25g95fd<@;?87d?79;29?l7?i3:17d?7b;29?j7d03:17pl77283>6<729q/>9k5759K6055<f2900c1<3:1>vP7659><22=9j20q~7}YuQ50c89=12282270698;3;=>{t?l>1<70=3;3m6s|7bf94?0|V>io70698;3;e>;??00:4l5286d95=g<518`9~wd62290>wSo?5:?;3c<6001645?519;89=0c28227069e;3;e>{t1h91<7?93;3m6s|a0094?4|Vh;97069f;3;=>{t10>1<7093;356s|87794?4|51=>6{t9k31<74e?342<;7?7a:p5gg=838p1598:0a;?8>0i3;356s|86:94?4|51=26{t9kl1<74e?342<87?79:p<2e=838p159k:0a;?8>1i3;356s|86g94?4|51=m650;0x9=>628i370696;3;e>{t9j:1<74e?342=57?7a:p5f7=838p1586:0a;?8>1i3;3m6s|1b094?4|51{t0?i1<74e?342=i7?79:p5f2=838p158j:0a;?8>1n3;3m6s|1b794?4|5150;0x9=1628i370682;3;f>{t9kh1<74e?3420<3;3m6srb9c2>5?u+25g95<5<o86=44i6a`>5<5<5<6=44i8c1>5<5<n6::4H370?l7?13:17d?7a;29?j7d03:17pl79483>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`;=3<72:0;6=u+25g936=O:<90e<66:188m4>f2900c>03:1?7>50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj1326=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn57n:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm88a94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`;=`<72:0;6=u+25g931=O:<90e<66:188m4>f2900cf83:1?7>50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj12>6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn567:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm89;94?5=83:p(?:j:0a:?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd?0j0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5>2900e<6n:188k4e?2900qo67e;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb9;3>5<4290;w)<;e;57?M42;2c:444?::k21<7>t$36f>4ee3A8>?6g>8883>>o60h0;66g>8c83>>i6k10;66sm88094?5=83:p(?:j:668L7343`;357>5;h3;e?6=3f;h47>5;|`;=6<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xu?0:0;6?uQ89189=?428i37p}=e983>6}Y:l20157n:0::?8>>:3;356s|4c:94?4|V=h370666;3;=>{t=8k1<7=t^43b?8>><3;356378682<<=z{>o86=4={_5f7>;?1=0:4l5rs6a`>5<1sW=ho63786824>f3423o7?7a:?;=5<60h1v47=:181[?>:273554>889~wd722909wSo>5:?;n:180[g7i2735n4>889><=>=9130q~7m9;296~X>j01644m519c8yvg7=3:19vPn049><?k3;356378e82;?i90:4l5rs`31>5<5sWk:>6378d82<<=z{03?6=4={_;:0>;?190:445rs9:7>5<5s42287?l8:?;=0<6001v569:1818>??3;h463794828`9~w4df2909w0666;3`<>;?100:445rs9;4>5<5s42247?l8:?;=<<60h1v>13;h46379`824>889~w=?e2909w066c;3`<>;?000:445rs9;g>5<5s422i7?l8:?;<0<6001v57i:1818>f83;h463784828`9~w4e62909w0678;3`<>;?000:4l5rs0a1>5<5s42357?l8:?;?i3;h46379082<<=z{12i6=4={<:;g?7d02734i4>889~w4e32909w067d;3`<>;?0l0:4l5rs0a6>5<5s423i7?l8:?;=4<60h1v56i:1818>>83;h463790828`9~w4dd2909w0662;3`<>;?1:0:4l5r}c:`4?6=m3;>6?=e73f2j>7>5;h0fi47>5;h72e?6=3`=n>7>5;h5`f?6=3`32>7>5;hc21?6=3`k;m7>5;h;a5;h;b5?6=3`k:>7>5;h;:0?6=3k2i?7>53;294~"5=831vn5o9:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm8c694?5=83:p(?:j:0a:?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd?j>0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5>2900e<6n:188k4e?2900qo6m9;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb9`a>5<4290;w)<;e;50?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd?jo0;6>4?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5>2900e<6n:188k4e?2900qo6n7;297?6=8r.98h484:J116=n9131<75f19c94?=h9j21<75rb9c;>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~f=g>29086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e0hh1<7=50;2x 72b2>>0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17pl7ae83>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f=d7290?6=4?{%07a?7dj2B99>5f19;94?=n91k1<75f19`94?=h9j21<75rb9`2>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{t0h81<7e:3;h46s|2d:94?5|V;o3706m9;3;=>;?j80:445rs5`;>5<5sW>i4637b482<<=z{<;j6=4<{_72e>;?j:0:44528`495=?7>52z\4a7=:0k91=5o4}r5`f?6=>rT8`9>1;164o9519;8yvg6=3:1>vPn149>7}Y1k2015lm:0:b?xuf8<0;68uQa1789=dc2822706mf;3;=>;?ik0:44528`a95=g52z\:e4=:0kl1=5o4}rc26?6=:rTj=?528`f95=?52z\:=1=:0hl1=574}r:b7?6=:r73n>4>c99>52z?;f1<6k1164o;519c8yv7ei3:1>v37b482g==:0k21=574}r:a2?6=:r73n:4>c99>=91k0q~?me;296~;?j10:o5528c;95=g52z?;f<<6k1164o<519;8yv>ei3:1>v37bc82g==:0h21=574}r:ag?6=:r73ni4>c99>52z?;e1<6k1164l9519c8yv7d93:1>v37a682g==:0h21=5o4}r3`6?6=:r73m54>c99>52z?;eg<6k1164lm519;8yv7d<3:1>v37ab82g==:0hn1=5o4}r3`1?6=:r73mi4>c99>52z?;f5<6k1164o?519c8yv7ek3:1>v37b082g==:0k81=5o4}|`;`c<72l0:97<={%07a?>cn2e3o<4?::k1a=<722c?n54?::k65d<722c5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo6l5;297?6=8r.98h484:J116=n9131<75f19c94?=h9j21<75rb9f0>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~f=b329086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e0m<1<7=50;2x 72b2>>0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17pl7d983>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f=bd29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e0mo1<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`4?:283>5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17pl7c783>6<729q/>9k5759K6055<f2900cd03:1?7>50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj1ij6=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi4nl50;194?6|,;>n6o6000;66g>8`83>>i6k10;66sm8ba94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`;g`<72:0;6=u+25g931=O:<90e<66:188m4>f2900cdn3:187>50z&10`<6kk1C>8=4i0::>5<5<N5=:1b=5750;9j5=g=831d=n650;9~f=b629086=4?{%07a?7d12B99>5f19;94?=n91k1<75`1b:94?=z{1i:6=4={_:`5>;?l80:o55rs3g;>5<4sW8n4637d982<<=:0m:1=574}r6a53z\65d=:0m81=574=9a6>4>>3ty4>f342o:7?7a:?;`f<60h164no519c89=eb282j7p}69383>7}Y108015j9:0::?xuf9<0;6?uQa0789=e?28227p}n0`83>6}Yi9k015jn:0::?8>d>3;356s|9c594?4|V0h<706ka;3;e>{ti9?1<7;t^`26?8>ck3;35637dd82<<=:0jk1=574=9aa>4>f3ty2m=4?:3y]=d6<51nn6<6n;|qb57<72;qUm<<4=9a`>4>>3ty2594?:3y]=<2<51in6<66;|q;g7<72;q64i<51b:89=b428227p}7c583>7}:0j?1=n64=9f0>4>f3ty:n44?:3y><51n<6<66;|q;`0<72;q64i851b:89=b0282j7p}>bd83>7}:0m=1=n64=9f;>4>f3ty:nk4?:3y>=9j2015j>:0::?xu?l00;6?u28ec95f><51i<6<66;|q;`g<72;q64im51b:89=e428227p}7de83>7}:0mo1=n64=9a0>4>f3ty:o=4?:3y><51i<6<6n;|q2g7<72;q64n951b:89=e?282j7p}>c283>7}:0j21=n64=9ae>4>>3ty3o44?:3y><51ih6<6n;|q2g0<72;q64nm51b:89=ea282j7p}7ce83>7}:0jo1=n64=9ae>4>e3ty:no4?:3y><51n:6<6n;|a50;9j6`>=831b8o650;9j14g=831b;h>50;9j3f?=831b54<50;9je43=831bm=o50;9j=g0=831bm=;50;9j=<`=831bm<<50;9j=<2=831i4k?50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl7e583>6<729q/>9k5759K6055<f2900ca;3:1?7>50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj1l>6=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi4k850;194?6|,;>n6o6000;66g>8`83>>i6k10;66sm8g594?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`;b<<72:0;6=u+25g936=O:<90e<66:188m4>f2900caj3:1?7>50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj1lo6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi4h<50;194?6|,;>n6o6000;66g>8`83>>i6k10;66sm8d794?5=83:p(?:j:668L7343`;357>5;h3;e?6=3f;h47>5;|`;a3<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd?m>0;6>4?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5=831vn5kn:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rb9ga>5<4290;w)<;e;50?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd?ml0;694?:1y'61c=9jh0D?;<;h3;=?6=3`;3m7>5;h3;f?6=3f;h47>5;|`;ac<72:0;6=u+25g931=O:<90e<66:188m4>f2900ca83:1?7>50z&10`<6k01C>8=4i0::>5<5<52z\;a5=:0o:1=n64}r0f4>>3ty>=l4?:2y]14g<51l:6<66;<:f0?7?12wx;h>50;0xZ2c7342m=7?7a:p3f?=838`9>bl3;3m6s|98094?4|V039706i5;3;=>{ti8?1<7b?3;356s|a1c94?5|Vh:j706i9;3;=>;?m<0:445rs8`5>5<5sW3i:637f8826=4:{_c31>;?nk0:44528gf95=?<51o26<66;<:fe?7?i2wx54h50;0xZ:0a;?8>a:3;356s|8d194?4|51o?6{t9kk1<74e?342m:7?79:pa>3;3m6s|1cg94?4|51l=6{t0o21<74e?342n:7?79:pb:3;356s|8ga94?4|51lo650;0x9=c528i3706j5;3;e>{t9j;1<74e?342n:7?7a:p5f4=838p15k9:0a;?8>b?3;3m6s|1b194?4|51o<628i3706ja;3;=>{t9j>1<74e?342nn7?7a:p5f3=838p15km:0a;?8>bm3;3m6s|8da94?4|51oo6{t9ki1<74e?342m<7?7a:~f<7c290n6<;523y'61c=18n0c5hi:188m7c?2900e9l7:188m07f2900e:ji:188m2e?2900e47=:188md722900el>n:188m::188m5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm91194?5=83:p(?:j:668L7343`;357>5;h3;e?6=3f;h47>5;|`:54<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd>9;0;6>4?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5=831vn4?::180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rb835>5<4290;w)<;e;50?M42;2c:444?::k2=8391<7>t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd>9h0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5=831vn4>>:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rb827>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{e19<1<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo7?9;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f<6d29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e19n1<7:50;2x 72b28ii7E<:3:k2<<<722c:4l4?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd>8o0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`h64=835>4>>343;i7?79:p0g>=838pR9l7;<;26?7?12wx98`9~w2e?290=wS9l8:?:46<60h165<:519c89<7f282j707?8;3;e>;>8j0:4l5rs8;1>5<5sW32>6361582<<=z{h;>6=4={_c21>;>8?0:445rs`2b>5<4sWk;m6361982<<=:19>1=574}r;a1?6=:rT2n85290:95=g55z\b40=:18k1=574=83`>4>>343;47?79:?:4<<60h1v47j:181[?>m272=n4>8`9~wd752909wSo>2:?:4d<6001v47;:181[?><272889~w<672909w07>0;3`<>;>980:445rs821>5<5s43;?7?l8:?:54<60h1v889~w<742909w07>4;3`<>;>9<0:4l5rs0`f>5<5s43:97?l8:?:53<60h1v3;h46360g82<<=z{0;<6=4={<;2889~w<7>2909w07>a;3`<>;>880:445rs83a>5<5s43:o7?l8:?:44<60h1v8`9~w4e52909w07?5;3`<>;>8?0:4l5rs0a0>5<5s43;:7?l8:?:4a<6001v4>8:1818?703;h46360882<<=z{8i?6=4={<;3=?7d02728`9~w4e22909w07?a;3`<>;>8m0:4l5rs82a>5<5s43;o7?l8:?:4a<60k1v8`9~yg?4k3:1i7?::30x 72b209h7b7>e;29?l4b03:17d:m8;29?l36i3:17d9ke;29?l1d?3:17d762;29?lg6=3:17do?a;29?l?e<3:17do?5;29?l?>l3:17do>2;29?l?><3:17o7=f;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb801>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{e1:;1<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`4?:283>5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo7<4;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f<5029086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e1:31<7=50;2x 72b2>>0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo7=0;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f<4329086=4?{%07a?7d12B99>5f19;94?=n91k1<75`1b:94?=zj08>6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi5?950;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17pl62983>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`:6<<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj08h6=4;:183!43m3;hn6F=529j5=?=831b=5o50;9j5=d=831d=n650;9~f<4c29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e1;o1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wx5=839pR?k7;<;01?7?1272>i4>889~w1d?2909wS:m8:?:74<6001v8?n:180[36i272>k4>889>=74=9130q~9ke;296~X0ll165?h519c8yv1d?3:1:vP8c69>=74=91k014=<:0:b?8?413;3m636268252z\b50=:1;?1=574}rc3e?6=;rTj4>f3tyj<84?:4y]e53<50926<66;<;0f?7?1272>:4>889>=7>=91k0q~76d;296~X>1m165>l519c8yvg6:3:1>vPn139>=7?=9130q~764;296~X>1=165?l519;8yv?6n3:1>v362g82g==:1::1=574}r;15?6=:r72>?4>c99>=66=91k0q~?m9;296~;>;90:o55292395=g52z?:74<6k1165>:519;8yv?4:3:1>v363282g==:1:>1=5o4}r3aa?6=:r72?94>c99>=63=91k0q~?mf;296~;>;<0:o55293g95=?52z?:72<6k1165?:519;8yv?403:1>v363882g==:1;:1=574}r;0e?6=:r72?o4>c99>=76=91k0q~?l0;296~;>:90:o55293195=g52z?:66<6k1165?:519c8yv7d:3:1>v362582g==:1;?1=5o4}r3`7?6=:r72>84>c99>=7e=9130q~7=6;296~;>:>0:o55293:95=?52z?:6=<6k1165?7519c8yv7d=3:1>v362882g==:1;i1=5o4}r;1e?6=:r72>o4>c99>=7e=91h0q~?mb;296~;>:j0:o55293f95=g52z?:6a<6k1165?k519c8yxd?:<0;6h4>5;01!43m32996a70683>>o5m10;66g;b983>>o29h0;66g8dc83>>o0k=0;66g69383>>of9<0;66gn0`83>>o>j80;66gn0483>>o>1h0;66gn1383>>o>1=0;66l71983>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f=7>29086=4?{%07a?7d12B99>5f19;94?=n91k1<75`1b:94?=zj1;j6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi4n6::4H370?l7?13:17d?7a;29?j7d03:17pl71e83>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`;5`<72:0;6=u+25g936=O:<90e<66:188m4>f2900c583:1?7>50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj1896=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi4?:50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl70883>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`;4f<72:0;6=u+25g931=O:<90e<66:188m4>f2900c7l3:1?7>50z&10`<6k01C>8=4i0::>5<5<53;294~"5=831vn5??:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm80394?5=83:p(?:j:0a:?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd?9=0;6>4?:1y'61c=?=1C>8=4i0::>5<5<54;294~"5>2900e<6n:188m4>e2900c6>3:1?7>50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj1;<6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831v5>8:181[>7?273=:4>c99~w7c?2908wSvP;b99><4g=9130q~;>a;297~X29h164<6519;89=6e28227p}8dc83>7}Y?mh015?7:0:b?xu0k=0;6;uQ7b689=6e282j706>c;3;e>;?:;0:4l5280295=g<51;?6<6n;|q:=7<72;qU54<4=93`>4>>3tyj=84?:3y]e43<51:n6<66;|qb4d<72:qUm=o4=903>4>>342;o7?79:p=g7=838pR4l>;<:14?7?i2wxm=;50;7xZd623429>7?79:?;61<600164<>519;89=76282j7p}69`83>7}Y10k015<;:0:b?xuf9;0;6?uQa0089=7528227p}69583>7}Y10>015?;:0::?xu?810;6?u280:95f><51;26<66;|q;4d<72;q64=l51b:89=7>282j7p}>b883>7}:0831=n64=93b>4>f3ty:nl4?:3y><4g=9j2015?k:0::?xu?9k0;6?u280a95f><51;o6<6n;|q2f`<72;q64bg83>7}:08o1=n64=934>4>>3ty3=k4?:3y><76=9j2015>k:0::?xu?:80;6?u283095f><51:26<66;|q;66<72;q64?:51b:89=6>282j7p}>c183>7}:0931=n64=92`>4>f3ty:o<4?:3y><5e=9j2015>k:0:b?xu6k;0;6?u281f95f><51:n6<6n;|q2g6<72;q64=k51b:89=7228227p}70g83>7}:08:1=n64=932>4>>3ty:o94?:3y><47=9j2015?=:0:b?xu6k<0;6?u280095f><51;>6<6n;|q;56<72;q64<:51b:89=72282i7p}>bc83>7}:08?1=n64=935>4>f3ty:nn4?:3y><40=9j2015?8:0:b?x{e>lk1<7o5d;35!43m3>o1jl0;66g;b783>>o1i00;66g;b983>>o29h0;66g8ad83>>o>1;0;66g67683>>o3k?0;66l9db83>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f3ba29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e>l:1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wi:h?50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl9e283>6<729q/>9k5759K6055<f2900e<6m:188k4e?2900qo8j5;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb7g4>5<4290;w)<;e;50?M42;2c:444?::k21<7>t$36f>2><@;?87d?79;29?l7?i3:17d?7b;29?j7d03:17pl9d983>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`5`d<72:0;6=u+25g931=O:<90e<66:188m4>f2900c50z&10`<6k01C>8=4i0::>5<5<52z\5`3=:>mh1=n64}r4aa?6=;rT=nh526d795=?<5?nj6<66;|q7f3<72;qU8o84=7f`>4>>3ty=m44?:5y]2d?<5?nh6<6n;<4g`?7?i27=i44>8c9~w1d?2909wS:m8:?5a4<6001v8?n:180[36i27=hi4>889>2a`=9130q~9ne;290~X0il16:ih519c893c4282j708j7;3;e>{t1081<7;1m00:445rs5a5>5<5sW>h:639e882889~w3b>2909w08kd;3`<>;1m90:445rs7ff>5<5s48`9~w3c52909w08j3;3`<>;1m=0:4o5rs0`f>5<5s4889~w3c?2909w08j9;3`<>;1l10:4l5rs0a3>5<5s4:18180ci3;h4639dc825a;f953}#:=o1;l94o6;0>5<5<5<5<5<5<4290;w)<;e;50?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd01j0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5>2900e<6n:188k4e?2900qo96e;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb6c3>5<4290;w)<;e;57?M42;2c:444?::k21<7>t$36f>4ee3A8>?6g>8883>>o60h0;66g>8c83>>i6k10;66sm7`094?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`4e1<72:0;6=u+25g936=O:<90e<66:188m4>f2900c3:187>50z&10`<002B99>5f19;94?=n91k1<75f19`94?=h9j21<75rb6;6>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~f2?029086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e?021<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wx;4=50;0xZ2?434=247?l8:p2gc=839pR;lj;<5b6?7?127<5:4>889~w1d12909wS:m6:?4=<<6001v;l=:187[0e:27<544>8`9>36}Y=8k01:7n:0::?81>k3;356s|7c594?2|V>h<7096c;3;e>;0i90:4l527`695=g7>52z\:=7=:?h:1=574}r;43?6=;rT2;:527`695=?<5>k=6<66;|q7g3<72;qU8n84=6c5>4>f3ty<594?:3y>3:0::?xu01?0;6?u278c95f><5>3o6<66;|q4=g<72;q6;4m51b:892?c282j7p}>b883>7}:?0n1=n64=6;f>4>f3ty:nl4?:3y>3:0:b?xu01o0;6?u27`295f><5>k:6<6m;|q2f`<72;q6;l?51b:892g5282j7p}>bg83>7}:?h81=n64=6;;>4>>3ty4?:3y>3d2=9j201:7::0::?xu0i<0;6?u27`495f><5>3>6<6n;|q2g5<72;q6;4;51b:892?0282j7p}>c083>7}:?0=1=n64=6;;>4>f3twi;4<50;c9`?71s-8?i7962:m43`<722c=nh4?::k7f3<722c=n<4?::k7f=<722c>=l4?::k4f3<722c25?4?::k:32<722c?o;4?::`4<1<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj>2<6=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi;5650;194?6|,;>n6o6000;66g>8`83>>i6k10;66sm79;94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`4f2900c50z&10`<6kk1C>8=4i0::>5<5<N5=:1b=5750;9j5=g=831d=n650;9~f2>a29086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e?0;1<7:50;2x 72b2>20D?;<;h3;=?6=3`;3m7>5;h3;f?6=3f;h47>5;|`4<5<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd00;0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5>2900e<6n:188k4e?2900q~98e;296~X0?l16;5=51b:8yv0em3:1?vP9bd9>3=b=91301:6=:0::?xu3j?0;6?uQ4c4892>328227p}9b083>1}Y>k;01:6;:0:b?81?=3;3m6389082;0000:445rs43b>5<4sW?:m6388482<<=:?1=1=574}r5a2?6=2i6<6n;<5;b?7?i2wx54<50;0xZ889~w1e12909wS:l6:?4=4<60h1v:9i:18181?<3;h46388b82<<=z{>2:6=4={<5;1?7d027<454>889~w2>12909w0977;3`<>;0010:4l5rs0`:>5<5s4=347?l8:?4<<<60h1v2j6=4={<5;f?7d027<4n4>8c9~w4db2909w097c;3`<>;00m0:4l5rs0`e>5<5s4=3h7?l8:?4<6<6001v:6j:18181?n3;h46388182<<=z{>3;6=4={<5:5?7d027<4=4>8`9~w4e72909w0970;3`<>;00;0:4l5rs0a2>5<5s4=3>7?l8:?4<6<60h1vqo98d;29e?b=9?q/>9k576f8k20>2900e;lj:188m1d12900e;l?:188m1d?2900e8?n:188m2d22900e47=:188m<102900e9m9:188f20a29086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e?>:1<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo983;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f21129086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e?>=1<7:50;2x 72b28ii7E<:3:k2<<<722c:4l4?::k2=8391<7>t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd0?h0;6>4?:1y'61c=?:1C>8=4i0::>5<5<54;294~"55f19;94?=n91k1<75`1b:94?=zj>n6o6000;66g>8`83>>i6k10;66s|77;94?4|V><27099e;3`<>{t>ko1<7=t^7`f?81003;356386e82<<=z{=h=6=4={_6a2>;0>o0:445rs7`3>5<3sW:1=5o4=65`>4>e3ty?n54?:3y]0g><5>=?6<66;|q65d<72:qU94>>34=<>7?79:p3g3=83>pR:l:;<546?7?i27<;;4>8`9>32g=91k0q~762;296~X>1;16;:8519;8yv?0?3:1?vP6769>32g=91301:9l:0::?xu3k?0;6?uQ4b48921d282j7p}86`83>7}:??l1=n64=654>4>>3ty<:n4?:3y>326=9j201:9<:0::?xu0?80;6?u276095f><5>=86<6n;|q2f<<72;q6;:=51b:89213282j7p}>b`83>7}:?>>1=n64=654>4>f3ty<;84?:3y>320=9j201:98:0:a?xu6jl0;6?u276595f><5>=36<6n;|q2fc<72;q6;:651b:8920b28227p}87883>7}:?>k1=n64=64a>4>>3ty<;o4?:3y>32e=9j201:8m:0:b?xu6k90;6?u277`95f><5>i0==0;66g9bd83>>o3j?0;66g9ag83>>o3j10;66g:1`83>>o0j=0;66g69383>>o>?>0;66g;c783>>d0=h0;6>4?:1y'61c=?:1C>8=4i0::>5<5<n7>53;294~"5=831vn:;k:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm74g94?5=83:p(?:j:0a:?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd0>80;6>4?:1y'61c=?=1C>8=4i0::>5<5<7>54;294~"5>2900e<6n:188m4>e2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj><>6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi;;950;694?6|,;>n6:64H370?l7?13:17d?7a;29?l7?j3:17b?l8;29?xd0=?0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo9:9;297?6=8r.98h4>c89K6055<53z\5f`=:??91=574=67;>4>>3ty?n;4?:3y]0g0<5>?j6<66;|q5ec<72=qU:lh4=67b>4>f34=>n7?7a:?422<60k1v9l7:181[2e027<9k4>889~w07f2908wS;>a:?41g<60016;8j519;8yv1e<3:18vP8b59>30b=91k01:8>:0:b?811=3;3m6s|98094?4|V03970991;3;=>{t1>=1<7=t^854?811=3;356386682<<=z{=i=6=4={_6`2>;0>>0:4l5rs676>5<5s4=>m7?l8:?427<6001v:;8:181812j3;h46385d82<<=z{>?h6=4={<56`?7d027<9h4>8`9~w4d>2909w09:e;3`<>;0=o0:4l5rs0`b>5<5s4=>j7?l8:?427<60h1v:8?:18181193;h463863824>8`9~w4da2909w0993;3`<>;0=00:445rs647>5<5s4==97?l8:?413<6001v:89:181811?3;h463857828`9~w4e62909w09:8;3`<>;0=00:4l5r}c567?6=i3n1=;u+25g93059m6=44i7`f>5<5<5<h86=44i8;1>5<5<>>6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi;9850;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl84983>6<729q/>9k5759K6055<f2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj>>h6=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi;9j50;694?6|,;>n6o6000;66g>8`83>>o60k0;66a>c983>>{e?=o1<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo9:2;290?6=8r.98h488:J116=n9131<75f19c94?=n91h1<75`1b:94?=zj>>:6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn::<:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm75694?5=83:p(?:j:0a:?M42;2c:444?::k227<884>889~w3gb290?wS8ne:?400<60h16;98519c89235282i7p};b983>7}YuQ50c892212822709;8;3;=>{t?k91<7:t^6`0?81303;3m6384b8253z\:32=:?<:1=574=671>4>>3ty?o;4?:3y]0f0<5>?96<6n;|q405<72;q6;9;51b:8922c28227p}84383>7}:?=<1=n64=66:>4>>3ty<8:4?:3y>31>=9j201::6:0:b?xu6j00;6?u275;95f><5>>j6<6n;|q2fd<72;q6;9o51b:8922c282j7p}84c83>7}:?=i1=n64=66g>4>e3ty:nh4?:3y>31b=9j201::j:0:b?xu6jo0;6?u275g95f><5>>?6<66;|q40c<72;q6;8>51b:8922628227p}85083>7}:?<81=n64=662>4>f3ty:o=4?:3y>317=9j201::<:0:b?xu6k80;6?u275195f><5>>?6<6n;|a36c=83k1h7?9{%07a?14m2e<>l4?::k5f`<722c?n;4?::k5ea<722c?n54?::k65d<722c5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo9<1;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb610>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{e?:?1<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo9<8;290?6=8r.98h4>cc9K6055<5<53;294~"5=831vn:=m:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm72f94?2=83:p(?:j:6:8L7343`;357>5;h3;e?6=3`;3n7>5;n3`n4?:283>5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17pl82d83>6<729q/>9k5759K6055<f2900cvP82`9>37`=9j20q~8me;297~X1jl16;>7519;8924b28227p};b783>7}Y;0;m0:4o5rs5`;>5<5sW>i46383482<<=z{<;j6=4<{_72e>;0;80:445272195=?7>54z\4f7=:?:91=5o4=614>4>f34=8n7?7a:p=<4=838pR47=;<503?7?12wx5:950;1xZ<1034=8n7?79:?47a<6001v9m9:181[2d>278`9~w24e2909w09<0;3`<>;0;10:445rs60g>5<5s4=8=7?l8:?471<6001v:==:181814;3;h463835828`9~w4df2909w09<5;3`<>;0;10:4l5rs615>5<5s4=8;7?l8:?47=<60k1vk4>889~w25f2909w09;0:j0:445rs61`>5<5s4=8h7?l8:?46f<60h1vk4>8`9~yg1513:1m7j517y'61c=?;30c:?::188m3db2900e9l9:188m3gd2900e9l7:188m07f2900e:l>:188m5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm70a94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`45`<72:0;6=u+25g931=O:<90e<66:188m4>f2900c50z&10`<6k01C>8=4i0::>5<5<53;294~"5=831vn:<=:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm73194?2=83:p(?:j:0aa?M42;2c:444?::k2n6:=4H370?l7?13:17d?7a;29?j7d03:17pl82783>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831b=5l50;9l5f>=831vn:?8:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rb63:>5<4290;w)<;e;57?M42;2c:444?::k2t$36f>4e>3A8>?6g>8883>>o60h0;66a>c983>>{t?8?1<7;0900:445rs5`5>5<5sW>i:6381c82<<=z{?kh6=4;{_4bg>;09k0:4l5270a95=g<5>836<6m;|q7f=<72;qU8o64=603>4>>3ty>=l4?:2y]14g<5>;h6<66;<52a?7?12wx;o?50;6xZ2d634=:i7?7a:?467<60h16;?8519c8yv?>:3:1>vP6939>374=9130q~787;297~X>?>16;?8519;8924?28227p};c783>7}Y<5>886<66;|q45=<72;q6;7}:?8o1=n64=63e>4>f3ty:n44?:3y>34`=9j201:<5>886<6n;|q464<72;q6;?<51b:89244282i7p}>bd83>7}:?;91=n64=607>4>f3ty:nk4?:3y>372=9j201:?n:0::?xu0:<0;6?u273495f><5>;<6<66;|q462<72;q6;?651b:89270282j7p}>c183>7}:?8=1=n64=63:>4>f3ty:o<4?:3y>34?=9j201:?n:0:b?x{e?8>1<7o5d;35!43m3=:86a80183>>o1jl0;66g;b783>>o1ik0;66g;b983>>o29h0;66g8b183>>o>1;0;66g67683>>o3k?0;66l80783>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f26>29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e?9k1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wi;=l50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl80e83>6<729q/>9k5759K6055<f2900e<6m:188k4e?2900qo9?f;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb632>5<4290;w)<;e;50?M42;2c:444?::k21<7>t$36f>2><@;?87d?79;29?l7?i3:17d?7b;29?j7d03:17pl80383>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`441<72:0;6=u+25g931=O:<90e<66:188m4>f2900c50z&10`<6k01C>8=4i0::>5<5<52z\445=:?9?1=n64}r4aa?6=;rT=nh5271d95=?<5>:?6<66;|q7f3<72;qU8o84=625>4>>3ty=mo4?:5y]2dd<5>:=6<6n;<533?7?i27<=>4>8c9~w1d?2909wS:m8:?44g<6001v8?n:180[36i27<<:4>889>35?=9130q~9m0;290~X0j916;=7519c8926c282j709>1;3;e>{t1081<71;3;=>;09:0:445rs5a5>5<5sW>h:6381282::6=4={<532?7d027<

889~w2642909w09?7;3`<>;08h0:445rs62;>5<5s4=;57?l8:?44d<60h1v8`9~w26d2909w09?d;3`<>;08l0:4o5rs0`f>5<5s4=;i7?l8:?44c<60h1v;;6=4={<525?7d027<889~w2752909w09>3;3`<>;08;0:4l5rs0a3>5<5s4=;>7?l8:?441<60h1v:181817<3;h463804825a;f953}#:=o1:kh4o7ga>5<5<5<5<5<5<4290;w)<;e;50?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd1n=0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5>2900e<6n:188k4e?2900qo8i6;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb7d;>5<4290;w)<;e;57?M42;2c:444?::k21<7>t$36f>4ee3A8>?6g>8883>>o60h0;66g>8c83>>i6k10;66sm6gc94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`5bf<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<002B99>5f19;94?=n91k1<75f19`94?=h9j21<75rb7gg>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~f3ca29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e>o:1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wx:hl50;0xZ3ce34889~w1d12909wS:m6:?5b4<6001v;on:187[0fi27=j<4>8`9>2c4=91k01;hj:0:a?xu3j10;6?uQ4c:893`128227p}:1`83>6}Y=8k01;h=:0::?80a<3;356s|7`d94?2|V>km708i4;3;e>;1n10:4l526ga95=g7>52z\:=7=:>o21=574}r;43?6=;rT2;:526ga95=?<5?ln6<66;|q7g3<72;qU8n84=7df>4>f3ty=in4?:3y>2c7=9j201;h6:0::?xu1ml0;6?u26g095f><5?l>6<66;|q5b6<72;q6:k:51b:893`2282j7p}>b883>7}:>o?1=n64=7d5>4>f3ty:nl4?:3y>2c0=9j201;h6:0:b?xu1n>0;6?u26g:95f><5?l26<6m;|q2f`<72;q6:k751b:893`f282j7p}>bg83>7}:>ok1=n64=7d3>4>>3ty=jo4?:3y>2ce=9j201;kk:0::?xu1nm0;6?u26gg95f><5?oo6<6n;|q2g5<72;q6:hj51b:893ca282j7p}>c083>7}:>ll1=n64=7d3>4>f3twi:i:50;c9`?71s-8?i78k4:m5g5<722c=nh4?::k7f3<722c=m:4?::k7f=<722c>=l4?::k4ef<722c25?4?::k:32<722c?o;4?::`5g3<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj?i26=4<:183!43m3=?7E<:3:k2<<<722c:4l4?::m2g=<722wi:no50;194?6|,;>n6o6000;66g>8`83>>i6k10;66sm6b`94?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`5ga<72:0;6=u+25g931=O:<90e<66:188m4>f2900c50z&10`<6kk1C>8=4i0::>5<5<N5=:1b=5750;9j5=g=831d=n650;9~f3b629086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e>m91<7:50;2x 72b2>20D?;<;h3;=?6=3`;3m7>5;h3;f?6=3f;h47>5;|`5g7<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd1k=0;6>4?:1y'61c=?=1C>8=4i0::>5<5<53;294~"5>2900e<6n:188k4e?2900q~8l0;296~X1k916:n;51b:8yv0em3:1?vP9bd9>2f`=91301;m;:0::?xu3j?0;6?uQ4c4893e128227p}9a683>1}Y>h=01;m9:0:b?80d?3;3m639d282;1kk0:445rs43b>5<4sW?:m639c682<<=:>j31=574}r5bg?6=4>889~w1e12909wS:l6:?5`6<60h1v;m>:18180d>3;h4639cd82<<=z{?i86=4={<4`3?7d027=ol4>889~w3e?2909w08l9;3`<>;1kh0:4l5rs0`:>5<5s48c9~w4db2909w08le;3`<>;1ko0:4l5rs0`e>5<5s48`9~w4e72909w08l2;3`<>;1k=0:4l5rs0a2>5<5s49k53408k65a2900el?::188md6f2900e>=;:188md622900el?;:188md7?2900el?n:188m65c2900e>7=:188f62229086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e;=<1<7:50;2x 72b2>=0D?;<;h3;=?6=3`;3m7>5;h3;f?6=3f;h47>5;|`002<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd4<10;6>4?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5=831vn>:l:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm35g94?5=83:p(?:j:668L7343`;357>5;h3;e?6=3f;h47>5;|`00c<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd4=90;6>4?:1y'61c=?=1C>8=4i0::>5<5<=7>53;294~"5>2900e<6n:188k4e?2900qo=;1;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb260>5<4290;w)<;e;57?M42;2c:444?::k21<7>t$36f>4ee3A8>?6g>8883>>o60h0;66g>8c83>>i6k10;66s|32d94?4|V:9m70=;4;3`<>{ti8?1<7;45<1sW98863<44824>f349?i7?7a:?006<60h1vl>::181[g7=2788;4>8`9~wd732909wSo>4:?004<6001vl?7:180[g602788l4>889>706=9130q~o>a;297~Xf9h16?9m519;8962b28227p}<3e83>7}Y;:n01>:l:0:b?xu41;0;6?uQ3808962428227p}<4183>7}:;=?1=n64=264>4>>3ty88?4?:3y>710=9j201>:8:0:b?xu6j00;6?u235595f><5:>36<6n;|q2fd<72;q6?9651b:8962328227p}<4883>7}:;=k1=n64=272>4>>3ty88o4?:3y>71e=9j201>:i:0::?xu4<5:>m6<6n;|q2f`<72;q6?9h51b:89637282j7p}>bg83>7}:;<:1=n64=272>4>f3ty:o=4?:3y>707=9j201>:>:0:b?xu6k80;6?u235395f><5:>?6<6n;|q006<72;q6?9=51b:89623282i7psm37494?g=l3;=w)<;e;152>i4=:0;66gn1483>>of8h0;66g<3383>>of8<0;66gn1583>>of910;66gn1`83>>o4;k0;66g<9383>>d4=00;6>4?:1y'61c=?:1C>8=4i0::>5<5<m7>54;294~"55f19;94?=n91k1<75`1b:94?=zj:?h6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi?8k50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl<6183>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f60429086=4?{%07a?7d12B99>5f19;94?=n91k1<75`1b:94?=zj:n6o6000;66g>8`83>>i6k10;66sm34794?5=83:p(?:j:618L7343`;357>5;h3;e?6=3f;h47>5;|`012<72:0;6=u+25g931=O:<90e<66:188m4>f2900c50z&10`<6kk1C>8=4i0::>5<5<5234:95f>52z\b50=:;<4=27:>4>f349>m7?7b:?01`<60h16?;<519c89630282j7p}n0483>7}Yi9?01>;n:0:b?xuf9=0;6?uQa068963228227p}n1983>6}Yi8201>;j:0::?851<3;356s|a0c94?5|Vh;j70=90;3;=>;4>;0:445rs21a>5<5sW98n63<6182;4=>0:445rs277>5<5s49>57?l8:?01g<6001v>;9:181852i3;h463<5c828`9~w4df2909w0=:c;3`<>;4=10:445rs27g>5<5s49>i7?l8:?020<6001v>;i:18185183;h463<6282<<=z{:<:6=4={<156?7d0278:>4>8`9~w4db2909w0=93;3`<>;4>=0:4l5rs0`e>5<5s49=87?l8:?020<60h1v8`9~w6302909w0=:7;3`<>;4=10:4o5r}c14e?6=i3n1=;u+25g972g5<5<6=44i`37>5<5<5<n6:94H370?l7?13:17d?7a;29?l7?j3:17b?l8;29?xd4>o0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo=82;297?6=8r.98h483:J116=n9131<75f19c94?=h9j21<75rb257>5<4290;w)<;e;50?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd4?>0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;95G2418m4>>2900e<6n:188k4e?2900qo=89;297?6=8r.98h4>c89K6055<N5=:1b=5750;9j5=g=831d=n650;9~f60e29086=4?{%07a?133A8>?6g>8883>>o60h0;66a>c983>>{e;?i1<7:50;2x 72b28ii7E<:3:k2<<<722c:4l4?::k288;<15g?7d02wxm<;50;0xZd72349<<7?79:pe5g=839pRl>n;<15`?7?1278:h4>889~w656290=wS=<1:?02a<60h16?;k519`89615282j70=86;3;e>;4>k0:4l5rs`26>5<5sWk;963<6d82;4>00:445rs`3;>5<4sWk:463<7382<<=:;>21=574}rc2e?6=;rTj=l5236695=?<5:==6<66;|q07d<72;qU?>o4=257>4>f3ty85?4?:3y]7<4<5:7}:;?o1=n64=24e>4>f3ty:n44?:3y>73`=9j201>9?:0:b?xu6jh0;6?u236295f><5:28227p}<7283>7}:;>>1=n64=254>4>>3ty8;84?:3y>720=9j201>98:0:b?xu6jl0;6?u236595f><5:=36<6n;|q2fc<72;q6?:651b:8961>282j7p}>c183>7}:;>31=n64=24:>4>f3ty:o<4?:3y>73?=9j201>8l:0:b?xu4>k0;6?u237`95f><5:92e8;o4?::k1a7<722cj=84?::kb4d<722c8?=4?::kb40<722cj=94?::kb5=<722cj=l4?::k07<<722c85?4?::`0<1<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0;2B99>5f19;94?=n91k1<75`1b:94?=zj:2=6=4<:183!43m3;hm6F=529j5=?=831b=5o50;9l5f>=831vn>68:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm39:94?5=83:p(?:j:0a:?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd40k0;6>4?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5=831vn>6i:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm38294?5=83:p(?:j:0a:?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd4?o0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo=72;297?6=8r.98h484:J116=n9131<75f19c94?=h9j21<75rb2:0>5<2290;w)<;e;3`g>N5=:1b=5750;9j5=g=831b=5l50;9j5=e=831d=n650;9~w61e2909wS=8b:?0<6<6k11v?k=:181[4b:2784>4>889~wd722909wSo>5:?0<<<6001vl>n:180[g7i278494>889>7=1=9130q~=<0;292~X4;916?5:519c896>2282j70=7b;3;e>;40o0:4l5239095=g53z\b40=:;1?1=574=2:5>4>>3tyj=94?:3y]e42<5:2;6<66;|qb5=<72:qUm<64=2:a>4>>349889~w65>2909wS=<9:?07=:181[5>:2784?4>889~w61d2909w0=74;3`<>;4010:445rs25f>5<5s49397?l8:?0<3<60h1v3;h463<86828`9~w4db2909w0=78;3`<>;4000:4l5rs0`e>5<5s49357?l8:?0<6<60h1v>6n:18185?j3;h463<7g82<<=z{:2h6=4={<1;`?7d02785=4>889~w6>b2909w0=7f;3`<>;4190:4l5rs0a3>5<5s492<7?l8:?03a<60h1v:181850l3;h463<7g828`9~w4e42909w0=70;3`<>;40:0:4o5rs2:2>5<5s493>7?l8:?0<6<60j1vqo8<8;297?4=50;9j7fe=831i:>850;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl93683>6<729q/>9k51bc8L7343`;357>5;h3;e?6=3f;h47>5;|q570<72;qU:>;4=714>4e?3tyj==4?:2y]e46<5?9=6<66;<403?7?12wx?nm50;0xZ6ed34<8:7?7a:p260=838p1;=9:0a;?804?3;3m6srb773>5<32:0=w)<;e;464>i1>o2:;0;66g;f883>>d14?:1y'61c=?:1C>8=4i0::>5<5<53;294~"5=831vn;:j:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rs76a>5<5sW;17>52z\667=:>=l1=5o4}r6e=?6=:rT?j45265f95=g52z?50c<6k116:9k519;8yv03l3:1>v394e82g==:>=o1=5o4}|`5<3<72=086;u+25g92=05<5<6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi:5=50;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17pl98583>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|q5<4<72;qU:5?4=7:7>4e?3tyj==4?:2y]e46<5?2>6<66;<4;7?7?12wx9?l50;0xZ04e34<397?7a:p154=838pR8>=;<4;7?7?i2wx:5<50;0x93>228i370874;3;=>{t>191<74e?34<387?7a:~f3>7290?6>49{%07a?0?82e=;o4?::kb55<722c>>l4?::k644<722h=;k4?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo88d;297?6=8r.98h484:J116=n9131<75f19c94?=h9j21<75rb75f>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~w31e2909wS88b:?53`<6k11vl??:180[g6827=;k4>889>22b=9130q~;=a;296~X2:h16::h519c8yv3793:1>vP:009>22b=91k0q~88c;296~;1?o0:o55266g95=?52z?53a<6k116::k519c8yxd1?h0;694<:7y'61c=>>k0c;9::188md772900e8<6:188m0672900n;96:180>5<7s-8?i79<;I067>o6000;66g>8`83>>i6k10;66sm66594?5=83:p(?:j:668L7343`;357>5;h3;e?6=3f;h47>5;|`53=<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xu1?<0;6?uQ6678931?28i37p}n1183>6}Yi8:01;96:0::?800?3;356s|53;94?4|V<8270889;3;e>{t=9:1<7{zj?=?6=4;:285!43m3<<86a96g83>>of990;66g:2983>>o3no0;66l97283>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f31529086=4?{%07a?7d12B99>5f19;94?=n91k1<75`1b:94?=z{?;1?;0:o55rs`33>5<4sWk:<6397282<<=:>>;1=574}r71>55266195=gmj7>52z\7bc=:>>;1=5o4}r444?6=:r7=;>4>c99>224=9130q~881;296~;1?80:o55266095=g3}#:=o1:;k4o74:>5<5<5<4290;w)<;e;50?M42;2c:444?::k2t$36f>22<@;?87d?79;29?l7?i3:17b?l8;29?xd1>j0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`4=74g>4>>34<=n7?79:p171=838pR8<8;<45`?7?i2wx8kk50;0xZ1`b34<=n7?7a:p23g=838p1;8k:0a;?801k3;356s|67`94?4|5?6<1s-8?i7898:m526<722cj==4?::k663<722c?ji4?::`522<72:0;6=u+25g936=O:<90e<66:188m4>f2900c50z&10`<0<2B99>5f19;94?=n91k1<75`1b:94?=zj?<=6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831v;8<:181[01;27=:;4>c99~wd772908wSo>0:?522<60016:;;519;8yv35>3:1>vP:279>231=91k0q~:id;296~X3nm16:;;519c8yv01<3:1>v396682g==:>?<1=574}r451?6=:r7=:84>c99>230=91k0qpl96383>1<42?q/>9k56708k33c2900el??:188m0422900e9hl:188f30629086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e>>0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17p}95e83>7}Y>uQa02893062822708:f;3;=>{t=;?1<7{t>4e?34<=<7?79:p20`=838p1;;i:0a;?80183;3m6srb77`>5<32:0=w)<;e;46g>i1=>0;66gn1183>>o2:=0;66g;fc83>>d1=k0;6>4?:1y'61c=?:1C>8=4i0::>5<5<57>53;294~"5=831vn;;n:180>5<7s-8?i7?l9:J116=n9131<75f19c94?=h9j21<75rs774>5<5sW<>;6395`82g==z{h;;6=4<{_c24>;1=k0:445264;95=?52z\661=:>47>52z?51g<6k116:8o519;8yv0213:1>v395882g==:>5<5<6=4<:183!43m3=87E<:3:k2<<<722c:4l4?::m2g=<722wi:8=50;194?6|,;>n6::4H370?l7?13:17d?7a;29?j7d03:17pl95583>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|q514<72;qU:8?4=777>4e?3tyj==4?:2y]e46<5??>6<66;<467?7?12wx9?=50;0xZ04434<>97?7a:p0cg=838pR9hn;<467?7?i2wx:8<50;0x933228i3708:4;3;=>{t><91<74e?34<>87?7a:~f32>290?6>49{%07a?0312e=894?::kb55<722c>>=4?::k7b2<722h=854?:283>5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo8;6;297?6=8r.98h484:J116=n9131<75f19c94?=h9j21<75rb764>5<4290;w)<;e;3`=>N5=:1b=5750;9j5=g=831d=n650;9~w3232909wS8;4:?502<6k11vl??:180[g6827=854>889>210=9130q~;=0;296~X2:916:96519c8yv2a?3:1>vP;f69>210=91k0q~8;5;296~;1<10:o55265595=?52z?503<6k116:99519c8yxd4lm0;6:4<:8y'61c=;mn0c>j6:188m6ed2900e>mk:188m6eb2900e>mi:188m6b72900e>j=:188f6bf290>6=4?{%07a?1f3A8>?6g>8883>>o60h0;66g>8c83>>o60j0;66a>c983>>{e;mh1<7=50;2x 72b2>?0D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900q~=k9;296~X4l016?im51b:8yv5dk3:1>vP7ag=91k0q~=ld;296~X4km16?io519;8yv5dm3:1>vP7ag=91h0q~=lf;296~X4ko16?il519c8yv5c83:1>vP7ad=9130q~=k2;296~X4l;16?io519a8yv5ci3:1>v3c99>7ae=91k0qpln0883>f<42lq/>9k5a1;8kd622900e4:>:188m<232900e4:::188m<212900e4:8:188m<2?2900e4:6:188m<2f2900e4:m:188m<2d2900e4:<:188fd6129026=4?{%07a?7d?2B99>5f19;94?=n91k1<75f19`94?=n91i1<75f19f94?=n91o1<75f19d94?=n90:1<75`1b:94?=zjh:<6=4;:183!43m3=27E<:3:k2<<<722c:4l4?::k2=8391<7>t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xuf8<0;6?uQa1789d6?28i37p}64083>7}Y1=;01l>9:0:b?xu><=0;6?uQ95689d6128227p}64483>7}Y1=?01l>9:0:a?xu>7}Y1==01l>9:0:`?xu><10;6?uQ95:89d61282n7p}64883>7}Y1=301l>9:0;3?xu>7}Y1=h01l>8:0:b?xu>7}Y1=901l>8:0:a?xuf8?0;6?u2a1495f><5h:36<66;|qb42<72;q6m=951b:89d6?282j7psma0f94?2=83:p(?:j:0aa?M42;2c:444?::k2n6o6000;66g>8`83>>o60k0;66g>8b83>>o60m0;66a>c983>>{ei8l1<7850;2x 72b28in7E<:3:k2<<<722c:4l4?::k2t$36f>4ea3A8>?6g>8883>>o60h0;66g>8c83>>o60j0;66g>8e83>>o60l0;66a>c983>>{e1:o1<7=50;2x 72b28i27E<:3:k2<<<722c:4l4?::m2g=<722wi:9=50;194?6|,;>n6:=4H370?l7?13:17d?7a;29?j7d03:17pl94083>6<729q/>9k5729K6055<N5=:1b=5750;9j5=g=831d=n650;9~f35c29086=4?{%07a?143A8>?6g>8883>>o60h0;66a>c983>>{e>:h1<7=50;2x 72b2>90D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=4<4H370?l7?03:17b?l8;29?xd4lo0;6:4?:1y'61c=9j<0D?;<;h3;=?6=3`;3m7>5;h3;f?6=3`;3o7>5;h3;`?6=3`;3i7>5;n3`5}#:=o14=5G2418m4>>2900e<6n:188m4>e2900e<6l:188m4>c2900c50z&10`<0n2B99>5f19;94?=n91k1<75f19`94?=n91i1<75f19f94?=h9j21<75rb3g`>5<3290;w)<;e;3`f>N5=:1b=5750;9j5=g=831b=5l50;9l5f>=831vn8?m:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm69c94?5=83:p(?:j:0ab?M42;2c:444?::k2t$36f>25<@;?87d?79;29?l7?i3:17b?l8;29?xd10j0;694?:1y'61c=9jh0D?;<;h3;=?6=3`;3m7>5;h3;f?6=3f;h47>5;|`5<`<72<0;6=u+25g95fe<@;?87d?79;29?l7?i3:17d?7b;29?l7?k3:17b?l8;29?xde9:0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`4?:283>5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17pl69483>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`771<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xd3;?0;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1=n74H370?l7?13:17d?7a;29?j7d03:17pl;a983>6<729q/>9k51b;8L7343`;357>5;h3;e?6=3f;h47>5;|`7g2<72:0;6=u+25g95f?<@;?87d?79;29?l7?i3:17b?l8;29?xdf880;6>4?:1y'61c=9j30D?;<;h3;=?6=3`;3m7>5;n3`5}#:=o1;>5G2418m4>>2900e<6n:188k4e?2900qo7:d;290?6=8r.98h487:J116=n9131<75f19c94?=n91h1<75`1b:94?=zj0=>6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vno6=:187>5<7s-8?i7?lb:J116=n9131<75f19c94?=n91h1<75`1b:94?=zj>ki6=4<:183!43m3;h56F=529j5=?=831b=5o50;9l5f>=831vn;li:180>5<7s-8?i79;;I067>o6000;66g>8`83>>i6k10;66sm38194?2=83:p(?:j:0aa?M42;2c:444?::k2ho50;094?6|,;>n6<7=;I067>o6010;66a>c983>>{ei8i1<7<50;2x 72b28397E<:3:k2<=<722e:o54?::a2=>=8381<7>t$36f>4?53A8>?6g>8983>>i6k10;66sm96194?4=83:p(?:j:0;1?M42;2c:454?::m2g=<722wi;l750;094?6|,;>n6<7=;I067>o6010;66a>c983>>{e1=:1<7<50;2x 72b28397E<:3:k2<=<722e:o54?::a=36=8381<7>t$36f>4?53A8>?6g>8983>>i6k10;66s|b9194?4|Vk2870<6d;`;7>{t9o?1<7{t:0?1<78<{_0:1>;5mm095;5238a96<4<5=3n6?7=;<0f5?ef34k;87mn;<737?e234?;87m:;<732?e234?;;7m:;<7387m:;:7m:;47m:;7m:;=7m:;?87m:;<671?e234>?:7m:;<673?e234>?47m:;<6b4?e234>i57m:;<6ae?e2343j:7m:;<;b3?e2343j57m:;<;be?e2343jn7m:;<;bg?e2343jh7m:;<;ba?e2343jj7m:;<;a4?e2343j47m:;<;6=?e234h>97m:;<`60?e234h>?7m:;<5g4?e234=o=7m:;<5g7?e234=o87m:;<5g1?e234=o:7m:;<5g3?e234=o47m:;<5g=?e234=om7m:;<5g6?e23464e3tyhj7>52z\`b>;5m80:5:5rs854>5<6=r785n4m899>=21=9l90147>:854?8?0933<;639e`8:32=:?h=15:94=6;1><1034=?>16;8=59658925b20=<709=9;;43>;09=02;:526gd9=21<5?n?6498;<;0a?7?i279in4>8`9>2=e=91k014;m:0:b?8?2l3;35639bg82<<=z{h:>6=4>ez?61f:5a178937d2h:>708?4;c31>;2mj0j<8525e69e53<5:;<7b0?g7=27>4n4n049>122=i9?018:<:`26?8?>93k;9636708b40=:09<1m=;4=967>d62342=?7o?5:?;<7706kf;c31>;?nl0j<85290f9e53<509h6l>:;<:11?g7=2789?4n049>730=i9?01>9n:`26?85>93k;963n088b40=:i8i1=564}r1:6?6==r789?4<939>730=;0801>9n:2;1?85>9392>63<9282g==z{k2:6=4;{<`50?d?927i;?4m809>f=6=j1;01o6=:0a;?xu4l00;6:u23dg97a?<5:lj6>j6;<632?5c127?=94074=;m3019=?:2f:?85cl39o56s|3eg94?1|5:on6>jj;<1ee?5cm27?<;4042=;mo019<=:2ff?824839oi63=i4>889>=0b=91h0q~87b;296~;10j0:o55269:95=>5dz?61f<10m16:>:569f8937d2?2o708?4;4;`>;2mj0=4i525e692=b<54n498e9>122=>1n018:<:7:g?8?093<3h6398d82g==z{0396=4>8z?:=4<>1;164=8598089=23203970693;;:6>;?0;025?528`39=<4<51i;647=;<:gb??>:273jh46939>=4b=108014=l:8;1?8>5=332>639e`8:=7=:?h=154<4=6;1>1;16;8=59808925b2039709=9;;:6>;09=025?526gd9=<4<5?n?647=;<;:7?7d02wx993?:m63707865d=:0=>1907f3423>7;>a:?;e4<29h164n>550c89=ba2<;j706ie;72e>;>9m0>=l5292a914g<518>68?n;<4fe?36i273<4==8k01:9k:43b?81103?:m63852865d=:?:o1907f34=:87;>a:?5bc<29h16:i:550c8907e28i37087a;3;e>{t10>1<7jt=8;2>1=164;=598689=>5203?706n1;;:0>;?k90259528ed9=<2<51ln647;;<;2`??><272?n46959><73=10>0147::0a;?xu29j0;6?u250f95f><5?2h6<6m;|q4e=<72jq6:o=5c79>2g2=k?16:o85c79>2g1=k?16:o65c79>2g?=k?16:oo5c79>2gd=k?16:om5c79>2gb=k?16:o;5c79>3d?=9j20q~:n7;296~;3i?0?m:524`:95f>52z?:7`<6k11659>519:8yv2493:1>v3>a48;7>;3;;0:o55rs851>5<5s43>57m9;<;47?7d02wx58k50;0x94gb2>:014;m:0::?xu5mk0;6?u22da95f><5;oj6<67;|q1a7<728>p1?k>:0;0?8g7<3;oo63h<4=513>7c534>=n7;>k009i?52b7696`4<5k=96?k=;<`;4?4b:2785<4=e39>060=913019m8:0::?8g793;3563m8382<<=:;091=574}r0f7?6=;r79i<4>959>e52=9m901l?i:0:b?xu5m=0;65u22d395a6<58k=65=4=57`>7c334>=n7{t:0>1<77?03482h7<66:p6`3=83=p1?k>:0f1?822k38n963;6c81a0=:<>k1>h;4=5:5>7c234>297h84=525>7c134>:87>52d489155282370:67;3;=>{t:l=1<76t=3g2>4b0349ni7168=852d5891732;o<70:=2;0f3>;3;909i:5248595=g52z?:1<a8845>;2=j09i55262696`><5?;h6?k7;<430?4b027>in4=e99>1a2=:l2018ll:3g;?83f<38n463:8b81a==:=>>1>h64=460>7c?3432=7;?0;09i5528`396`><51i;6?k7;<:gb?4b0273jh4=e99>=4b=:l2014=l:3g;?8>5=38n463=eb824>f34<27j=n4>c99~w07a290hw0?nd;52?832k3?:j63935865c=:>8i1907a34?no7;>f:?6`1<29o169om550d890g32<;m70;7c;72b>;2?=0>=k52551914`?57>56z?71f<3<0168;l545;8911f2=>270:76;67=>;31<0?845245c95f>?n7>56z?71f<3i70:76;67f>;31<0?8o5245a95f>52z?2ef<1n27>=o4>8`9~w1g62909w0:n0;af?82f>3>j=6s|a1c94?74s4k;87?63:?b4`;?>:0jn;<:`4?g7i273hk4n0`9>d6f349i;<400?g7n27==n4n0g9>252=i9l018kl:`2e?83c<3k;j63:bb8b4c=:=h>1m=h4=4:`>d6a34?<87o?f:?6065a1d89<162h:m70l94;c3b>;e?;0j8`9>f=4=91k0q~o>0;2965}:i9>1=i<4=47`>d7734<887o>0:?55f;2jj0j==525`69e46<5<2h6l??;<740?g6827>8>4n119>=27=i8:01;=7:`33?80283k:<639878b55=:>1:1m<>4=75b>d7734<<87o>0:?52`;1=?0j==5265;9e46<5h;n6<6n;<477?7?127=8<4>889>26`=91301;=k:0::?804j3;356398d821z?b41<6l<1698m5a03893532h;:708>c;c25>;18=0j=<525da9e47<5;<7ag?g6927>m94n109>1=e=i8;0189;:`32?833;3k:=63;a78b54=:1>;1m4>f34<3i7?7b:?7e=<60h1vl?=:182`~;f8=0:h:5254a9e44<5?9?6l?=;<42g?g6:27=<94n139>1`e=i88018j;:`31?83ek3k:>63:a58b57=:=1i1m<<4=457>d7534???7o>2:?:=4;?>:0j=?528909e44<51k:6l?=;<:`4?g6:273hk4n139>637248b57=:i8n1=5l4=`03>4>b34<3i7?7c:?:=0<60h1vl?<:1878g7<3;o563n1d824>>3tyj=94?:gy>e52=9mk01o8;:`37?8d0:3k:863m818b51=:;<81m<:4=245>d733494:?0=4f;3;g>;f:90:4i52b0195=g<5k296<6m;<1:7?7?j2wx84850;4x913d2=3=70:9b;6:2>;3?h0?5;5249490<0<5=3>6979;<6:3?7d02wxm<;50;368g7<3;on636908b50=:09<1m<;4=967>d72342=?7o>5:?;<7706kf;c21>;?nl0j=85290f9e43<509h6l?:;<:11?g6=2789?4n149>730=i8?01>9n:`36?85>93k:963n1d824>>3492?7?7a:p2=1=83ip18><:b4890632j<018>9:b4890602j<018>7:b48906>2j<018>n:b48906e2j<018>l:b48906c2j<018>::b4893>?28i37p}n1783>c}:i9>1=ij4=2gf>d71349mm7o>6:?743;3=j0j=;5247`9e40<5==j6l?9;<6;2?g6>27?584n179>e4`=91h01l3;3m6s|a0594?75s4k;87?ke:?7e3165n=5a0589;>lk0j=:529d39e41<50o<6l?8;<;f`?g6?272j>4n169>=c?=i8=014hi:`34?8?d13k:;63n1d82<<=:i8l1=574=`03>4>>34>j47?79:?b44<60h1vl?7:1817~;f8=0:58521`d934=:i>h1m<64=``g>d7?34kn;7o>8:?baa2h;370oif;c2<>;e8<0j=552b1`9e4><5k;:6l?7;e=b=i8201l7<:`3;?8g>13k:463n9g8b5==:ih?1m<64=`ca>d7?34ki=7o>8:?bf22h;370olf;c2<>;fl<0j=552ae`9e4><5ho:6l?7;<`50?g6027i;?4n199>f=6=i8201>;=:`3;?851>3k:463<7`8b5==:;0;1m<64}r5be?6=:r7c99>3d?=9120q~o>9;29b~;f8=0:5;521c2934=:=d7>34<:o7o>9:?541;2i=0j=45259a9e4?<5<=?6l?6;<777?g6127?m;4n189>=27=i830q~o>a;292~;f8=0:5:521c3934=:;<81md7f349a:?0=4n1:ok4=64;>3db34=>?78me:?47`<1jl16;?756cg892732?hn708if;4aa>;1l=0=nh526cd95f>57>58z?:1<<6m:1658751dd89<1620?2707;5mj0:445269a95=?<5>ki6<66;<;54?7?02wx58o50;1x9<3e28i370785;3;=>;0ik0:4l5rs5a5>5h<7:l6:?7g0<3k?16:ho54b4892g02=i=70962;6`2>;0?m0?o;5277:90f0<5>?869m9;<50a?2d>27<>44;c79>342=h:63;c682g==z{0?h6=4={<;6`?7d0272;84>8`9~w154290;4nh0??>524149065<5=;?69=<;<616?24;27??=4;329>062=9j20q~:<5;29g~;4ml0??8523gc9063<5=:=69=:;<620?24=27?>?4;349>066=<:?019;l:516?821j3>8963;7`8770=:<1<18>;4=5;6>15234>8:7?l8:pf44=83;iw0o8b;`26>;fjm0i=?52ad59f44<5hoo6o?=;ec`=j8801o>::c31?8d7j3h:>63m108a57=:i1;1n<<4=`:4>g7534k3h7l>2:?b=6;fik0i=?52ac39f44<5hh<6o?=;ef`=j8801lj::c31?8gcj3h:>63ne08a57=:j891=n64}rc34?6=kr72o>4n019>=f`=i9:014j::`23?8?cj3k;<636e08b45=:1l=1m=>4=8gg>d67343m?7o?0:?:b<2h:;70o?1;3`<>{t:l31<7=k{<0f5?ee34k;87mm;?7m9;97m9;;7m9;57m9;<7m9;>7m9;<1g7?e1349o87m9;<1g1?e1349o:7m9;<1g3?e1349o47m9;<670?e134>?97m9;<672?e134>?;7m9;<67j<7m9;<6a=?e134>im7m9;<;b2?e1343j;7m9;<;b=?e1343jm7m9;<;bf?e1343jo7m9;<;b`?e1343ji7m9;<;bb?e1343i<7m9;<;b97m9;<`60?e134h>?7m9;<101?e13498:7m9;<103?e1349847m9;<0fe?7d02wx=o750;33842<3;>863=ee81b==::ln1>k84=3gg>7`2348nh7hj52g0897cc2;l:70;5mm09ih5248g9=<0<58k:6i94=0c2>c2<58k:6k<4=0c2>c7<58k:6k>4}r:;>5<5sW2370<6d;:;?!43j32<7c<;a;28yv>12909wS69;<0:`?>13-8?n768;o07e?76=4={_:6?84>l32>7)<;b;:4?k43i380q~6;:181[>33482h76;;%07f?>03g8?m7=4}r36=?6=:rT:945228f950?<,;>i6<;7;o07e?6;7>52z\212=::0n1=894$36a>43?3g8?m7?4}r362?6=:rT:9;5228f9500<,;>i6<;7;o07e?497>52z\210=::0n1=8;4$36a>43?3g8?m7=4}r3e0?6=:rT:j95228f95c2<,;>i67>52z\2b7=::0n1=k<4$36a>4`43g8?m7?4}r3e5?6=:rT:j<5228f95c7<,;>i652z\2b5=::0n1=k>4$36a>4`43g8?m7=4}r1:<,;>i6>78;o07e?652z\0=3=:i8o1=n64$36a>6?03g8?m7?4}r1:1?6=:rT85852a0d95f><,;>i6>78;o07e?452z\0=1=:i;:1=n64$36a>6?03g8?m7=4}r0:=?6=:rT95452b7696i6?77;o07e?652z\1=d=:j>81>4o4$36a>7??3g8?m7?4}r0:f?6=:rT95o52b9296i6?77;o07e?456z?5f0<6m:16=l<5d69>5d?=l>16=lk5d69>10e=>h301;kn:7c:?!43j3c2<58kn6k:4=717>3d534=j;78m2:&10g<1i11e>9o51:p2g7=83c;4a5>;01;0=n<5+25`92d>j6?5rs7`3>5<1s432b=>k:0(?:m:7c;?k43i390q~8nf;292~;1jh0:i>521`09b5=:9h31j=521`g9b5=:=li1:lh4=64;>3ga3-8?n78n8:l10d<33ty=mh4?:7y>2g?=9l9012ll01"5{t>hn1<78t=7`;>4c434;j>7kk;<3b=?cc34;ji7kk;<7ag?0fl27h20b?:n:79~w3gd290=w08m7;3f7>;6i;0nn63>a88ff>;6il0nn63:a585ef=:?;31:lm4$36a>3g?3g8?m794}r4bf?6=>r7=n;4>e29>5d4=m016=l75e89>5dc=m01695m56``892732?ki7)<;b;4b<>h53}:>k>1=h=4=0c1>`7<58k26h?4=0cf>`7<5<=?6;on;<4eb?0fi2.98o49a99m61g=12wx:l950;4x93d428o870?n2;f6?87f13n>70?ne;f6?833;3d=z{?3:6=4={<3b5?45?27>9n49909'61d=>0:0b?:n:19~w3?f2909w0?n1;077>;1;=0=5l5+25`92<6j6<5rs7;:>5<5s4;j=7<;2:?55f<1101/>9l56828j72f2;1v;77:18187f938?=6390585===#:=h1:4>4n36b>6=z{?3<6=4={<3b5?43827>in49969'61d=>0:0b?:n:59~w3?12909w0?n1;00b>;2l=0=5;5+25`92<6j685rs7;6>5<5s4;j=7<9l56828j72f2?1v;7;:18187f9388n63:a585=1=#:=h1:4>4n36b>2=z{?386=4={<3b5?44127>4n49929'61d=>0:0b?:n:99~w3?52909w0?n1;005>;2?=0=5?5+25`92<6j645rs7:e>5<5s4;j=7<=5:?606<10o1/>9l56828j72f2h1v9om:18082>m3h:463>b18g3>;2=j0?mo5+25`90dgj6=5rs5`7>5<4s4>2i7l=1:?2f5;6j90m>6391b87f6=#:=h18lo4n36b>7=z{=h96=4<{<6:a?d6n27:n=4i1:?541<3j;1/>9l54`c8j72f2:1v9l>:18082>m3h:i63>b18e4>;2mj0?n<5+25`90dgj695rs5`3>5<4s4>2i7l>d:?2f5h94;b19'61d=;6j90nh63:bb87ec=#:=h18lo4n36b>3=z{=kn6=4<{<6:a?d6j27:n=4jb:?6e1<3il1/>9l54`c8j72f2>1v9ok:18082>m3h:m63>b18f=>;20j0?mi5+25`90dgj655rs5c`>5<4s4>2i7l>9:?2f5;94;ab9'61d=2908w0:6e;`22>;6j90o963:4287e<=#:=h18lo4n36b>d=z{=l26=4:{<1:g?40i27><84>e29>5d6=l>1698m54g;893372=l27)<;b;6e<>h50}:;0i1>5=4=42g>4c434;j<7h;;<400?37:27=4;4:039'61d=w0=6c;0;6>;28j0:i>521`29b7=:>8i19=?4=7:3>0663-8?n7:i8:l10d<53ty><=4?:4y>7m:0g0?87f83l:708?4;734>;1?h0><=5+25`90c>j6>5rs5de>5<2s492o7<70:?64d<6m:16=l>5f19>1`e=m46`=4`87?xu3nl0;68u238a962`<5<:269l54g:8j72f2<1v9hk:18685>k38mo6396387bf=#:=h18k64n36b>2=z{=li6=4:{<1:g?40k27><;4>e29>5d6=m01695m54g`8933d2=li7)<;b;6e<>h50}:;0i1>:l4=427>4c434;j<7k>;<740?2ai27=9;4;f`9'61d=w0=6c;04<>;28:0:i>521`29`0=:==918k94=76:>1`03-8?n7:i8:l10d884?:3y>153=kl1698m55578 72e2<>?7c<;a;28yv06l3:1>v3:0e8`a>;1;=0==i5+25`9112j6<5rs726>5<5s4?;o7mj;<42g?07=2.98o4:459m61g=:2wx9hj50;0x906e2jo01;>;:4gg?!43j3??86`=4`80?xu2l<0;6?u251c9g`=:=li19i;4$36a>0233g8?m7:4}r7a`?6=:r7><44le:?6`1<2jm1/>9l55568j72f2<1v8o::18183703in70;mc;7b1>"5895a25c92>{t=1n1<7fc<51=e==>?0(?:m:467?k43i320q~;:d;296~;28=0hi63:75861a=#:=h199:4n36b><=z{<8h6=4={<737?eb34???7;=c:&10g<2<=1e>9o5a:pe61=83>p1l;=:0g0?87f<3o370?nf;g;?8g0j3k8;6*=4c8b73=i:=k1<6s|a2794?2|5h?:6:50;6x9d3728o870?n4;g6?87fn3o>70oj7;c00>"5{ti:91<7:t=`6e>4c434;j87k;;<3bb?c334knh7o<3:&10g9o53:pe64=83>p1l:j:0g0?87f<3o870?nf;g0?8ga;3k8>6*=4c8b73=i:=k186s|a2394?2|5h>o6"5{ti;o1<7:t=`6b>4c434;j87ji;<3bb?ba34h;97o=e:&10g9o57:pe7b=83>p1l:6:0g0?87f<3nn70?nf;ff?8d7j3k9h6*=4c8b73=i:=k146s|a3a94?2|5h>36"5{ti;k1<7:t=`65>4c434;j87jm;<3bb?be34k3;7o=a:&10g9o5b:pe7?=83>p1l:::0g0?87f<3nj70?nf;fb?8g?l3k956*=4c8b73=i:=k1o6s|a3:94?2|5h>?634;jj7j6;"5{ti;<1<7:t=`61>4c434;j87j8;<3bb?b034k2j7o=6:&10g9o5f:pe6`=83>p1l;6:0g0?87f<3l?70?nf;d7?8gf=3k8j6*=4c8b73=i:=k1==5rs`1f>5<3s4k>47?j3:?2e19l5a248j72f28;0q~o0:i>521`69b4=:9hl1j<52ac39e6b<,;>i6l=9;o07e?753tyj?n4?:5y>e00=9l901{ti:h1<7:t=`76>4c434;j87ki;<3bb?ca34kh?7o9o5159~wd5f290?w0o:4;3f7>;6i=0nh63>ag8f`>;fk00j?l5+25`9e60j6<;4}rc0=?6=4>e29>5d2=mk16=lh5ec9>ef`=i:30(?:m:`15?k43i3;=7p}n3983>1}:i=i1=h=4=0c7>`?<58km6h74=`f6>d5?3-8?n7o<6:l10d<6?2wxm>>50;6x9d2628o870?n4;g2?87fn3o:70okb;c04>"56=4;{v3>a5817==:i>h1m;m4$36a>d0e3g8?m7>4}rc5e?6=:r7:m94=379>egb=i?k0(?:m:`4a?k43i3;0q~o99;296~;6i=09?852ad59e3?<,;>i6l8m;o07e?452z?2e1<5;=16mhj5a7:8 72e2hv3>a58176=:io91m;94$36a>d0e3g8?m7:4}rc52?6=:r7:m94=339>ec?=i?<0(?:m:`4a?k43i3?0q~o94;296~;6i=09?=52agd9e32<,;>i6l8m;o07e?052z?2e1<5:o16n=;5a718 72e2hv3>a5816`=:j9h1m;<4$36a>d0e3g8?m764}rc55?6=:r7:m94=2e9>f47=i?;0(?:m:`4a?k43i330q~o90;296~;6i=09>n52a939e36<,;>i6l8m;o07e?gj7>52z?2e1<5:k16m595a4d8 72e2hv3>a5816d=:i1n1m8k4$36a>d0e3g8?m7m4}rc6`?6=:r7:m94=289>e<5=i552a8;9e0e<,;>i6l8m;o07e?cn7>52z?2e1<5:>16m4h5a4`8 72e2hv3>a58106=:ih?1m::4$36a>d0e3g8?m7??;|qb36<72;q6=l:525089dge2h=87)<;b;c5f>h5;:18187f<38?<63nb68b34=#:=h1m;l4n36b>4552z?2e1<5;o16mn=5a628 72e2ho0;6?u21`6966b<5hi26l8i;%07f?g1j2d98l4>5:pe3c=838p15<5s4;j87<<9:?b`0m1/>9l5a7`8j72f28=0q~o95;296~;6i=09?<52ae`9e33<,;>i6l8m;o07e?7?3tyj9l4?:3y>5d2=:;?01lk>:`7b?!43j3k=n6`=4`82=>{ti><1<7fc<5h=i6l99;%07f?g0=2d98l4?;|qbf=<72;q6m8?5cd9>egb=ik20(?:m:`56?k43i3;0q~oj2;296~;f=90hi63ne68ba7=#:=h1m:;4n36b>7=z{ho36=4={9o53:pe`c=838p1l:j:bg89d`42hon7)<;b;c41>h57}:i=n1oh52ag;9ec2<,;>i6l9:;o07e?352z?b0g?0b?:n:79~wg672909w0o;a;af?8d7=3h;<6*=4c8b30=i:=k1;6s|b1494?4|5h>26nk4=c2a>g613-8?n7o85:l10de1>=kl16n7c<;a;;8yvg0k3:1>v3n468`a>;f080j;n5+25`9e23j6l5rs`:1>5<5s4k?:7mj;d123g8?m7j4}rc:0?6=:r7j8>4le:?b=<9l5a678j72f2l1vl7n:1818g3:3in70o6f;c:e>"5{tih:1<7fc<5hk>6lo?;%07f?g0=2d98l4>0:ped0=838p1l;7:bg89dge2hk=7)<;b;c41>h5dgd3-8?n7o85:l10d<6:2wxmo<50;0x9d312jo01ll8:``1?!43j3k<96`=4`827>{tiko1<7fc<5hi86llj;%07f?g0=2d98l4>4:pef2=838p1l;;:bg89de>2hi?7)<;b;c41>h5def3-8?n7o85:l10d<6>2wxmi>50;0x9d2d2jo01lj::`f3?!43j3k<96`=4`823>{tim<1<7fc<5hni6lj9;%07f?g0=2d98l4>8:peae=838p1l:?:bg89dc62hnh7)<;b;c41>h5h53}:;m=1=h=4=0c6>`b<5:lj6>j?;<1g`?5c827=8<4>8`9>7a`=91n0(?:m:2f2?k43i3;0q~=lf;292~;4l?0:i>521`79ag=:<9<1?nh4=2fg>6ea34<8j7?7a:?0`c<60j1/>9l53e38j72f2;1v>mj:18585c=3;n?63>a48f=>;39=08oh523ef97fc<5?9o6<6n;<1gb?7?j2.98o4:h1=5o4=2fe>4>f3-8?n7=k1:l10d<33ty8on4?:7y>7a5=9l901;4lm08on523ed95=?<,;>i6>j>;o07e?38h7>52z?2e0<5;o16?hk542f8 72e2=9h7c<;a;28yv24j3:1>v3>a4817a=:;ok18>l4$36a>15d3g8?m7?4}r60e?6=:r7:m84=3c9>050=<:k0(?:m:51`?k43i380q~:<9;296~;6i<09?452406906?<,;>i69=l;o07e?5847>52z?2e0<5;8168?<542:8 72e2=9h7c<;a;68yv24?3:1>v3>a48160=:<::18>94$36a>15d3g8?m7;4}r1f5?6=:r78h54le:?0a`<4m81/>9l53d28j72f291v>ki:18185c?3in70=ia;1fb>"5{t;oh1<7fc<5=:=6>hm;%07f?5b82d98l4=;|q742<72;q6?i;5cd9>042=<9=0(?:m:2g3?k43i390q~:>5;296~;4l=0hi63;238750=#:=h1?h>4n36b>1=z{=886=4={<1g7?eb34>8<7:=3:&10g<4m91e>9o55:p02h6*=4c87=f=i:=k1<6s|48`94?4|58k=6?=m;<65f?2>j2.98o4;9b9m61g=92wx84o50;0x94g12;9270:8a;6:e>"5{t<031<775634>3:7:69:&10g<31j1e>9o53:p0<>=838p1=3>246*=4c87=f=i:=k186s|45194?0|5=>368e9>01e=91n0(?:m:561?k43i3:0q~:;1;292~;3<>0:i>521`49ag=:9k?1io5247`9017<5=>j6<6l;<67g?7?k2.98o4;439m61g=92wx89>50;4x912128o870?n6;g:?87e=3o270:8a;674>;3i69:=;o07e?48j7>56z?700<6m:16=l85e09>5g3=m816858542d8912f282j70:;c;3;=>"5{t<:o1<78t=567>4c434;j:7j:;<3a1?b234>297:97c<;a;68yv23m3:1>v3;498`a>;3=j0?8h5+25`901bj6=5rs57g>5<5s4>?;7mj;<65f?22l2.98o4;4e9m61g=92wx8;m50;0x91212jo0199n:54`?!43j3>?h6`=4`81?xu3?k0;6?u24579g`=:<1<18:l4$36a>12c3g8?m7=4}r6;3?6=:r7?894le:?7=0<30>1/>9l545f8j72f2=1v9l7:182f~;3jh0:i>5298390g><5=i;69l7;<:32?2e0273894;b99><35=f93>i4637c187f==:0ml18o64=9df>1d?343:h7:m8:?:7f<3j1164?;54c:893cf2=h3709n7;6a<>;01;0?n55276f90g><5><369l7;<567?2e02737?=i4639d587f==:>1k1=574=8;0>4>f3-8?n7:m7:l10d<73ty?n;4?:gy>0g?=9l90147>:5`5?82d=3>i:639e`87f3=:?h=18o84=6;1>1d134=;09=0?n;526gd90g0<5?n?69l9;<;:7?7?12.98o4;b69m61g=92wx8om50;0x91df2jo019m?:5``?!43j3>in6`=4`83?xu3k80;6?u24c;9g`=:1de3g8?m7?4}r;a7?6=;r7:m>4=269>=f5=1k9015>9:8`0?!43j33i>6`=4`83?xu>jj0;6>u21`19615<50im64ll;<:70??ek2.98o46b39m61g=92wx5ol50;1x94g42;>9707k5;;af>;?>:02no5+25`9=g4j6?5rs8`b>5<4s4;j?7<;1:?:`g<>jh1645<59cc8 72e20h97c<;a;18yv?e13:1?v3>a28105=:1l;15o74=9c2>3-8?n77m2:l10d<33ty2n54?:2y>5d5=::l014k8:8`;?8>d833i46*=4c8:f7=i:=k196s|9c594?5|58k86?=k;<;f`??e?273hk46b69'61d=1k80b?:n:79~w;>n:02n;528gg9=g0<,;>i64l=;o07e?153z?2e6<5;0165k759c789<7c20h>7)<;b;;a6>h56}:9h91>>?4=8de>j;1e>9o59:p=g7=839p1d=z{03h6=4;{<;b4k7:?:g6<>1j164=8598a8 72e203i7c<;a;28yv?f=3:18v36b182a6=:9h91j9529bd9=d3<51>?64o:;%07f??>j2d98l4>;|q:e1<72=q65lh51d1894g42o8014j::8c7?8>1;33j86*=4c8:=g=i:=k1>6s|9`194?2|50kn6i:1/>9l598`8j72f2:1v4o=:1878?fl3;n?63>a28e4>;>m802m?528`39=d4<,;>i647m;o07e?254z?:ef<6m:16=l=5eg9>=`1=1h;015m?:8c2?!43j332n6`=4`86?xu>i90;69u29``95`5<58k86hj4=8gg>1k1e>9o56:p=<`=83>p14on:0g0?87f;3oi707i3;;:b>;?nl025k5+25`9=j6:5rs8;f>5<3s43j57?j3:?2e6=4b=10o0(?:m:8;a?k43i320q~76d;290~;>i>0:i>521`19a4=:1ol154j4=81`>3ty25l4?:5y>=d0=9l901203j706=5;;:e>"5{t1ko1<7fc<50i864lj;%07f??el2d98l4?;|q:gd<72;q65o>5cd9>=f`=1jk0(?:m:8`g?k43i3;0q~7k0;296~;>io0hi636d48:`5=#:=h15oj4n36b>7=z{0n=6=4={<;ba?eb343on77k6:&10g<>jm1e>9o53:p=ae=838p14ok:bg89h57}:1hi1oh529d59=`4<,;>i64lk;o07e?352z?:eg<`33-8?n77md:l10d=d1=kl165kh59gc8 72e20ho7c<;a;;8yv?d<3:1>v36a78`a>;>k002o95+25`9=gbj6l5rsc73>5<4s482h7<69:?a16<6m:16n;:5b428 72e2k>m7c<;a;28yvd293:1?v3=9e81=d=:j<>1=h=4=c51>g363-8?n7l;f:l10d<63tyi9?4?:2y>6>6*=4c8a0c=i:=k1>6s|b4594?4|5k?86nk4=c47>g303-8?n7l:6:l10d<73tyi:84?:3y>f02=kl16n:<5b778 72e2k?=7c<;a;38yvd0;3:1>v3m548`a>;e090i;>5+25`9f00j6?5rs6fg>5<5s4;i;7<=7:?;43<0lm1/>9l57ea8j72f291v:k9:18187e?38??6374584a3=#:=h1;im4n36b>4=z{>o>6=4={<3a3?43:273:>48e49'61d=?mi0b?:n:39~w2c32909w0?m7;075>;?0;0j6>5rs6g0>5<5s4;i;7<;0:?;e4<0m:1/>9l57ea8j72f2=1v:k=:18187e?388j637c184a7=#:=h1;im4n36b>0=z{>o:6=4={<3a3?44l273hk48e09'61d=?mi0b?:n:79~w2c72909w0?m7;00f>;?nl0j6:5rs6fe>5<5s4;i;7<<9:?:5a<0lo1/>9l57ea8j72f211v:jj:18187e?388=6363b84``=#:=h1;im4n36b><=z{>ni6=4={<3a3?45=273>848dc9'61d=?mi0b?:n:`9~w2e12908w0=6c;0a6>;0l;0:i>5281493f0<,;>i6:m:;o07e?653z?0=f<5jk16;io51d189=232>im7)<;b;5`1>h56}:;0i1>oo4=6f:>4c4342=?79le:&10g<0k<1e>9o52:p3fb=839p1>7l:3`:?81c03;n?6378384ga=#:=h1;n;4n36b>6=z{>ih6=4<{<1:g?4e027e29>0q~9lb;297~;41j09n:527e495`5<51i;6:mm;%07f?1d=2d98l4:;|q4gd<72:q6?4m52c4892b228o8706kf;5`e>"5{t?j31<7=t=2;`>7d234=o87?j3:?;b`<0k01/>9l57b78j72f2>1v:m7:18085>k38i8638d282a6=:18n1;n64$36a>2e23g8?m764}r5`3?6=;r785n4=b29>3a7=9l9014=l:6a4?!43j3=h96`=4`8:?xu0k=0;6>u238a96g6<5>n;69:6g;?!43j3=n;6`=4`83?xu?:?0;6?u27ec9g`=:0=>14?84$36a>2c03g8?m7?4}r:71?6=:r79l57d58j72f2;1v58;:18181c03in70672;:50>"5{t0191<7fc<51k:656<;%07f?1b?2d98l4;;|q;e7<72;q6;i85cd9>3=z{1o;6=4={<5g0?eb342mi76j0:&10g<0m>1e>9o57:ph57}:?m;1oh5292a9=4c<,;>i6:k8;o07e??52z?4`5847069'61d=?l=0b?:n:`9~w2gb2909w0?n2;013>;1mh0j6=5rs6`4>5<5s4;j>7<;3:?4e2<0j>1/>9l57`f8j72f281v:l9:18187f:38?>6389384f3=#:=h1;lj4n36b>7=z{>h>6=4={<3b6?43927<;i48b49'61d=?hn0b?:n:29~w2d32909w0?n2;074>;0>10j695rs6`0>5<5s4;j>7<9l57`f8j72f2<1v:l=:18187f:388h6383d84f7=#:=h1;lj4n36b>3=z{>h:6=4={<3b6?44j27<>448b09'61d=?hn0b?:n:69~w2d72909w0?n2;00=>;09=0j655rs6ce>5<5s4;j>7<<1:?5bc<0io1/>9l57`f8j72f201v:ol:18187f:3899639d584ef=#:=h1;lj4n36b>d=z{?n=6=4={<4a1?eb349o50:p3<5=838p1;lk:bg892g02>387)<;b;4g1>h57}:>ki1oh52780932c<,;>i6;j:;o07e?452z?5fgm?0b?:n:29~w2332909w08ma;af?81103=>86*=4c85`0=i:=k186s|72d94?4|5?h26nk4=670>25a3-8?n78k5:l10d<23ty<>l4?:3y>2g>=kl16;>k573c8 72e2?n>7c<;a;48yv16=3:1>v39b68`a>;0:00<=85+25`92a3j6:5rs623>5<5s4m>1:n>4$36a>3b23g8?m7o4}r100?6=e29>5704=;:>0(?:m:210?k43i3:0q~=<2;290~;4;>0:i>5218g9a<=:9k;1i4523749764<,;>i6>=<;o07e?754z?073<6m:16=4k5e09>5g7=m816?:o53238 72e2:987c<;a;08yv5483:18v3<3482a6=:90o1h8521c39`0=:;0;1?>>4$36a>6543g8?m7=4}r10`?6=:r7:5h4=3c9>704=;:n0(?:m:21`?k43i3:0q~=i6>=l;o07e?752z?2=`<5;816?:o532c8 72e2:9h7c<;a;08yv5413:1>v3>9d8160=:;0;1?>74$36a>65d3g8?m7=4}r10b?6=:r78?54le:?017<4;o1/>9l532g8j72f291v>;<:181854?3in70=96;167>"5{t;?=1<7fc<5:=j6>88;%07f?54m2d98l4=;|q03g<72;q6?>;5cd9>7<7=;>h0(?:m:21f?k43i390q~8;2;296~;6i80nj6394282g==#:=h1:>74n36b>0=z{?>;6=4={<3b5?cc349o56:p26c=838p1:d`8935a28i37)<;b;40=>h57}:9h;1i45262f95f><,;>i6;=6;o07e?>52z?2e4c99'61d=>:30b?:n:89~w3522909w0?n1;f6?80403<896*=4c857<=i:=k1m6s|53094?4|58h>6?<8;<464?35:2.98o4:209m61g=82wx9?l50;0x94d22;>870876;71f>"5><5a25c95>{t=;k1<772534<3<7;=a:&10g<2:81e>9o52:p17?=838p16?:?;<440?3502.98o4:209m61g=<2wx9?950;0x94d22;9m7089e;713>"5><5a25c91>{t=;<1<775c34<=47;=6:&10g<2:81e>9o56:p173=838p16?=6;<46g?35<2.98o4:209m61g=02wx9?=50;0x94d22;9:708:6;717>"5><5a25c9=>{t=;:1<7742349o5a:p21d=838p1:g:893372?>i7)<;b;47e>h57}:9h;1==<4=7:5>3>63-8?n78;a:l10d<63ty=;o4?:3y>5d7=99;01;6?:75a?!43j3222=>?l0(?:m:76b?k43i3>0q~899;296~;6i80mi6396d852<=#:=h1:9o4n36b>0=z{?<86=4={<3b5?`d34<=47893:&10g<19o56:p20b=838p1:g`893052??o7)<;b;47e>h57}:9h;1jl5264a9201<,;>i6;:n;o07e?>=7>52z?2e4=k0b?:n:89~w3232909w0?n1;d5?80313w0=6c;0`3>;6j>0m863>ab8e0>;f8l028n52a1;9=1e<,;>i64:=;o07e?755z?0=f<5k?16=o95f39>5de=n;16m=k595`89d6>20>i7)<;b;;76>h50}:;0i1>n;4=0`4>c7<58kh6k?4=`2f><2f34k;577;a:&10g<><;1e>9o53:p=1?=83?p1>7l:3a7?87e?3l;70?nc;d3?8g7m33?563n088:0<=#:=h159<4n36b>1=z{0>36=4:{<1:g?4d;27:n:4jf:?2efe5?=1=20(?:m:861?k43i3?0q~7;7;291~;41j09o?521c59aa=:9hi1ii52a1g9=11<5h:264:8;%07f??3:2d98l49;|q:03<72=70o?9;;72>"5{t1=?1<7;t=2;`>7e734;i;7k6;<3bg?c>34k;i77;5:?b4<<><<1/>9l59508j72f211v4:;:18685>k38ij63>b68f5>;6ij0n=63n0d8:01=:i93159:4$36a><253g8?m774}r;75?6==r785n4=bb9>5g1=l<16=lm5d49>e5c=1=;01l>6:862?!43j33?>6`=4`8b?xu>4j57b1896?d2;n87)<;b;;7a>h57}::0n1;n<4=2;`>7b53-8?n77;e:l10d<53ty29;4?:3y>67l:3f2?!43j33?i6`=4`80?xu>=<0;6?u228f93f6<5:3h6?j?;%07f??3m2d98l4;;|q:11<72;q6>4j57cd896?d2;im7)<;b;;7a>h57p}65283>7}::0n1;ok4=2;`>7eb3-8?n77;e:l10d<13ty29?4?:3y>67l:3ag?!43j33?i6`=4`84?xu>=80;6?u228f93ge<5:3h6?ml;%07f??3m2d98l47;|q:15<72;q6>4j57c`896?d2;ii7)<;b;;7a>h57}::0n1;o64=2;`>7e?3-8?n77;e:l10d67l:3:5?!43j3<2o6`=4`83?xu1i?0;6?u228f90a5<5:3h6?6i;%07f?0>k2d98l4>;|q5e0<72;q6>4j54e0896?d2;2n7)<;b;4:g>h57}::0n18i?4=2;`>7>c3-8?n786c:l10d<43ty=m>4?:3y>67l:3:`?!43j3<2o6`=4`87?xu1i;0;6?u228f90f`<5:3h6?6m;%07f?0>k2d98l4:;|q5e4<72;q6>4j54bg896?d2;2j7)<;b;4:g>h57}::0n18nj4=2;`>7>>3-8?n786c:l10d<03ty=5k4?:3y>67l:3:;?!43j3<2o6`=4`8;?xu11l0;6?u228f90fd<5:3h6?68;%07f?0>k2d98l46;|q5=g<72;q6>4j54b:896?d2;2?7)<;b;4:g>h57}:9h:1>?94=0cg>a1<,;>i68>i;o07e?652z?2e5<5<:16=lj5f59'61d==9l0b?:n:09~w07?2909w0?n0;076>;6im0m>6*=4c864c=i:=k1>6s|50594?4|58k;6?:>;<3b`?`63-8?n7;?f:l10d<43ty>=;4?:3y>5d6=:=:01v3>a1817c=:9hn1ik5+25`915`j685rs437>5<5s4;j<7<2wx9<=50;0x94g72;9i70?nd;ga?!43j3?;j6`=4`84?xu29;0;6?u21`2966?<58ko6h74$36a>06a3g8?m764}r725?6=:r7:m=4=309>5db=m81/>9l551d8j72f201v8>j:18187f8389963>ae8g1>"5{t1:l1<7mt=6f3>f0<5>n:6n84=6f0>f0<5>n?6n84=6f6>f0<5>n=6n84=6f4>f0<5>n36n84=6f:>f0<5>nj6n84=6f1>f0<50>;6=36=9j20qpsr}AB@7>1<32 | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| B<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| B<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| B<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| DOWN | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| G<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| G<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| G<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| G<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| LEFT | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| R<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| R<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| R<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| R<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| RIGHT | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| UP | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| clk_50 | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| color<0> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| color<1> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| color<2> | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | | -| hsync | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| led<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| led<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| led<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| led<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -| vsync | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | 3STATE | -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -Section 7 - RPMs ----------------- - -Section 8 - Guide Report ------------------------- -Guide not run on this design. - -Section 9 - Area Group and Partition Summary --------------------------------------------- - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -Area Group Information ----------------------- - - No area groups were found in this design. - ----------------------- - -Section 10 - Timing Report --------------------------- -This design was not run using timing mode. - -Section 11 - Configuration String Details ------------------------------------------ -Use the "-detail" map option to print out Configuration Strings - -Section 12 - Control Set Information ------------------------------------- -No control set information for this architecture. - -Section 13 - Utilization by Hierarchy -------------------------------------- -Use the "-detail" map option to print out the Utilization by Hierarchy section. Index: github/Snake-master/base.lso =================================================================== --- github/Snake-master/base.lso (revision 428) +++ github/Snake-master/base.lso (nonexistent) @@ -1 +0,0 @@ -work Index: github/Snake-master/base_par.xrpt =================================================================== --- github/Snake-master/base_par.xrpt (revision 428) +++ github/Snake-master/base_par.xrpt (nonexistent) @@ -1,939 +0,0 @@ - - - - - - -
- - - - - - - - - - - - - - - -
- - - - - - - - - - - - -
-
- -
- - - - -
-
- -
-
- -
- - - - - - -
-
- -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - -
-
-
- - - -
- - - - Index: github/Snake-master/base_map.ncd =================================================================== --- github/Snake-master/base_map.ncd (revision 428) +++ github/Snake-master/base_map.ncd (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6 -###3984:XlxV32DM 3ff5 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###4064:XlxV32DM 3ffc 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###3112:XlxV32DM 3fff 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###1924:XlxV32DM 3ff2 76ceNrtWNtu20YQ/R07AgrOLO9KjVAiFbNRJIOib0+ELNtA0DZufUlTgNC3d0lKMrnkLIN4HvpAPVjeOXPOzi5Hx/I8f9n8nm0eXr4+3z2+FyfZX2u5FoDjZwV4fL5vxswTsNVIRTdVulnQwewI/gKKqtVStaiirI6i7BbdpoqyO+hOi+5QuzsddLdFd6nd3Q6616J71O5eQb9rxDJDWStXm4GhBloZqAaEGjDVgKUGbDXgqAFXDXhK5WoZqFaOauXYoqiVo1o5WsquQlmbylrNt5W1o6xdZe3BuhlY394+vdwY8vXeOIEbEgQ9CloUtajQoqYWtbSorUUdLepqUfkxoS9SexmovUjUc7UXidqLRO1FoqU7EOpAoQNNHajd09aBjg50daB8cE4T/PPl+779XRKhoOJj4dEQSQOaBhoa0jTU0ARNExqaSdNMDc2iaZaGZtM0W0NzaJqjobk0zdXQPJomIaq1gGwtzcNGurVQ01pItxbqdqNbCzWthXRroaa1kG4t1LQW0q1VQNT1I3n9SJMESRI0ySRJJk2ySJLmTDZJsmmSQ5IcmuSSJJcmeSSp+JiIJvTwWCDKd/N9VPXyKlx9JdWCuBl/+3L3z/Thj4fHwsJFY7kX6IqadSY0mdDJ3EdFnYlNJnYy91G8eQ1mRn0B9QXKW3pd1f5A2d1hSz4gAiARlJ+xbiS7t4iNzO7CoLswoAoDsjAgCwNNYUAVht2FIVUYkoUhWRhqCkNZmDX+9jcYhnx7+vfrZl29ZcvJ+cwdf9+IJzSMtViP8yCJPvrgT4o3w59k8eLsPPXDOImmqX9WRYu3bDr/FC8uomQVLxe+keeyMGuch0EaZJdxmJ5mgY9+bTmRy8skTqPs8zKMJJpEQZjN4mSV1uOTWjzP40WcnmWG4RtvfPk7JWBTQjYlwaZksilZbEo2m5LDo8TWTmzdxNZMbL3E1kpsncTWSIx95HIJeVxCAZfQhEtoyiUUcglFXEIzJiHg8iPg8iPg8iPg8iPg8iPg8iPg8iPg8iPg8iPg8iPg8iPg8iPg8iPg8iPg8iPg8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPk8iPB5UeCy48Elx8JLj8SXH4kuPxIcPmR4PIjweVHgsuPBJcfCS4/Elx+JLj8SHD5keDyo9pDqwK1y/dXyUUwb6RUkVpOx6TUa05KvWFS2qk0TEp/TGmYlPYLDZPSfqFhUtovNExK+4WGSWm/0DAp7RcaJqX9QsOktF9omJT2Cw2T0n6hYVLaLzRMSvuFhklpv9AwKe0XGial/ULDpLRf6P8yKTWLOWk0D66zIPwtC9I0mSyv/Fl8FYV+PDmfZRUoWeeRH86v5S6zsBXMc7yXOkl8Ee0l5L9t8XK/mF9MPy9X8uvFah5dyh/Lyzw3nXE+m11l1TFkYlm/4RexVVJFVolM9edBOj3Nlkk2m0nUX10vphUcFL9KJfvNSjuhzpJAETqNP57yKP3Q4Uql647DXf/UNb1JSVcS/ERJILuv3SUyDuN8db46ixahL1ZpkEZ5LsQ4z8Nfj46OtgG8K37iu8A8HgV4fDw6KkIyIn8po/L1bhuI4xydBqtARS2/XEkNU2a6rUyznrktZT+Uoo1UWUCV1Shptz/cdaWWWqOqQGgkiJ1WgB9qpWF3jhiVNUk5lDu5alIAO0ip19Tdl1lP3R7OVt3Aa0VWM02004oz5mDv8uqXXwJOE9i+IjVKtb0oK2xQ9sC2QqCJlBdfj4nymeRif7iqg/YNUGC1h304xKh65DneNmh7tNEeo8PTaOUXcodaXy98tKtW5m9a+dWF1jMPqy75rTbfrufvilESiyemXE+RuG1mHjqmPMruQefCGf8HbV9mJQ==###4540:XlxV32DM 3fff 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###3912:XlxV32DM 3fff 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###3920:XlxV32DM 3fff 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###3820:XlxV32DM 3fff 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###4548:XlxV32DM 3fff 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###3884:XlxV32DM 3fff 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###3296:XlxV32DM 3fff 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###3692:XlxV32DM 3fff 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###3624:XlxV32DM 3fff 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###3832:XlxV32DM 3fff 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###4900:XlxV32DM 3fff 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###6848:XlxV32DM 3fff 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###5512:XlxV32DM 3fff 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###4296:XlxV32DM 3fff 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###1892:XlxV32DM 162d 74ceNrVWDlyHEcQ/IweMH0f6zAkl4b4hL7GRAQMWRv4uzILhKZ6xXXkCKBRm+jsnqmrq2roZ7W3txd7hFGPau4v1q/a/e0v6z+Y6BRzaCbPi4lDM8UrZmmmr4tJ29NGUIzVzDoVs+l2RsVExRh7KCZvTFJM00wwihkbkxWj7THZXkw5N6ZcTNP2mK482vzGNMVs9izl0bbZs7pitD1QWzFjY1S0m7bHeuUdr+2xSfkghI1R8QlJM0Pp5qdixkG/uXfGHXajnKb8RvmLssltFI31P6l8bFRUp2LaqKQfuDaK9oYPqm9UUe/aMmUcTT2w7A/syi63U0OdykZR4VRJaUPcTk2t/O7epalzo86LGpvjzaEPbY43RlNho6ymNgWN09TmeKMjuZWIIWn7D7V510SdGvpdsW9+ytuppE/pdyW79Cmzncpajc2Fpmi7tlwzOv5b4Ulze9fuXp0ax5ZrRqXG1ApmXWBs2CO5ZYamcleF5MFglTPO71adWr8t/PbQp/SrylAhMZcr8O/btz/uiN+sbi3v29Gx8vv9vFsvBRC7qx3ZUcCAUQpEMxDQHqJBnKPaabFvBgsxToiZKCKF/Imzc3FtcW1xbXHthB7LUkScXQXiREJCnLdX68ftDZpIa6mB73cdIhJBZTsS35+oUyYqgYJEEz2pez8gqMSgEmORWCROaowKB0G1cYUhaIqhnoZ6GuppPUWnwDum4wnHE9RlOq55rnmuMcozFAo+PhqKg4JrkWuJa4lrVHwmrmWuZa5lrmWuFa4VrtHps3CNnp+NazRwNlmjkp3ad2o/qPigaie2LNRpCEexKOhqxnTRyoWUgeA+x320bTnuc9znuc9zHwO70JQhyNKYRWNW4olENvFEggYrBwruo+KLiq/GfY37Gvc17ut8co8fcXbSwG2dFVUH5Qq3AwCxR81AAcAVQ0biduIW4k6t228u+1p4MPDO+op9eEGqMaL7VqSXQVKaaLnT1Zz5i4R2t+8Oro7375in3P0VG15cwo3DT8aTbm+/Jswzwj4j3DPCPyMC7yQMGpdBhjrDXwZXyjCkzEcfH4zKX8CoU0UJf1gMrbZ3Zkh1yEbnw4NR7fMbFQ8xKtIoF3x1JVY3c/XoPj6MB4PGu0Hh/zVI9DZXMDy+EXyPGAtzDbhwAbUg/Ova2C8QDCtGLRoVUGZDOWsYqCdnrxFVLgYUB1SniLE/LoOvnrMmVLoUe02lPBgc3w2en9TgXxPxGZGeEfkZUZ4R7RnRnxHjZ3ycxMcxPgkdK53mwefp3ef2P/hcXuCvrM4oKdmHmtGiMvpmxlySz/nwwvIFsjpcJaag+xVMZgUTQkGnb/go2w2an6fExCsYzWV8RUNflJo28Pd51G7Wg+7hCzSxeQWjY27tKB0ds1jHcDvsYwPrnyYYYV3BGJhPR4a+PdSxHL5lTJ3ufNDdf/Zg4EPlz/sPWHTcfmA+NLfXTvw6BMs3TZPf9f7LuTsJQPWPAgL/m4YgYVwUUDg0CuoyOhLxk0meCHdgEhNkOY8J8pzKBEXOZoKyTGhEnaOOoMmBR9DJsYeIE/6Ss8VxBBIUZBAiypwoBDXOFYIGpwtBizMGET4HOGkQOfZyQYEdXVBiXxdU2N0FdfZ4QVM6PRA+k9AyBVk2TkGe7VNQZBMVlNlKBTU2VEGDbVXQYnMlGgdbrCDLRivIs90Kimy6grK0XqLOpiDovTUAzYN1XJBlNRfkWdMFRVZ2QZn1XVCTKk80WS4FnSyaRGj6KJ2CHAuooCBllCizUAlqLFeCBouWIP7XnfgKxQsFTJCVMkYUWA8EJVYFQYW1QVBnhRA0pU68OnMcvImCLO+jIM9bKSjybgrKvKGCmtxTJP7fyCsH5Q== \ No newline at end of file Index: github/Snake-master/base.bld =================================================================== --- github/Snake-master/base.bld (revision 428) +++ github/Snake-master/base.bld (nonexistent) @@ -1,37 +0,0 @@ -Release 12.3 ngdbuild M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Command Line: /opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/ngdbuild -intstyle -ise -dd _ngo -nt timestamp -uc cons.ucf -p xc3s200a-vq100-4 base.ngc base.ngd - -Reading NGO file -"/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.ngc" -... -Gathering constraint information from source properties... -Done. - -Annotating constraints to design from ucf file "cons.ucf" ... -Resolving constraint associations... -Checking Constraint Associations... -Done... - -Checking expanded design ... - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -NGDBUILD Design Results Summary: - Number of errors: 0 - Number of warnings: 0 - -Total memory usage is 88392 kilobytes - -Writing NGD file "base.ngd" ... -Total REAL time to NGDBUILD completion: 2 sec -Total CPU time to NGDBUILD completion: 2 sec - -Writing NGDBUILD log file "base.bld"... Index: github/Snake-master/base.ptwx =================================================================== --- github/Snake-master/base.ptwx (revision 428) +++ github/Snake-master/base.ptwx (nonexistent) @@ -1,332 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -]> -Autotimespec constraint for clock net div2/temp_outAutotimespec constraint for clock net clk_50_BUFGP0INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. Index: github/Snake-master/base_pad.txt =================================================================== --- github/Snake-master/base_pad.txt (revision 428) +++ github/Snake-master/base_pad.txt (nonexistent) @@ -1,130 +0,0 @@ -Release 12.3 - par M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 19:59:22 2014 - - -INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are: -1. The _pad.txt file (this file) designed to provide information on IO usage in a human readable ASCII text format viewable through common text editors. -2. The _pad.csv file for use with spreadsheet programs such as MS Excel. This file can also be read by PACE to communicate post PAR IO information. -3. The .pad file designed for parsing by customers. It uses the "|" as a data field separator. - -INPUT FILE: base_map.ncd -OUTPUT FILE: base_pad.txt -PART TYPE: xc3s200a -SPEED GRADE: -4 -PACKAGE: vq100 - -Pinout by Pin Number: - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -|Pin Number|Signal Name|Pin Usage |Pin Name |Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage |Constraint|IO Register|Signal Integrity| -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -|P1 | | |TMS | | | | | | | | | | | | -|P2 | | |TDI | | | | | | | | | | | | -|P3 | |DIFFMLR |IO_L01P_3 |UNUSED | |3 | | | | | | | | | -|P4 | |DIFFSLR |IO_L01N_3 |UNUSED | |3 | | | | | | | | | -|P5 | |DIFFMLR |IO_L02P_3 |UNUSED | |3 | | | | | | | | | -|P6 | |DIFFSLR |IO_L02N_3 |UNUSED | |3 | | | | | | | | | -|P7 | |DIFFSI_NDT|IP_3/VREF_3 |UNUSED | |3 | | | | | | | | | -|P8 | | |GND | | | | | | | | | | | | -|P9 | |DIFFMLR |IO_L03P_3/LHCLK0 |UNUSED | |3 | | | | | | | | | -|P10 | |DIFFSLR |IO_L03N_3/LHCLK1 |UNUSED | |3 | | | | | | | | | -|P11 | | |VCCO_3 | | |3 | | | | |2.50 | | | | -|P12 |vsync |IOB |IO_L04P_3/LHCLK2 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P13 |R<0> |IOB |IO_L04N_3/IRDY2/LHCLK3|OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P14 | | |GND | | | | | | | | | | | | -|P15 |hsync |IOB |IO_L05P_3/TRDY2/LHCLK6|OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P16 |G<0> |IOB |IO_L05N_3/LHCLK7 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P17 | | |VCCINT | | | | | | | |1.2 | | | | -|P18 | | |GND | | | | | | | | | | | | -|P19 | |DIFFMLR |IO_L06P_3 |UNUSED | |3 | | | | | | | | | -|P20 |B<0> |IOB |IO_L06N_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P21 | |DIFFMI_NDT|IP_3 |UNUSED | |3 | | | | | | | | | -|P22 | | |VCCAUX | | | | | | | |2.5 | | | | -|P23 |B<1> |IOB |IO_L01P_2/M1 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |UNLOCATED |NO |NONE | -|P24 |G<2> |IOB |IO_L02P_2/M2 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |UNLOCATED |NO |NONE | -|P25 |B<2> |IOB |IO_L01N_2/M0 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |UNLOCATED |NO |NONE | -|P26 | | |VCCO_2 | | |2 | | | | |2.50 | | | | -|P27 |G<1> |IOB |IO_L02N_2/CSO_B |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |UNLOCATED |NO |NONE | -|P28 | |DIFFMTB |IO_L03P_2/RDWR_B |UNUSED | |2 | | | | | | | | | -|P29 | |DIFFSTB |IO_L03N_2/VS2 |UNUSED | |2 | | | | | | | | | -|P30 |R<1> |IOB |IO_L04P_2/VS1 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |UNLOCATED |NO |NONE | -|P31 |R<2> |IOB |IO_L04N_2/VS0 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |UNLOCATED |NO |NONE | -|P32 |R<3> |IOB |IO_L05P_2 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |UNLOCATED |NO |NONE | -|P33 | |DIFFSTB |IO_L05N_2 |UNUSED | |2 | | | | | | | | | -|P34 |led<3> |IOB |IO_L06P_2/D7 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P35 |led<2> |IOB |IO_L06N_2/D6 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P36 |led<0> |IOB |IO_L07P_2/D5 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P37 |RIGHT |IBUF |IO_L07N_2/D4 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P38 | | |VCCINT | | | | | | | |1.2 | | | | -|P39 |UP |IBUF |IP_2/VREF_2 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P40 |B<3> |IOB |IO_L08P_2/GCLK14 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |UNLOCATED |NO |NONE | -|P41 |G<3> |IOB |IO_L08N_2/GCLK15 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |UNLOCATED |NO |NONE | -|P42 | | |GND | | | | | | | | | | | | -|P43 |clk_50 |IBUF |IO_L09P_2/GCLK0 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P44 | |DIFFSTB |IO_L09N_2/GCLK1 |UNUSED | |2 | | | | | | | | | -|P45 | | |VCCO_2 | | |2 | | | | |2.50 | | | | -|P46 |led<1> |IOB |IO_2/MOSI/CSI_B |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | -|P47 | | |GND | | | | | | | | | | | | -|P48 | |DIFFMTB |IO_L10P_2/INIT_B |UNUSED | |2 | | | | | | | | | -|P49 |LEFT |IBUF |IO_L10N_2/D3 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P50 |DOWN |IBUF |IO_L11P_2/D2 |INPUT |LVCMOS25* |2 | | | |IBUF | |LOCATED |NO |NONE | -|P51 | |DIFFMTB |IO_L12P_2/D0/DIN/MISO |UNUSED | |2 | | | | | | | | | -|P52 | |DIFFSTB |IO_L11N_2/D1 |UNUSED | |2 | | | | | | | | | -|P53 | |DIFFSTB |IO_L12N_2/CCLK |UNUSED | |2 | | | | | | | | | -|P54 | | |DONE | | | | | | | | | | | | -|P55 | | |VCCAUX | | | | | | | |2.5 | | | | -|P56 | |DIFFMLR |IO_L01P_1 |UNUSED | |1 | | | | | | | | | -|P57 | |DIFFSLR |IO_L01N_1 |UNUSED | |1 | | | | | | | | | -|P58 | | |GND | | | | | | | | | | | | -|P59 | |DIFFMLR |IO_L02P_1/RHCLK0 |UNUSED | |1 | | | | | | | | | -|P60 | |DIFFSLR |IO_L02N_1/RHCLK1 |UNUSED | |1 | | | | | | | | | -|P61 | |DIFFMLR |IO_L03P_1/RHCLK2 |UNUSED | |1 | | | | | | | | | -|P62 | |DIFFSLR |IO_L03N_1/TRDY1/RHCLK3|UNUSED | |1 | | | | | | | | | -|P63 | | |GND | | | | | | | | | | | | -|P64 | |DIFFMLR |IO_L04P_1/IRDY1/RHCLK6|UNUSED | |1 | | | | | | | | | -|P65 | |DIFFSLR |IO_L04N_1/RHCLK7 |UNUSED | |1 | | | | | | | | | -|P66 | | |VCCINT | | | | | | | |1.2 | | | | -|P67 | | |VCCO_1 | | |1 | | | | |any******| | | | -|P68 | |DIFFMI_NDT|IP_1/VREF_1 |UNUSED | |1 | | | | | | | | | -|P69 | | |GND | | | | | | | | | | | | -|P70 | |DIFFMLR |IO_L05P_1 |UNUSED | |1 | | | | | | | | | -|P71 | |DIFFSLR |IO_L05N_1 |UNUSED | |1 | | | | | | | | | -|P72 | |DIFFMLR |IO_L06P_1 |UNUSED | |1 | | | | | | | | | -|P73 | |DIFFSLR |IO_L06N_1 |UNUSED | |1 | | | | | | | | | -|P74 | | |GND | | | | | | | | | | | | -|P75 | | |TDO | | | | | | | | | | | | -|P76 | | |TCK | | | | | | | | | | | | -|P77 | |DIFFMTB |IO_L01P_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P78 | |DIFFSTB |IO_L01N_0 |UNUSED | |0 | | | | | | | | | -|P79 | | |VCCO_0 | | |0 | | | | |any******| | | | -|P80 | | |GND | | | | | | | | | | | | -|P81 | | |VCCINT | | | | | | | |1.2 | | | | -|P82 | |IBUF |IP_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P83 | |DIFFMTB |IO_L02P_0/GCLK4 |UNUSED | |0 | | | | | | | | | -|P84 | |DIFFSTB |IO_L02N_0/GCLK5 |UNUSED | |0 | | | | | | | | | -|P85 |color<0> |IBUF |IO_L03P_0/GCLK6 |INPUT |LVCMOS25* |0 | | | |IBUF | |LOCATED |NO |NONE | -|P86 | |DIFFSTB |IO_L03N_0/GCLK7 |UNUSED | |0 | | | | | | | | | -|P87 | | |GND | | | | | | | | | | | | -|P88 |color<1> |IBUF |IO_L04P_0/GCLK8 |INPUT |LVCMOS25* |0 | | | |IBUF | |LOCATED |NO |NONE | -|P89 | |DIFFSTB |IO_L04N_0/GCLK9 |UNUSED | |0 | | | | | | | | | -|P90 |color<2> |IBUF |IO_0/GCLK11 |INPUT |LVCMOS25* |0 | | | |IBUF | |LOCATED |NO |NONE | -|P91 | | |GND | | | | | | | | | | | | -|P92 | | |VCCAUX | | | | | | | |2.5 | | | | -|P93 | |DIFFMTB |IO_L05P_0 |UNUSED | |0 | | | | | | | | | -|P94 | |DIFFSTB |IO_L05N_0 |UNUSED | |0 | | | | | | | | | -|P95 | | |GND | | | | | | | | | | | | -|P96 | | |VCCO_0 | | |0 | | | | |any******| | | | -|P97 | |IBUF |IP_0 |UNUSED | |0 | | | | | | | | | -|P98 | |DIFFMTB |IO_L06P_0/VREF_0 |UNUSED | |0 | | | | | | | | | -|P99 | |DIFFSTB |IO_L06N_0/PUDC_B |UNUSED | |0 | | | | | | | | | -|P100 | | |PROG_B | | | | | | | | | | | | -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - -* Default value. -** This default Pullup/Pulldown value can be overridden in Bitgen. -****** Special VCCO requirements may apply. Please consult the device - family datasheet for specific guideline on VCCO requirements. - - Index: github/Snake-master/base.cmd_log =================================================================== --- github/Snake-master/base.cmd_log (revision 428) +++ github/Snake-master/base.cmd_log (nonexistent) @@ -1,9 +0,0 @@ -xst -intstyle ise -ifn "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.xst" -ofn "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.syr" -ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc cons.ucf -p xc3s200a-vq100-4 base.ngc base.ngd -map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o base_map.ncd base.ngd base.pcf -par -w -intstyle ise -ol high -t 1 base_map.ncd base.ncd base.pcf -ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc cons.ucf -p xc3s200a-vq100-4 base.ngc base.ngd -map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off -c 100 -o base_map.ncd base.ngd base.pcf -par -w -intstyle ise -ol high -t 1 base_map.ncd base.ncd base.pcf -trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml base.twx base.ncd -o base.twr base.pcf -ucf cons.ucf -bitgen -intstyle ise -f base.ut base.ncd Index: github/Snake-master/cons.ucf =================================================================== --- github/Snake-master/cons.ucf (revision 428) +++ github/Snake-master/cons.ucf (nonexistent) @@ -1,17 +0,0 @@ -NET "clk_50" LOC = "P43"; -NET "UP" LOC = "P39"; -NET "DOWN" LOC = "P50"; -NET "RIGHT" LOC = "P37"; -NET "LEFT" LOC = "P49"; -NET "led<0>" LOC = "P36"; -NET "led<1>" LOC = "P46"; -NET "led<2>" LOC = "P35"; -NET "led<3>" LOC = "P34"; -NET "color<0>" LOC = "P85"; -NET "color<1>" LOC = "P88"; -NET "color<2>" LOC = "P90"; -NET "R<0>" LOC = "P13";#"C8" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; -NET "G<0>" LOC = "P16";#"D6" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; -NET "B<0>" LOC = "P20";#"C9" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; -NET "hsync" LOC = "P15";# | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; -NET "vsync" LOC = "P12";# | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; Index: github/Snake-master/xst/work/sub00/vhpl05.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl05.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl05.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl05.vho (nonexistent)

github/Snake-master/xst/work/sub00/vhpl05.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/sub00/vhpl06.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl06.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl06.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl06.vho (nonexistent)
github/Snake-master/xst/work/sub00/vhpl06.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/sub00/vhpl07.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl07.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl07.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl07.vho (nonexistent)
github/Snake-master/xst/work/sub00/vhpl07.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/sub00/vhpl08.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl08.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl08.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl08.vho (nonexistent)
github/Snake-master/xst/work/sub00/vhpl08.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/sub00/vhpl09.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl09.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl09.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl09.vho (nonexistent)
github/Snake-master/xst/work/sub00/vhpl09.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/sub00/vhpl00.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl00.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl00.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl00.vho (nonexistent)
github/Snake-master/xst/work/sub00/vhpl00.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/sub00/vhpl01.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl01.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl01.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl01.vho (nonexistent)
github/Snake-master/xst/work/sub00/vhpl01.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/sub00/vhpl02.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl02.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl02.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl02.vho (nonexistent)
github/Snake-master/xst/work/sub00/vhpl02.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/sub00/vhpl03.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl03.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl03.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl03.vho (nonexistent)
github/Snake-master/xst/work/sub00/vhpl03.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/sub00/vhpl04.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/Snake-master/xst/work/sub00/vhpl04.vho =================================================================== --- github/Snake-master/xst/work/sub00/vhpl04.vho (revision 428) +++ github/Snake-master/xst/work/sub00/vhpl04.vho (nonexistent)
github/Snake-master/xst/work/sub00/vhpl04.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/Snake-master/xst/work/hdllib.ref =================================================================== --- github/Snake-master/xst/work/hdllib.ref (revision 428) +++ github/Snake-master/xst/work/hdllib.ref (nonexistent) @@ -1,10 +0,0 @@ -AR fdiv behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/fdiv.vhd sub00/vhpl01 1399589891 -AR vga behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/vga.vhd sub00/vhpl03 1399589893 -EN fdiv NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/fdiv.vhd sub00/vhpl00 1399589890 -EN vga NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/vga.vhd sub00/vhpl02 1399589892 -EN base NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.vhd sub00/vhpl08 1399589898 -AR prng11 behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/prng11.vhd sub00/vhpl07 1399589897 -AR base behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.vhd sub00/vhpl09 1399589899 -EN prng11 NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/prng11.vhd sub00/vhpl06 1399589896 -AR ram behavioral /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd sub00/vhpl05 1399589895 -EN ram NULL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd sub00/vhpl04 1399589894 Index: github/Snake-master/xst/work/hdpdeps.ref =================================================================== --- github/Snake-master/xst/work/hdpdeps.ref (revision 428) +++ github/Snake-master/xst/work/hdpdeps.ref (nonexistent) @@ -1,36 +0,0 @@ -V3 19 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.vhd 2014/02/27.05:14:55 M.70d -EN work/base 1399589898 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.vhd \ - PB ieee/std_logic_1164 1284609568 PB ieee/NUMERIC_STD 1284609569 -AR work/base/Behavioral 1399589899 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.vhd \ - EN work/base 1399589898 CP work/fdiv CP work/vga CP work/ram CP work/prng11 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/fdiv.vhd 2014/02/27.05:14:55 M.70d -EN work/fdiv 1399589890 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/fdiv.vhd \ - PB ieee/std_logic_1164 1284609568 -AR work/fdiv/Behavioral 1399589891 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/fdiv.vhd \ - EN work/fdiv 1399589890 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/prng11.vhd 2014/02/27.05:14:55 M.70d -EN work/prng11 1399589896 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/prng11.vhd \ - PB ieee/std_logic_1164 1284609568 -AR work/prng11/Behavioral 1399589897 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/prng11.vhd \ - EN work/prng11 1399589896 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd 2014/02/27.05:14:55 M.70d -EN work/ram 1399589894 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd \ - PB ieee/std_logic_1164 1284609568 PB ieee/NUMERIC_STD 1284609569 -AR work/ram/Behavioral 1399589895 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd \ - EN work/ram 1399589894 -FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/vga.vhd 2014/02/27.05:14:55 M.70d -EN work/vga 1399589892 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/vga.vhd \ - PB ieee/std_logic_1164 1284609568 PB ieee/NUMERIC_STD 1284609569 -AR work/vga/Behavioral 1399589893 \ - FL /home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/vga.vhd \ - EN work/vga 1399589892 Index: github/Snake-master/base.drc =================================================================== --- github/Snake-master/base.drc (revision 428) +++ github/Snake-master/base.drc (nonexistent) @@ -1,8 +0,0 @@ -Release 12.3 Drc M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -Thu May 8 19:59:27 2014 - -drc -z base.ncd base.pcf - -DRC detected 0 errors and 0 warnings. Index: github/Snake-master/_ngo/netlist.lst =================================================================== --- github/Snake-master/_ngo/netlist.lst (revision 428) +++ github/Snake-master/_ngo/netlist.lst (nonexistent) @@ -1,2 +0,0 @@ -/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.ngc 1399589897 -OK Index: github/Snake-master/base_map.map =================================================================== --- github/Snake-master/base_map.map (revision 428) +++ github/Snake-master/base_map.map (nonexistent) @@ -1,71 +0,0 @@ -Release 12.3 Map M.70d (lin) -Xilinx Map Application Log File for Design 'base' - -Design Information ------------------- -Command Line : map -intstyle ise -p xc3s200a-vq100-4 -cm area -ir off -pr off --c 100 -o base_map.ncd base.ngd base.pcf -Target Device : xc3s200a -Target Package : vq100 -Target Speed : -4 -Mapper Version : spartan3a -- $Revision: 1.52 $ -Mapped Date : Thu May 8 19:58:56 2014 - -Mapping design into LUTs... -Running directed packing... -Running delay-based LUT packing... -Running related packing... -Updating timing models... - -Design Summary --------------- - -Design Summary: -Number of errors: 0 -Number of warnings: 0 -Logic Utilization: - Number of Slice Flip Flops: 162 out of 3,584 4% - Number of 4 input LUTs: 369 out of 3,584 10% -Logic Distribution: - Number of occupied Slices: 252 out of 1,792 14% - Number of Slices containing only related logic: 252 out of 252 100% - Number of Slices containing unrelated logic: 0 out of 252 0% - *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 461 out of 3,584 12% - Number used as logic: 369 - Number used as a route-thru: 92 - - The Slice Logic Distribution report is not meaningful if the design is - over-mapped for a non-slice resource or if Placement fails. - - Number of bonded IOBs: 26 out of 68 38% - Number of BUFGMUXs: 2 out of 24 8% - Number of MULT18X18SIOs: 2 out of 16 12% - Number of RAMB16BWEs: 2 out of 16 12% - -Average Fanout of Non-Clock Nets: 2.98 - -Peak Memory Usage: 162 MB -Total REAL time to MAP completion: 2 secs -Total CPU time to MAP completion: 2 secs - -NOTES: - - Related logic is defined as being logic that shares connectivity - e.g. two - LUTs are "related" if they share common inputs. When assembling slices, - Map gives priority to combine logic that is related. Doing so results in - the best timing performance. - - Unrelated logic shares no connectivity. Map will only begin packing - unrelated logic into a slice once 99% of the slices are occupied through - related logic packing. - - Note that once logic distribution reaches the 99% level through related - logic packing, this does not mean the device is completely utilized. - Unrelated logic packing will then begin, continuing until all usable LUTs - and FFs are occupied. Depending on your timing budget, increased levels of - unrelated logic packing may adversely affect the overall timing performance - of your design. - -Mapping completed. -See MAP report file "base_map.mrp" for details. Index: github/Snake-master/base.twr =================================================================== --- github/Snake-master/base.twr (revision 428) +++ github/Snake-master/base.twr (nonexistent) @@ -1,85 +0,0 @@ --------------------------------------------------------------------------------- -Release 12.3 Trace (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. - -/opt/Xilinx/12.3/ISE_DS/ISE/bin/lin/unwrapped/trce -intstyle ise -v 3 -s 4 -n 3 --fastpaths -xml base.twx base.ncd -o base.twr base.pcf -ucf cons.ucf - -Design file: base.ncd -Physical constraint file: base.pcf -Device,package,speed: xc3s200a,vq100,-4 (PRODUCTION 1.41 2010-09-15) -Report level: verbose report - -Environment Variable Effect --------------------- ------ -NONE No environment variables were set --------------------------------------------------------------------------------- - -INFO:Timing:2698 - No timing constraints found, doing default enumeration. -INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths - option. All paths that are not constrained will be reported in the - unconstrained paths section(s) of the report. -INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on - a 50 Ohm transmission line loading model. For the details of this model, - and for more information on accounting for different loading conditions, - please see the device datasheet. -INFO:Timing:3390 - This architecture does not support a default System Jitter - value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock - Uncertainty calculation. -INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and - 'Phase Error' calculations, these terms will be zero in the Clock - Uncertainty calculation. Please make appropriate modification to - SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase - Error. - - - -Data Sheet report: ------------------ -All values displayed in nanoseconds (ns) - -Setup/Hold to clock clk_50 -------------+------------+------------+------------------+--------+ - |Max Setup to|Max Hold to | | Clock | -Source | clk (edge) | clk (edge) |Internal Clock(s) | Phase | -------------+------------+------------+------------------+--------+ -DOWN | 2.759(R)| -0.462(R)|clk_50_BUFGP | 0.000| -LEFT | 2.289(R)| -0.772(R)|clk_50_BUFGP | 0.000| -RIGHT | 2.674(R)| -0.819(R)|clk_50_BUFGP | 0.000| -UP | 2.663(R)| -0.600(R)|clk_50_BUFGP | 0.000| -color<0> | 2.053(R)| -0.802(R)|clk_50_BUFGP | 0.000| -color<1> | 1.842(R)| -0.644(R)|clk_50_BUFGP | 0.000| -color<2> | 3.418(R)| -1.868(R)|clk_50_BUFGP | 0.000| -------------+------------+------------+------------------+--------+ - -Clock clk_50 to Pad -------------+------------+------------------+--------+ - | clk (edge) | | Clock | -Destination | to PAD |Internal Clock(s) | Phase | -------------+------------+------------------+--------+ -led<0> | 10.984(R)|clk_50_BUFGP | 0.000| -led<1> | 11.253(R)|clk_50_BUFGP | 0.000| -led<2> | 10.580(R)|clk_50_BUFGP | 0.000| -led<3> | 9.944(R)|clk_50_BUFGP | 0.000| -------------+------------+------------------+--------+ - -Clock to Setup on destination clock clk_50 ----------------+---------+---------+---------+---------+ - | Src:Rise| Src:Fall| Src:Rise| Src:Fall| -Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ----------------+---------+---------+---------+---------+ -clk_50 | 9.355| | | | ----------------+---------+---------+---------+---------+ - - -Analysis completed Thu May 8 19:59:25 2014 --------------------------------------------------------------------------------- - -Trace Settings: -------------------------- -Trace Settings - -Peak Memory Usage: 102 MB - - - Index: github/Snake-master/base_bitgen.xwbt =================================================================== --- github/Snake-master/base_bitgen.xwbt (revision 428) +++ github/Snake-master/base_bitgen.xwbt (nonexistent) @@ -1,8 +0,0 @@ -INTSTYLE=ise -INFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.ncd -OUTFILE=/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.bit -FAMILY=Spartan3A and Spartan3AN -PART=xc3s200a-4vq100 -WORKINGDIR=/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master -LICENSE=WebPack -USER_INFO=0_0_320 Index: github/Snake-master/webtalk_pn.xml =================================================================== --- github/Snake-master/webtalk_pn.xml (revision 428) +++ github/Snake-master/webtalk_pn.xml (nonexistent) @@ -1,42 +0,0 @@ - - - - -
- - - - -
-
- - - - - - - - - - - - - - - - - - - - - - - - - - -
-
-
Index: github/Snake-master/base.syr =================================================================== --- github/Snake-master/base.syr (revision 428) +++ github/Snake-master/base.syr (nonexistent) @@ -1,714 +0,0 @@ -Release 12.3 - xst M.70d (lin) -Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. ---> -Parameter TMPDIR set to xst/projnav.tmp - - -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.05 secs - ---> -Parameter xsthdpdir set to xst - - -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.05 secs - ---> -Reading design: base.prj - -TABLE OF CONTENTS - 1) Synthesis Options Summary - 2) HDL Compilation - 3) Design Hierarchy Analysis - 4) HDL Analysis - 5) HDL Synthesis - 5.1) HDL Synthesis Report - 6) Advanced HDL Synthesis - 6.1) Advanced HDL Synthesis Report - 7) Low Level Synthesis - 8) Partition Report - 9) Final Report - 9.1) Device utilization summary - 9.2) Partition Resource Summary - 9.3) TIMING REPORT - - -========================================================================= -* Synthesis Options Summary * -========================================================================= ----- Source Parameters -Input File Name : "base.prj" -Input Format : mixed -Ignore Synthesis Constraint File : NO - ----- Target Parameters -Output File Name : "base" -Output Format : NGC -Target Device : xc3s200a-4-vq100 - ----- Source Options -Top Module Name : base -Automatic FSM Extraction : YES -FSM Encoding Algorithm : Auto -Safe Implementation : No -FSM Style : LUT -RAM Extraction : Yes -RAM Style : Auto -ROM Extraction : Yes -Mux Style : Auto -Decoder Extraction : YES -Priority Encoder Extraction : Yes -Shift Register Extraction : YES -Logical Shifter Extraction : YES -XOR Collapsing : YES -ROM Style : Auto -Mux Extraction : Yes -Resource Sharing : YES -Asynchronous To Synchronous : NO -Multiplier Style : Auto -Automatic Register Balancing : No - ----- Target Options -Add IO Buffers : YES -Global Maximum Fanout : 500 -Add Generic Clock Buffer(BUFG) : 24 -Register Duplication : YES -Slice Packing : YES -Optimize Instantiated Primitives : NO -Use Clock Enable : Yes -Use Synchronous Set : Yes -Use Synchronous Reset : Yes -Pack IO Registers into IOBs : Auto -Equivalent register Removal : YES - ----- General Options -Optimization Goal : Speed -Optimization Effort : 1 -Keep Hierarchy : No -Netlist Hierarchy : As_Optimized -RTL Output : Yes -Global Optimization : AllClockNets -Read Cores : YES -Write Timing Constraints : NO -Cross Clock Analysis : NO -Hierarchy Separator : / -Bus Delimiter : <> -Case Specifier : Maintain -Slice Utilization Ratio : 100 -BRAM Utilization Ratio : 100 -Verilog 2001 : YES -Auto BRAM Packing : NO -Slice Utilization Ratio Delta : 5 - -========================================================================= - - -========================================================================= -* HDL Compilation * -========================================================================= -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/fdiv.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/vga.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/prng11.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. -Compiling vhdl file "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. - -========================================================================= -* Design Hierarchy Analysis * -========================================================================= -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for entity in library (architecture ). - -Analyzing hierarchy for entity in library (architecture ). - - -========================================================================= -* HDL Analysis * -========================================================================= -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - -Analyzing Entity in library (Architecture ). -WARNING:Xst:790 - "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd" line 35: Index value(s) does not match array range, simulation mismatch. -WARNING:Xst:790 - "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd" line 42: Index value(s) does not match array range, simulation mismatch. -WARNING:Xst:790 - "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd" line 43: Index value(s) does not match array range, simulation mismatch. -Entity analyzed. Unit generated. - -Analyzing Entity in library (Architecture ). -Entity analyzed. Unit generated. - - -========================================================================= -* HDL Synthesis * -========================================================================= - -Performing bidirectional port resolution... - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/fdiv.vhd". - Found 10-bit up counter for signal . - Found 1-bit register for signal . - Summary: - inferred 1 Counter(s). - inferred 1 D-type flip-flop(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/vga.vhd". - Found 1-bit register for signal . - Found 1-bit register for signal . - Found 4-bit register for signal . - Found 4-bit register for signal . - Found 4-bit register for signal . - Found 11-bit register for signal . - Found 1-bit register for signal . - Found 10-bit comparator less for signal created at line 81. - Found 10-bit comparator less for signal created at line 81. - Found 10-bit up counter for signal . - Found 10-bit comparator greatequal for signal created at line 70. - Found 10-bit comparator lessequal for signal created at line 70. - Found 6-bit register for signal . - Found 5-bit register for signal . - Found 11-bit adder for signal created at line 84. - Found 5x6-bit multiplier for signal created at line 84. - Found 10-bit up counter for signal . - Found 10-bit comparator greatequal for signal created at line 64. - Found 10-bit comparator lessequal for signal created at line 64. - Summary: - inferred 2 Counter(s). - inferred 37 D-type flip-flop(s). - inferred 1 Adder/Subtractor(s). - inferred 1 Multiplier(s). - inferred 6 Comparator(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/ram.vhd". - Found 1200x11-bit dual-port RAM for signal . - Summary: - inferred 1 RAM(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/prng11.vhd". - Found 1-bit xor2 for signal . - Found 11-bit register for signal . - Summary: - inferred 11 D-type flip-flop(s). -Unit synthesized. - - -Synthesizing Unit . - Related source file is "/home/lguanuco/opencores/phr/trunk/codigo/demos/github/Snake-master/base.vhd". -WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 0. -WARNING:Xst:1780 - Signal is never used or assigned. This unconnected signal will be trimmed during the optimization process. -WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. - Found finite state machine for signal . - ----------------------------------------------------------------------- - | States | 4 | - | Transitions | 60 | - | Inputs | 6 | - | Outputs | 4 | - | Clock | clk_50 (rising_edge) | - | Clock enable | direction$not0000 (positive) | - | Power Up State | 11 | - | Encoding | automatic | - | Implementation | LUT | - ----------------------------------------------------------------------- - Found finite state machine for signal . - ----------------------------------------------------------------------- - | States | 11 | - | Transitions | 18 | - | Inputs | 5 | - | Outputs | 11 | - | Clock | clk_50 (rising_edge) | - | Clock enable | direction$not0000 (positive) | - | Power Up State | 0000 | - | Encoding | automatic | - | Implementation | LUT | - ----------------------------------------------------------------------- - Using one-hot encoding for signal . - Found 11-bit comparator less for signal created at line 103. - Found 4-bit register for signal . - Found 4-bit adder for signal created at line 210. - Found 6-bit register for signal . - Found 6-bit addsub for signal created at line 146. - Found 5-bit register for signal . - Found 5-bit addsub for signal created at line 146. - Found 1-bit register for signal . - Found 3-bit register for signal . - Found 11-bit register for signal . - Found 11-bit adder for signal created at line 191. - Found 11-bit comparator greater for signal created at line 109. - Found 11-bit comparator less for signal created at line 191. - Found 5x6-bit multiplier for signal created at line 155. - Found 11-bit adder for signal created at line 120. - Found 11-bit register for signal . - Found 11-bit adder for signal created at line 96. - Found 11-bit register for signal . - Found 11-bit subtractor for signal created at line 110. - Found 1-bit register for signal . - Found 11-bit comparator greatequal for signal created at line 98. - Found 1-bit register for signal . - Found 11-bit register for signal . - Found 11-bit adder for signal created at line 179. - Found 26-bit comparator less for signal created at line 172. - Found 11-bit comparator less for signal created at line 183. - Found 4-bit comparator less for signal created at line 209. - Found 26-bit register for signal . - Found 26-bit adder for signal . - Found 3-bit register for signal . - Summary: - inferred 2 Finite State Machine(s). - inferred 94 D-type flip-flop(s). - inferred 9 Adder/Subtractor(s). - inferred 1 Multiplier(s). - inferred 7 Comparator(s). -Unit synthesized. - -INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. - -========================================================================= -HDL Synthesis Report - -Macro Statistics -# RAMs : 1 - 1200x11-bit dual-port RAM : 1 -# Multipliers : 2 - 5x6-bit multiplier : 2 -# Adders/Subtractors : 10 - 11-bit adder : 5 - 11-bit subtractor : 1 - 26-bit adder : 1 - 4-bit adder : 1 - 5-bit addsub : 1 - 6-bit addsub : 1 -# Counters : 3 - 10-bit up counter : 3 -# Registers : 24 - 1-bit register : 7 - 11-bit register : 6 - 26-bit register : 1 - 3-bit register : 2 - 4-bit register : 4 - 5-bit register : 2 - 6-bit register : 2 -# Comparators : 13 - 10-bit comparator greatequal : 2 - 10-bit comparator less : 2 - 10-bit comparator lessequal : 2 - 11-bit comparator greatequal : 1 - 11-bit comparator greater : 1 - 11-bit comparator less : 3 - 26-bit comparator less : 1 - 4-bit comparator less : 1 -# Xors : 1 - 1-bit xor2 : 1 - -========================================================================= - -========================================================================= -* Advanced HDL Synthesis * -========================================================================= - -Analyzing FSM for best encoding. -Optimizing FSM on signal with one-hot encoding. ----------------------- - State | Encoding ----------------------- - 0000 | 00000000001 - 0111 | 00000000010 - 0010 | 00000000100 - 0001 | 00000001000 - 0011 | 00000010000 - 0100 | 00000100000 - 0101 | 00001000000 - 1111 | 00010000000 - 0110 | 00100000000 - 1000 | 01000000000 - 1001 | 10000000000 ----------------------- -Analyzing FSM for best encoding. -Optimizing FSM on signal with user encoding. -------------------- - State | Encoding -------------------- - 00 | 00 - 01 | 01 - 10 | 10 - 11 | 11 -------------------- -WARNING:Xst:2677 - Node of sequential type is unconnected in block . - -Synthesizing (advanced) Unit . - Found pipelined multiplier on signal : - - 1 pipeline level(s) found in a register on signal . - Pushing register(s) into the multiplier macro. -INFO:Xst:3040 - The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): - ----------------------------------------------------------------------- - | ram_type | Block | | - ----------------------------------------------------------------------- - | Port A | - | aspect ratio | 1200-word x 11-bit | | - | mode | read-first | | - | clkA | connected to signal | rise | - | weA | connected to signal | high | - | addrA | connected to internal node | | - | diA | connected to signal | | - | doA | connected to signal | | - ----------------------------------------------------------------------- - | optimization | speed | | - ----------------------------------------------------------------------- - | Port B | - | aspect ratio | 1200-word x 11-bit | | - | mode | read-first | | - | clkB | connected to signal | rise | - | enB | connected to signal | high | - | addrB | connected to internal node | | - | doB | connected to signal | | - ----------------------------------------------------------------------- - | optimization | speed | | - ----------------------------------------------------------------------- -INFO:Xst:2385 - HDL ADVISOR - You can improve the performance of the multiplier Mmult_ram_addr_pri_mult0000 by adding 1 register level(s). -Unit synthesized (advanced). - -Synthesizing (advanced) Unit . - Found pipelined multiplier on signal : - - 1 pipeline level(s) found in a register on signal . - Pushing register(s) into the multiplier macro. -INFO:Xst:2385 - HDL ADVISOR - You can improve the performance of the multiplier Mmult_ram_addr_mult0000 by adding 1 register level(s). -Unit synthesized (advanced). -WARNING:Xst:2677 - Node of sequential type is unconnected in block . - -========================================================================= -Advanced HDL Synthesis Report - -Macro Statistics -# RAMs : 1 - 1200x11-bit dual-port block RAM : 1 -# Multipliers : 2 - 5x6-bit registered multiplier : 2 -# Adders/Subtractors : 10 - 11-bit adder : 5 - 11-bit subtractor : 1 - 26-bit adder : 1 - 4-bit adder : 1 - 5-bit addsub : 1 - 6-bit addsub : 1 -# Counters : 3 - 10-bit up counter : 3 -# Registers : 138 - Flip-Flops : 138 -# Comparators : 13 - 10-bit comparator greatequal : 2 - 10-bit comparator less : 2 - 10-bit comparator lessequal : 2 - 11-bit comparator greatequal : 1 - 11-bit comparator greater : 1 - 11-bit comparator less : 3 - 26-bit comparator less : 1 - 4-bit comparator less : 1 -# Xors : 1 - 1-bit xor2 : 1 - -========================================================================= - -========================================================================= -* Low Level Synthesis * -========================================================================= -INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches, which will be removed : -INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches, which will be removed : -INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches, which will be removed : - -Optimizing unit ... - -Optimizing unit ... - -Mapping all equations... -Building and optimizing final netlist ... -Found area constraint ratio of 100 (+ 5) on block base, actual ratio is 15. - -Final Macro Processing ... - -========================================================================= -Final Register Report - -Macro Statistics -# Registers : 162 - Flip-Flops : 162 - -========================================================================= - -========================================================================= -* Partition Report * -========================================================================= - -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- - -========================================================================= -* Final Report * -========================================================================= -Final Results -RTL Top Level Output File Name : base.ngr -Top Level Output File Name : base -Output Format : NGC -Optimization Goal : Speed -Keep Hierarchy : No - -Design Statistics -# IOs : 26 - -Cell Usage : -# BELS : 733 -# GND : 1 -# INV : 22 -# LUT1 : 91 -# LUT2 : 36 -# LUT2_D : 1 -# LUT2_L : 2 -# LUT3 : 59 -# LUT3_D : 3 -# LUT3_L : 20 -# LUT4 : 197 -# LUT4_D : 7 -# LUT4_L : 22 -# MULT_AND : 5 -# MUXCY : 126 -# MUXF5 : 22 -# VCC : 1 -# XORCY : 118 -# FlipFlops/Latches : 162 -# FDCE : 11 -# FDE : 112 -# FDR : 27 -# FDRE : 11 -# FDS : 1 -# RAMS : 2 -# RAMB16BWE : 2 -# Clock Buffers : 2 -# BUFG : 1 -# BUFGP : 1 -# IO Buffers : 25 -# IBUF : 7 -# OBUF : 18 -# MULTs : 2 -# MULT18X18SIO : 2 -========================================================================= - -Device utilization summary: ---------------------------- - -Selected Device : 3s200avq100-4 - - Number of Slices: 246 out of 1792 13% - Number of Slice Flip Flops: 162 out of 3584 4% - Number of 4 input LUTs: 460 out of 3584 12% - Number of IOs: 26 - Number of bonded IOBs: 26 out of 68 38% - Number of BRAMs: 2 out of 16 12% - Number of MULT18X18SIOs: 2 out of 16 12% - Number of GCLKs: 2 out of 24 8% - ---------------------------- -Partition Resource Summary: ---------------------------- - - No Partitions were found in this design. - ---------------------------- - - -========================================================================= -TIMING REPORT - -NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. - FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT - GENERATED AFTER PLACE-and-ROUTE. - -Clock Information: ------------------- ------------------------------------+------------------------+-------+ -Clock Signal | Clock buffer(FF name) | Load | ------------------------------------+------------------------+-------+ -clk_50 | BUFGP | 131 | -div2/temp_out1 | BUFG | 37 | ------------------------------------+------------------------+-------+ - -Asynchronous Control Signals Information: ----------------------------------------- ------------------------------------+------------------------+-------+ -Control Signal | Buffer(FF name) | Load | ------------------------------------+------------------------+-------+ -N0(XST_GND:G) | NONE(prng/val_0) | 11 | ------------------------------------+------------------------+-------+ - -Timing Summary: ---------------- -Speed Grade: -4 - - Minimum period: 10.137ns (Maximum Frequency: 98.649MHz) - Minimum input arrival time before clock: 4.553ns - Maximum output required time after clock: 8.557ns - Maximum combinational path delay: No path found - -Timing Detail: --------------- -All values displayed in nanoseconds (ns) - -========================================================================= -Timing constraint: Default period analysis for Clock 'clk_50' - Clock period: 10.137ns (frequency: 98.649MHz) - Total number of paths / destination ports: 11029 / 304 -------------------------------------------------------------------------- -Delay: 10.137ns (Levels of Logic = 6) - Source: mem/Mram_RAM2 (RAM) - Destination: ram_data_in_0 (FF) - Source Clock: clk_50 rising - Destination Clock: clk_50 rising - - Data Path: mem/Mram_RAM2 to ram_data_in_0 - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - RAMB16BWE:CLKA->DOA1 4 2.489 0.730 mem/Mram_RAM2 (ram_data_pri<10>) - LUT4_D:I0->O 1 0.648 0.500 state_cmp_eq000012 (state_cmp_eq000012) - LUT3:I1->O 17 0.643 1.054 state_cmp_eq000020 (state_cmp_eq0000) - LUT4_D:I3->O 5 0.648 0.665 inc_mux0000<0>11 (N4) - LUT3:I2->O 1 0.648 0.000 ram_data_in_mux0000<0>1_F (N52) - MUXF5:I0->O 11 0.276 0.936 ram_data_in_mux0000<0>1 (N01) - LUT4:I3->O 1 0.648 0.000 ram_data_in_mux0000<9>18 (ram_data_in_mux0000<9>) - FDE:D 0.252 ram_data_in_1 - ---------------------------------------- - Total 10.137ns (6.252ns logic, 3.885ns route) - (61.7% logic, 38.3% route) - -========================================================================= -Timing constraint: Default period analysis for Clock 'div2/temp_out1' - Clock period: 8.105ns (frequency: 123.381MHz) - Total number of paths / destination ports: 1090 / 106 -------------------------------------------------------------------------- -Delay: 8.105ns (Levels of Logic = 3) - Source: controller/Mmult_ram_addr_mult0000 (MULT) - Destination: mem/Mram_RAM1 (RAM) - Source Clock: div2/temp_out1 rising - Destination Clock: div2/temp_out1 rising - - Data Path: controller/Mmult_ram_addr_mult0000 to mem/Mram_RAM1 - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - MULT18X18SIO:CLK->P9 1 4.614 0.563 controller/Mmult_ram_addr_mult0000 (controller/ram_addr_mult0000<9>) - LUT1:I0->O 1 0.648 0.000 controller/Madd_ram_addr_add0000_cy<9>_rt (controller/Madd_ram_addr_add0000_cy<9>_rt) - MUXCY:S->O 0 0.632 0.000 controller/Madd_ram_addr_add0000_cy<9> (controller/Madd_ram_addr_add0000_cy<9>) - XORCY:CI->O 2 0.844 0.447 controller/Madd_ram_addr_add0000_xor<10> (controller/ram_addr_add0000<10>) - RAMB16BWE:ADDRB13 0.357 mem/Mram_RAM1 - ---------------------------------------- - Total 8.105ns (7.095ns logic, 1.010ns route) - (87.5% logic, 12.5% route) - -========================================================================= -Timing constraint: Default OFFSET IN BEFORE for Clock 'clk_50' - Total number of paths / destination ports: 21 / 5 -------------------------------------------------------------------------- -Offset: 4.553ns (Levels of Logic = 4) - Source: DOWN (PAD) - Destination: direction_FSM_FFd2 (FF) - Destination Clock: clk_50 rising - - Data Path: DOWN to direction_FSM_FFd2 - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - IBUF:I->O 4 0.849 0.667 DOWN_IBUF (DOWN_IBUF) - LUT4:I1->O 1 0.643 0.423 direction_FSM_FFd2-In50 (direction_FSM_FFd2-In50) - LUT4:I3->O 1 0.648 0.423 direction_FSM_FFd2-In62 (direction_FSM_FFd2-In62) - LUT4:I3->O 1 0.648 0.000 direction_FSM_FFd2-In72 (direction_FSM_FFd2-In) - FDE:D 0.252 direction_FSM_FFd2 - ---------------------------------------- - Total 4.553ns (3.040ns logic, 1.513ns route) - (66.8% logic, 33.2% route) - -========================================================================= -Timing constraint: Default OFFSET OUT AFTER for Clock 'div2/temp_out1' - Total number of paths / destination ports: 14 / 14 -------------------------------------------------------------------------- -Offset: 5.642ns (Levels of Logic = 1) - Source: controller/B_0 (FF) - Destination: B<2> (PAD) - Source Clock: div2/temp_out1 rising - - Data Path: controller/B_0 to B<2> - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDR:C->Q 3 0.591 0.531 controller/B_0 (controller/B_0) - OBUF:I->O 4.520 B_2_OBUF (B<2>) - ---------------------------------------- - Total 5.642ns (5.111ns logic, 0.531ns route) - (90.6% logic, 9.4% route) - -========================================================================= -Timing constraint: Default OFFSET OUT AFTER for Clock 'clk_50' - Total number of paths / destination ports: 19 / 4 -------------------------------------------------------------------------- -Offset: 8.557ns (Levels of Logic = 3) - Source: state_FSM_FFd4 (FF) - Destination: led<0> (PAD) - Source Clock: clk_50 rising - - Data Path: state_FSM_FFd4 to led<0> - Gate Net - Cell:in->out fanout Delay Delay Logical Name (Net Name) - ---------------------------------------- ------------ - FDE:C->Q 22 0.591 1.307 state_FSM_FFd4 (state_FSM_FFd4) - LUT3:I0->O 1 0.648 0.423 state_or0003_SW0 (N41) - LUT4:I3->O 1 0.648 0.420 state_or0003 (led_0_OBUF) - OBUF:I->O 4.520 led_0_OBUF (led<0>) - ---------------------------------------- - Total 8.557ns (6.407ns logic, 2.150ns route) - (74.9% logic, 25.1% route) - -========================================================================= - - -Total REAL time to Xst completion: 9.00 secs -Total CPU time to Xst completion: 8.15 secs - ---> - - -Total memory usage is 160980 kilobytes - -Number of errors : 0 ( 0 filtered) -Number of warnings : 8 ( 0 filtered) -Number of infos : 7 ( 0 filtered) - Index: github/Snake-master/base_summary.xml =================================================================== --- github/Snake-master/base_summary.xml (revision 428) +++ github/Snake-master/base_summary.xml (nonexistent) @@ -1,10 +0,0 @@ - - - - - - Index: github/Snake-master/base.xst =================================================================== --- github/Snake-master/base.xst (revision 428) +++ github/Snake-master/base.xst (nonexistent) @@ -1,56 +0,0 @@ -set -tmpdir "xst/projnav.tmp" -set -xsthdpdir "xst" -run --ifn base.prj --ifmt mixed --ofn base --ofmt NGC --p xc3s200a-4-vq100 --top base --opt_mode Speed --opt_level 1 --iuc NO --keep_hierarchy No --netlist_hierarchy As_Optimized --rtlview Yes --glob_opt AllClockNets --read_cores YES --write_timing_constraints NO --cross_clock_analysis NO --hierarchy_separator / --bus_delimiter <> --case Maintain --slice_utilization_ratio 100 --bram_utilization_ratio 100 --verilog2001 YES --fsm_extract YES -fsm_encoding Auto --safe_implementation No --fsm_style LUT --ram_extract Yes --ram_style Auto --rom_extract Yes --mux_style Auto --decoder_extract YES --priority_extract Yes --shreg_extract YES --shift_extract YES --xor_collapse YES --rom_style Auto --auto_bram_packing NO --mux_extract Yes --resource_sharing YES --async_to_sync NO --mult_style Auto --iobuf YES --max_fanout 500 --bufg 24 --register_duplication YES --register_balancing No --slice_packing YES --optimize_primitives NO --use_clock_enable Yes --use_sync_set Yes --use_sync_reset Yes --iob Auto --equivalent_register_removal YES --slice_utilization_ratio_maxmargin 5 Index: github/Snake-master/base_map.ngm =================================================================== --- github/Snake-master/base_map.ngm (revision 428) +++ github/Snake-master/base_map.ngm (nonexistent) @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$`f:5=6>2.Yi{g|inl9$4(5<8$9"9.+109'V`pn{`ee6-?!53"'5>6>39:;<=>?0163?56789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?012341=789;?7=>?259345>339:9339O;O95?F107?5@7>=1;J;0685567<2;:<<:4103051=6<3CE\XZ5AEFQE974294:86?;:HLSQQ=AGZ^X7O31683:46<910BB][[:C>23?699:1:47GAPTV9FFOI48=1<3?:;0:9KPRW]]0IOB[[<0594;2<9O:M=6<=;327?7678=19>?O;;3CBE7=49;18>95<2307?6448880??4FNQWW>AOF4:;1<3?=;209MKVR\3NBN1=>:1<27>552F__\XZ5DNC?74<76890??4@UURVP?BHJ59:6=0;;212747<;?0DYY^ZT;R?70<76880?7GAPTV9gjjhz5:1>3:43@AB`>5t:9:jz|??0,67?173<=1?8H:;;57FB7=3>8;087GAPTV9@LVF4:0;24?>0280?OIX\^1[HO33;2=55=32@D[YY4XEC>0>58a3=0DYY^ZT;@?7?699;1?6B[[PTV9@JVF4:0;2<<44;MVPUSS2ME[N1=50?d80?IR\Y__6J2<:1MIK:454776>31<2?=5L:457CB6>30<2?10NL80;K:47GDE5>>531:?75>?059;6A433123M9578G:7?=GEI=12546;;8;G14=F:2K;>6O>3:C246=F9890M<<<;@307>G6<:1J=8=4A040?D70:2K9>6O<2:C76>G2:2K=>6O82:C;0>G?01>0M5OM2:C:0>G;87?0M1??>49B8479=2K7=?0:;@>27;3G;9?4<7L2>7;2=1>G;9>4?7L2>>59B87833H68295N<5<7?D:26=1J0;0;;@>4:1=F414?7L26>59BE7G33HK3M95NA@:7?DGF1=1JMLK;;@CG10=FLMXJ:6OKDSC32>GCL[K:;6OKDSC242=FLMXJ=<94AEFQE4403HNO^L?<6:CG@WG5>2KOH_O<6:CG@WG3>2KOH_O:6:CG@WG1>2KOH_O86:CG@WG?>2KOH_O68:CG@WG;8730MIJ]A=33:<=FLMXJ027?6912KOH_O312<;?DBCZH6:255NDEPB878?3HNO^L2<>99B@ATF4=437LJKR@>6:==FLMXJ0;07;@FGVD:0611JHI\N<9<;?DBCZH62285NDEPA2>GCL[H;:6OKDS@23>GCL[H:<:5NDEPA5412KOH_L=6:CG@WD4>2KOH_L;6:CG@WD2>2KOH_L96:CG@WD0>2KOH_L76:CG@WD>02KOH_L30?;8EABUJ5;;245NDEPA847912KOH_L31319:CG@WD;9:437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>99B@ATE41437LJKRC>::`=FLMUL@BLNL^RJJ6=FL[80MH:4AG:G0>GAI190MAB<;@LG0>GUMN?0M^WAC09A6>D7:2H:?6L>029A545D5:2H8>6L;4:@7@740N1>15:@?55823K6:=3;4B=31:0=E489596L315<6?G:6=7?0N1?9>69A841=87?0N1?8>59A84833K692;5M<283:1=E4:4?7O2;>59A80833K6=295M<6<7?G:?6=1I040;;CAJJ0=EK@D;96LLIO35?GENF8:=7OMFN035?GENF88=7OMFN015?GENF8>=7OMFN075?GENF8<=7OMFN056?GENF;?0NNGA349AGLH3=2HHEC;:;CAJJ33DDAG3<7OMFN=2=<>DDAG6:<364BBKM847902HHEC2>2?:8FFOI489546LLIO>20;>7k0NNGA<0594;>1IOD@32?58FFOI4:4<7OMFN=6=3>DDAG6>2:5MCHL?2;19?2HHEC26>49AGJSS>2HHCXZ?6:@@KPR6?2HHCXZ>069AGJSS98=0NNAZT004?GEH]];8;6LLOTV202=EKF__=894BBMVP4003KIDYY?86:@@KPR5>2HHCXZ<6:@@KPR3>2HHCXZ:6:@@KPR1>2HHCXZ86:@@KPR?>2HHCXZ68:@@KPR;8730NNAZT=33:<=EKF__027;?89AGJSS48?556LLOTV?538e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i6J]C^QVGFCT[O_G=6K=;D67?@23<;1NM?5JC59FA@E33LLJN>5JN@18AKD692OS\L@KEE]AGAYAAEO:86KWP@LGAAYDGGY_^LGATR32?@^WIGNNHRG\E^DJH@2LM>6H74:D;<=2@CKYO87KJJ3:DGV1=AL[O>7KJ]SD18BAU53OO?7KKMA59EACE53OL?7KH?859EB4533OL9M95IF727?C@00=1MJ5>;;GD;E1=ANH;F68C969<2M7=3:4G=0=2>A;;3:586I33?37?BCIM[K_II._ORV.BIOI\880KH@JR@VF@%VH[]'L?==4GOF6?BTH]Y20KR>POCWE<>AX9VEIYK64G^0\KGSA02MT?RAMUG38M7=N8;1B=?5F239J71=NJ\L>7DLZFF08MK5OIA]ZT<<64IOKWTZ6502CEEY^P02:8MKOSXV:?46GAIUR\40>98:KMMQVX8>30ECG[SUCWA2=NF@^T<=94IOKW[5703@DBXR>=7:KMMQY7;>1BBDZP0558MKOSW9?<7D@FT^253>OIA]U;;:5FNHV\4=11BBDZP1558MKOSW8?<7D@FT^353>OIA]U:;:5FNHV\5=1B69JJLRX9J=0ECG[_0F4?LHN\V;N;6GAIU]2B2=NF@^T>=94IOKW[7703@DBXR<=7:KMMQY5;>1BBDZP2558MKOSW;?<7D@FT^053>OIA]U9;:5FNHV\6=11BBDZP3558MKOSW:?<7D@FT^153>OIA]U8;:5FNHV\7=178;HLJPZ5F?2CEEYQJHKHFIYK94LNEJGDJ43E__86BZT068HPR5>2F^X?QK6:NVP7YK<2F^X>84LTV0[A0JR\=UO:6BZT5]O1>K)?9n<7@ 80e]Of>KFXLR[MCJJD79NEUVBLh1FM]QBOEWOAa=JIYU_E@K\T@OSf>KFXV\N^^G@N0;8IIY@MGOYMYKK_QMPP(AUIGC_In5BUMV2<]7?[@E37@[CT^CM@6=J]Q?0AXVLY49NQ]@2901FmijPioa\eabt}k:;<=Qly=3=5g=JimnTecmPaefpqg6789Uhu1?11001?HgclVxjaRokds]svlYflmy~n=>?0^az8585:2GjhiQ}al]b`atXx{cTmij|uc2345Ydq5;5>85Baef\vdkXimnyS}|f_`fgwpd789:Tot2>>^pw67=JimnT~lcPaefq[utnWhnoxl?012\g|:56;?0Aljk_scn[dbczVzyeRokdrwa4567Wjs7>3Q}t308IdbcW{kfSljkr^rqmZgclzi<=>?_b{?7;423DkohR|nm^cg`wYwz`Ujhi}zb1234Ze~4:4T~y<=;Lcg`ZtfeVkohQrh]b`aurj9:;KflmUym`Qndep\twoXimnxyo>?01]`}929W{~9>6Cnde]qehYflmxT|gPaefpqg6789Uhu1;1249NeabXzhgTmij}_qpj[dbc{|h;<=>Pcx>6:Zts:;1FmijPr`o\eabuWyxbSljkst`3456Xkp6=2?;4M`fg[wgjWhno~R~}i^cg`vse89:;Snw36?]qp747@okd^pbiZgcl{U{~dQndeqvf5678Vir0:0Pru01?HgclVxjaRokds]svlYflmy~n=>?0^az8=85=2GjhiQ}al]b`atXx{cTmij|uc2345Ydq525Sz=2:Ob`aYuidUjhi|Ppsk\eabt}k:;<=Qly=;=60=JimnT~lcPaefq[utnWhnoxl?012\g|:>6Vx>>5Baef\vdkXimnyS}|f_`fgwpd789:T`xz30?0:?HgclVxjaRokds]svlYflmy~n=>?0^nvp969W@D]S=<;;Lcg`ZtfeVkohQrh]b`aurj9:;7:75318IdbcW{kfSljkr^rqmZgclzi<=>?_ymq8285;2GjhiQ}al]b`atXx{cTmij|uc2345Yg{632?=4M`fg[wgjWhno~R~}i^cg`vse89:;Sua}<8<15>KflmUym`Qndep\twoX{akyi=>?0^az858592GjhiQ}al]b`atXx{cTeo}e1234Ze~4849=6Cnde]qehYflmxT|gPsicqa5678Vir0?0=1:Ob`aYuidUjhi|Ppsk\wmgum9:;KflmUym`Qndep\twoX{akyi=>?0^az818592GjhiQ}al]b`atXx{cTeo}e1234Ze~4<49=6Cnde]qehYflmxT|gPsicqa5678Vir0;0=1:Ob`aYuidUjhi|Ppsk\wmgum9:;KflmUym`Qndep\twoX{akyi=>?0^az8=8592GjhiQ}al]b`atXx{cTeo}e1234Ze~4049>6Cnde]qehYflmxT|gPsicqa5678Vf~x1>1239NeabXzhgTmij}_qpj[vnfzl:;<=Qcuu>2:740=2:Ob`aYuidUjhi|Ppsk\wmgum9:;?01]oqq:26;80Aljk_scn[dbczVzyeR}gasg3456Xpfx7<3<<;Lcg`ZtfeVkohQrh]pldtb89:;Sua}<02=67=JimnT~lcPaefq[utnWzbj~h>?01]{kw:66;80Aljk_scn[dbczVzyeR}gasg3456Xpfx7>3<=;Lcg`ZtfeVkohQrh]pldtb89:;Sua}<2<16>KflmUym`Qndep\twoX{akyi=>?0^zlv929:;1FmijPr`o\eabuWyxbS~fnrd2345Yg{6>2?<4M`fg[wgjWhno~R~}i^qkewc789:Ttb|36?01?HgclVxjaRokds]svlYt`hxn<=>?_ymq8285:2GjhiQ}al]b`atXx{cTeo}e1234Z~hz525>?5Baef\vdkXimnyS}|f_rjbv`6789Usc26>328IdbcW{kfSnaznugq[vnfzl:;<=Qly=2=65=JimnT~lcPcnwmp`tX{akyi=>?0^az8485;2GjhiQ}al]`kphsm{Uxdl|j0123[f;97Uyx?>4M`fg[wgjWje~byk}_rjbv`6789Uhu1<1229NeabXzhgTob{atdp\wmgum9:;Pcx>7:Zts:91FmijPr`o\gjsi|lxTeo}e1234Ze~4<49?6Cnde]qehYdg|diQ|h`pf4567Wjs793Q}t328IdbcW{kfSnaznugq[vnfzl:;<=Qly=4=66=JimnT~lcPcnwmp`tX{akyi=>?0^az838Xz}8;7@okd^pbiZeh}g~n~R}gasg3456Xkp6<2?=4M`fg[wgjWje~byk}_rjbv`6789Uhu191_sv14>KflmUym`QlotlwawYt`hxn<=>?_b{?<;443DkohR|nm^alqkrbzVycmk?012\g|:?6Vx>=5Baef\vdkXkfexh|Psicqa5678Vir040=3:Ob`aYuidUhcx`{es]pldtb89:;Snw39?]qp77?01]oqq:76;=0Aljk_scn[firf}oyS~fnrd2345Yk}}6;2RGAV^215>KflmUym`QlotlwawYt`hxn<=>?_ymq8585:2GjhiQ}al]`kphsm{Uxdl|j0123[}iu48:5>85Baef\vdkXkfexh|Psicqa5678Vrd~1??>^pw64=JimnT~lcPcnwmp`tX{akyi=>?0^zlv979:81FmijPr`o\gjsi|lxTeo}e1234Z~hz585><5Baef\vdkXkfexh|Psicqa5678Vrd~1=1209NeabXzhgTob{atdp\wmgum9:;?0^zlv939:81FmijPr`o\gjsi|lxTeo}e1234Z~hz5<5><5Baef\vdkXkfexh|Psicqa5678Vrd~191209NeabXzhgTob{atdp\wmgum9:;?0^zlv9?99>1FmijPshxf[dbc89:;Snw30?34?HgclVybvhQnde2345Ydq5;5=l5Baef\wl|bWhno<=>?_b{?5;Yu|8=0Aljk_rkyaZgcl9:;KflmUxewkPaef3456Xkp692R|{169NeabX{`pnSljk0123[f;;7;j7@okd^qj~`Yflm:;<=Qly=1=[wr6?2GjhiQ|i{g\eab789:Tot2;>0c8IdbcWzcqiRokd1234Ze~4=4T~y?8;Lcg`ZunrlUjhi>?01]`}9399h1FmijPshxf[dbc89:;Snw35?]qp41a:Ob`aYtasoTmij?012\g|:16Vx=:5Baef\wl|bWhno<=>?_b{?3;7f3DkohR}fzd]b`a6789Uhu191_sv23>KflmUxewkPaef3456Xkp632?0^az8=8Xz};<7@okd^qj~`Yflm:;<=Qly=;=5d=JimnTdtj_`fg4567Wjs753Q}t0:8IdbcWzcqiRokd1234Zjr|5:5=h5Baef\wl|bWhno<=>?_mww858XAG\T<<64M`fg[vo}mVkoh=>?0^zlv969901FmijPshxf[dbc89:;Sua}<02=5f=JimnTdtj_`fg4567Wqey0<>1_sv2<>KflmUxewkPaef3456Xpfx7=3?7;Lcg`ZunrlUjhi>?01]{kw:56820Aljk_rkyaZgcl9:;8:Ob`aYtasoTmij?012\|jt;=7;37@okd^qj~`Yflm:;<=Qwos>5:4>Pxnp?=;453DkohRzfcj]`kphsm{Ujhi}zb1234Ze~4949?6Cnde]wmfmXkfexh|Paefpqg6789Uhu1??>348IdbcW}chgRm`uovfvZgclzi<=>?_b{?558Xz}887@okd^vjgnYdg|diQndeqvf5678Vir0Pcx>25;Yu|;90Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7=?0=6:Ob`aYsajaTob{atdp\eabt}k:;<=Qly=31:Zts::1FmijPthah[firf}oySljkst`3456Xkp6:?3<9;Lcg`ZrnkbUhcx`{es]b`aurj9:;;5Baef\plelWje~byk}_`fgwpd789:Tot2>5?]qp75^pw66=JimnTxdmd_bmvjqcuWhnoxl?012\g|:6?78=7@okd^vjgnYdg|diQndeqvf5678Vir0<91_sv17>KflmUenePcnwmp`tXimnxyo>?01]`}97?6;<0Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7=50Pru00?HgclV~bofQlotlwawYflmy~n=>?0^az84?9:?1FmijPthah[firf}oySljkst`3456Xkp6:53Q}t308IdbcW}chgRm`uovfvZgclzi<=>?_b{?5;423DkohRzfcj]`kphsm{Ujhi}zb1234Ze~484T~y<<;Lcg`ZrnkbUhcx`{es]b`aurj9:;KflmUenePcnwmp`tXimnxyo>?01]`}9466Vx>>5Baef\plelWje~byk}_`fgwpd789:Tot2=2?05?HgclV~bofQlotlwawYflmy~n=>?0^az8749W{~9?6Cnde]wmfmXkfexh|Paefpqg6789Uhu1<<>348IdbcW}chgRm`uovfvZgclzi<=>?_b{?668Xz}887@okd^vjgnYdg|diQndeqvf5678Vir0?:1279NeabX|`i`Snaznugq[dbc{|h;<=>Pcx>10;Yu|;80Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs7>3<:;Lcg`ZrnkbUhcx`{es]b`aurj9:;KflmUenePcnwmp`tXimnxyo>?01]`}929:<1FmijPthah[firf}oySljkst`3456Xkp6?2R|{239NeabX|`i`Snaznugq[dbc{|h;<=>Pcx>6:73?0^az838Xz}897@okd^vjgnYdg|diQndeqvf5678Vir0:0=5:Ob`aYsajaTob{atdp\eabt}k:;<=Qly=5=[wr5:2GjhiQ{ibi\gjsi|lxTmij|uc2345Ydq525>85Baef\plelWje~byk}_`fgwpd789:Tot27>^pw67=JimnTxdmd_bmvjqcuWhnoxl?012\g|:>6;?0Aljk_uk`oZeh}g~n~Rokdrwa4567Wjs753Q}t318IdbcW}chgRm`uovfvZgclzi<=>?_mww858512GjhiQ{ibi\gjsi|lxTmij|uc2345Yk}}6;2RGAV^217>KflmUenePcnwmp`tXimnxyo>?01]{kw:76;>0Aljk_uk`oZeh}g~n~Rokdrwa4567Wqey0<>1259NeabX|`i`Snaznugq[dbc{|h;<=>Pxnp?5485<2GjhiQ{ibi\gjsi|lxTmij|uc2345Yg{6:>3<;;Lcg`ZrnkbUhcx`{es]b`aurj9:;5>95Baef\plelWje~byk}_`fgwpd789:Ttb|314<10>KflmUenePcnwmp`tXimnxyo>?01]{kw:6>78?7@okd^vjgnYdg|diQndeqvf5678Vrd~1?8>368IdbcW}chgRm`uovfvZgclzi<=>?_ymq84>9:=1FmijPthah[firf}oySljkst`3456Xpfx7=40=3:Ob`aYsajaTob{atdp\eabt}k:;<=Qwos>2:7295Baef\plelWje~byk}_`fgwpd789:Ttb|320<10>KflmUenePcnwmp`tXimnxyo>?01]{kw:5:78?7@okd^vjgnYdg|diQndeqvf5678Vrd~1<<>368IdbcW}chgRm`uovfvZgclzi<=>?_ymq8729:=1FmijPthah[firf}oySljkst`3456Xpfx7>80=7:Ob`aYsajaTob{atdp\eabt}k:;<=Qwos>11;Yu|;90Aljk_uk`oZeh}g~n~Rokdrwa4567Wqey0?0=3:Ob`aYsajaTob{atdp\eabt}k:;<=Qwos>0:75318IdbcW}chgRm`uovfvZgclzi<=>?_ymq8385;2GjhiQ{ibi\gjsi|lxTmij|uc2345Yg{6<2?=4M`fg[qodcVidyczjr^cg`vse89:;Sua}<9<17>KflmUenePcnwmp`tXimnxyo>?01]{kw:>6j1Fmga}Vdppmjh5=2Ghc`~nr^pbiZgcl{U{~dQlmq]dp5678Vir0=0=5:O`khvfzVxjaRokds]svlYdeyUlx=>?0^az8485=2Ghc`~nr^pbiZgcl{U{~dQlmq]dp5678Vir0?0=6:O`khvfzVxjaRokds]svlYdeyUlx=>?0^nvp969:?1Fobcas]qehYflmxT|gPclr\cq6789Ugyy2>>348IfijxhxT~lcPaefq[utnWjg{Sjz?012\hpr;:7;n7@m`mqcq[vrf|lUha}Qct1234Ze~4949=6ClolrbvZusi}oTo`~Plu2345Ydq5:5Sz>f:O`khvfzVymykPclr\hq6789Uhu1??>0g8IfijxhxTyo{e^antZjs89:;Snw31?3f?HeheykyS~zntd]`iuYk|9:;Kdgdzj~R}{aug\ghvXd}:;<=Qly=1=5`=Jkfg{mQ|t`vf[fkwWe~;<=>Pcx>7:77?_b{?0;Yu|8o0Anabp`p\wqgsmVif|Rb{0123[f;=7;n7@m`mqcq[vrf|lUha}Qct1234Ze~4?4:i6ClolrbvZusi}oTo`~Plu2345Ydq5=5><5BcnosewYt|h~nSnc_mv3456Xkp6<2R|{1d9Ngjkwi{Uxxlzj_bos[ir789:Tot27>0g8IfijxhxTyo{e^antZjs89:;Snw39?02?HeheykyS~zntd]`iuYk|9:;?0^nvp97768l0Anabp`p\wqgsmVif|Rb{0123[iss484:j6ClolrbvZusi}oTo`~Plu2345Yk}}6920=5:O`khvfzVymykPclr\hq6789Ugyy2<>^KMRZ66n2Ghc`~nr^qweqcXkdzT`y>?01]oqq:26;?0Anabp`p\wqgsmVif|Rb{0123[iss4<4TECXP00d8IfijxhxTyo{e^antZjs89:;Sa{{<7<2b>Kdgdzj~R}{aug\ghvXd}:;<=Qcuu>;:73?_mww8=8XAG\T^pw64=J{|hT~lcPd`vb[lhXimnxyo>?01]`}979:81FxlPr`o\`drfW`dTmij|uc2345Ydq585><5Bst`\vdkXlh~jSd`Paefpqg6789Uhu1=1209NwpdXzhgThlzn_hl\eabt}k:;<=Qly=6=64=J{|hT~lcPd`vb[lhXimnxyo>?01]`}939:81FxlPr`o\`drfW`dTmij|uc2345Ydq5<5><5Bst`\vdkXlh~jSd`Paefpqg6789Uhu191209NwpdXzhgThlzn_hl\eabt}k:;<=Qly=:=64=J{|hT~lcPd`vb[lhXimnxyo>?01]`}9?9::1FxlPr`o\`drfW`dTmij|uc2345Yk}}6:<3<6;LqvfZtfeVnjxlQfn^cg`vse89:;Sa{{<02=[LHQW9897@}zb^pbiZbf|hUbbRokdrwa4567We0<0=8:OpqgYuidUomyoPio]b`aurj9:;0=8:OpqgYuidUomyoPio]b`aurj9:;?01]{kw:36;80A~{m_scn[agsiVceSljkst`3456Xpfx793<=;LqvfZtfeVnjxlQfn^cg`vse89:;Sua}<7<16>Kt}kUym`Qkauc\mkYflmy~n=>?0^zlv919:;1FxlPr`o\`drfW`dTmij|uc2345Yg{632?<4Mrwa[wgjWmkmRga_`fgwpd789:Ttb|39?08J55H689>0B<>>4:L24720768J46?;2D:=95A1027?K76:=1E=<:;;O3221=I98287C?=4:L26522568J44?<2D:?=:4N0110>H6;=>0B<=94:L27=2;86@>4068J425<2D:89:4N0650>H6<1>0B<:64:L21525368J434<2D:99:4N0760>H6=?>0B<;84:L21=2659M53633G;==95A1707?K71;=1E=;:;;O3511=I9?33G;=595A1620?K7?<2D:4<=4N0;0?K46;2D98>5A2708J15H2<:1E98=4N441?K043G<;?6@9629M2=5:87C993:L4<7=I0:1E4==4N900?K>3;2D3:>5A8918J<643G39?6@6429M=35*H0*-..N8#"uE<$F2(+*/L5.:<1D1%&'~H2),L7-A:#"'%G?*)|J5/xN; #"LD<&249L9-./v@:!E<'$(H2),-xN9#C8%&G>)(+)zL4.9k1D1%&'~H2)M4/, @:!rD=&)*|J6,7b3F7#$%pF0+K1-..N8#"B=MG=)(+(M6/6n2E6$%&qI1(J6,-/A9 #E?%F3(+*.{O6!8?0C0&'(K3.L4.#@:"&sG>)378K8./ wC;&D=&+)K3.-xN9""B='G<)(+*.{O5!;;0C0&'(K3.{O6!""B<'&qI0(}M7/.!"C8%?<4O<*+,{O7"wC9%&&F0+*}M4,yA;#"%&pF3(30?J;/ @:!$D?%I3+*/L5.9=1D1%&F0+*J5/xN: # E>'>3:M>,-O7"@;"'%G=*H1*-42'pF3(+(,L7-A:#"%&&F0+K2-,4>3F7#$sG?*)*}M4,N: !#E<$F3(+*/-O7"!C:&D=&)(0b?J;/ wC;&%&qI0(}M7/, @;!E>'&)**J4/.N9#C8%$'=3:M>,-xN8#"B='&F2+K0-,/, @:!$D?%I3+*-67%(H3),{O5"@9"%$%'I1(+,{O6#!C:&sG<)((}M7/.!:>0C0&'~H2),L7-A;#"'%G?*)*}M4,/v@8!E>'&+)K2.-O5#@9"%$'&229L9-.yA9 #E<$F2(+(,L6- @;!$D<%I2+*-,413F7#$sG?*)K2.{O5! !#E=$'I0(+zL4-v@9"%$'=5:M>,-xN8#"B=&&F2*K0-,/, @:!$sG>+K0-,/5;2E6$%pF0+*J5.O5! !#E=$'I0)+M7-N; #"%?:4O<*+zL6- @; E?'&+)K3.-O6#!C9'sG<)(+*65=H5!"uE=$'I0)J6,/, @:!$sG>*K1-,/6j2E6$%pF0+*J5.xN: # $D>%~H1*-76%(H0)zL5.!""B<'&F1A|J6,/.:91D1%&qI1(+zL7- @8!E>'&)**J4/xN9 #9o6A2()|J4/.yA8 #E?$qI2+*-..N8#"B=&&qI3)}M6/.! ;m7B3'(K3.-xN9#"B>&G<)(+(,L6-A8#">o5@=)*}M5,/v@;!$sG=*K0-,/, @:!$D?$(H0(M6/.! 8h7B3'(K3.-xN9#"uE?$qI2+*-..N8#"B=&&F2*|J7,/.!8<0C0&'~H2),{O6"@9"%&pF2(34?J;/ wC;&%pF1+|J7,/,v@8"=l5@=)*}M5,/v@; E?'&+)K3.L7.!;?0C0&'~H2),{O6#wC8%$%'I1(+M4,/A;!B?$'&)368K8./v@:!$sG=*H1*-..N8#"B=&&F2*K0-,/.9l1D1%&qI1(J5,-/A9 #E<%'I3)J7,/.!830C0&'~H2)M4/, @:!$D<%I2+*-4g%I0+(,L6- @8!rD=&)(3a?J;/ wC;&D?&+)K3.-xN9#tB>$'&159L9-.yA9 B=$%'I1(J6,/612E6$%pF0+K1-..N8#"B=&G<)(+2a>I: !tB<'G<)**J4/.N9""B>&G<)(+*5c=H5!"uE=$F3()+M5,/A8!#rD<$I2+*-,473F7#$sG?*H1*/-O7"!tB=&&qI3)J7,/.!;80C0&'~H2)zL7.#!C;&%pF1+*}M7,yA:#"%$?n;N?+,{O7"wC9%&&F0+*J5.O4! #:j6A2()|J4/xN; !#E=$'(H3)M7/,A:#"%I: @:!$D?%(H0)M6/.!8?0C0&F0+*J5/.yA; uE>'&)d9L9-O7"!C:&D<&)g9L9-O7"!C:&sG=)(30?J;/A9 #E<%'I3(J7,/.9:1D1%G?*)K2/-O5#@9"%$?:;N?+M5,/A8!#rD<%~H1*-,733F7#E=$'I0)+zL4,A:#"%h5@=)K3.-O6#@8"%<:4O<*J4/.yA8 #E?$F3(+*50=H5!C;&%pF1+*J6/xN; #"=;5@=)K3.-xN9#"uE?$qI2+*-c=H5!C;&%pF1+K1-,713F7#E=$'~H3(,{O5"wC8%$'>5:M>,L6- wC:'%pF2*K0-,/6>2E6$D>%(K2/-xN:"tB?$'&9:M>,L6-A8#j7B3'I1(}M4/612E6$D>$()*}M4,N; !B=$$F2(+27>I: @: $%G>*H0*/L5.!830C0&F0**+zL7-A;# $D?%I2+*-4g'&)d9L9-O7#!C:'D<&)89L9-O7#@;"=45@=)K3D-.yA8 B>$%'I0(J7,/.12E6$D>OI0+12>I: wC;&%&qI0(+zL4-A:#"'%G>*)K1/{O4! #"=95@=)|J4/.N9""B>&G<)(+21>I: wC;&%pF1+*J6/O4! #:;6A2(K3.-xN9#"uE?$qI2+*-40;N?+zL6- wC:&sG=)(`8K8.yA9 uE<'>7:M>,{O7#!"uE<$qI3+(zL5.!o1D1%pF0**J5/O5! ;;7B3'~H2(,L7,v@8"%<>4O<*}M5-/A8JuE?'&109L9-xN8""uE<$qI3+*53=H5!tB<&&qI0)+M7-yA:#"%<94O<*}M5-/v@; $sG=+K0-,/692E6$sG?+)|J5.xN: #j7B3'~H2(M4/e3F7#rD>$~H3*1>I:v@:?7BLZF29LJ@2V7:2Z:?6^>029S545V60:1[=4<4P318T7643Y8:?6^=229S66587]<:3:R126=W:>90\?6<;Q0:6>V4;2Z8<>5_3018T6443Y98?6^<429S705=4P540?U2>:2Z>?6^:039S27=W?;1[4>5_8418T=>53Y387]7?4:R?4;3V;9;4>7]2>3?78T9736<1[0<;15:R?53823Y6:;3;4P=3;:0=W483586^31?78T9476<1[0??15:R?67823Y69?3;4P=07:0=W4;?596^327<6?U:5?7?0\1<7>49S87?9<2Z7>3;4P=13:0=W4:;596^333<6?U:4;7?0\1=;>69S863=87?0\1=:>59S86833Y6?295_<4<7?U:16=1[0:0;;Q>;:1=W40487]OK4:RBVQ>5_RD68TWC@981[^HIPCMIJJSCU[@EE=6_>;S68V969<2X7=3:4R=0=2>T;;3:586\33?;8VDKE9?H\Il5]E@F\BLTT\>1YI@AYEE33?WCJG_OOSY\NSIABJ463:4g3;8V`urd}6:2l5]erwop9799h1Yi~{ct=3=6<=Umzgx1<1a:Pfwpjs4;4:m6\jstnw878512Xnxb{<20c8V`urd}6?2?74Rdqvhq:26h1Yi~{ct=7=5d=Umzgx1;1289Qavsk|5<5m6\jstnw8386i2Xnxb{<7<1=>Tb{|f0:0n;Sgpqir;?7;j7_k|umv?3;4>3[oxyaz38?c8V`urd}632::d=Umzgx1711`9Qavsk|535><5\129P7DYUIDH::OYJ_EUB[7743Z9JS_OBB04AS@YC_HU2=>5\3@]QEHD6>K]NSIYM_330?V5FW[KFN<8MWD]GSGY>;2YN@>5\EU:8W@RUMZO_?6]IF89PMBYDG\D_=>5\IL]@KIJN[@EESNFJCJ18WKM43ZXHh6]]C^JJAWGUKAS?7^\\T69PVSGKWH=0__XNL^@7?VUB\=1X__O;;RQQF==T\\I@SLZ;;R[MG<=TQGITMYZ]f:VBVBCSWYKYXYW_E99WAWKNFHF=7YGJOGD27>RUIGYMC_CNTHMM[WSKMk1_^L@\P@PFJQ2<\PZN:6[AURGG6>SW?2_[SDLZFb9VW@TX^@YBNAK<;WA@=>PNM^U_U]K<;VGB0>QBI9>0[HO>4:UFE72<_LK8:6YJA=2=2>QBI5;5:6YJA=0=<>QBI591<384WDC?7;5<_LH?7ZKM059TAG733^OI>95XEC15?RCE494=7ZKM<0<5?RCE4;437ZKM<283:3=PMK682n5XRHVF[HICMVKh7Z\FTD]NKACXJ8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA:1SC_;4XNP@]3=_[]FBN:5WSU]DJA1^QCVG^TNW6;YTH[HS_N<30T[EPXNP@]3=_lkUBhh5Wdi]@kwtEdfi`<>4Xej\GjtuFl~|ce|c:ZglZEhz{Zbb~?7;Yfk[HgwKfxyOb`|t`lwPwgt`890TifPM`r@kwtJm{mnhYa>7:ZglZKfxJey~_kbowggPwgt`k1SheQ_rhoJ`}dPBTDDT47>99`kiiu4;4h7nacos]3[LDRNj1hcaa}_0]JFP@d3jegcQ=_H@VBg=dgg~ycabjr.d8gjhszffgi!M<1ehf}xd`ak}/C]3a>ehf}xd`ak}/C]052=dgg~ycabjr.@\ip~78997<3?6;bmmpwikdlx$NRczx123796998;j7naatsmoh`t(JVg~t=>?3=2=7465=2idby|`lmgq+GYj}q:;<>2?>233+ISSc:aljqthdeoy#OQbuy2346:76:;;#B?n;bmmpwikdlx$NRczx1237969;8=:i6m`nuplhicu'KUfyu>?02>3:670WZ];=n5loovqkijbz&HTaxv?011?4;Yelm8:;6m`nuplhicu'KUfyu>?02>0:4?0>10c8gjhszffgi!M_lw{45644:49=>?n;bmmpwikdlx$NRczx1237959:;8:m6m`nuplhicu'KUfyu>?02>0:722:<1hccz}omnfv*DXe|r;<==33?071*JR\=UGSO[I1b9`kkrugefn~"LPmtz3455;;78?9"A>a:aljqthdeoy#OQbuy2346:46;><=95loovqkijbz&HTbbz?01021>ehf}xd`ak}/C]mkq678;;m7naatsmoh`t(O5:5j6m`nuplhicu'N682h5loovqkijbz&MT2?>0323>ehf}xd`ak}/F]nq}678:682<74cnlwvjjkm{%LS`{w012086869;<0ob`{rnnoaw)JimnT~lcPaefq[dbc89:;Snw30?05?fii|{eg`h| M`fg[wgjWhno~Rokd1234Ze~4849:6m`nuplhicu'DkohR|nm^cg`wYflm:;<=Qly=0=63=dgg~ycabjr.Ob`aYuidUjhi|Paef3456Xkp682?84cnlwvjjkm{%FmijPr`o\eabuWhno<=>?_b{?0;413jeexacldp,IdbcW{kfSljkr^cg`5678Vir080=6:aljqthdeoy#@okd^pbiZgcl{Ujhi>?01]`}909:01hccz}omnfv*KflmUym`Qndep\eab789:Tot29>^pw63=dgg~ycabjr.Ob`aYuidUjhi|Paef3456Xkp6<2?74cnlwvjjkm{%FmijPr`o\eabuWhno<=>?_b{?3;Yu|;<0ob`{rnnoaw)JimnT~lcPaefq[dbc89:;Snw38?0:?fii|{eg`h| M`fg[wgjWhno~Rokd1234Ze~414T~y<9;bmmpwikdlx$Aljk_scn[dbczVkoh=>?0^az8<8512idby|`lmgq+HgclVxjaRokds]b`a6789Uhu171_sv13>ehf}xd`ak}/Lcg`ZtfeVkohQnde2345Yk}}6;2?94cnlwvjjkm{%FmijPr`o\eabuWhno<=>?_mww8485?2idby|`lmgq+HgclVxjaRokds]b`a6789Ugyy2=>358gjhszffgi!Baef\vdkXimnySljk0123[iss4:49;6m`nuplhicu'DkohR|nm^cg`wYflm:;<=Qcuu>7:71?01]{kw:76;20ob`{rnnoaw)JimnT~lcPaefq[dbc89:;Sua}<02=62=dgg~ycabjr.Ob`aYuidUjhi|Paef3456Xpfx7=3<8;bmmpwikdlx$Aljk_scn[dbczVkoh=>?0^zlv949:>1hccz}omnfv*KflmUym`Qndep\eab789:Ttb|33?04?fii|{eg`h| M`fg[wgjWhno~Rokd1234Z~hz5>5>:5loovqkijbz&GjhiQ}al]b`atXimn;<=>Pxnp?1;403jeexacldp,IdbcW{kfSljkr^cg`5678Vrd~181269`kkrugefn~"Cnde]qehYflmxTmij?012\|jt;?78<7naatsmoh`t(EhnoSob_`fgvZgcl9:;e:aljqthdeoy#@m`uov\lfirf}Uhu1?1209`kkrugefn~"Clotlw[meh}g~Tot2>>^pw5`=dgg~ycabjr.O`kphsWaidyczPcx>1:77ehf}xd`ak}/LalqkrX`je~byQly=7=64=dgg~ycabjr.O`kphsWaidyczPcx>6:Zts9l1hccz}omnfv*Kdg|dSem`uov\g|:16;;0ob`{rnnoaw)JkfexRflotlw[f;>7Uyx;bmmpwikdlx$Anaznu]kgjsi|Vir0:0Pru3f?fii|{eg`h| MbmvjqYokfexRmv<9<15>ehf}xd`ak}/LalqkrX`je~byQly=:=[wr6n2idby|`lmgq+Heh}g~Tdnaznu]oqq:76;?0ob`{rnnoaw)JkfexRflotlw[iss494TECXP00d8gjhszffgi!BcnwmpZndg|dSua}<1<2b>ehf}xd`ak}/LalqkrX`je~byQwos>2:4`2f:aljqthdeoy#@m`uov\lfirf}Usc28>0d8gjhszffgi!BcnwmpZndg|dSua}<9<2b>ehf}xd`ak}/LalqkrX`je~byQwos>::74<5loovqkijbz&Ghcx`{_walqkrXkp6:2R|{1d9`kkrugefn~"Clotlw[seh}g~Tot2=>338gjhszffgi!BcnwmpZpdg|dSnw32?]qp4c5Sz>e:aljqthdeoy#@m`uov\rfirf}Uhu1;1209`kkrugefn~"Clotlw[seh}g~Tot2:>^pw5`=dgg~ycabjr.O`kphsWidyczPcx>5:774T~y?j;bmmpwikdlx$Anaznu]ugjsi|Vir050=1:aljqthdeoy#@m`uov\rfirf}Uhu161_sv2b>ehf}xd`ak}/LalqkrX~je~byQcuu>3:73f:aljqthdeoy#@m`uov\rfirf}Usc2>>0d8gjhszffgi!BcnwmpZpdg|dSua}<3<2b>ehf}xd`ak}/LalqkrX~je~byQwos>0:4`f:aljqthdeoy#@m`uov\rfirf}Usc26>308gjhszffgi!BcnwmpZpdg|dSua}<8<\vq453jeexacldp,Ihsk|VxjaRokds]nqir789:m7naatsmoh`t(Z5:5j6m`nuplhicu'[682h5loovqkijbz&XT2?>0323>ehf}xd`ak}/S]nq}678:682<74cnlwvjjkm{%YS`{w0120868698>0ob`{rnnoaw)okfex1>1159`kkrugefn~"flotlw8486<2idby|`lmgq+meh}g~7>3?;;bmmpwikdlx$dnaznu>0:425=95loovqkijbz&bhcx`{<4<20>ehf}xd`ak}/ialqkr;>7;?7naatsmoh`t(`je~by28>068gjhszffgi!gcnwmp9>99=1hccz}omnfv*ndg|d040>3:aljqthdeoy#em`uov\445ehf}xd`ak}/ialqkrX>890ob`{rnnoaw)okfexR9>3:aljqthdeoy#em`uov\<458:aljqthdeoy#e}vnb]bja6789;j7naatsmoh`t(`zseoRoad1234666m2idby|`lmgq+mu~fjUjbi>?0113[VQ79h1hccz}omnfv*ntqgiTmcj?0127742ehf}xd`ak}/qk{ai~;:7;?7naatsmoh`t(x`rn`u2<>068gjhszffgi!iygo|9299=1hccz}omnfv*vnplfs080>3:aljqthdeoy#}gwemz\445ehf}xd`ak}/qk{ai~Xign;<=>>b:aljqthdeoy#}gwemz\ekb789::>3?j;bmmpwikdlx$~lcPaefq[dbc89:;0>0>e:aljqthdeoy#ob_`fgvZgcl9:;<1:11d9`kkrugefn~"|nm^cg`wYflm:;<=2:>0g8gjhszffgi!}al]b`atXimn;<=>36?3f?fii|{eg`h| r`o\eabuWhno<=>?<6<2a>ehf}xd`ak}/scn[dbczVkoh=>?0=:=5`=dgg~ycabjr.pbiZgcl{Ujhi>?01>::4`3?i;bmmpwikdlx$~lcPaefq[hsk|9:;<1=11g9`kkrugefn~"|nm^cg`wYj}e~;<=>34?3e?fii|{eg`h| r`o\eabuWdgx=>?0=7=5c=dgg~ycabjr.pbiZgcl{Ufyaz?012?2;7a3jeexacldp,vdkXimnyS`{ct12349199o1hccz}omnfv*tfeVkohQbumv3456;07;m7naatsmoh`t(zhgTmij}_lwop5678535=95loovqkijbz&|hcx`{<1<20>ehf}xd`ak}/walqkr;97;?7naatsmoh`t(~je~by2=>068gjhszffgi!ycnwmp9599=1hccz}omnfv*pdg|d090>4:aljqthdeoy#{m`uov?1;733jeexacldp,rfirf}6=2<:4cnlwvjjkm{%}ob{at=5=51=dgg~ycabjr.t`kphs414:86m`nuplhicu'idycz39?30?fii|{eg`h| vbmvjqY79:1hccz}omnfv*pdg|dS5loovqkijbz&|hcx`{_630?fii|{eg`h| vbmvjqY?9:1hccz}omnfv*pdg|dS4?6;bmmpwikdlx$znaznu]bja6789;i7naatsmoh`t(~je~byQnne2345739h1hccz}omnfv*pdg|dSl`k012364d?0272f>ehf}xd`ak}/walqkrXign;<=>:2028gjhszffgi!ysxl`5==dgg~ycabjr.tp}keXign;<=>>a:aljqthdeoy#{}vnb]bja6789;;=l5loovqkijbz&|xucmPaof345630830ob`{rnnoaw)q{pdhSl`k012314g?7431?aoumj~bccQISL]EBa76=2nb~hm{inl\BVKXNOn:!D`>7:fjv`esafdTJ^CPFGf2)Lh6;8=0hd|jcukljZ@TEVLMh<#Fn4;2=>bnzlieb`PFRO\BCb6%@d>5RH>9:fjv`esafdTJ^CPFGf2)Lh21VM:;6jfrdawmjhXNZGTJKj>-Hl;4447ig}ebvjkkYA[DUMJi<"Io34?aoumj~bccQISL]EBa4*Ag;?=:5kisg`pliiWOYFSKHk2,Km15703mcyinzfoo]EWHYANm8&Ec8=169gmwcd|`eeSK]B_GDg6(Oi?;;?7ig}ebvjkkYdeyUgx=>?0078`ltbk}cdbRmbp^nw45679830hd|jcukljZejxVf<=>?1^QT472?00327>bnzlieb`Pnnv345769<1oe{< 169gms4(Eje~byQlotlw[f;87;<7igy2.O`kphsWje~byQly=3=5d=ca8$Anaznu]`kphsWjs7=3Q}t058`lp5'DidyczPcnwmpZe~4;4:m6jfv3-Ngjsi|VidyczPcx>1:Zts9>1oe{< MbmvjqYdg|dSnw33?3b?aoq:&Ghcx`{_bmvjqYdq595Sz>7:fjr7)JkfexRm`uov\g|:368k0hdx=/LalqkrXkfexRmv<5<\vq703mc}>"Clotlw[firf}Uhu1;11`9gms4(Eje~byQlotlw[f;=7Uyx<94dht1+Heh}g~Tob{at^az8386i2nbz?!BcnwmpZeh}g~Tot29>^pw52=ca8$Anaznu]`kphsWjs7;3?n;eku6*Kdg|dSnaznu]`}919W{~:;6jfv3-Ngjsi|VidyczPcx>;:4g8:fjr7)JkfexRm`uov\|jt;;7;37igy2.O`kphsWje~byQwos>7:4>"Clotlw[firf}Usc27>0:8`lp5'DidyczPcnwmpZ~hz535=o5kiw0,Ifirf}Uhcx`{_ymq8<8Xz}n0hdx=/bmvjq:76m1oe{< cnwmp979l2nbz?!lotlw878c3mc}>"m`uov?7;b7:a=ca8$ob{at=7=`>bn~;%hcx`{<7e9gms4(kfex171c:fjr7)dg|dS=m4dht1+firf}U:o6jfv3-`kphsW;i0hdx=/bmvjqY4k2nbz?!lotlw[1ebn~;%hcx`{_7a8`lp5'je~byQ8c:fjr7)dg|dS5m4dht1+firf}U2=85kiw0,gjsi|Vif|Rk~012352=ca8$ob{at^antZcv89:;=1oe{< cnwmpZejxVoz<=>?39f8`lp5'}of|Raztd9gms4(|lg{Sb{{1008`lp5'}of|Razt^@VBB463mc}>"zjmq]lqqYE]OMTE=Qbuy]paiYtan;>7igy2.vfiuYh}}Uecy>?003`?aoq:&~na}Q`uu]mkq6788;TECXP049kw|hdi2bxucmPOCWE2>oik5:5:6gac=3=2>oik585:6gac=1=1>oikV:>7d`l_078mkeX:<1bbnQ1119jjfYj}q:;<=2?>032f>oikVg~t=>?0=2=54)K]]>THRLZF038mkeXe|r;<=>30?625466gac^ov|56785:5S^Y?e:kmgZkrp9:;<1?1119jjfYj}q:;<=2>>3424>oikVg~t=>?0=3=717e3`dhS`{w01238484<&F^X9QC_CWE57=nfjUfyu>?01>2:62(G8:0ecmPmtz3456;97>;j6gac^ov|56785;55h5fnb]nq}6789692<>4ioa\ip~789:7>3=<1c9jjfYj}q:;<=2=>21,HPR3WEUIYK?=;hl`[hs89:;0?0<3.M20>oikVg~t=>?0=0=76YT_9;;7d`l_lw{45674;485k5fnb]nq}67896924k4ioa\ip~789:7?3??;hl`[hs89:;0>0>5068mkeXe|r;<=>33?36[VQ7991bbnQbuy2345:46:>:n6gac^ov|5678595?9!CUU6\HZDRN880ecmPmtz3456;;79?#B?;;hl`[hs89:;0>0<4^QT4462fnh1>16:nf`979>2fnh1<18:nf`95=87<0`hj33?c8h`bX8VEIYKo4ldf\5ZIE]Ok0`hjP2^MAQCgvoqzchmaQhrnws[aoq:&~na}Q`uu3e?un~{`ij`Ri}otr\vdkXlh~jS}|f<02=5`=w`pybolbPgsmvtZtfeVnjxlQrh>4:2=wgzr7<394pnq{84803yext1<17:rlw}:46>1{c~v34?58tju4<4=7}a|x^25?uitpV;=7}a|x^05?uitpV9=7}a|x^65?uitpV?m7}a|x^ov|56785:5=:5orz\ip~789:7<3?>49]PS4703yextRczx12349699;;?S^Y?129skv~Xe|r;<=>30?3163723yextRczx12349699;8=SK?:;qmp|Zkrp9:;<1>11305[B753yextRczx12349699;?:?6~`sy]nq}67896;2<=>1068tjuWds<=>?<1<274769=1{c~vPmtz3456;87;8=<<>6:rlw}Yj}q:;<=2?>0125Z`29;1{c~vPmtz3456;87;3=<=4pnq{[hs89:;0=0>80327>vh{qUfyu>?01>3:4>6:8?0|b}w_lw{4567494:431?30?uitpVg~t=>?0=3=64159>1{c~vPmtz3456;978:;?Q\W13;?uitpVg~t=>?0=3=6415WZ];=<64pnq{[hs89:;0<0=160\WR659h1{c~vPmtz3456;978:;?Q\W1]e1449:PSV226>vh{qUfyu>?01>2:7?>9?1{c~vPmtz3456;97825R]X0038tjuWds<=>?<0<;54e6'F;>7}a|x^ov|56785;5Sojk11d8tjuWds<=>?<3<27>vh{qUfyu>?01>1:4779890|b}w_lw{45674;4:=:<>7:rlw}Yj}q:;<=2=>0346ZUP8820|b}w_lw{45674;4:=:a:rlw}Yj}q:;<=2=>0346ZUP8Vl>=?5orz\ip~789:7>3?=6048tjuWds<=>?<3<263YT_8;97}a|x^ov|5678585=;:>2:rlw}Yj}q:;<=2=>0;;b>vh{qUfyu>?01>0:443:rlw}Yj}q:;<=2<>045545?8:96~`sy]nq}6789682<89_g7e?uitpVg~t=>?0=6=55=wgzrTaxv?012?0;56<2zduQbuy2345:36:UX[=h4pnq{[hs89:;080>1:rlw}Yj}q:;<=2:>034?uitq5:5;6~`sx>2:2=wgzs7>394pnqz86803yexu1:16:rlw|Y7>2zdtQ>6:rlw|Y5>2zdtQ<6:rlw|Y3n2zdtQbuy2344:768;0|b}v_lw{45664949<<=4pnqz[hs89::0=0=10421>vh{pUfyu>?00>3:776>VL:96~`sx]nq}67886;2??>6^E26>vh{pUfyu>?00>3:7449:1{c~wPmtz3457;8789?1231150=wgzsTaxv?013?4;45;Vl>=?5or{\ip~789;7<3<;8008tju~Wds<=>><1<11=763yexuRczx1235969>8;h7}a|y^ov|56795:5:0|b}v_lw{4566494Tnij9f:rlw|Yj}q:;<<2>>018tju~Wds<=>><0<25626?2zdtQbuy2344:668;88R]X0018tju~Wds<=>><0<25=06?2zdtQbuy2344:668;3:R]X0038tju~Wds<=>><0<2645?1=3=5720:8<0|b}v_lw{4566484:>99Pf4d8tju~Wds<=>><3<23>vh{pUfyu>?00>1:471?VY\<<74pnqz[hs89::0?0>175\WR6XN830|b}v_lw{45664;4:=;9PSV2\C45vh{pUfyu>?00>0:41>9:rlw|Yj}q:;<<2<>0300>167251=wgzsTaxv?013?7;76?<8::6~`sx]nq}6788682;qmp}Zkrp9:;=1:12078twh`'8>0|`h/LzlvZ`eW[oxyaz>7:rqjb)ajk1{~ci v`n?4;evufn%}ma2>>c9svka(~hf7>3l4psld+sgk4:4i7}|ag.tbh929j2zybj!yam>6:g=wzgm$zlb36?`8twh`'kg0:0m;qpmc*pfd525n6~}nf-uei:>6h1{~ci v`n\4d=wzgm$zlbP1c9svka(~hfT==o4psld+sgkW;k0|`h/wco[6g?01>3:4723{kfSlm{inl\ip~789:7=3?9;scn[desafdTaxv?012?5;7a3{kfSljkr^rqm969991ym`Qndep\two;994m7ob_`fgvZvua5;5j6|nm^cg`wYwz`692k5}al]b`atXx{c7?3h4r`o\eabuWyxb090i;scn[dbczVzye1;1f:pbiZgcl{U{~d29>g9qehYflmxT|g37?d8vdkXimnyS}|f<9tfeVkohQrh]3a>tfeVkohQrh]2b>tfeVkohQrh]24`=uidUjhi|Ppsk\6`=uidUjhi|Ppsk\7`=uidUjhi|Ppsk\0`=uidUjhi|Ppsk\1`=uidUjhi|Ppsk\2`=uidUjhi|Ppsk\3`=uidUjhi|Ppsk\<`=uidUjhi|Ppsk\=4d311<2e>tfeVkohQrh]b`aurj9:;<1:11`9qehYflmxT|gPaefpqg67896>27;j7ob_`fgvZvuaVkoh~{m01238286i2xjaRokds]svlYflmy~n=>?0=:=5d=uidUjhi|Ppsk\eabt}k:;<=26>0:8vdkXimnyS}|f_lwop56785:5=45}al]b`atXx{cTaxb{01238469911ym`Qndep\twoXe|f<=>?<0<2<>tfeVkohQrh]nqir789:7>3?7;scn[dbczVzyeRczlu2345:46820~lcPaefq[utnWdgx=>?0=6=5==uidUjhi|Ppsk\ipjs89:;080>8:pbiZgcl{U{~dQbumv3456;>7;37ob_`fgvZvuaVg~`y>?01>4:4>?00>3:4b0^QT47>0^QT4*JR\;UGSO[I1g9qehYflmxT|gPmtz3457;87;;S^Y?/N3:?wgjWhno~R~}i^ov|56795:5=:?7;scn[dbczVzyeRczx1235969=8k0~lcPaefq[utnWds<=>><1<65<7d3{kfSljkr^rqmZkrp9:;=1>150;\B4e?4?l;scn[dbczVzyeRczx1235969=VY\4]PS5)H9h1ym`Qndep\twoXe|r;<=?30?4214g< LTV7[IYE]O;h7ob_`fgvZvuaVg~t=>?1=2=264(G8k0~lcPaefq[utnWds<=>><1<5067f3{kfSljkr^rqmZkrp9:;=1>16652<>tfeVkohQrh]nq}67886:<3?n;scn[dbczVzyeRczx123597768:996|nm^cg`wYwz`Ufyu>?00>24;77'E__?RBPBTD2g>tfeVkohQrh]nq}67886:<3??/N3b?wgjWhno~R~}i^ov|56795;;2<9>9:pbiZgcl{U{~dQbuy2344:687?:h6|nm^cg`wYwz`Ufyu>?00>24;3X[^:946|nm^cg`wYwz`Ufyu>?00>24;3X[^:$@XZ<_M]AQC7a3{kfSljkr^rqmZkrp9:;=1??>4]PS5)H9>1ym`Qndep\twoXe|r;<=?31?3:?wgjWhno~R~}i^ov|56795;5==<;;scn[dbczVzyeRczx123597999%GYY=PL^@VB4d0.M2=>tfeVkohQrh]nq}67886:2<9>8:pbiZgcl{U{~dQbuy2344:66<;h7ob_`fgvZvuaVg~t=>?1=3=1ZUP8;=0~lcPaefq[utnWds<=>><0<6[VQ7'E__?RBPBTD2a>tfeVkohQrh]nq}67886:28Q\W1-L52=uidUjhi|Ppsk\ip~789;7>3?6;scn[dbczVzyeRczx1235949998?7ob_`fgvZvuaVg~t=>?1=0=55)K]]9T@RLZF0`8vdkXimnyS}|f_lw{45664;4:<"A>9:pbiZgcl{U{~dQbuy2344:568=:46|nm^cg`wYwz`Ufyu>?00>1:07d3{kfSljkr^rqmZkrp9:;=1<15^QT471e:pbiZgcl{U{~dQbuy2344:56?1=1=55433{kfSljkr^rqmZkrp9:;=1=111-OQQ5XDVH^J?00>0:41602xjaRokds]svlYj}q:;<<2<>43`?wgjWhno~R~}i^ov|56795959R]X0358vdkXimnyS}|f_lw{45664:4>S^Y?/MWW7ZJXJ\L:i6|nm^cg`wYwz`Ufyu>?00>0:0YT_9%D=:5}al]b`atXx{cTaxv?013?0;7>3{kfSljkr^rqmZkrp9:;=1:11107?wgjWhno~R~}i^ov|56795>5==!CUU1\HZDRN8h0~lcPaefq[utnWds<=>><5<24*I612xjaRokds]svlYj}q:;<<2;>052<>tfeVkohQrh]nq}67886?28?l;scn[dbczVzyeRczx1235929=VY\4]PS5)H9>1ym`Qndep\twoXe|r;<=?35?3:?wgjWhno~R~}i^ov|56795?5==<;;scn[dbczVzyeRczx123593999%GYY=PL^@VB4d0.M2=>tfeVkohQrh]nq}67886>2<9>8:pbiZgcl{U{~dQbuy2344:26<;h7ob_`fgvZvuaVg~t=>?1=7=1ZUP8;=0~lcPaefq[utnWds<=>><4<6[VQ7'E__?RBPBTD2a>tfeVkohQrh]nq}67886>28Q\W1-L52=uidUjhi|Ppsk\ip~789;7:3?6;scn[dbczVzyeRczx1235909998?7ob_`fgvZvuaVg~t=>?1=4=55)K]]9T@RLZF0`8vdkXimnyS}|f_lw{45664?4:<"A>9:pbiZgcl{U{~dQbuy2344:168=:46|nm^cg`wYwz`Ufyu>?00>5:07d3{kfSljkr^rqmZkrp9:;=1815^QT471e:pbiZgcl{U{~dQbuy2344:16?1=5=55433{kfSljkr^rqmZkrp9:;=19111-OQQ5XDVH^J?00>4:41602xjaRokds]svlYj}q:;<<28>43`?wgjWhno~R~}i^ov|56795=59R]X0358vdkXimnyS}|f_lw{45664>4>S^Y?/MWW7ZJXJ\L:i6|nm^cg`wYwz`Ufyu>?00>4:0YT_9%D=:5}al]b`atXx{cTaxv?013?<;7>3{kfSljkr^rqmZkrp9:;=1611107?wgjWhno~R~}i^ov|5679525==!CUU1\HZDRN8h0~lcPaefq[utnWds<=>><9<24*I612xjaRokds]svlYj}q:;<<27>052<>tfeVkohQrh]nq}67886328?l;scn[dbczVzyeRczx12359>9=VY\4]PS5)H9>1ym`Qndep\twoXe|r;<=?39?3:?wgjWhno~R~}i^ov|5679535==<;;scn[dbczVzyeRczx12359?999%GYY=PL^@VB4d0.M2=>tfeVkohQrh]nq}6788622<9>8:pbiZgcl{U{~dQbuy2344:>6<;h7ob_`fgvZvuaVg~t=>?1=;=1ZUP8;=0~lcPaefq[utnWds<=>><8<6[VQ7'E__8RBPBTD2a>tfeVkohQrh]nq}67886228Q\W1-L52=uidUjhi|Ppsk\ip~78987<3?7;scn[dbczVzyeRczx123696998i0~lcPaefq[utnWds<=>=<0<\idhc9m1ym`Qndep\twoXe|r;<=<31?]nekb69j1ym`Qndep\twoXe|r;<=<32?]nekb6l2xjaRokds]svlYj}q:;^obja76k2xjaRokds]svlYj}q:;^obja7c3{kfSljkr^rqmZkrp9:;>1=1_lcm`47d3{kfSljkr^rqmZkrp9:;>1:1_lcm`4b9:pbiZgcl{U{~dQbuy2346:687;:;6|nm^cg`wYwz`Ufyu>?02>5:4>169qehYflmxT|gPmtz3455;?7;37ob_`fgvZvuaVg~t=>?3=5=5418:pbiZgcl{U{~dQbuy2346:?68;<7ob_`fgvZvuaVg~t=>?3=;=5==uidUjhi|Ppsk\ip~7899753?>4:pbiZgcl{U{~dQaou2347723{kfSljkr^rqmZhh|9:;>?<0<2=>tfeVkohQrh]pldtb89:;0?0>9:pbiZgcl{U{~dQ|h`pf45674:4:56|nm^cg`wYwz`Uxdl|j0123818612xjaRokds]svlYt`hxn<=>?<4<2=>tfeVkohQrh]pldtb89:;0;0>9:pbiZgcl{U{~dQ|h`pf45674>4:56|nm^cg`wYwz`Uxdl|j01238=8612xjaRokds]svlYt`hxn<=>?<8tfeVidyczjr=3=a>tfeVidyczjr=0=a>tfeVidyczjr=1=a>tfeVidyczjr=6=a>tfeVidyczjr=7=a>tfeVidyczjr=4=a>tfeVidyczjr=5=a>tfeVidyczjr=:=a>tfeVidyczjr=;=`>tfeVidyczjr^2g?wgjWje~byk}_0g8vdkXkfexh|P11f8vdkXkfexh|P2e9qehYdg|diQ?<1<2<>tfeVidyczjr^ov|56785:5=??6;scn[firf}oyS`{w01238586;>;j7ob_bmvjqcuWds<=>?<1<27276i2xjaRm`uovfvZkrp9:;<1>112515f=uidUhcx`{es]nq}67896;2<=8_g723>tfeVidyczjr^ov|56785:5>?<4r`o\gjsi|lxTaxv?012?4;4(D\^?SIQMUG3a?wgjWje~byk}_lw{45674949S^Y?169qehYdg|diQbuy2345:76:;<7ob_bmvjqcuWds<=>?<02=5==uidUhcx`{es]nq}67896:<3?>6:pbiZeh}g~n~Rczx12349799>1ym`QlotlwawYj}q:;<=2>>035?wgjWje~byk}_lw{45674;4:;6|nm^alqkrbzVg~t=>?0=0=540058vdkXkfexh|Pmtz3456;<7;::6|nm^alqkrbzVg~t=>?0=7=52=uidUhcx`{es]nq}67896>2?<6<253=uidUhcx`{es]nq}6789632<94r`o\gjsi|lxTaxv?012?<;76>2xjaRm`uovfvZkrp9:;<171169qehYdg|diQbuy2345:>68;87ob_bmvjqcuWge<=>=159qehYdg|diQaou23477602xjaRm`uovfvZuoi{o;<=>30?3:?wgjWje~byk}_rjbv`67896:<3?7;scn[firf}oyS~fnrd2345:66820~lcPcnwmp`tX{akyi=>?0=0=5==uidUhcx`{es]pldtb89:;0>0>8:pbiZeh}g~n~R}gasg3456;<7;37ob_bmvjqcuWzbj~h>?01>6:4>4:46|nm^alqkrbzVycmk?012?<;7?3{kfSnaznugq[vnfzl:;<=26>d9qehYci}kTec2?>g9qehYci}kTec2>0?g8vdkXlh~jSd`31?g8vdkXlh~jSd`32?g8vdkXlh~jSd`33?g8vdkXlh~jSd`34?g8vdkXlh~jSd`35?g8vdkXlh~jSd`36?g8vdkXlh~jSd`37?g8vdkXlh~jSd`38?g8vdkXlh~jSd`39?f8vdkXlh~jSd`P0e9qehYci}kTecQ>e:pbiZbf|hUbbR??d:pbiZbf|hUbbRj4r`o\`drfW`dT8i5}al]geqgXagU>h6|nm^fbpdYnfVn0~lcPd`vb[lhX0m1ym`Qkauc\mkY>901ym`Qkauc\mkYflmy~n=>?0=2=5d=uidUomyoPio]b`aurj9:;<1??>0;8vdkXlh~jSd`Paefpqg67896:2<74r`o\`drfW`dTmij|uc2345:56830~lcPd`vb[lhXimnxyo>?01>0:4?0;8vdkXlh~jSd`Paefpqg67896>2<74r`o\`drfW`dTmij|uc2345:16830~lcPd`vb[lhXimnxyo>?01>4:4?0;8vdkXlh~jSd`Paefpqg6789622<84r`o\`drfW`dTaxv?012?4;703{kfSio{a^km[hs89:;0=0>1`9qehYci}kTecQbuy2345:768;:=?;4r`o\`drfW`dTaxv?012?4;7698%GYY=PD^@VB4>?01>3:4Y@911ym`Qkauc\mkYj}q:;<=2?>3323>tfeVnjxlQfn^ov|56785:5:?<4r`o\`drfW`dTaxv?012?4;0(D\^?SAQMUG3:?wgjWmkmRga_lw{4567494=#B?m;scn[agsiVceS`{w01238581WZ]:=:5}al]geqgXagUfyu>?01>3:=703{kfSio{a^km[hs89:;0<>1189qehYci}kTecQbuy2345:687;3=55}al]geqgXagUfyu>?01>24;05;2xjaRjnt`]jjZkrp9:;<1??>7-OQQ2XDVH^J?<02=2ZUP9820~lcPd`vb[lhXe|r;<=>311<;53=uidUomyoPio]nq}67896:2<64r`o\`drfW`dTaxv?012?5;7?9>1ym`Qkauc\mkYj}q:;<=2>>701?wgjWmkmRga_lw{4567484=#A[[4^N\FP@612xjaRjnt`]jjZkrp9:;<1?16.M2f>tfeVnjxlQfn^ov|56785;5:R]X1058vdkXlh~jSd`Pmtz3456;972::6|nm^fbpdYnfVg~t=>?0=0=5==uidUomyoPio]nq}6789692<6>7:pbiZbf|hUbbRczx1234949>;80~lcPd`vb[lhXe|r;<=>32?4,HPR3WEUIYK?6;scn[agsiVceS`{w01238781'F;i7ob_ecweZoiWds<=>?<3<5[VQ69>1ym`Qkauc\mkYj}q:;<=2=>935?wgjWmkmRga_lw{45674:4:46|nm^fbpdYnfVg~t=>?0=1=5=703{kfSio{a^km[hs89:;0>09239qehYci}kTecQbuy2345:46?%GYY:PL^@VB4?7:pbiZbf|hUbbRczx123495908<0~lcPd`vb[lhXe|r;<=>34?3;?wgjWmkmRga_lw{45674=4:4<94r`o\`drfW`dTaxv?012?0;05:2xjaRjnt`]jjZkrp9:;<1:16.NVP1YKWK_M=45}al]geqgXagUfyu>?01>7:3)H9k1ym`Qkauc\mkYj}q:;<=2;>7]PS4703{kfSio{a^km[hs89:;0907179qehYci}kTecQbuy2345:26820~lcPd`vb[lhXe|r;<=>35?3;52=uidUomyoPio]nq}67896>2;<=;scn[agsiVceS`{w01238081'E__8RBPBTD2=>tfeVnjxlQfn^ov|56785?5:"A>b:pbiZbf|hUbbRczx1234939>VY\=<94r`o\`drfW`dTaxv?012?1;>6>2xjaRjnt`]jjZkrp9:;<181199qehYci}kTecQbuy2345:1682:;6|nm^fbpdYnfVg~t=>?0=4=274?<7<5+J7e3{kfSio{a^km[hs89:;0;09_RU252=uidUomyoPio]nq}67896=25?9;scn[agsiVceS`{w0123828602xjaRjnt`]jjZkrp9:;<1911934?wgjWmkmRga_lw{45674>4=>?5}al]geqgXagUfyu>?01>4:3)K]]>T@RLZF0;8vdkXlh~jSd`Pmtz3456;?7<$C?0=5=<4038?416>tfeVnjxlQfn^ov|5678525:"BZT5]O[GSA901ym`Qkauc\mkYj}q:;<=27>7-L5g=uidUomyoPio]nq}6789632;Q\W034?wgjWmkmRga_lw{45674143=;5}al]geqgXagUfyu>?01>::4>6?897ob_ecweZoiWds<=>?<8<5+ISS9:pbiZbf|hUbbRczx12349?9>&E:n6|nm^fbpdYnfVg~t=>?0=;=2ZUP98=0~lcPd`vb[lhXe|r;<=>39?:22>tfeVnjxlQfn^mq4567W`d}j6|nm^fbpdYwz`6;2<>4r`o\`drfWyxb0<>1f:pbiZbf|hU{~d2>>g9qehYci}kT|g32?d8vdkXlh~jS}|f<2tfeVnjxlQrh>6:c=uidUomyoPpsk?2;`9n2xjaRjnt`]svl:>6o1ym`Qkauc\w`e;87;;7ob_ecweZubk5;;2k5}al]geqgX{li7=3h4r`o\`drfWzoh0?0i;scn[agsiVyno1=1f:pbiZbf|hUxin2;>g9qehYci}kThm35?d8vdkXlh~jS~kl<7tfeVnjxlQ|eb>;:c=uidUomyoPsda?=;>?0g9qehYpmVg~t=>?0133?wgjW~oTaxv?012254dtfeV}nS`{w0123577e3{kfSzkPmtz34566:&F^X9QC_CWE57=uidU|iRczx123444(G8:0~lcPwd]nq}67898=j6|nm^uf[hs89:;8:5|i{g?4;><{`pn0<>17:qj~`:66>1xewk32?58wl|b4:4<7~gue=6=3>unrl6>2:5|i{g?2;1<{`pn0:08;rkya9>9?2ybvh26>79pmcX8?1xewkP169pmcX99<0dtj_348wl|bW:<0dtj_548wl|bW<<0dtj_748wl|bW><0dtj_948wl|bW0l0dtj_`fg4567494:<6}fzd]b`a67896:<3h4shxf[dbc89:;0<0i;rkyaZgcl9:;<1<1f:qj~`Yflm:;<=2<>g9pmcXimn;<=>34?d8wl|bWhno<=>?<4?0=4=b>unrlUjhi>?01>4:c=tasoTmij?012?<;`<{`pnSljk01238<8a3zcqiRczx1234969981xewkPmtz3456;878:==5|i{g\ip~789:7==0>1:qj~`Yj}q:;<=2>0?3e?vo}mVg~t=>?0=3=54=tasoTaxv?012?5;76n2ybvhQbuy2345:568;0dtj_lw{45674;4:=k5|i{g\ip~789:7?3?>;rkyaZkrp9:;<1=110d8wl|bWds<=>?<5<25>unrlUfyu>?01>7:47a3zcqiRczx1234939981xewkPmtz3456;=7;:j6}fzd]nq}67896=21g9pmcXe|r;<=>37?32?vo}mVg~t=>?0=5=54`<{`pnS`{w01238=8682ybvhQbuy2345:?68l0dtj_lw{4567404:=6}fzd]nq}6789622139ppdrbWOYFSKHk10/Jj45<{}kiRH\M^DE`47*Ag;n7~zntd]EWHYANm8:=6}{aug\BVKXNOn9!D`>2:qweqcXNZGTJKj=-Hl2a>usi}oTJ^CPFGf054=t|h~nSK]B_GDg7(Oi9<1xxlzj_GQN[C@c;$CeS^Y?219ppdrbWOYFSKHk3,Km[VQ7'E__?RBPBTD23>usi}oTJ^CPFGf0)LhX[^:$Ch5|t`vf[CUJWOLo8*Ag;97~zntd]EWHYANm2&Ec?j;rvbp`YA[DUMJi7k;rvbp`Yffm:;<=h4sucwaZgil9:;<<<>a:qweqcXign;<=>>2.NVP1YCWK_Mj6}{aug\ekb789:9=k5|t`vf[dhc89:;89?n;rvbp`Yffm:;<=:;/MWW0ZBXJ\Lm7~zntd]bja6789>==l5|t`vf[dhc89:;8;!CUU1\@ZDRN8:0yo{e^antZcv89:;=?5|t`vf[fkwWl{;<=>>20f8wqgsmVif|Rk~012357)K]]>THRLZF008wqgsmVif|Rk~012365763z~jxhQlmq]fu5678=;:7~zntd]`iuYby9:;<:?l;rvbp`YdeyUn}=>?06-OQQ5XLVH^J<>4sucwaZejxVf<=>>109ppdrbWjg{Saz?013250=t|h~nSnc_mv34576WZ];>=5|t`vf[fkwWe~;<=?>_RU3+ISS0:qweqcXkdzT`y>?0332?vrf|lUha}Qct12364b<{}kiRa}01235f=t|h~nSb|?013g?vrf|lUd~=>?10d8wqgsmVey<=>>_g7`?vrf|lUd~=>?2e9ppdrbWfx;<=<>f:qweqcXg{:;0:qweqcXg{:;<>Q\W1d8plelWje~byk}<1<24>rnkbUhcx`{es>24;773}chgRm`uovfv97668:0xdmd_bmvjqcu4885==5{ibi\gjsi|lx7=>0>0:vjgnYdg|di2>4?33?qodcVidyczjr=36:46<|`i`Snaznugq8409991enePcnwmp`t;9>4:<6zfcj]`kphsm{6:43??;uk`oZeh}g~n~1?6>g9wmfmXkfexh|31?33?qodcVidyczjr=03:46<|`i`Snaznugq8779991enePcnwmp`t;:;4:<6zfcj]`kphsm{69?3??;uk`oZeh}g~n~1<;>028plelWje~byk}<37=b>rnkbUhcx`{es>1:c=sajaTob{atdp?7;`<|`i`Snaznugq818a3}chgRm`uovfv939n2~bofQlotlwaw:16o1enePcnwmp`t;?7l0xdmd_bmvjqcu414m7yglk^alqkrbz535i6zfcj]`kphsm{U;i6zfcj]`kphsm{U:j6zfcj]`kphsm{U:4g9wmfmXkfexh|P14d8plelWje~byk}_04e?qodcVidyczjr^34b>rnkbUhcx`{es]2m7yglk^alqkrbzV8>i6zfcj]`kphsm{U8i6zfcj]`kphsm{U?i6zfcj]`kphsm{U>i6zfcj]`kphsm{U=i6zfcj]`kphsm{U?0=32:4d<|`i`Snaznugq[dbc{|h;<=>313<2f>rnkbUhcx`{es]b`aurj9:;<1?<>0`8plelWje~byk}_`fgwpd789:7=90>b:vjgnYdg|diQndeqvf56785;>2?0=3::4g<|`i`Snaznugq[dbc{|h;<=>31?3a?qodcVidyczjr^cg`vse89:;0?>11c9wmfmXkfexh|Paefpqg678969=3?m;uk`oZeh}g~n~Rokdrwa45674;85=o5{ibi\gjsi|lxTmij|uc2345:5;7;i7yglk^alqkrbzVkoh~{m012387299k1enePcnwmp`tXimnxyo>?01>11;7f3}chgRm`uovfvZgclzi<=>?<3<2e>rnkbUhcx`{es]b`aurj9:;<1=11`9wmfmXkfexh|Paefpqg67896?2?0=5=5d=sajaTob{atdp\eabt}k:;<=27>0c8plelWje~byk}_`fgwpd789:753?8;uk`oZeh}g~n~Rczx1234969911enePcnwmp`tXe|r;<=>30?32<>rnkbUhcx`{es]nq}67896:<3?6;uk`oZeh}g~n~Rczx123497768;37yglk^alqkrbzVg~t=>?0=32:4?<|`i`Snaznugq[hs89:;0312<2=>rnkbUhcx`{es]nq}67896:?3?>8:vjgnYdg|diQbuy2345:6<7;27yglk^alqkrbzVg~t=>?0=37:47?3}chgRm`uovfvZkrp9:;<1?:>0;8plelWje~byk}_lw{456748?5=<64thah[firf}oyS`{w01238409901enePcnwmp`tXe|r;<=>317<25==sajaTob{atdp\ip~789:7=:0>9:vjgnYdg|diQbuy2345:6?7;:46zfcj]`kphsm{Ufyu>?01>2<;7>3}chgRm`uovfvZkrp9:;<1?7>03;?qodcVidyczjr^ov|56785;22<74thah[firf}oyS`{w012384?998=0xdmd_bmvjqcuWds<=>?<0<2<>rnkbUhcx`{es]nq}67896:2?<32=54><|`i`Snaznugq[hs89:;0??1189wmfmXkfexh|Pmtz3456;:84:=55{ibi\gjsi|lxTaxv?012?678612~bofQlotlwawYj}q:;<=2=2?32<>rnkbUhcx`{es]nq}678969?3?6;uk`oZeh}g~n~Rczx123494468;37yglk^alqkrbzVg~t=>?0=07:4?<|`i`Snaznugq[hs89:;0?:110:8plelWje~byk}_lw{45674;?5=45{ibi\gjsi|lxTaxv?012?60869>1enePcnwmp`tXe|r;<=>32?3;?qodcVidyczjr^ov|5678585=<94thah[firf}oyS`{w0123868602~bofQlotlwawYj}q:;<=2<>034?qodcVidyczjr^ov|56785>5=55{ibi\gjsi|lxTaxv?012?0;76?2~bofQlotlwawYj}q:;<=2:>0:8plelWje~byk}_lw{45674<4:=:5{ibi\gjsi|lxTaxv?012?2;7?3}chgRm`uovfvZkrp9:;<1811058plelWje~byk}_lw{45674>4:46zfcj]`kphsm{Ufyu>?01>4:4703}chgRm`uovfvZkrp9:;<161199wmfmXkfexh|Pmtz3456;07;:;6zfcj]`kphsm{Ufyu>?01>::4><|`i`Snaznugq[hs89:;040>129wmfmXkfexh|Pos2345733}chgRm`uovfvZiu89:;=n5yidu@kiiu494h7{gjwBmokw:66j1}ehyLommq878e3cn{Nacos]3f>pnm~Id`b|P1c9um`qDgeeyS??;;wkfsFikg{Ufyu>?01>3:40<~`o|Obb`r^ov|56785:5=8?8;wkfsFikg{Ufyu>?01>3:4369>1}ehyLommq[hs89:;0=0>533:?sobJegcQbuy2345:768?Tj8?9;wkfsFikg{Ufyu>?01>3:146<2|bizM`lnp\ip~789:7=3?9;wkfsFikg{Ufyu>?01>2:436?2|bizM`lnp\ip~789:7=3?:1058rlcpKffd~Rczx12349799<8:56xfevAlhjtXe|r;<=>31?36[c36>2|bizM`lnp\ip~789:7=3:=159um`qDgeeyS`{w01238786>2|bizM`lnp\ip~789:7>3?:169um`qDgeeyS`{w01238786=8;<7{gjwBmokwYj}q:;<=2=>0715<=qal}Hcaa}_lw{45674;4:9Rh:179um`qDgeeyS`{w01238783:<1}t`la:tp}keXGK_M46vflhl{qk7?3qfetRoztn]3[}be'jy"ulld|BCt6g5n2JKt;?k:G84>4}T9<21:3zl55<<63g<:m784$72`>3673tY:98491c8000<6;:?:hn4<733:?V54=3=297?512165ae=;>88o6]>5484=0<6289899>4S264>2?62:>?6<=<50f`>615<81X=8;578396?74;<;oo7=82538`37c290:6?u\14:924d=;=?1=>=:1ea97246;2.:?h46fe9K6<3268=9c1a04>"4<102ji5+35c9712<~]9?:7>51;39050|[8?36;?m:266>454=8nh6>9=129'1`?=;:20Z;?9:3yv04d=92??o4?;|&6<<<5n2.9m<4?;%0:b?gc02.9m=4<2b9a24b=83;j6>ki:526M3b?2.>i>491e9Y56`==r936>l53b80`?4c2td==:4?;ncg1?6=3`?2i7>5;h104?6=3`<:i7>5;h7f1?6=3f===7>5;h0:7?6=3fo<6=4+59a9a3=i=1h1<65`e483>!3?k3o=7c;7b;38?jc3290/95m5e79m1=d=:21dhl4?:%7;g?c13g?3n7=4;n0`e?6=,<2h6?m6;o7;f?6<3f8h47>5$4:`>7e>3g?3n7?4;n0`3?6=,<2h6?m6;o7;f?4<3f8h:7>5$4:`>7e>3g?3n7=4;n7:5$4:`>0?03g?3n7?4;n7:1?6=,<2h6878;o7;f?4<3f?287>5$4:`>0?03g?3n7=4;n5;g?6=,<2h6:6m;o7;f?6<3f=3m7>5$4:`>2>e3g?3n7?4;n5;=?6=,<2h6:6m;o7;f?4<3f=347>5$4:`>2>e3g?3n7=4;h410?6=,<2h6;<<;o7;f?6<3`<997>5$4:`>3443g?3n7?4;h412?6=,<2h6;<<;o7;f?4<3k<;87?51;294~"2m:09oi5G6118L0c03-<:978>c:&0761e:<951:&6`1<19m1d>n;50;&550<2;:10qo8?5;395?6=8r.>i>4:e99K255<@5;705>"4;:0jh:5a60596>"2l=0==i5`52694?"19<0>?>54}c:0f?7=>3:1990D8k8;%421?4e;2.9i;4<;I7:`>"4;:0jh:5a60597>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xd?;j0:6;4?:1y'1`5=:hh0D;><;I7f3>"19<09n>5+2d497>N21m1/?>=5ae58j3702=1/9i:560f8m422290/:<;51438?l73j3:1(;?::06a?>o6=00;6)8>5;0ge>=h=081<7*9148676=87>5$736>0g632wi4>k51;494?6|,N2m>1/:<;52c18 7c12:1C94j4$210>db03g<:;7;4$4f7>37c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb91e>4<1290;w);j3;0bf>N18:1C9h94$736>7d43-8n:7=4H4;g?!54;3ko;6`91685?!3c<3<:h6g>4483>!06=3;>=65f15`94?"19<0:8o54i07:>5<#>8?1>io4;n7:6?6=,?;>68=<;:k6383;1:7>50z&6a6<5ik1C:==4H4g4?!06=38i?6*=e780?M3>l2.8?>4nd69m241=?2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.==84=b29'6`0=;2B>5i5+3219ea1>6=4+6079507<3`;?n7>5$736>42e32c:944?:%421?4ci21d94<50;&550<2;:10e86i:18'243==jn07d?:4;29 3722i:5+60796g5<,;o=6>5G58f8 6542hn<7c8>7;;8 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f=24280=6=4?{%7f7?4fj2B=<>5G5d58 3722;h87)d:k200<72-<:97?:1:9j51d=83.==84>4c98m43>290/:<;52ec8?j3>:3:1(;?::410?>o20o0;6)8>5;7``>=n9<>1<7*91486e4=8=1n6*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pl74482>3<729q/9h=52``8L3643A?n;6*91481f6=#:l<1?6F:9e9'765=im=0b;?8:b9'1a2=>8n0e<:::18'243=9<;07d?;b;29 37228>i76g>5883>!06=38om65`58094?"19<0>?>54i4:e>5<#>8?19nj4;h360?6=,?;>68o>;:a<6b=93<1<7>t$4g0>7ge3A<;?6F:e69'243=:k90(?k9:29K16<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zjh?86<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>0n7);k4;42`>o6<<0;6)8>5;365>=n9=h1<7*914820g=7>5$736>05432c>4k4?:%421?3dl21b=8:50;&550<2i810qoo:4;392?6=8r.>i>4=ac9K255<@1e:<95f:&6`1<19m1b=9;50;&550<6=810e<:m:18'243=9=h07d?:9;29 3722;nj76a:9383>!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`b1c<62?0;6=u+5d196dd<@?:87E;j7:&1a3<43-<:9746<,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zjh<=6<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>0:=6*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pln6682>3<729q/9h=52``8L3643A?n;6*=e780?!06=38i?6F:9e9'765=im=0b;?8:008 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~fd0?280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<6;2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4<;%421?4e;2B>5i5+3219ea137c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb`4b>4<1290;w);j3;0bf>N18:1C9h94$3g5>6=#>8?1>o=4H4;g?!54;3ko;6`916821>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xdf>k0:6;4?:1y'1`5=:hh0D;><;I7f3>"5m?087)8>5;0a7>N21m1/?>=5ae58j37028<0(8j;:73g?l73=3:1(;?::072?>o65;37f>=n9<31<7*91481`d=5$736>0ec32c:994?:%421?3f921vnl8l:085>5<7s-?n?77:&6`1<19m1b=9;50;&550<6=810e<:m:18'243=9=h07d?:9;29 3722;nj76a:9383>!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`b10<62?0;6=u+5d196dd<@?:87E;j7:&1a3<43-<:974><,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zjh?=6<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>0:56*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pln5682>3<729q/9h=52``8L3643A?n;6*=e780?!06=38i?6F:9e9'765=im=0b;?8:0c8 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~fd3?280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<6j2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4<;%421?4e;2B>5i5+3219ea137c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb`7b>4<1290;w);j3;0bf>N18:1C9h94$3g5>6=#>8?1>o=4H4;g?!54;3ko;6`91682`>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xdf=k0:6;4?:1y'1`5=:hh0D;><;I7f3>"5m?087)8>5;0a7>N21m1/?>=5ae58j37028o0(8j;:73g?l73=3:1(;?::072?>o65;37f>=n9<31<7*91481`d=5$736>0ec32c:994?:%421?3f921vnl;l:085>5<7s-?n?7f:&6`1<19m1b=9;50;&550<6=810e<:m:18'243=9=h07d?:9;29 3722;nj76a:9383>!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`b1a<62?0;6=u+5d196dd<@?:87E;j7:&1a3<43-<:9776<,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zjh?n6<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>09=6*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pln6182>3<729q/9h=52``8L3643A?n;6*=e780?!06=38i?6F:9e9'765=im=0b;?8:308 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~fd06280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<5;2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4<;%421?4e;2B>5i5+3219ea137c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb`40>4<1290;w);j3;0bf>N18:1C9h94$3g5>6=#>8?1>o=4H4;g?!54;3ko;6`916811>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xdf>=0:6;4?:1y'1`5=:hh0D;><;I7f3>"5m?087)8>5;0a7>N21m1/?>=5ae58j3702;<0(8j;:73g?l73=3:1(;?::072?>o65;37f>=n9<31<7*91481`d=5$736>0ec32c:994?:%421?3f921vnl8::085>5<7s-?n?7!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`;60<62?0;6=u+5d196dd<@?:87E;j7:&1a3<43-<:977><,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zj>k;6<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>0956*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pl8a082>3<729q/9h=52``8L3643A?n;6*=e780?!06=38i?6F:9e9'765=im=0b;?8:3c8 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f2g5280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<5j2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=4>:783>5}#=l91>ll4H720?M3b?2.9i;4<;%421?4e;2B>5i5+3219ea137c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb6c7>4<1290;w);j3;0bf>N18:1C9h94$3g5>6=#>8?1>o=4H4;g?!54;3ko;6`91681`>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xd0i<0:6;4?:1y'1`5=:hh0D;><;I7f3>"5m?087)8>5;0a7>N21m1/?>=5ae58j3702;o0(8j;:73g?l73=3:1(;?::072?>o65;37f>=n9<31<7*91481`d=5$736>0ec32c:994?:%421?3f921vn:jj:085>5<7s-?n?7!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`4`c<62?0;6=u+5d196dd<@?:87E;j7:&1a3<43-<:9766<,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zj>o;6<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>08=6*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pl8e082>3<729q/9h=52``8L3643A?n;6*=e780?!06=38i?6F:9e9'765=im=0b;?8:208 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f2c5280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<4;2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:983>5}#=l91>lj4H720?M3b?2.9i;4<;%421?3dn2B>5i5+3219ea1:4$4f7>37c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10e87<:18'243==m?07b;62;29 3722<9876g:ce83>!06=3?hh6F:e598m0g6290/:<;55`38L0c332c>o:4?:%421?3c021vn55<7s-?n?7!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`;6g<62?0;6=u+5d196dd<@?:87E;j7:&1a3<43-<:9760<,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zj0n:6<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>08;6*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pl6d382>3<729q/9h=52``8L3643A?n;6*=e780?!06=38i?6F:9e9'765=im=0b;?8:2:8 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<412.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4<;%421?4e;2B>5i5+3219ea1o4$4f7>37c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb8f5>4<1290;w);j3;0bf>N18:1C9h94$3g5>6=#>8?1>o=4H4;g?!54;3ko;6`91680f>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xd>l>0:6;4?:1y'1`5=:hh0D;><;I7f3>"5m?087)8>5;0a7>N21m1/?>=5ae58j3702:i0(8j;:73g?l73=3:1(;?::072?>o65;37f>=n9<31<7*91481`d=5$736>0ec32c:994?:%421?3f921vn4j7:085>5<7s-?n?7!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`:`<<62?0;6=u+5d196dd<@?:87E;j7:&1a3<43-<:976c<,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zj0nj6<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>08j6*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pl6dc82>3<729q/9h=52``8L3643A?n;6*=e780?!06=38i?6F:9e9'765=im=0b;?8:528 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<392.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.==84=b29'6`0=;2B>5i5+3219ea137c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb`a2>4<1290;w);j3;0bf>N18:1C9h94$736>7d43-8n:7=4H4;g?!54;3ko;6`916877>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xdfk90:6;4?:1y'1`5=:hh0D;><;I7f3>"19<09n>5+2d497>N21m1/?>=5ae58j3702=>0(8j;:73g?l73=3:1(;?::072?>o65;37f>=n9<31<7*91481`d=5$736>0ec32c:994?:%421?3f921vn4=::085>5<7s-?n?7390D87k;%107?gc?2d==:4;5:&6`1<19m1b=9;50;&550<6=810e<:m:18'243=9=h07d?:9;29 3722;nj76a:9383>!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`:73<62?0;6=u+5d196dd<@?:87E;j7:&550<5j:1/>h853:J6=a=#;:91mi94n734>10<,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zj0936<49:183!3b;38jn6F9029K1`1<,?;>6?l<;%0f2?5<@<3o7)=<3;cg3>h19>0?;6*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pl63882>3<729q/9h=52``8L3643A?n;6*91481f6=#:l<1?6F:9e9'765=im=0b;?8:5:8 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f<5f280=6=4?{%7f7?4fj2B=<>5G5d58 3722;h87)h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.==84=b29'6`0=;2B>5i5+3219ea137c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb81`>4<1290;w);j3;0bf>N18:1C9h94$736>7d43-8n:7=4H4;g?!54;3ko;6`91687f>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xd>;m0:6;4?:1y'1`5=:hh0D;><;I7f3>"19<09n>5+2d497>N21m1/?>=5ae58j3702=i0(8j;:73g?l73=3:1(;?::072?>o65;37f>=n9<31<7*91481`d=5$736>0ec32c:994?:%421?3f921vn4=j:085>5<7s-?n?7390D87k;%107?gc?2d==:4;d:&6`1<19m1b=9;50;&550<6=810e<:m:18'243=9=h07d?:9;29 3722;nj76a:9383>!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`:7c<62?0;6=u+5d196dd<@?:87E;j7:&550<5j:1/>h853:J6=a=#;:91mi94n734>1c<,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zj09<6<49:183!3b;38jn6F9029K1`1<,?;>6?l<;%0f2?5<@<3o7)=<3;cg3>h19>0?j6*:d5855a=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pl7f582>3<729q/9h=52``8L3643A?n;6*=e780?!06=38i?6F:9e9'765=im=0b;?8:428 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f=`2280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<292.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4<;%421?4e;2B>5i5+3219ea137c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb9d;>4<1290;w);j3;0bf>N18:1C9h94$3g5>6=#>8?1>o=4H4;g?!54;3ko;6`916867>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xd?n00:6;4?:1y'1`5=:hh0D;><;I7f3>"5m?0:?6*91481f6=O=0n0(>=<:`f4?k06?3??7);k4;42`>o6<<0;6)8>5;365>=n9=h1<7*914820g=7>5$736>05432c>4k4?:%421?3dl21b=8:50;&550<2i810qo6ia;392?6=8r.>i>4=ac9K255<@4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f=`e280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<2>2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4>3:&550<5j:1C94j4$210>db03g<:;7;8;%7g0?06l2c:884?:%421?72921b=9l50;&550<6!06=3?hh65f14694?"19<0>m<54}c:e`?7=>3:1990D8k8;%0f2?5<,?;>6?l<;I7:`>"4;:0jh:5a60591==#=m>1:5<#>8?1=8?4;h37f?6=,?;>6<:m;:k21<<72-<:97a290/:<;55bf8?l72<3:1(;?::4c2?>{e0oo1=7850;2x 0c42;ki7E8?3:J6a2=#:l<1?6*91481f6=O=0n0(>=<:`f4?k06?3?27);k4;42`>o6<<0;6)8>5;365>=n9=h1<7*914820g=7>5$736>05432c>4k4?:%421?3dl21b=8:50;&550<2i810qo6i6;392?6=8r.>i>4=ac9K255<@4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f200280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<2j2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4<;%421?4e;2B>5i5+3219ea137c3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb64:>4<1290;w);j3;0bf>N18:1C9h94$3g5>6=#>8?1>o=4H4;g?!54;3ko;6`91686`>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xd0>h0:6;4?:1y'1`5=:hh0D;><;I7f3>"5m?087)8>5;0a7>N21m1/?>=5ae58j3702o65;37f>=n9<31<7*91481`d=5$736>0ec32c:994?:%421?3f921vn4ll:085>5<7s-?n?7!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`44<<6210;6=u+5d196de<@?:87E;j7:&550<2ko1/>h853:J6=a=#;:91mi94n734>36<,6<;>;:k21<<72-<:97o2km0;6)8>5;7``>N2m=10e8o>:18'243==h;0D8k;;:k6g2<72-<:97;k8:9~f34c28036=4?{%7f7?4fk2B=<>5G5d58 3722h9492`9j513=83.==84>5098m43>290/:<;52ec8?l3>;3:1(;?::4f6?>i21;0;6)8>5;707>=n9=h1<7*914820g=!06=3?j=6F:e598m0e0290/:<;55e:8?xd1:l0:654?:1y'1`5=:hi0D;><;I7f3>"19<0>ok5+2d497>N21m1/?>=5ae58j3702?80(8j;:70b?l73=3:1(;?::072?>o6=00;6)8>5;0ge>=n=091<7*91486`0=5$736>42e32c>oi4?:%421?3dl2B>i954i4c2>5<#>8?19l?4H4g7?>o2k>0;6)8>5;7g<>=zj?k<6<47:183!3b;38jo6F9029K1`1<,?;>68mi;%0f2?5<@<3o7)=<3;cg3>h19>0=?6*:d5856d=n9=?1<7*9148214=5$736>0b232e>5?4?:%421?34;21b=9l50;&550<6<3th=m54>:983>5}#=l91>lm4H720?M3b?2.==84:cg9'6`0=;2B>5i5+3219ea134f3`;?97>5$736>43632c:944?:%421?4ci21b94=50;&550<2l<10c87=:18'243==:907d?;b;29 37228>i76g:ce83>!06=3?hh6F:e598m0g6290/:<;55`38L0c332c>o:4?:%421?3c021vn;:<:08;>5<7s-?n?7390D87k;%107?gc?2d==:495:&6`1<1:h1b=9;50;&550<6=810e<;6:18'243=:mk07d;63;29 372276a:9383>!06=3?8?65f15`94?"19<0:8o54i4ag>5<#>8?19nj4H4g7?>o2i80;6)8>5;7b5>N2m=10e8m8:18'243==m207pl94582>=<729q/9h=52`a8L3643A?n;6*91486gc=#:l<1?6F:9e9'765=im=0b;?8:748 0b32?8j7d?;5;29 37228?:76g>5883>!06=38om65f58194?"19<0>h854o4;1>5<#>8?19>=4;h37f?6=,?;>6<:m;:k6ga<72-<:97;ld:J6a1=!06=3?o465rb7a6>4N18:1C9h94$736>0ea3-8n:7=4H4;g?!54;3ko;6`916853>"2l=0=>l5f15794?"19<0:9<54i07:>5<#>8?1>io4;h7:7?6=,?;>68j:;:m6=7<72-<:97;<3:9j51d=83.==84>4c98m0ec290/:<;55bf8L0c332c>m<4?:%421?3f92B>i954i4a4>5<#>8?19i64;|`5`5<62?0;6=u+5d196dd<@?:87E;j7:&1a3<43-<:973><,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zj?n:6<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>0=56*:d5856d=n9=?1<7*9148214=i6=4+607951d<3`;>57>5$736>7bf32e>5?4?:%421?34;21b95h50;&550<2km10e<;;:18'243==h;07pl9d382>3<729q/9h=52``8L3643A?n;6*=e780?!06=38i?6F:9e9'765=im=0b;?8:7c8 0b32?8j7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~f3b4280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<1j2.>h9492`9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4<;%421?4e;2B>5i5+3219ea134f3`;?97>5$736>43632c:8o4?:%421?73j21b=8750;&550<5lh10c87=:18'243==:907d;7f;29 37225583>!06=3?j=65rb7f6>4<1290;w);j3;0bf>N18:1C9h94$3g5>6=#>8?1>o=4H4;g?!54;3ko;6`91685`>"2l=0=>l5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xd0=k0:6;4?:1y'1`5=:hh0D;><;I7f3>"5m?087)8>5;0a7>N21m1/?>=5ae58j3702?o0(8j;:607?l73=3:1(;?::072?>o65;37f>=n9<31<7*91481`d=5$736>0ec32c:994?:%421?3f921vn9=l:0847?6=8r.>i>4<4b9K255<@5=4?;o7:5?6<@5;17g>"el3?hi6*<328b`2=i>8=1:k5+5e6924bh6=44i06g>5<n6=44i072>5054;h4f>5<#=1i1;=5a59`96>"3k80>?6`;c18e?>o1l3:1(86l:628j0>e2:1/8n?5529m0f6=m21b:n4?:%7;g?173g?3n7:4$5a2>052.?o<4:3:l7g55$4:`>26!3?k3=;7c;7b;:8 1e62<90b9m?:898m2?=83.>4n480:l63->h=7;<;o6`4?><3`=36=4+59a935=i=1h1m6*;c0867>h3k90<76g87;29 0>d2>:0b86m:c9'0f7==:1e8n>56:9j33<72-?3o79?;o7;f?e<,=i:68=4n5a3>0=?1<7*:8b844>h20k0o7):l1;70?k2d83>07d9;:18'1=e=?91e95l5e:&7g4<2;2d?o=4<;:k47?6=,<2h6:>4n4:a>c=#5a4b296>=n?;0;6);7c;53?k3?j3;;7):l1;70?k2d83;07d87:18'1=e=?91e95l5109'0f7==:1e8n>50:9ja6<72-?3o7k=;o7;f?6<,=i:6n84n5a3>47<3`o:6=4+59a9a7=i=1h1=6*;c08`2>h3k90:<65fe183>!3?k3o97c;7b;08 1e62j<0b9m?:g98ma`=83.>4n4j2:l6h=7m9;o6`4?c<3`nn6=4+59a9a7=i=1h186*;c08`2>h3k90o76gkd;29 0>d2l80b86m:49'0f7=k?1e8n>5c:9j`f<72-?3o7k=;o7;f?0<,=i:6n84n5a3>g=h20k0<7):l1;a5?k2d83k07dkk:18'1=e=m;1e95l58:&7g42d?o=46;:kfg?6=,<2h6h<4n4:a><=#=nmk0;6);7c;g1?k3?j3k0(9m>:b48j1e72>10eho50;&64o4m;%6`5?e13g>h<784;hg:>5<#=1i1i?5a59`9g>"3k80h:6`;c186?>ob03:1(86l:d08j0>e2m1/8n?5c79m0f6=<21bi:4?:%7;g?c53g?3n7k4$5a2>f054id794?"20j0n>6`:8c8e?!2d93i=7c:l0;08?lc3290/95m5e39m1=d=991/8n?5c79m0f6=921bhl4?:%7;g?c53g?3n7?>;%6`5?e13g>h<7>4;h33e?6=,<2h6<>6;o7;f?6<,=i:6hk4n5a3>47<3`;;47>5$4:`>46>3g?3n7?4$5a2>`c4;h333?6=,<2h6<>6;o7;f?4<,=i:6hk4n5a3>c=5+4b39a`=i"3k80ni6`;c18g?>o68=0;6);7c;33=>h20k0>7):l1;gf?k2d83i07d??3;29 0>d28:27c;7b;48 1e62lo0b9m?:c98m465290/95m511;8j0>e2>1/8n?5ed9m0f6=i21b=<=50;&63->h=7kj;o6`4?><3`;:=7>5$4:`>46>3g?3n7o4$5a2>`c5<#=1i1==74n4:a>g=#=n99l1<7*:8b824<=i=1h1o6*;c08fa>h3k90>76g>0d83>!3?k3;;56`:8c8g?!2d93on7c:l0;68?l77l3:1(86l:02:?k3?j3o0(9m>:dg8j1e72:10e<>l:18'1=e=9930b86m:g9'0f7=ml1e8n>52:9j55d=83.>4n4>089m1=d=991/8n?5ed9m0f6=921b==?50;&650:9l1=6=83.>4n4:7g9m1=d=82.?o<4:359m0f6=::10c89j:18'1=e==>l0b86m:09'0f7==:>0b9m?:308?j30l3:1(86l:45e?k3?j380(9m>:417?k2d838:76a:7b83>!3?k3?=h=>h1<7*:8b863c=i=1h186*;c08671=i5<#=1i19:h4n4:a>0=#:4n5a3>4c<3f?<47>5$4:`>01a3g?3n784$5a2>0533g>h<7?k;:m632<72-?3o7;8f:l6h=7;<4:l7g5<6k21d9:850;&651c98k012290/95m556d8j0>e201/8n?55268j1e728k07b;84;29 0>d2<=m7c;7b;c8 1e62<9?7c:l0;3:?>i2?:0;6);7c;74b>h20k0i7):l1;700>h3k90:465`56094?"20j0>;k5a59`9g>"3k80>?95a4b2952=:k4?:%7;g?30n2d>4o4i;%6`5?34<2d?o=4>4:9l13b=83.>4n4:7g9m1=d=991/8n?55268j1e728907b;9c;29 0>d2<=m7c;7b;32?!2d93?886`;c1826>=h=?h1<7*:8b863c=i=1h1=?5+4b39162h=7;<4:l7g5<6821d9;750;&60b9m?:g98k00?290/95m556d8j0>e28?0(9m>:417?k2d83o07b;97;29 0>d2<=m7c;7b;35?!2d93?886`;c18g?>i2>?0;6);7c;74b>h20k0:;6*;c08671=i;k5a59`95==#:4n5a3>g=0533g>h<7o4;n7;3?6=,<2h689i;o7;f?7f3->h=7;<4:l7g5<>32e>4;4?:%7;g?30n2d>4o4>b:&7g4<2;=1e8n>58:9l1=3=83.>4n4:7g9m1=d=9j1/8n?55268j1e72>10c86;:18'1=e==>l0b86m:0f8 1e62<9?7c:l0;48?j3?;3:1(86l:45e?k3?j3;n7):l1;700>h3k90>76a:8383>!3?k3?"3k80>?95a4b290>=h=1;1<7*:8b863c=i=1h1>=5+4b3916254o45:>5<#=1i19:h4n4:a>77<,=i:68=;;o6`4?4<3f?=i7>5$4:`>01a3g?3n7<=;%6`5?34<2d?o=4>;:m626<72-?3o7;8f:l6>50;&651098k44b290/95m513d8j0>e281/8n?51068j1e728:07b?=d;29 0>d288m7c;7b;08 1e628;?7c:l0;d8?j75k3:1(86l:00e?k3?j390(9m>:037?k2d83o07b?=b;29 0>d288m7c;7b;68 1e628;?7c:l0;f8?j75i3:1(86l:00e?k3?j3?0(9m>:037?k2d83i07b?=9;29 0>d288m7c;7b;48 1e628;?7c:l0;`8?j7503:1(86l:00e?k3?j3=0(9m>:037?k2d83k07b?<9;29 0>d288m7c;7b;:8 1e628;?7c:l0;;8?j7403:1(86l:00e?k3?j330(9m>:037?k2d83207b?<7;29 0>d288m7c;7b;c8 1e628;?7c:l0;58?j74>3:1(86l:00e?k3?j3h0(9m>:037?k2d83<07b?<5;29 0>d288m7c;7b;a8 1e628;?7c:l0;78?j74<3:1(86l:00e?k3?j3n0(9m>:037?k2d83>07b?<3;29 0>d288m7c;7b;g8 1e628;?7c:l0;18?j74:3:1(86l:00e?k3?j3l0(9m>:037?k2d83807b?<1;29 0>d288m7c;7b;33?!2d93;:86`;c182?>i6:>0;6);7c;31b>h20k0:=6*;c08251=i4<0;3:1990D8k8;[30b?3|900:m7?m:3a95f?64=i9:k1<6`:9183?k3>93:0D8ji;%421?53k2.ih7;le:&0761e:<95719'1a2=>;k0e<:l:188m42c2900e<:j:188m436290C95j4;h7b6?6=3`?j?7>5;h7b0?6=3`=:6=4+59a935=i=1h1<6*;c0867>h3k90:=65f6g83>!3?k3=;7c;7b;38 1e62<90b9m?:028?l0b290/95m5719m1=d=:2.?o<4:3:l7g55$4:`>265+4b3916=i!3?k3=;7c;7b;68 1e62<90b9m?:e98m3d=83.>4n480:l6h=7;<;o6`4?e<3`h3k90i76g99;29 0>d2>:0b86m:69'0f7==:1e8n>5a:9j3d<72-?3o79?;o7;f?><,=i:68=4n5a3><=31<7*:8b844>h20k027):l1;70?k2d83207d97:18'1=e=?91e95l5a:&7g4<2;2d?o=48;:k43?6=,<2h6:>4n4:a>g=#5a4b292>=n??0;6);7c;53?k3?j3i0(9m>:418j1e72<10e:;50;&64o4k;%6`5?343g>h<7:4;h57>5<#=1i1;=5a59`9a>"3k80>?6`;c180?>o0;3:1(86l:628j0>e2o1/8n?5529m0f6=:21b;?4?:%7;g?173g?3n7??;%6`5?343g>h<7?4;h4;>5<#=1i1;=5a59`954=#5a4b294>=nm:0;6);7c;g1?k3?j3:0(9m>:b48j1e728;07dk>:18'1=e=m;1e95l51:&7g42d?o=4>0:9ja5<72-?3o7k=;o7;f?4<,=i:6n84n5a3>c=h20k087):l1;a5?k2d83o07djj:18'1=e=m;1e95l54:&7g42d?o=4k;:kg`?6=,<2h6h<4n4:a>0=#=nlj0;6);7c;g1?k3?j3<0(9m>:b48j1e72k10eil50;&64o48;%6`5?e13g>h<7o4;hgg>5<#=1i1i?5a59`9<>"3k80h:6`;c18:?>obk3:1(86l:d08j0>e201/8n?5c79m0f6=021bio4?:%7;g?c53g?3n7o4$5a2>f06`:8c8a?!2d93i=7c:l0;48?lc>290/95m5e39m1=d=k2.?o<4l6:l7g5<232cn47>5$4:`>`4!3?k3o97c;7b;g8 1e62j<0b9m?:298m`3=83.>4n4j2:l6h=7m9;o6`4?4<3`o?6=4+59a9a7=i=1h1==5+4b39g3=i!3?k3o97c;7b;32?!2d93i=7c:l0;28?l77i3:1(86l:02:?k3?j3:0(9m>:dg8j1e728;07d??8;29 0>d28:27c;7b;38 1e62lo0b9m?:028?l77?3:1(86l:02:?k3?j380(9m>:dg8j1e72o10e<>9:18'1=e=9930b86m:29'0f7=ml1e8n>5e:9j553=83.>4n4>089m1=d=<2.?o<4je:l7g54o4:;%6`5?cb3g>h<7m4;h337?6=,<2h6<>6;o7;f?0<,=i:6hk4n5a3>g="3k80ni6`;c18:?>o69;0;6);7c;33=>h20k027):l1;gf?k2d83207d?>1;29 0>d28:27c;7b;c8 1e62lo0b9m?:698m477290/95m511;8j0>e2k1/8n?5ed9m0f6=>21b==h50;&6h=7kj;o6`4?2<3`;;h7>5$4:`>46>3g?3n7k4$5a2>`c54i02`>5<#=1i1==74n4:a>c=#=n99h1<7*:8b824<=i=1h1==5+4b39a`=i=h=1:1<7*:8b863c=i=1h1<6*;c08671=i>54o45f>5<#=1i19:h4n4:a>4=#:4n5a3>74<3f?5$4:`>01a3g?3n7<4$5a2>0533g>h<7<>;:m63f<72-?3o7;8f:l6h=7;<4:l7g5<5821d9:l50;&651g98k01f290/95m556d8j0>e2<1/8n?55268j1e728o07b;88;29 0>d2<=m7c;7b;48 1e62<9?7c:l0;3g?>i2?>0;6);7c;74b>h20k0<7):l1;700>h3k90:o65`56494?"20j0>;k5a59`9<>"3k80>?95a4b295g=6=4+59a912`32e>;>4?:%7;g?30n2d>4o4m;%6`5?34<2d?o=4>8:9l124=83.>4n4:7g9m1=d=k2.?o<4:359m0f6=9>10c89>:18'1=e==>l0b86m:e9'0f7==:>0b9m?:048?j3083:1(86l:45e?k3?j3o0(9m>:417?k2d83;>76a:6g83>!3?k3?=h=?n1<7*:8b863c=i=1h1==5+4b39162h=7;<4:l7g5<6:21d9;l50;&60b9m?:038?j31i3:1(86l:45e?k3?j3;87):l1;700>h3k90:<65`57;94?"20j0>;k5a59`951=#:4n5a3>c=0533g>h<7k4;n753?6=,<2h689i;o7;f?713->h=7;<4:l7g5:;4?:%7;g?30n2d>4o4>7:&7g4<2;=1e8n>5c:9l133=83.>4n4:7g9m1=d=911/8n?55268j1e72k10c88;:18'1=e==>l0b86m:0;8 1e62<9?7c:l0;c8?j3??3:1(86l:45e?k3?j3;j7):l1;700>h3k90276a:8783>!3?k3?"3k80>?95a4b29<>=h=1?1<7*:8b863c=i=1h1=n5+4b391625<#=1i19:h4n4:a>4b<,=i:68=;;o6`4?0<3f?3?7>5$4:`>01a3g?3n7?j;%6`5?34<2d?o=4:;:m6<7<72-?3o7;8f:l60b9m?:298k01>290/95m556d8j0>e2;;0(9m>:417?k2d83807b;9e;29 0>d2<=m7c;7b;01?!2d93?886`;c182?>i2>:0;6);7c;74b>h20k09?6*;c08671=ik5a59`94>"3k80:=95a4b2954=4;n31`?6=,<2h6<5$4:`>44a3g?3n7=4$5a2>4733g>h<7k4;n31f?6=,<2h6<5$4:`>44a3g?3n7;4$5a2>4733g>h<7m4;n31=?6=,<2h6<5$4:`>44a3g?3n794$5a2>4733g>h<7o4;n30=?6=,<2h6<<,=i:65$4:`>44a3g?3n774$5a2>4733g>h<764;n303?6=,<2h6<5$4:`>44a3g?3n7l4$5a2>4733g>h<784;n301?6=,<2h6<5$4:`>44a3g?3n7j4$5a2>4733g>h<7:4;n307?6=,<2h6<7>5$4:`>44a3g?3n7h4$5a2>4733g>h<7<4;n305?6=,<2h6<h=7?>4:l7g5<632e:>:4?:%7;g?75n2d>4o4>1:&7g4<69=1e8n>50:9~f22c28036=4?{%7f7?4fn2B=<>5G5d58 7c12890(;?::4ae?!54;3ko;6`916845>"2l=0<>95f15794?"19<0:9<54i07:>5<#>8?1>io4;h7`3?6=,?;>68j7;:m6=7<72-<:97;<3:9j51d=83.==84>4c98m0ec290/:<;55bf8L0c332c>m<4?:%421?3f92B>i954i4f6>5<#>8?19i;4H4g7?>{e?=o1=7650;2x 0c42;kh7E8?3:J6a2=#:l<1?6*91486gc=O=0n0(>=<:`f4?k06?3=97);k4;510>o6<<0;6)8>5;365>=n9<31<7*91481`d=7>5$736>05432c:8o4?:%421?73j21b9nj50;&550<2km1C9h:4;h7b5?6=,?;>68o>;I7f0>=n=j=1<7*91486`===7?58;294~"2m:09mn5G6118L0c03-8n:7=4$736>0ea3A?2h6*<328b`2=i>8=1;>5+5e69372>6=4+6079507<3`;>57>5$736>7bf32c>5>4?:%421?3c=21d94<50;&550<2;:10e<:m:18'243=9=h07d;ld;29 37225$736>0b?32wi;9h51;:94?6|,N2m>1/>h853:&550<2ko1C94j4$210>db03g<:;79;;%7g0?15<2c:884?:%421?72921b=8750;&550<5lh10e87<:18'243==m?07b;62;29 3722<9876g>4c83>!06=3;?n65f5bf94?"19<0>oi5G5d68?l3f93:1(;?::4c2?M3b<21b9n950;&550<2l110qo9:0;39i>4=ab9K255<@1e:<95749'1a2=?;>0e<:::18'243=9<;07d?:9;29 3722;nj76g:9283>!06=3?o965`58094?"19<0>?>54i06a>5<#>8?1=9l4;h7``?6=,?;>68mk;I7f0>=n=h;1<7*91486e4=O=l>07d;l7;29 3722=83:p(8k<:3c`?M07;2B>i:5+2d497>"19<0>ok5G58f8 6542hn<7c8>7;55?!3c<3=986g>4483>!06=3;>=65f14;94?"19<09hl54i4;0>5<#>8?19i;4;n7:6?6=,?;>68=<;:k20g<72-<:97?;b:9j1fb=83.==84:ce9K1`2<3`?j=7>5$736>0g63A?n865f5b594?"19<0>h554}c567?7=03:1990D8k8;%0f2?5<,?;>68mi;I7:`>"4;:0jh:5a605932=#=m>1;?:4i066>5<#>8?1=8?4;h36=?6=,?;>6?jn;:k6=6<72-<:97;k5:9l1<4=83.==84:3298m42e290/:<;515`8?l3dl3:1(;?::4ag?M3b<21b9l?50;&550<2i81C9h:4;h7`3?6=,?;>68j7;:a300=9321<7>t$4g0>7gd3A<;?6F:e69'6`0=;2.==84:cg9K1:3:1(;?::410?>o65;37f>=n=jn1<7*91486ga=O=l>07d;n1;29 372250z&6a6<5ij1C:==4H4g4?!4b>390(;?::4ae?M3>l2.8?>4nd69m241=?01/9i:57368m422290/:<;51438?l7213:1(;?::3fb?>o21:0;6)8>5;7g1>=h=081<7*9148676=i6=4+607951d<3`?hh7>5$736>0ec3A?n865f5`394?"19<0>m<5G5d68?l3d?3:1(;?::4f;?>{e?=<:`f4?k06?3=j7);k4;510>o6<<0;6)8>5;365>=n9<31<7*91481`d=7>5$736>05432c:8o4?:%421?73j21b9nj50;&550<2km1C9h:4;h7b5?6=,?;>68o>;I7f0>=n=j=1<7*91486`==0ea3A?2h6*<328b`2=i>8=1;o5+5e6927g>6=4+6079507<3`;?n7>5$736>42e32c:944?:%421?4ci21b94=50;&550<2l<10c87=:18'243==:907d;ld;29 37225$736>0b?32wi:kl51;:94?6|,N2m>1/>h853:&550<2ko1C94j4$210>db03g<:;79l;%7g0?05i2c:884?:%421?72921b=9l50;&550<676a:9383>!06=3?8?65f5bf94?"19<0>oi5G5d68?l3f93:1(;?::4c2?M3b<21b9n950;&550<2l110qo8ic;39i>4=ae9K255<@1e:<957e9'1a2=>;k0e<:::18'243=9<;07d?;b;29 37228>i76g>5883>!06=38om65f58194?"19<0>h854o4;1>5<#>8?19>=4;h7``?6=,?;>68mk;I7f0>=n=h;1<7*91486e4=O=l>07d;l7;29 3722=83:p(8k<:3cg?M07;2B>i:5+2d497>"19<0>ok5G58f8 6542hn<7c8>7;5f?!3c<3<9m6g>4483>!06=3;>=65f15`94?"19<0:8o54i07:>5<#>8?1>io4;h7:7?6=,?;>68j:;:m6=7<72-<:97;<3:9j1fb=83.==84:ce9K1`2<3`?j=7>5$736>0g63A?n865f5b594?"19<0>h554}c4ea?7=03:1990D8k8;%0f2?5<,?;>68mi;I7:`>"4;:0jh:5a60593c=#=m>1:?o4i066>5<#>8?1=8?4;h37f?6=,?;>6<:m;:k21<<72-<:9768j7;:a2c`=9321<7>t$4g0>7gc3A<;?6F:e69'6`0=;2.==84:cg9K173-?o878=a:k200<72-<:97?:1:9j51d=83.==84>4c98m43>290/:<;52ec8?l3>;3:1(;?::4f6?>i21;0;6)8>5;707>=n=jn1<7*91486ga=O=l>07d;n1;29 372250z&6a6<5im1C:==4H4g4?!4b>390(;?::4ae?M3>l2.8?>4nd69m241=081/9i:563c8m422290/:<;51438?l73j3:1(;?::06a?>o6=00;6)8>5;0ge>=n=091<7*91486`0=5$736>0ec3A?n865f5`394?"19<0>m<5G5d68?l3d?3:1(;?::4f;?>{e?9;1=7650;2x 0c42;ko7E8?3:J6a2=#:l<1?6*91486gc=O=0n0(>=<:`f4?k06?3297);k4;41e>o6<<0;6)8>5;365>=n9=h1<7*914820g=5$736>0b232e>5?4?:%421?34;21b9nj50;&550<2km1C9h:4;h7b5?6=,?;>68o>;I7f0>=n=j=1<7*91486`==7?58;294~"2m:09mi5G6118L0c03-8n:7=4$736>0ea3A?2h6*<328b`2=i>8=14>5+5e6927g>6=4+6079507<3`;?n7>5$736>42e32c:944?:%421?4ci21b94=50;&550<2l<10c87=:18'243==:907d;ld;29 37225$736>0b?32wi;==51;:94?6|,N2m>1/>h853:&550<2ko1C94j4$210>db03g<:;76;;%7g0?05i2c:884?:%421?72921b=9l50;&550<676a:9383>!06=3?8?65f5bf94?"19<0>oi5G5d68?l3f93:1(;?::4c2?M3b<21b9n950;&550<2l110qo8m7;39i>4=ab9K255<@1e:<95849'1a2=>;k0e<:::18'243=9<;07d?:9;29 3722;nj76g:9283>!06=3?o965`58094?"19<0>?>54i06a>5<#>8?1=9l4;h7``?6=,?;>68mk;I7f0>=n=h;1<7*91486e4=O=l>07d;l7;29 3722=83:p(8k<:3c`?M07;2B>i:5+2d497>"19<0>ok5G58f8 6542hn<7c8>7;:5?!3c<3<9m6g>4483>!06=3;>=65f14;94?"19<09hl54i4;0>5<#>8?19i;4;n7:6?6=,?;>68=<;:k20g<72-<:97?;b:9j1fb=83.==84:ce9K1`2<3`?j=7>5$736>0g63A?n865f5b594?"19<0>h554}c4a=?7=03:1990D8k8;%0f2?5<,?;>68mi;I7:`>"4;:0jh:5a6059<2=#=m>1:?o4i066>5<#>8?1=8?4;h36=?6=,?;>6?jn;:k6=6<72-<:97;k5:9l1<4=83.==84:3298m42e290/:<;515`8?l3dl3:1(;?::4ag?M3b<21b9l?50;&550<2i81C9h:4;h7`3?6=,?;>68j7;:a2gg=9321<7>t$4g0>7gd3A<;?6F:e69'6`0=;2.==84:cg9K1?3-?o878=a:k200<72-<:97?:1:9j50?=83.==84=d`98m0?4290/:<;55e78?j3>:3:1(;?::410?>o65;37f>=n=jn1<7*91486ga=O=l>07d;n1;29 372250z&6a6<5ij1C:==4H4g4?!4b>390(;?::4ae?M3>l2.8?>4nd69m241=001/9i:563c8m422290/:<;51438?l7213:1(;?::3fb?>o21:0;6)8>5;7g1>=h=081<7*9148676=i6=4+607951d<3`?hh7>5$736>0ec3A?n865f5`394?"19<0>m<5G5d68?l3d?3:1(;?::4f;?>{e>ki1=7650;2x 0c42;kh7E8?3:J6a2=#:l<1?6*91486gc=O=0n0(>=<:`f4?k06?32j7);k4;41e>o6<<0;6)8>5;365>=n9<31<7*91481`d=7>5$736>05432c:8o4?:%421?73j21b9nj50;&550<2km1C9h:4;h7b5?6=,?;>68o>;I7f0>=n=j=1<7*91486`==0ea3A?2h6*<328b`2=i>8=14o5+5e6927g>6=4+6079507<3`;>57>5$736>7bf32c>5>4?:%421?3c=21d94<50;&550<2;:10e<:m:18'243=9=h07d;ld;29 37225$736>0b?32wi:ok51;:94?6|,N2m>1/>h853:&550<2ko1C94j4$210>db03g<:;76l;%7g0?05i2c:884?:%421?72921b=8750;&550<5lh10e87<:18'243==m?07b;62;29 3722<9876g>4c83>!06=3;?n65f5bf94?"19<0>oi5G5d68?l3f93:1(;?::4c2?M3b<21b9n950;&550<2l110qo8mf;39i>4=ab9K255<@1e:<958e9'1a2=>;k0e<:::18'243=9<;07d?:9;29 3722;nj76g:9283>!06=3?o965`58094?"19<0>?>54i06a>5<#>8?1=9l4;h7``?6=,?;>68mk;I7f0>=n=h;1<7*91486e4=O=l>07d;l7;29 3722=83:p(8k<:3c`?M07;2B>i:5+2d497>"19<0>ok5G58f8 6542hn<7c8>7;:f?!3c<3<9m6g>4483>!06=3;>=65f14;94?"19<09hl54i4;0>5<#>8?19i;4;n7:6?6=,?;>68=<;:k20g<72-<:97?;b:9j1fb=83.==84:ce9K1`2<3`?j=7>5$736>0g63A?n865f5b594?"19<0>h554}c1bg?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=0o1/9i:560f8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h1e:<95919'1a2=>8n0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb2cg>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552<>92.>h9491e9j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj:i?6<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:462:&6`1<19m1b=9h50;&550<5lh10e>==:18'243=:mk07b:l5;29 3722<9876sm3`d95?2=83:p(8k<:26e?M07;2B>i:5+607971c<,:986lj8;o423??43-?o878>d:k20c<72-<:97{e;j?1=7=50;2x 0c42?:97E8?3:J6a2=#>8?1:=?4$210>db03g<:;77;;%7g0?06l2c:8k4?:%421?4ci21b?><50;&550<5lh10c9m::18'243==:907pl1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734><3<,6?jl;:k224<72-<:974?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea137c3`;?j7>5$736>7bf32c8??4?:%421?4ci21d8n;50;&550<2;:10qo=m3;390?6=8r.>i>4<4g9K255<@5;17a>"4;:0jh:5a6059=2=#=m>1:5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg5d?3;1?7>50z&6a6<18;1C:==4H4g4?!06=3<;=6*<328b`2=i>8=1555+5e6924bm6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vn>l::087>5<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`9168:=>"2l=0==i5f15d94?"19<09hn54i042>5<#>8?1>il4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f6e?28086=4?{%7f7?07:2B=<>5G5d58 3722?::7)=<3;cg3>h19>02m6*:d5855a=n9=l1<7*91481`d=h97>5$736>05432wi?o951;694?6|,:i;I437>N2m>1/:<;535g8 6542hn<7c8>7;;a?!3c<3<:h6g>4g83>!06=38oo65f17394?"19<09ho54i4a4>5<#>8?19nm4;n6`1?6=,?;>68=<;:a7f?=9391<7>t$4g0>3653A<;?6F:e69'243=>9;0(>=<:`f4?k06?33h7);k4;42`>o65;0ge>=n;:81<7*91481`d=6=4+6079165<3th8n44>:583>5}#=l91?9h4H720?M3b?2.==84<4d9'765=im=0b;?8:8f8 0b32?;o7d?;f;29 3722;nh76g>6083>!06=38on65f5b594?"19<0>on54o5a6>5<#>8?19>=4;|`0gd<62:0;6=u+5d19254<@?:87E;j7:&550<1881/?>=5ae58j37020o0(8j;:73g?l73n3:1(;?::3fb?>o4;;0;6)8>5;0ge>=h1e:<959g9'1a2=>8n0e<:i:18'243=:mi07d?91;29 3722;ni76g:c683>!06=3?ho65`4b794?"19<0>?>54}c1`f?7=;3:1990D8k8;%421?0792.8?>4nd69m241=i91/9i:560f8m42a290/:<;52ec8?l54:3:1(;?::3fb?>i3k<0;6)8>5;707>=zj:ho6<4;:183!3b;39?j6F9029K1`1<,?;>6>:j;%107?gc?2d==:4n1:&6`1<19m1b=9h50;&550<5lj10e<8>:18'243=:mh07d;l7;29 3722!06=3?8?65rb2a`>4<4290;w);j3;436>N18:1C9h94$736>3663-98?7ok7:l552h9491e9j51`=83.==84=d`98m655290/:<;52ec8?j2d=3:1(;?::410?>{e;j81=7=50;2x 0c42?:97E8?3:J6a2=#>8?1:=?4$210>db03g<:;7o<;%7g0?06l2c:8k4?:%421?4ci21b?><50;&550<5lh10c9m::18'243==:907pl<7182>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>d2<,6?jl;:k224<72-<:974?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea137c3`;?j7>5$736>7bf32c8??4?:%421?4ci21d8n;50;&550<2;:10qo6l8;397?6=8r.>i>4<4e9K255<@5;a0?!54;3ko;6`9168b2>"2l=0==i5f2e694?"19<09hl54i3f6>5<#>8?1>io4;n100?6=,?;>68=<;:a727=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3k<7);k4;42`>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi?5<51;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;c;?!3c<3<:h6g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`;gd<62:0;6=u+5d1971b<@?:87E;j7:&5504nd69m241=i01/9i:560f8m7b3290/:<;52ec8?l4c=3:1(;?::3fb?>i4;=0;6)8>5;707>=zj:=96<4;:183!3b;39?j6F9029K1`1<,?;>6>:j;%107?gc?2d==:4na:&6`1<19m1b=9h50;&550<5lj10e<8>:18'243=:mh07d;l7;29 3722!06=3?8?65rb2:0>4<4290;w);j3;436>N18:1C9h94$736>3663-98?7ok7:l552h9491e9j51`=83.==84=d`98m655290/:<;52ec8?j2d=3:1(;?::410?>{e0ji1=7=50;2x 0c42:>o7E8?3:J6a2=#>8?1o>5+3219ea137c3`8o87>5$736>7bf32c9h84?:%421?4ci21d?>:50;&550<2;:10qo=83;390?6=8r.>i>4<4g9K255<@5;17a>"4;:0jh:5a6059ea=#=m>1:5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg5?<3;1?7>50z&6a6<18;1C:==4H4g4?!06=3<;=6*<328b`2=i>8=1mh5+5e6924bm6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vn5mj:080>5<7s-?n?7=;d:J546=O=l=0(;?::b18 6542hn<7c8>7;ce?!3c<3<:h6g=d583>!06=38om65f2e794?"19<09hl54o217>5<#>8?19>=4;|`031<62=0;6=u+5d1971`<@?:87E;j7:&550<4=5ae58j3702k:0(8j;:73g?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th8484>:283>5}#=l91:=<4H720?M3b?2.==849009'765=im=0b;?8:c38 0b32?;o7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c:g4?7=;3:1990D8k8;%421?e43-98?7ok7:l552h9491e9j6a2=83.==84=d`98m7b2290/:<;52ec8?j54<3:1(;?::410?>{e;>?1=7:50;2x 0c42:>m7E8?3:J6a2=#>8?1?9k4$210>db03g<:;7l<;%7g0?06l2c:8k4?:%421?4ck21b=;?50;&550<5lk10e8m8:18'243==ji07b:l5;29 3722<9876sm39495?5=83:p(8k<:721?M07;2B>i:5+6079257<,:986lj8;o423?d33-?o878>d:k20c<72-<:97<;I7f3>"19<088h5+3219ea137c3`;?j7>5$736>7bd32c::<4?:%421?4cj21b9n950;&550<2kj10c9m::18'243==:907pl<8682>6<729q/9h=56108L3643A?n;6*9148544=#;:91mi94n734>g0<,6?jn;:k077<72-<:9750z&6a6<48=1n:5+5e6924bm6=4+60796ae<3`;==7>5$736>7be32c>o:4?:%421?3dk21d8n;50;&550<2;:10qo=78;397?6=8r.>i>49039K255<@5;435>"4;:0jh:5a6059f==#=m>1:5<#>8?1>io4;h106?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f61?280?6=4?{%7f7?53n2B=<>5G5d58 3722:>n7)=<3;cg3>h19>0i56*:d5855a=n9=l1<7*91481`f=5$736>0ed32e?o84?:%421?34;21vn>66:080>5<7s-?n?78?2:J546=O=l=0(;?::722?!54;3ko;6`9168ae>"2l=0==i5f15d94?"19<09hl54i211>5<#>8?1>io4;n6`1?6=,?;>68=<;:a72?=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3hi7);k4;42`>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi?5o51;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;``?!3c<3<:h6g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`0<4<62:0;6=u+5d19254<@?:87E;j7:&550<1881/?>=5ae58j3702kn0(8j;:73g?l73n3:1(;?::3fb?>o4;;0;6)8>5;0ge>=h1e:<95bd9'1a2=>8n0e<:i:18'243=:mi07d?91;29 3722;ni76g:c683>!06=3?ho65`4b794?"19<0>?>54}c1b4?7=;3:1990D8k8;%421?0792.8?>4nd69m241=jo1/9i:560f8m42a290/:<;52ec8?l54:3:1(;?::3fb?>i3k<0;6)8>5;707>=zj:2h6<4;:183!3b;39?j6F9029K1`1<,?;>6>:j;%107?gc?2d==:4l0:&6`1<19m1b=9h50;&550<5lj10e<8>:18'243=:mh07d;l7;29 3722!06=3?8?65rb2c0>4<4290;w);j3;436>N18:1C9h94$736>3663-98?7ok7:l552h9491e9j51`=83.==84=d`98m655290/:<;52ec8?j2d=3:1(;?::410?>{e;1o1=7:50;2x 0c42:>m7E8?3:J6a2=#>8?1?9k4$210>db03g<:;7m=;%7g0?06l2c:8k4?:%421?4ck21b=;?50;&550<5lk10e8m8:18'243==ji07b:l5;29 3722<9876sm3`695?5=83:p(8k<:721?M07;2B>i:5+6079257<,:986lj8;o423?e43-?o878>d:k20c<72-<:97<;I7f3>"19<088h5+3219ea137c3`;?j7>5$736>7bd32c::<4?:%421?4cj21b9n950;&550<2kj10c9m::18'243==:907pl6<729q/9h=56108L3643A?n;6*9148544=#;:91mi94n734>f3<,6?jn;:k077<72-<:97:3;187>50z&6a6<48=1o;5+5e6924bm6=4+60796ae<3`;==7>5$736>7be32c>o:4?:%421?3dk21d8n;50;&550<2;:10qo=n6;397?6=8r.>i>49039K255<@5;435>"4;:0jh:5a6059g2=#=m>1:5<#>8?1>io4;h106?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f6?3280?6=4?{%7f7?53n2B=<>5G5d58 3722:>n7)=<3;cg3>h19>0h46*:d5855a=n9=l1<7*91481`f=5$736>0ed32e?o84?:%421?34;21vn>o8:080>5<7s-?n?78?2:J546=O=l=0(;?::722?!54;3ko;6`9168`=>"2l=0==i5f15d94?"19<09hl54i211>5<#>8?1>io4;n6`1?6=,?;>68=<;:a7<0=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3ij7);k4;42`>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi?l651;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;aa?!3c<3<:h6g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`0==<62=0;6=u+5d1971`<@?:87E;j7:&550<4=5ae58j3702ji0(8j;:73g?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th8m44>:283>5}#=l91:=<4H720?M3b?2.==849009'765=im=0b;?8:bf8 0b32?;o7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c1:e?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=kl1/9i:560f8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h1e:<95cg9'1a2=>8n0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb2;`>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552h9491e9j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj:ki6<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:4k1:&6`1<19m1b=9h50;&550<5lh10e>==:18'243=:mk07b:l5;29 3722<9876sm3`395?5=83:p(8k<:721?M07;2B>i:5+6079257<,:986lj8;o423?b53-?o878>d:k20c<72-<:97<;I7f3>"19<088h5+3219ea137c3`;?j7>5$736>7bd32c::<4?:%421?4cj21b9n950;&550<2kj10c9m::18'243==:907pl<5582>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>a2<,6?jl;:k224<72-<:97<;I7f3>"19<088h5+3219ea137c3`;?j7>5$736>7bd32c::<4?:%421?4cj21b9n950;&550<2kj10c9m::18'243==:907pl<5982>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>a0<,6?jl;:k224<72-<:97<;I7f3>"19<088h5+3219ea137c3`;?j7>5$736>7bd32c::<4?:%421?4cj21b9n950;&550<2kj10c9m::18'243==:907pl<6`82>6<729q/9h=56108L3643A?n;6*9148544=#;:91mi94n734>a><,6?jn;:k077<72-<:9750z&6a6<48=1h45+5e6924bm6=4+60796ae<3`;==7>5$736>7be32c>o:4?:%421?3dk21d8n;50;&550<2;:10qo=9b;397?6=8r.>i>49039K255<@5;435>"4;:0jh:5a6059`d=#=m>1:5<#>8?1>io4;h106?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f63b280?6=4?{%7f7?53n2B=<>5G5d58 3722:>n7)=<3;cg3>h19>0on6*:d5855a=n9=l1<7*91481`f=5$736>0ed32e?o84?:%421?34;21vn>8l:080>5<7s-?n?78?2:J546=O=l=0(;?::722?!54;3ko;6`9168gg>"2l=0==i5f15d94?"19<09hl54i211>5<#>8?1>io4;n6`1?6=,?;>68=<;:a736=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3no7);k4;42`>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi?;j51;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;ff?!3c<3<:h6g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`027<62=0;6=u+5d1971`<@?:87E;j7:&550<4=5ae58j3702ml0(8j;:73g?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th8:h4>:283>5}#=l91:=<4H720?M3b?2.==849009'765=im=0b;?8:d28 0b32?;o7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c150?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=m81/9i:560f8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h1e:<95e39'1a2=>8n0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb24;>4<4290;w);j3;436>N18:1C9h94$736>3663-98?7ok7:l552h9491e9j51`=83.==84=d`98m655290/:<;52ec8?j2d=3:1(;?::410?>{e;jn1=7:50;2x 0c42:>m7E8?3:J6a2=#>8?1?9k4$210>db03g<:;7k;;%7g0?06l2c:8k4?:%421?4ck21b=;?50;&550<5lk10e8m8:18'243==ji07b:l5;29 3722<9876sm41295?5=83:p(8k<:721?M07;2B>i:5+6079257<,:986lj8;o423?c23-?o878>d:k20c<72-<:97<;I7f3>"19<088h5+3219ea137c3`;?j7>5$736>7bd32c::<4?:%421?4cj21b9n950;&550<2kj10c9m::18'243==:907pl;0c82>6<729q/9h=56108L3643A?n;6*9148544=#;:91mi94n734>`1<,6?jn;:k077<72-<:9750z&6a6<48=1i55+5e6924bm6=4+60796ae<3`;==7>5$736>7be32c>o:4?:%421?3dk21d8n;50;&550<2;:10qo:>3;397?6=8r.>i>49039K255<@5;435>"4;:0jh:5a6059a<=#=m>1:5<#>8?1>io4;h106?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f6`7280?6=4?{%7f7?53n2B=<>5G5d58 3722:>n7)=<3;cg3>h19>0nm6*:d5855a=n9=l1<7*91481`f=5$736>0ed32e?o84?:%421?34;21vn9?;:080>5<7s-?n?78?2:J546=O=l=0(;?::722?!54;3ko;6`9168ff>"2l=0==i5f15d94?"19<09hl54i211>5<#>8?1>io4;n6`1?6=,?;>68=<;:a7c4=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3oh7);k4;42`>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi8<;51;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;gg?!3c<3<:h6g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`0b1<62=0;6=u+5d1971`<@?:87E;j7:&550<4=5ae58j3702lo0(8j;:73g?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th?=;4>:283>5}#=l91:=<4H720?M3b?2.==849009'765=im=0b;?8:dd8 0b32?;o7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c1e2?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=n91/9i:560f8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h:;7?53;294~"2m:0=1e:<95f09'1a2=>8n0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb2d;>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552h9491e9j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj=;36<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:4i3:&6`1<19m1b=9h50;&550<5lh10e>==:18'243=:mk07b:l5;29 3722<9876sm3gc95?2=83:p(8k<:26e?M07;2B>i:5+607971c<,:986lj8;o423?`33-?o878>d:k20c<72-<:97{e<831=7=50;2x 0c42?:97E8?3:J6a2=#>8?1:=?4$210>db03g<:;7h:;%7g0?06l2c:8k4?:%421?4ci21b?><50;&550<5lh10c9m::18'243==:907pl1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>c0<,6?jl;:k224<72-<:974?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea137c3`;?j7>5$736>7bf32c8??4?:%421?4ci21d8n;50;&550<2;:10qo=le;390?6=8r.>i>4<4g9K255<@5;17a>"4;:0jh:5a6059b==#=m>1:5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg2793;1?7>50z&6a6<18;1C:==4H4g4?!06=3<;=6*<328b`2=i>8=1j45+5e6924bm6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vn>j?:087>5<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`9168ee>"2l=0==i5f15d94?"19<09hn54i042>5<#>8?1>il4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f16528086=4?{%7f7?07:2B=<>5G5d58 3722?::7)=<3;cg3>h19>0mn6*:d5855a=n9=l1<7*91481`d=h97>5$736>05432wi?i<51;694?6|,:i;I437>N2m>1/:<;535g8 6542hn<7c8>7;d`?!3c<3<:h6g>4g83>!06=38oo65f17394?"19<09ho54i4a4>5<#>8?19nm4;n6`1?6=,?;>68=<;:a055=9391<7>t$4g0>3653A<;?6F:e69'243=>9;0(>=<:`f4?k06?3lo7);k4;42`>o65;0ge>=n;:81<7*91481`d=6=4+6079165<3th8h94>:583>5}#=l91?9h4H720?M3b?2.==84<4d9'765=im=0b;?8:gg8 0b32?;o7d?;f;29 3722;nh76g>6083>!06=38on65f5b594?"19<0>on54o5a6>5<#>8?19>=4;|`741<62:0;6=u+5d19254<@?:87E;j7:&550<1881/?>=5ae58j3702ol0(8j;:73g?l73n3:1(;?::3fb?>o4;;0;6)8>5;0ge>=h1e:<951128 0b32?;o7d?;f;29 3722;nh76g>6083>!06=38on65f5b594?"19<0>on54o5a6>5<#>8?19>=4;|`740<62:0;6=u+5d19254<@?:87E;j7:&550<1881/?>=5ae58j37028::7);k4;42`>o65;0ge>=n;:81<7*91481`d=6=4+6079165<3th8h54>:583>5}#=l91?9h4H720?M3b?2.==84<4d9'765=im=0b;?8:021?!3c<3<:h6g>4g83>!06=38oo65f17394?"19<09ho54i4a4>5<#>8?19nm4;n6`1?6=,?;>68=<;:a050=9391<7>t$4g0>3653A<;?6F:e69'243=>9;0(>=<:`f4?k06?3;;?6*:d5855a=n9=l1<7*91481`d=h97>5$736>05432wi?io51;694?6|,:i;I437>N2m>1/:<;535g8 6542hn<7c8>7;330>"2l=0==i5f15d94?"19<09hn54i042>5<#>8?1>il4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f16028086=4?{%7f7?07:2B=<>5G5d58 3722?::7)=<3;cg3>h19>0:<85+5e6924bm6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vn>jl:087>5<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`9168243=#=m>1:5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg2703;1?7>50z&6a6<18;1C:==4H4g4?!06=3<;=6*<328b`2=i>8=1==94$4f7>37c3`;?j7>5$736>7bf32c8??4?:%421?4ci21d8n;50;&550<2;:10qo=ke;390?6=8r.>i>4<4g9K255<@5;17a>"4;:0jh:5a605955><,6?jl;:k224<72-<:974?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea16;%7g0?06l2c:8k4?:%421?4ci21b?><50;&550<5lh10c9m::18'243==:907pl1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>46f3-?o878>d:k20c<72-<:97{e<9k1=7=50;2x 0c42?:97E8?3:J6a2=#>8?1:=?4$210>db03g<:;7??b:&6`1<19m1b=9h50;&550<5lh10e>==:18'243=:mk07b:l5;29 3722<9876sm3d695?2=83:p(8k<:26e?M07;2B>i:5+607971c<,:986lj8;o423?77k2.>h9491e9j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj=:h6<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:4>0e9'1a2=>8n0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb2g5>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552<68l1/9i:560f8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h;h7?53;294~"2m:0=1e:<9511d8 0b32?;o7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c1f990D8k8;%421?53m2.8?>4nd69m241=98:0(8j;:73g?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th?

:283>5}#=l91:=<4H720?M3b?2.==849009'765=im=0b;?8:032?!3c<3<:h6g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`0ad<62=0;6=u+5d1971`<@?:87E;j7:&550<4=5ae58j37028;97);k4;42`>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi8=h51;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;327>"2l=0==i5f15d94?"19<09hl54i211>5<#>8?1>io4;n6`1?6=,?;>68=<;:a7`e=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3;:86*:d5855a=n9=l1<7*91481`f=5$736>0ed32e?o84?:%421?34;21vn9??:080>5<7s-?n?78?2:J546=O=l=0(;?::722?!54;3ko;6`9168250=#=m>1:5<#>8?1>io4;h106?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f17628086=4?{%7f7?07:2B=<>5G5d58 3722?::7)=<3;cg3>h19>0:=;5+5e6924bm6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vn9=k:087>5<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`9168252=#=m>1:5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg22k3;1?7>50z&6a6<18;1C:==4H4g4?!06=3<;=6*<328b`2=i>8=1=<64$4f7>37c3`;?j7>5$736>7bf32c8??4?:%421?4ci21d8n;50;&550<2;:10qo:i>4<4g9K255<@5;17a>"4;:0jh:5a605954?<,6?jl;:k224<72-<:974?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea1<50;&550<5lh10c9m::18'243==:907pl;4182>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>47e3-?o878>d:k20c<72-<:97{e<8?1:=?4$210>db03g<:;7?>c:&6`1<19m1b=9h50;&550<5lh10e>==:18'243=:mk07b:l5;29 3722<9876sm45395?2=83:p(8k<:26e?M07;2B>i:5+607971c<,:986lj8;o423?76l2.>h9491e9j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj=<;6<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:4>1d9'1a2=>8n0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb561>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552<69o1/9i:560f8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h==7?53;294~"2m:0=1e:<951328 0b32?;o7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c677?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=9;;0(8j;:73g?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th?:?4>:283>5}#=l91:=<4H720?M3b?2.==849009'765=im=0b;?8:001?!3c<3<:h6g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`701<62=0;6=u+5d1971`<@?:87E;j7:&550<4=5ae58j37028887);k4;42`>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi8;=51;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;310>"2l=0==i5f15d94?"19<09hl54i211>5<#>8?1>io4;n6`1?6=,?;>68=<;:a013=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3;996*:d5855a=n9=l1<7*91481`f=5$736>0ed32e?o84?:%421?34;21vn98;:080>5<7s-?n?78?2:J546=O=l=0(;?::722?!54;3ko;6`9168263=#=m>1:5<#>8?1>io4;h106?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f121280?6=4?{%7f7?53n2B=<>5G5d58 3722:>n7)=<3;cg3>h19>0:>:5+5e6924bm6=4+60796ae<3`;==7>5$736>7be32c>o:4?:%421?3dk21d8n;50;&550<2;:10qo:95;397?6=8r.>i>49039K255<@5;435>"4;:0jh:5a605957><,6?jn;:k077<72-<:9750z&6a6<48=1=?74$4f7>37c3`;?j7>5$736>7bd32c::<4?:%421?4cj21b9n950;&550<2kj10c9m::18'243==:907pl;6782>6<729q/9h=56108L3643A?n;6*9148544=#;:91mi94n734>44f3-?o878>d:k20c<72-<:974?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea1<50;&550<5lh10c9m::18'243==:907pl;2382>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>44d3-?o878>d:k20c<72-<:97{e<:81=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:784n734>44c3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb506>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552<6:l1/9i:560f8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h8?7?55;294~"2m:088<5G6118L0c03-<:97;n0:&0761/>h854b9m241=9;l0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a070=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3;8<6*:d5855a=n9=l1<7*91481`f=5$736>0ed32e?o84?:%421?34;21vn9<8:087>5<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`9168274=#=m>1:5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg2503;187>50z&6a6<48=1=><4$4f7>37c3`;?j7>5$736>7bd32c::<4?:%421?4cj21b9n950;&550<2kj10c9m::18'243==:907pl;2`82>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>4543-?o878>d:k20c<72-<:97{e<:21=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:7:l;o423?74<2.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=891?65`4b794?"19<0>?>54}c61f?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=9:?0(8j;:73g?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th?>n4>:583>5}#=l91?9h4H720?M3b?2.==84<4d9'765=im=0b;?8:015?!3c<3<:h6g>4g83>!06=38oo65f17394?"19<09ho54i4a4>5<#>8?19nm4;n6`1?6=,?;>68=<;:a07c=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3;8;6*:d5855a=n9=l1<7*91481`f=5$736>0ed32e?o84?:%421?34;21vn95<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`916827==#=m>1:5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg2493;187>50z&6a6<4;l1C:==4H4g4?!06=3?j<6*<328b`2=#:l<1m6`916827<=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?j2d=3:1(;?::410?>{e<;>1=7:50;2x 0c42:>m7E8?3:J6a2=#>8?1?9k4$210>db03g<:;7?:18'243=:mh07d;l7;29 3722!06=3?8?65rb53e>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<13g<:;7?i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h:o7?54;294~"2m:088k5G6118L0c03-<:97=;e:&0761e:<9512a8 0b32?;o7d?;f;29 3722;nh76g>6083>!06=38on65f5b594?"19<0>on54o5a6>5<#>8?19>=4;|`765<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12?1e:<9512f8 0b32?;o7di850;&5504=;:k1`2<72-<:97lj;o427?5<3f>h97>5$736>05432wi8:i;I437>N2m>1/:<;535g8 6542hn<7c8>7;30a>"2l=0==i5f15d94?"19<09hn54i042>5<#>8?1>il4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f146280?6=4?{%7f7?54m2B=<>5G5d58 3722"5m?0j7c8>7;30b>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07d<;I7f3>"19<088h5+3219ea1i:5+60791d6<,:986lj8;%0f2?223g<:;7?;1:&6`1<1:h1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3f>h97>5$736>05432wi:9751;694?6|,:i;I437>N2m>1/:<;535g8 6542hn<7c8>7;376>"2l=0=>l5f15d94?"19<09hn54i042>5<#>8?1>il4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f33c28086=4?{%7f7?07:2B=<>5G5d58 3722?::7)=<3;cg3>h19>0:8>5+5e6927gm6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vn;;7:080>5<7s-?n?7=h19>0:895+5e6927g891<65f2e794?"19<0ii6`91282?>i3k<0;6)8>5;707>=zj?>j6<4;:183!3b;39?j6F9029K1`1<,?;>6>:j;%107?gc?2d==:4>449'1a2=>;k0e<:i:18'243=:mi07d?91;29 3722;ni76g:c683>!06=3?ho65`4b794?"19<0>?>54}c46b?7=;3:1990D8k8;%421?0792.8?>4nd69m241=9=<0(8j;:70b?l73n3:1(;?::3fb?>o4;;0;6)8>5;0ge>=h57?53;294~"2m:08?o5G6118L0c03-<:97;n0:&0761/>h85449m241=9==0(8j;:70b?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9l0f3=83.==84:3298yg03j3;187>50z&6a6<48=1=964$4f7>34f3`;?j7>5$736>7bd32c::<4?:%421?4cj21b9n950;&550<2kj10c9m::18'243==:907pl96182>6<729q/9h=56108L3643A?n;6*9148544=#;:91mi94n734>42>3-?o878=a:k20c<72-<:974?:1y'1`5=;:h0D;><;I7f3>"19<0>m=5+3219ea1<,;o=69;4n734>42f3-?o878=a:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?19>=4;|`50f<62=0;6=u+5d1971`<@?:87E;j7:&550<4=5ae58j37028>i7);k4;41e>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi:;?51;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;37g>"2l=0=>l5f15d94?"19<09hl54i211>5<#>8?1>io4;n6`1?6=,?;>68=<;:a20d=9391<7>t$4g0>65e3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3>>7c8>7;37`>"2l=0=>l5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07b:l5;29 3722<9876sm65f95?2=83:p(8k<:26e?M07;2B>i:5+607971c<,:986lj8;o423?73m2.>h9492`9j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj?<96<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:4>4g9'1a2=>;k0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb77`>4<4290;w);j3;10f>N18:1C9h94$736>0g73-98?7ok7:&1a3<3=2d==:4>519'1a2=>;k0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632e?o84?:%421?34;21vn;:j:087>5<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`9168214=#=m>1:?o4i06e>5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg01;3;1?7>50z&6a6<18;1C:==4H4g4?!06=3<;=6*<328b`2=i>8=1=8<4$4f7>34f3`;?j7>5$736>7bf32c8??4?:%421?4ci21d8n;50;&550<2;:10qo8;f;390?6=8r.>i>4<4g9K255<@5;17a>"4;:0jh:5a6059505<,6?jl;:k224<72-<:97=0:6>4?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea1<50;&550<5lh10c9m::18'243==:907pl95082>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>4323-?o878=a:k20c<72-<:97{e>??1=7=50;2x 0c42?:97E8?3:J6a2=#>8?1:=?4$210>db03g<:;7?:6:&6`1<1:h1b=9h50;&550<5lh10e>==:18'243=:mk07b:l5;29 3722<9876sm64195?2=83:p(8k<:26e?M07;2B>i:5+607971c<,:986lj8;o423?72?2.>h9492`9j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj?<=6<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:4>599'1a2=>;k0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb776>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552<6=01/9i:563c8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h1e:<9514c8 0b32?8j7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c46a?7=;3:1990D8k8;%421?0792.8?>4nd69m241=9o4;;0;6)8>5;0ge>=h1e:<9514a8 0b32?8j7d?;f;29 3722;nh76g>6083>!06=38on65f5b594?"19<0>on54o5a6>5<#>8?19>=4;|`53g<62:0;6=u+5d19254<@?:87E;j7:&550<1881/?>=5ae58j37028?o7);k4;41e>o65;0ge>=n;:81<7*91481`d=6=4+6079165<3th=:44>:583>5}#=l91?9h4H720?M3b?2.==84<4d9'765=im=0b;?8:07f?!3c<3<9m6g>4g83>!06=38oo65f17394?"19<09ho54i4a4>5<#>8?19nm4;n6`1?6=,?;>68=<;:a22e=9391<7>t$4g0>3653A<;?6F:e69'243=>9;0(>=<:`f4?k06?3;>j6*:d5856d=n9=l1<7*91481`d=h97>5$736>05432wi:;l51;694?6|,:i;I437>N2m>1/:<;535g8 6542hn<7c8>7;354>"2l=0=>l5f15d94?"19<09hn54i042>5<#>8?1>il4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f31c28086=4?{%7f7?07:2B=<>5G5d58 3722?::7)=<3;cg3>h19>0::<5+5e6927gm6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vn;8k:087>5<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`9168227=#=m>1:?o4i06e>5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg00m3;1?7>50z&6a6<18;1C:==4H4g4?!06=3<;=6*<328b`2=i>8=1=;=4$4f7>34f3`;?j7>5$736>7bf32c8??4?:%421?4ci21d8n;50;&550<2;:10qo89f;390?6=8r.>i>4<4g9K255<@5;17a>"4;:0jh:5a6059532<,6?jl;:k224<72-<:974?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea1<50;&550<5lh10c9m::18'243==:907pl97082>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>4013-?o878=a:k20c<72-<:97{e>1:1=7=50;2x 0c42?:97E8?3:J6a2=#>8?1:=?4$210>db03g<:;7?97:&6`1<1:h1b=9h50;&550<5lh10e>==:18'243=:mk07b:l5;29 3722<9876sm66195?2=83:p(8k<:26e?M07;2B>i:5+607971c<,:986lj8;o423?7102.>h9492`9j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj?2:6<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:4>689'1a2=>;k0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb756>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552<6>h1/9i:563c8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h7?53;294~"2m:0=1e:<9517`8 0b32?8j7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c443?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=9?i0(8j;:70b?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th=4>4>:283>5}#=l91:=<4H720?M3b?2.==849009'765=im=0b;?8:04g?!3c<3<9m6g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`5<1<62:0;6=u+5d19254<@?:87E;j7:&550<1881/?>=5ae58j37028o65;0ge>=n;:81<7*91481`d=6=4+6079165<3th=4;4>:583>5}#=l91?9h4H720?M3b?2.==84<4d9'765=im=0b;?8:04e?!3c<3<9m6g>4g83>!06=38oo65f17394?"19<09ho54i4a4>5<#>8?19nm4;n6`1?6=,?;>68=<;:a2t$4g0>3653A<;?6F:e69'243=>9;0(>=<:`f4?k06?3;<<6*:d5856d=n9=l1<7*91481`d=h97>5$736>05432wi:5951;694?6|,:i;I437>N2m>1/:<;535g8 6542hn<7c8>7;345>"2l=0=>l5f15d94?"19<09hn54i042>5<#>8?1>il4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f3?f28086=4?{%7f7?07:2B=<>5G5d58 3722?::7)=<3;cg3>h19>0:;?5+5e6927gm6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vn;66:087>5<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`9168236=#=m>1:?o4i06e>5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg0>j3;1?7>50z&6a6<18;1C:==4H4g4?!06=3<;=6*<328b`2=i>8=1=::4$4f7>34f3`;?j7>5$736>7bf32c8??4?:%421?4ci21d8n;50;&550<2;:10qo87b;390?6=8r.>i>4<4g9K255<@5;17a>"4;:0jh:5a6059523<,6?jl;:k224<72-<:974?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea1<50;&550<5lh10c9m::18'243==:907pl98e82>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>4103-?o878=a:k20c<72-<:97{e>0n1=7=50;2x 0c42?:97E8?3:J6a2=#>8?1:=?4$210>db03g<:;7?88:&6`1<1:h1b=9h50;&550<5lh10e>==:18'243=:mk07b:l5;29 3722<9876sm69d95?2=83:p(8k<:26e?M07;2B>i:5+607971c<,:986lj8;o423?7012.>h9492`9j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj?3n6<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:4>7`9'1a2=>;k0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb7;2>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552<6?k1/9i:563c8m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h1e:<9516a8 0b32?8j7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c4:7?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=9>n0(8j;:70b?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th=m=4>:283>5}#=l91:=<4H720?M3b?2.==849009'765=im=0b;?8:05f?!3c<3<9m6g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`5=0<62=0;6=u+5d1971`<@?:87E;j7:&550<4=5ae58j37028=m7);k4;41e>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi:l?51;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;3;4>"2l=0=>l5f15d94?"19<09hl54i211>5<#>8?1>io4;n6`1?6=,?;>68=<;:a2d4=9391<7>t$4g0>3653A<;?6F:e69'243=>9;0(>=<:`f4?k06?3;3=6*:d5856d=n9=l1<7*91481`d=h97>5$736>05432wi;?;51;694?6|,:i;I437>N2m>1/:<;535g8 6542hn<7c8>7;3;6>"2l=0<>95f15d94?"19<09hn54i042>5<#>8?1>il4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f25?28086=4?{%7f7?07:2B=<>5G5d58 3722?::7)=<3;cg3>h19>0:4>5+5e69372m6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vn:<9:087>5<7s-?n?7=;f:J546=O=l=0(;?::26f?!54;3ko;6`91682<1=#=m>1;?:4i06e>5<#>8?1>im4;h355?6=,?;>6?jm;:k6g2<72-<:97;lc:9l0f3=83.==84:3298yg1413;1?7>50z&6a6<18;1C:==4H4g4?!06=3<;=6*<328b`2=i>8=1=5;4$4f7>2433`;?j7>5$736>7bf32c8??4?:%421?4ci21d8n;50;&550<2;:10qo9=8;390?6=8r.>i>4<4g9K255<@5;17a>"4;:0jh:5a60595=0<,6?jl;:k224<72-<:974?:1y'1`5=>980D;><;I7f3>"19<0=<<5+3219ea1<50;&550<5lh10c9m::18'243==:907pl82`82>1<729q/9h=535d8L3643A?n;6*914800`=#;:91mi94n734>4>?3-?o879=4:k20c<72-<:97{e?:h1=7=50;2x 0c42?:97E8?3:J6a2=#>8?1:=?4$210>db03g<:;7?79:&6`1<0:=1b=9h50;&550<5lh10e>==:18'243=:mk07b:l5;29 3722<9876sm73a95?2=83:p(8k<:26e?M07;2B>i:5+607971c<,:986lj8;o423?7?i2.>h948259j51`=83.==84=db98m406290/:<;52e`8?l3d?3:1(;?::4a`?>i3k<0;6)8>5;707>=zj>9h6<4<:183!3b;3<;>6F9029K1`1<,?;>6;>>;%107?gc?2d==:4>8c9'1a2=?;>0e<:i:18'243=:mk07d=<2;29 3722;nj76a;c483>!06=3?8?65rb60f>4<3290;w);j3;17b>N18:1C9h94$736>62b3-98?7ok7:l552<60j1/9i:57368m42a290/:<;52ea8?l7193:1(;?::3fa?>o2k>0;6)8>5;7`g>=h1e:<9519f8 0b32>8?7d?;f;29 3722;nj76g<3383>!06=38om65`4b794?"19<0>?>54}c504?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=91o0(8j;:607?l73n3:1(;?::3f`?>o6>80;6)8>5;0gf>=n=j=1<7*91486gf=6=4+6079165<3th:283>5}#=l91:=<4H720?M3b?2.==849009'765=im=0b;?8:0:e?!3c<3=986g>4g83>!06=38om65f32094?"19<09hl54o5a6>5<#>8?19>=4;|`477<62=0;6=u+5d1971`<@?:87E;j7:&550<4=5ae58j370283;7);k4;510>o65;0gg>=n9?;1<7*91481`g=h97>5$736>05432wi;>h51;194?6|,=;I437>N2m>1/:<;56138 6542hn<7c8>7;3:5>"2l=0<>95f15d94?"19<09hl54i211>5<#>8?1>io4;n6`1?6=,?;>68=<;:a362=93>1<7>t$4g0>62a3A<;?6F:e69'243=;=o0(>=<:`f4?k06?3;2>6*:d58461=n9=l1<7*91481`f=5$736>0ed32e?o84?:%421?34;21vn::?:080>5<7s-?n?78?2:J546=O=l=0(;?::722?!54;3ko;6`91682=6=#=m>1;?:4i06e>5<#>8?1>io4;h106?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f22628086=4?{%7f7?07:2B=<>5G5d58 3722?::7)=<3;cg3>h19>0:595+5e69372m6=4+60796ag<3`98>7>5$736>7bf32e?o84?:%421?34;21vnl?;:085>5<7s-?n?7949'1a2=>8n0e<:::18'243=9<;07d?;b;29 37228>i76g>5883>!06=38om65`58094?"19<0>?>54i4:e>5<#>8?19nj4;h360?6=,?;>68o>;:ae43=93<1<7>t$4g0>7ge3A<;?6F:e69'6`0=;2.==84=b29K1>2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4<;%421?4e;2B>5i5+3219ea1!06=3?hh65f14694?"19<0>m<54}cc2e?7=>3:1990D8k8;%0f2?5<,?;>6?l<;I7:`>"4;:0jh:5a60595<><,6<;>;:k20g<72-<:97?;b:9j50?=83.==84=d`98k0?5290/:<;55218?l3?n3:1(;?::4ag?>o6==0;6)8>5;7b5>=zj0ln6<49:183!3b;38jn6F9029K1`1<,;o=6>5+60796g5<@<3o7)=<3;cg3>h19>0:545+5e6924b>6=4+6079507<3`;?n7>5$736>42e32c:944?:%421?4ci21d94<50;&550<2;:10e86i:18'243==jn07d?:4;29 3722i:5+2d497>"19<09n>5G58f8 6542hn<7c8>7;3:e>"2l=0==i5f15794?"19<0:9<54i06a>5<#>8?1=9l4;h36=?6=,?;>6?jn;:m6=7<72-<:97;<3:9j1=`=83.==84:ce98m433290/:<;55`38?xdf8o0:6;4?:1y'1`5=:hh0D;><;I7f3>"5m?087)8>5;0a7>N21m1/?>=5ae58j370283i7);k4;42`>o6<<0;6)8>5;365>=n9=h1<7*914820g=7>5$736>05432c>4k4?:%421?3dl21b=8:50;&550<2i810qoo?a;392?6=8r.>i>4=ac9K255<@1e:<9518a8 0b32?;o7d?;5;29 37228?:76g>4c83>!06=3;?n65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7;b?6=,?;>68mk;:k211<72-<:97;n1:9~fd70280=6=4?{%7f7?4fj2B=<>5G5d58 7c12:1/:<;52c18L0?c3-98?7ok7:l552<61m1/9i:560f8m422290/:<;51438?l73j3:1(;?::06a?>o6=00;6)8>5;0ge>=h=081<7*9148676=87>5$736>0g632wim=?51;494?6|,N2m>1/>h853:&550<5j:1C94j4$210>db03g<:;7?6e:&6`1<19m1b=9;50;&550<6=810e<:m:18'243=9=h07d?:9;29 3722;nj76a:9383>!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`b41<62?0;6=u+5d196dd<@?:87E;j7:&1a3<6;2.==84=b29K1n2.>h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>ll4H720?M3b?2.9i;4>3:&550<5j:1C94j4$210>db03g<:;7?n0:&6`1<19m1b=9;50;&550<6=810e<:m:18'243=9=h07d?:9;29 3722;nj76a:9383>!06=3?8?65f59d94?"19<0>oi54i077>5<#>8?19l?4;|`453<62?0;6=u+5d196dd<@?:87E;j7:&1a3<6;2.==84=b29K1h9491e9j513=83.==84>5098m42e290/:<;515`8?l7213:1(;?::3fb?>i21;0;6)8>5;707>=n=1l1<7*91486ga=:783>5}#=l91>l74H720?M3b?2.9i;4>3:&550<5j:1/?>=5ae58j37028k97);k4;:3g>o6<<0;6)8>5;365>=n9=h1<7*914820g=57>5$736>7bf32e>5?4?:%421?34;21b9nj50;&550<2km1C9h:4;|`;61<62?0;6=u+5d196d?<@?:87E;j7:&1a3<43-<:971e:<951`18 0b321:h7d?;5;29 37228?:76g>4c83>!06=3;?n65f14694?"19<0>m<54i07:>5<#>8?1>io4;n7:6?6=,?;>68=<;:k6ga<72-<:97;ld:J6a1=7d43-98?7ok7:l552<6i=1/9i:581a8m422290/:<;51438?l73j3:1(;?::06a?>o6==0;6)8>5;7b5>=n9<31<7*91481`d=5$736>0ec3A?n865rb901>4<1290;w);j3;0b=>N18:1C9h94$3g5>45<,?;>6?l<;%107?gc?2d==:4>a49'1a2=09i0e<:::18'243=9<;07d?;b;29 37228>i76g>5583>!06=3?j=65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7``?6=,?;>68mk;I7f0>=zj18:6<49:183!3b;38j56F9029K1`1<,;o=6<=4$736>7d43-98?7ok7:l552<6i?1/9i:581a8m422290/:<;51438?l73j3:1(;?::06a?>o6==0;6)8>5;7b5>=n9<31<7*91481`d=5$736>0ec3A?n865rb903>4<1290;w);j3;0b=>N18:1C9h94$3g5>45<,?;>6?l<;%107?gc?2d==:4>a69'1a2=09i0e<:::18'243=9<;07d?;b;29 37228>i76g>5583>!06=3?j=65f14;94?"19<09hl54o4;1>5<#>8?19>=4;h7``?6=,?;>68mk;I7f0>=zj1;m6<49:183!3b;38j56F9029K1`1<,;o=6>5+60796g5<,:986lj8;o423?7f02.>h9470b9j513=83.==84>5098m42e290/:<;515`8?l72<3:1(;?::4c2?>o6=00;6)8>5;0ge>=h=081<7*9148676=i:5+2d497>"19<09n>5+3219ea17k2c:884?:%421?72921b=9l50;&550<6!06=3?8?65f5bf94?"19<0>oi5G5d68?xd?9m0:6;4?:1y'1`5=:h30D;><;I7f3>"5m?087)8>5;0a7>"4;:0jh:5a60595dg<,l;h371?6=,?;>6<;>;:k20g<72-<:97?;b:9j502=83.==84:a098m43>290/:<;52ec8?j3>:3:1(;?::410?>o2km0;6)8>5;7``>N2m=10qo6>b;392?6=8r.>i>4=a89K255<@h19>0:mo5+5e69<5e>6=4+6079507<3`;?n7>5$736>42e32c:994?:%421?3f921b=8750;&550<5lh10c87=:18'243==:907d;ld;29 37225G5d58 7c12890(;?::3`0?!54;3ko;6`91682ef=#=m>14=m4i066>5<#>8?1=8?4;h37f?6=,?;>6<:m;:k211<72-<:97;n1:9j50?=83.==84=d`98k0?5290/:<;55218?l3dl3:1(;?::4ag?M3b<21vnl=?:087>5<7s-?n?7=8=1=lj4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976a;c483>!06=3?8?65rb92g>4<4290;w);j3;10f>N18:1C9h94$736>0g73-98?7ok7:&1a3<3=2d==:4>ad9'1a2=09i0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632e?o84?:%421?34;21vn55<7s-?n?7=h19>0:mk5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807b:l5;29 3722<9876sm83f95?2=83:p(8k<:21f?M07;2B>i:5+60791d6<,:986lj8;%0f2?da3g<:;7?m0:&6`1<19m1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?19>=4;|`;4g<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12=n0b;?8:0`2?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn:j7:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e781f==i>8=1=o<4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{ei:21=7:50;2x 0c42:9n7E8?3:J6a2=#>8?19l>4$210>db03-8n:7i;50;&5504>;:k1`3<72-<:97lj;o427?4<3f>h97>5$736>05432wim>951;794?6|,:>;I437>N2m>1/:<;55`28 6542hn<7)h19>0:n95+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d4?:1y'1`5=;:h0D;><;I7f3>"19<0>m=5+3219ea1<,;o=6<=4n734>4d23-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?19>=4;|`45=<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12:;0b;?8:0`5?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn:?6:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e7806>h19>0:n:5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<0>m=5+3219ea1<,;o=6:5a60595g><,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm74;95?3=83:p(8k<:262?M07;2B>i:5+60791d6<,:986lj8;%0f2?04;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj0oj6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4=b09m241=9kk0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:aege=9391<7>t$4g0>65e3A<;?6F:e69'243==h:0(>=<:`f4?!4b>382:6`91682fg=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76a;c483>!06=3?8?65rb6f5>4<3290;w);j3;10a>N18:1C9h94$736>0g73-98?7ok7:&1a3<6=k1e:<951ca8 0b32?;o7di850;&5504=;:m7g0<72-<:97;<3:9~f2b2280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?09no5a60595gb<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm6b695?3=83:p(8k<:262?M07;2B>i:5+60791d6<,:986lj8;%0f2?2d3g<:;7?me:&6`1<1:h1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h7?55;294~"2m:088<5G6118L0c03-<:97;n0:&0761/>h857:l552<6jo1/9i:563c8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`443<62:0;6=u+5d1976d<@?:87E;j7:&550<2i91/?>=5ae58 7c128o0b;?8:0a3?!3c<3<9m6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810c9m::18'243==:907pl80482>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>2=i>8=1=n?4$4f7>34f3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e?9=1=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:784n734>4e53-?o878=a:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb62;>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<3k2d==:4>c29'1a2=>;k0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3thj>k4>:583>5}#=l91?>k4H720?M3b?2.==84:a19'765=im=0(?k9:518j37028i?7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di>4<3d9K255<@5;7b4>"4;:0jh:5+2d49fc=i>8=1=n;4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976a;c483>!06=3?8?65rb904>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<5j?1e:<951b48 0b32?;o7di850;&5504=;:k1`2<72-<:97lj;o427?5<3f>h97>5$736>05432wi5=j;I437>N2m>1/:<;55`28 6542hn<7)1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10c9m::18'243==:907pl9d682>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>1?4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj?n36<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4>6:l552<6k01/9i:563c8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`5`<<62:0;6=u+5d1976d<@?:87E;j7:&550<2i91/?>=5ae58 7c12=k0b;?8:0ab?!3c<3<9m6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810c9m::18'243==:907pl80e82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>7dd3g<:;7?lb:&6`1<1:h1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h1/>h854b9m241=9ji0(8j;:70b?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a35c=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>38;7c8>7;3``>"2l=0=>l5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07di>4<409K255<@5;7b4>"4;:0jh:5+2d4950`4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj0lj6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4=b09m241=9jl0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a704=9391<7>t$4g0>65e3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3>j7c8>7;3g4>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07b:l5;29 3722<9876sm70395?3=83:p(8k<:262?M07;2B>i:5+60791d6<,:986lj8;%0f2?463g<:;7?k1:&6`1<19m1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h1/>h8514d8j37028n97);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~f<`1280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?0:9k5a60595a5<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm9g695?3=83:p(8k<:262?M07;2B>i:5+60791d6<,:986lj8;%0f2?72n2d==:4>d59'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th2j?4>:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:07e?k06?3;o96*:d5855a=n:m>1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10e?j8:18'243=jl1e:<=53:9l0f3=83.==84:3298yg?a83;197>50z&6a6<4<81C:==4H4g4?!06=3?j<6*<328b`2=#:l<1=8h4n734>4b13-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb8gf>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<6=o1e:<951e58 0b32?;o7di850;&5504=;:k1`2<72-<:97lj;o427?5<3f>h97>5$736>05432wi5hm51;794?6|,:>;I437>N2m>1/:<;55`28 6542hn<7)h19>0:h55+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807dm10:684?:1y'1`5=;=;0D;><;I7f3>"19<0>m=5+3219ea1<,;o=6<;i;o423?7c12.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=891?65`4b794?"19<0>?>54}c5f0?7=;3:1990D8k8;%421?3f82.8?>4nd69'6`0=i>4<409K255<@5;7b4>"4;:0jh:5+2d493`=i>8=1=il4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e?ln1=7:50;2x 0c42:9n7E8?3:J6a2=#>8?19l>4$210>db03-8n:7<;;o423?7ck2.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=6=4+6079165<3th=ml4>:583>5}#=l91?>k4H720?M3b?2.==84:a19'765=im=0(?k9:528j37028no7);k4;41e>o5l=0;6)8>5;`f?k06;3:07di>4<3d9K255<@5;7b4>"4;:0jh:5+2d4905=i>8=1=ik4$4f7>34f3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976a;c483>!06=3?8?65rb713>4<3290;w);j3;10a>N18:1C9h94$736>0g73-98?7ok7:&1a3<382d==:4>dg9'1a2=>;k0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:ae55=93>1<7>t$4g0>65b3A<;?6F:e69'243==h:0(>=<:`f4?!4b>38256`91682a5=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?j2d=3:1(;?::410?>{e0j=1=7=50;2x 0c42:9i7E8?3:J6a2=#>8?19l>4$210>db03-8n:7?:9:l552<6m81/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:m7g0<72-<:97;<3:9~fd67280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?0?j6`91682a7=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl81e82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>444;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj>l=6<4;:183!3b;398i6F9029K1`1<,?;>68o?;%107?gc?2.9i;4=989m241=9l>0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532e?o84?:%421?34;21vnl5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e7826>h19>0:i85+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<0>m=5+3219ea1<,;o=6974n734>4c13-?o878=a:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb71b>4<3290;w);j3;10a>N18:1C9h94$736>0g73-98?7ok7:&1a3<302d==:4>e69'1a2=>;k0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:a26c=93>1<7>t$4g0>65b3A<;?6F:e69'243==h:0(>=<:`f4?!4b>38i<6`91682a==#=m>1:?o4i3f7>5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?j2d=3:1(;?::410?>{ei8:1=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:7:i;o423?7b12.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=891?65`4b794?"19<0>?>54}c5;3?7==3:1990D8k8;%421?3f82.8?>4nd69'6`0=:h20b;?8:0gb?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn:mk:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e781f>h19>0:io5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<0>m=5+3219ea1<,;o=6;;4n734>4cd3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb871>4<3290;w);j3;10a>N18:1C9h94$736>0g73-98?7ok7:&1a3<6n2d==:4>ee9'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:a<34=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>3l0b;?8:0gf?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn:8j:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e7820d=i>8=1=hh4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e?>o1=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:7<6b:l552<6n91/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`432<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12;3i7c8>7;3e5>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07de;390?6=8r.>i>4<3d9K255<@5;7b4>"4;:0jh:5+2d49e>h19>0:j?5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807b:l5;29 3722<9876sm9c`95?2=83:p(8k<:21f?M07;2B>i:5+60791d6<,:986lj8;%0f2?72k2d==:4>f29'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:a1<7>t$4g0>65b3A<;?6F:e69'243==h:0(>=<:`f4?!4b>382;6`91682b1=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?j2d=3:1(;?::410?>{e0?<1=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:7j4n734>4`23-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb94a>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<5=2d==:4>f79'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th2nh4>:283>5}#=l91?>l4H720?M3b?2.==84:a19'765=im=0(?k9:5c8j37028l<7);k4;42`>o5l=0;6)8>5;`f?k06;3:07dk=0:684?:1y'1`5=;=;0D;><;I7f3>"19<0>m=5+3219ea1<,;o=6>h4n734>4`?3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb`04>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<3k2d==:4>f89'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3thj>;4>:583>5}#=l91?>k4H720?M3b?2.==84:a19'765=im=0(?k9:5`8j37028lj7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di>4<3d9K255<@5;7b4>"4;:0jh:5+2d496<14;h0g1?6=,?;>6ok4n730>4=891>65`4b794?"19<0>?>54}c:g3?7=<3:1990D8k8;%421?3f82.8?>4nd69'6`0=:0=0b;?8:0d`?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9l0f3=83.==84:3298yg>c13;187>50z&6a6<4;l1C:==4H4g4?!06=3?j<6*<328b`2=#:l<1>494n734>4`c3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=h1/>h852858j37028ln7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di>4<3d9K255<@5;7b4>"4;:0jh:5+2d496d54;h0g1?6=,?;>6ok4n730>4=891>65`4b794?"19<0>?>54}c:;6?7=<3:1990D8k8;%421?3f82.8?>4nd69'6`0=:h90b;?8:323?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9l0f3=83.==84:3298yg>?j3;187>50z&6a6<4;l1C:==4H4g4?!06=3?j<6*<328b`2=#:l<1>l=4n734>7663-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=h1/>h852`18j3702;:97);k4;42`>o5l=0;6)8>5;`f?k06;3:07dc;391?6=8r.>i>4<409K255<@5;7b4>"4;:0jh:5+2d496d2<;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj0;j6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4=a59m241=:9>0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a=4>=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>38j86`9168140=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl61782>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>7g33g<:;7i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h1/>h852`68j3702;:<7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~f<75280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?09m95a605965><,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm90295?3=83:p(8k<:262?M07;2B>i:5+60791d6<,:986lj8;%0f2?4f<2d==:4=089'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th2

:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:3c7?k06?38;m6*:d5855a=n:m>1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10e?j8:18'243=jl1e:<=53:9l0f3=83.==84:3298yg?7k3;197>50z&6a6<4<81C:==4H4g4?!06=3?j<6*<328b`2=#:l<1>l:4n734>76e3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb82b>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<5i=1e:<9521a8 0b32?;o7di850;&5504=;:k1`2<72-<:97lj;o427?5<3f>h97>5$736>05432wi5=651;794?6|,:>;I437>N2m>1/:<;55`28 6542hn<7)h19>09891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d8>0:6>4?:1y'1`5=;:h0D;><;I7f3>"19<0>m=5+3219ea1<,;o=6<=4n734>76b3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?19>=4;|`:=a<62:0;6=u+5d1976d<@?:87E;j7:&550<2i91/?>=5ae58 7c12=k0b;?8:32e?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810c9m::18'243==:907pl69982>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>7d53g<:;7<>0:&6`1<19m1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h1/>h854`9m241=:8;0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9l0f3=83.==84:3298yg?>93;197>50z&6a6<4<81C:==4H4g4?!06=3?j<6*<328b`2=#:l<1>o<4n734>7753-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb8:e>4<4290;w);j3;10f>N18:1C9h94$736>0g73-98?7ok7:&1a3<3i2d==:4=129'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632e?o84?:%421?34;21vn46n:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e781f7=i>8=1><:4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e1121=7=50;2x 0c42:9i7E8?3:J6a2=#>8?19l>4$210>db03-8n:7:n;o423?46=2.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;n6`1?6=,?;>68=<;:a==5=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>38i>6`9168153=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl68082>6<729q/9h=532`8L3643A?n;6*91486e5=#;:91mi94$3g5>1g4;h0g1?6=,?;>6ok4n730>4=6=4+6079165<3th2;n4>:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:3`1?k06?38:46*:d5855a=n:m>1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10e?j8:18'243=jl1e:<=53:9l0f3=83.==84:3298yg?0i3;1?7>50z&6a6<4;k1C:==4H4g4?!06=3?j<6*<328b`2=#:l<18l5a605964?<,6ok4n730>5=6=4+6079f`=i>891=65`4b794?"19<0>?>54}c;41?7==3:1990D8k8;%421?3f82.8?>4nd69'6`0=:k80b;?8:33b?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn49<:080>5<7s-?n?7=h19>09=o5+5e6924b891<65f2e794?"19<0ii6`91282?>i3k<0;6)8>5;707>=zj068o?;%107?gc?2.9i;4=b39m241=:8i0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a=3e=9391<7>t$4g0>65e3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3>j7c8>7;02`>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07b:l5;29 3722<9876sm97595?3=83:p(8k<:262?M07;2B>i:5+60791d6<,:986lj8;%0f2?4e:2d==:4=1d9'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th2:84>:283>5}#=l91?>l4H720?M3b?2.==84:a19'765=im=0(?k9:5c8j3702;;m7);k4;42`>o5l=0;6)8>5;`f?k06;3:07d>90:684?:1y'1`5=;=;0D;><;I7f3>"19<0>m=5+3219ea1<,;o=6?l=;o423?4582.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=891?65`4b794?"19<0>?>54}c;6a?7=;3:1990D8k8;%421?3f82.8?>4nd69'6`0=i>4<409K255<@5;7b4>"4;:0jh:5+2d496g44;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj0?<6<4<:183!3b;398n6F9029K1`1<,?;>68o?;%107?gc?2.9i;4;a:l552<5::1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:m7g0<72-<:97;<3:9~f<2a280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?09n?5a6059672<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm88f95?2=83:p(8k<:21f?M07;2B>i:5+60791d6<,:986lj8;%0f2?4f;2d==:4=249'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:a1<7>t$4g0>65b3A<;?6F:e69'243==h:0(>=<:`f4?!4b>38j?6`9168163=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?j2d=3:1(;?::410?>{e0hl1=7:50;2x 0c42:9n7E8?3:J6a2=#>8?19l>4$210>db03-8n:71/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3f>h97>5$736>05432wi4o651;694?6|,=j;I437>N2m>1/:<;55`28 6542hn<7)h19>09>55+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807b:l5;29 3722<9876sm8b395?2=83:p(8k<:21f?M07;2B>i:5+60791d6<,:986lj8;%0f2?4f;2d==:4=289'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:a<26=93>1<7>t$4g0>65b3A<;?6F:e69'243==h:0(>=<:`f4?!4b>38j?6`916816d=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?j2d=3:1(;?::410?>{e=0l1=7<50;2x 0c42;n37E8?3:J6a2=#>8?1=>l4H20g?!55m38om6*<328b`2=i>8=1>?l4$4f7>37c3`8o?7>5$736>7bf32e?o84?:%421?34;21vn>=>:081>5<7s-?n?7h4=d`9'765=im=0b;?8:30`?!3c<3<:h6g=d283>!06=38om65`4b794?"19<0>?>54}c7f2?7=:3:1990D8k8;%421?74j2B8>i5+33g96ag<,:986lj8;o423?45l2.>h9491e9j6a5=83.==84=d`98k1e2290/:<;55218?xd51=0:6?4?:1y'1`5=:m20D;><;I7f3>"19<0:?o5G33f8 64b2;nj7)=<3;cg3>h19>09>h5+5e6924bh97>5$736>05432wi:?951;094?6|,N2m>1/:<;512`8L64c3-99i71e:<9523d8 0b32?;o7d!06=3?8?65rb70;>4<5290;w);j3;0g<>N18:1C9h94$736>45e3A99h6*<2d81`d=#;:91mi94n734>7573-?o878>d:k1`6<72-<:977>50z&6a6<5l11C:==4H4g4?!06=3;8n6F<2e9'77c=:mk0(>=<:`f4?k06?388=6*:d5855a=n:m91<7*91481`d=6=4+6079165<3thjh;4>:383>5}#=l919>>4H720?M3b?2.==84>3c9K77b<,:8n68=<;%107?gc?2d==:4=339'1a2=>8n0e?j<:18'243=:mk07b:l5;29 3722<9876sm77095?4=83:p(8k<:413?M07;2B>i:5+607956d<@:8o7)==e;707>"4;:0jh:5a6059665<,6?jn;:m7g0<72-<:97;<3:9~f42428096=4?{%7f7?3482B=<>5G5d58 372289i7E==d:&06`<2;:1/?>=5ae58j3702;9?7);k4;42`>o5l:0;6)8>5;0ge>=h7?52;294~"2m:0>?=5G6118L0c03-<:97?=4$210>db03g<:;7<<5:&6`1<19m1b>i=50;&550<5lh10c9m::18'243==:907pl>4082>7<729q/9h=55228L3643A?n;6*914827g=O;;n0(>1:5<#>8?1>io4;n6`1?6=,?;>68=<;:a516=9381<7>t$4g0>0573A<;?6F:e69'243=9:h0D>4nd69m241=::=0(8j;:73g?l4c;3:1(;?::3fb?>i3k<0;6)8>5;707>=zj;n96<4=:183!3b;3?8<6F9029K1`1<,?;>6<=m;I11`>"4:l0>?>5+3219ea14?:%421?4ci21d8n;50;&550<2;:10qoi>4:319K255<@5;30f>N4:m1/??k55218 6542hn<7c8>7;00=>"2l=0==i5f2e194?"19<09hl54o5a6>5<#>8?19>=4;|`1`5<62;0;6=u+5d19166<@?:87E;j7:&550<6;k1C??j4$20f>0543-98?7ok7:l552<5;h1/9i:560f8m7b4290/:<;52ec8?j2d=3:1(;?::410?>{e:jl1=7<50;2x 0c42<9;7E8?3:J6a2=#>8?1=>l4H20g?!55m3?8?6*<328b`2=i>8=1>>l4$4f7>37c3`8o?7>5$736>7bf32e?o84?:%421?34;21vn8o7:081>5<7s-?n?7;<0:J546=O=l=0(;?::01a?M55l2.8>h4:329'765=im=0b;?8:31`?!3c<3<:h6g=d283>!06=38om65`4b794?"19<0>?>54}c7b3?7=:3:1990D8k8;%421?74j2B8>i5+33g9165<,:986lj8;o423?44l2.>h9491e9j6a5=83.==84=d`98k1e2290/:<;55218?xd2i?0:6?4?:1y'1`5==::0D;><;I7f3>"19<0:?o5G33f8 64b2<987)=<3;cg3>h19>09?h5+5e6924bh97>5$736>05432wi9l;51;094?6|,N2m>1/:<;512`8L64c3-99i7;<3:&0761e:<9522d8 0b32?;o7d!06=3?8?65rb6;3>4<5290;w);j3;704>N18:1C9h94$736>45e3A99h6*<2d8676=#;:91mi94n734>7273-?o878>d:k1`6<72-<:977>50z&6a6<2;91C:==4H4g4?!06=3;8n6F<2e9'77c==:90(>=<:`f4?k06?38?=6*:d5855a=n:m91<7*91481`d=6=4+6079165<3th<4h4>:383>5}#=l919>>4H720?M3b?2.==84>3c9K77b<,:8n68=<;%107?gc?2d==:4=439'1a2=>8n0e?j<:18'243=:mk07b:l5;29 3722<9876sm79f95?4=83:p(8k<:413?M07;2B>i:5+607956d<@:8o7)==e;707>"4;:0jh:5a6059615<,6?jn;:m7g0<72-<:97;<3:9~f6gb28086=4?{%7f7?54i2B=<>5G5d58 3722"5m?0>?6`9168101=#=m>1:5<#>8?1nh5a60194>=h6=4+6079f`=i>891=6`:e183?>{e;k:1=7=50;2x 0c42:9j7E8?3:J6a2=#>8?19l>4$210>db03-8n:7;<;o423?43=2.>h9491e9j6a2=83.==84me:l556<732e?o84?:%421?34;21b>i;50;&5504>;o7f4?6<3th8n?4>:283>5}#=l91?>o4H720?M3b?2.==84:a19'765=im=0(?k9:418j3702;>=7);k4;42`>o5l=0;6)8>5;`f?k06;3:07b:l5;29 3722<9876g=d483>!06=3hn7c8>3;38j0c72910qo=m4;397?6=8r.>i>4<3`9K255<@5;7b4>"4;:0jh:5+2d4916=i>8=1>994$4f7>37c3`8o87>5$736>gc5<#>8?19>=4;h0g1?6=,?;>6ok4n730>4=i=l:1<65rb2`5>4<4290;w);j3;10e>N18:1C9h94$736>0g73-98?7ok7:&1a3<2;2d==:4=499'1a2=>8n0e?j;:18'243=jl1e:<=50:9l0f3=83.==84:3298m7b2290/:<;5bd9m245=92d>i=4?;:a7g>=9391<7>t$4g0>65f3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3?87c8>7;07=>"2l=0==i5f2e694?"19<0ii6`91283?>i3k<0;6)8>5;707>=n:m?1<7*9148aa>h19:0:7c;j0;28?xd4jh0:6>4?:1y'1`5=;:k0D;><;I7f3>"19<0>m=5+3219ea1<,;o=68=4n734>72f3-?o878>d:k1`1<72-<:97lj;o427?6<3f>h97>5$736>05432c9h84?:%421?db3g<:?7?4n4g3>5=1/>h85529m241=:=h0(8j;:73g?l4c<3:1(;?::cg8j3742910c9m::18'243==:907dlj:080>5<7s-?n?7=h19>098n5+5e6924b891<65`4b794?"19<0>?>54i3f6>5<#>8?1nh5a60195>h2m90;76sm39f95?5=83:p(8k<:21b?M07;2B>i:5+60791d6<,:986lj8;%0f2?343g<:;7<;d:&6`1<19m1b>i:50;&5504?;:m7g0<72-<:97;<3:9j6a3=83.==84me:l556<63g?n<7>4;|`0=5ae58 7c12<90b;?8:36f?!3c<3<:h6g=d583>!06=3hn7c8>3;28?j2d=3:1(;?::410?>o5l<0;6)8>5;`f?k06;3;0b8k?:198yg5>93;1?7>50z&6a6<4;h1C:==4H4g4?!06=3?j<6*<328b`2=#:l<19>5a605961`<,6ok4n730>5=6=4+6079165<3`8o97>5$736>gc=zj:386<4<:183!3b;398m6F9029K1`1<,?;>68o?;%107?gc?2.9i;4:3:l552<5=91/9i:560f8m7b3290/:<;5bd9m245=821d8n;50;&550<2;:10e?j::18'243=jl1e:<=51:l6a5<732wi?4;51;194?6|,=n;I437>N2m>1/:<;55`28 6542hn<7)=6*:d5855a=n:m>1<7*9148aa>h19:0;76a;c483>!06=3?8?65f2e794?"19<0ii6`91282?k3b83:07pl<9682>6<729q/9h=532c8L3643A?n;6*91486e5=#;:91mi94$3g5>054;n6`1?6=,?;>68=<;:k1`0<72-<:97lj;o427?7990D8k8;%421?3f82.8?>4nd69'6`0==:1e:<952418 0b32?;o7d50:9~f6?e28086=4?{%7f7?54i2B=<>5G5d58 3722"5m?0>?6`9168111=#=m>1:5<#>8?1nh5a60194>=h6=4+6079f`=i>891=6`:e183?>{e;0n1=7=50;2x 0c42:9j7E8?3:J6a2=#>8?19l>4$210>db03-8n:7;<;o423?42=2.>h9491e9j6a2=83.==84me:l556<732e?o84?:%421?34;21b>i;50;&5504>;o7f4?6<3th8984>:283>5}#=l91?>o4H720?M3b?2.==84:a19'765=im=0(?k9:418j3702;?=7);k4;42`>o5l=0;6)8>5;`f?k06;3:07b:l5;29 3722<9876g=d483>!06=3hn7c8>3;38j0c72910qo=:7;397?6=8r.>i>4<3`9K255<@5;7b4>"4;:0jh:5+2d4916=i>8=1>894$4f7>37c3`8o87>5$736>gc5<#>8?19>=4;h0g1?6=,?;>6ok4n730>4=i=l:1<65rb27:>4<4290;w);j3;10e>N18:1C9h94$736>0g73-98?7ok7:&1a3<2;2d==:4=599'1a2=>8n0e?j;:18'243=jl1e:<=50:9l0f3=83.==84:3298m7b2290/:<;5bd9m245=92d>i=4?;:a70d=9391<7>t$4g0>65f3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3?87c8>7;06=>"2l=0==i5f2e694?"19<0ii6`91283?>i3k<0;6)8>5;707>=n:m?1<7*9148aa>h19:0:7c;j0;28?xd4=m0:6>4?:1y'1`5=;:k0D;><;I7f3>"19<0>m=5+3219ea1<,;o=68=4n734>73f3-?o878>d:k1`1<72-<:97lj;o427?6<3f>h97>5$736>05432c9h84?:%421?db3g<:?7?4n4g3>5=j7?53;294~"2m:08?l5G6118L0c03-<:97;n0:&0761/>h85529m241=:8>:080>5<7s-?n?7=h19>099n5+5e6924b891<65`4b794?"19<0>?>54i3f6>5<#>8?1nh5a60195>h2m90;76sm37195?5=83:p(8k<:21b?M07;2B>i:5+60791d6<,:986lj8;%0f2?343g<:;7<:d:&6`1<19m1b>i:50;&5504?;:m7g0<72-<:97;<3:9j6a3=83.==84me:l556<63g?n<7>4;|`020<62:0;6=u+5d1976g<@?:87E;j7:&550<2i91/?>=5ae58 7c12<90b;?8:37f?!3c<3<:h6g=d583>!06=3hn7c8>3;28?j2d=3:1(;?::410?>o5l<0;6)8>5;`f?k06;3;0b8k?:198yg5b;3;1?7>50z&6a6<4;h1C:==4H4g4?!06=3?j<6*<328b`2=#:l<19>5a605960`<,6ok4n730>5=6=4+6079165<3`8o97>5$736>gc=zj:om6<4<:183!3b;398m6F9029K1`1<,?;>68o?;%107?gc?2.9i;4:3:l552<5>91/9i:560f8m7b3290/:<;5bd9m245=821d8n;50;&550<2;:10e?j::18'243=jl1e:<=51:l6a5<732wi?k?51;194?6|,=n;I437>N2m>1/:<;55`28 6542hn<7)1<7*9148aa>h19:0;76a;c483>!06=3?8?65f2e794?"19<0ii6`91282?k3b83:07pl6<729q/9h=532c8L3643A?n;6*91486e5=#;:91mi94$3g5>054;n6`1?6=,?;>68=<;:k1`0<72-<:97lj;o427?7990D8k8;%421?3f82.8?>4nd69'6`0==:1e:<952718 0b32?;o7d50:9~f6`028086=4?{%7f7?54i2B=<>5G5d58 3722"5m?0>?6`9168121=#=m>1:5<#>8?1nh5a60194>=h6=4+6079f`=i>891=6`:e183?>{e;o31=7=50;2x 0c42:9j7E8?3:J6a2=#>8?19l>4$210>db03-8n:7;<;o423?41=2.>h9491e9j6a2=83.==84me:l556<732e?o84?:%421?34;21b>i;50;&5504>;o7f4?6<3th8jo4>:283>5}#=l91?>o4H720?M3b?2.==84:a19'765=im=0(?k9:418j3702;<=7);k4;42`>o5l=0;6)8>5;`f?k06;3:07b:l5;29 3722<9876g=d483>!06=3hn7c8>3;38j0c72910qo=id;397?6=8r.>i>4<3`9K255<@5;7b4>"4;:0jh:5+2d4916=i>8=1>;94$4f7>37c3`8o87>5$736>gc5<#>8?19>=4;h0g1?6=,?;>6ok4n730>4=i=l:1<65rb2ae>4<4290;w);j3;10e>N18:1C9h94$736>0g73-98?7ok7:&1a3<2;2d==:4=699'1a2=>8n0e?j;:18'243=jl1e:<=50:9l0f3=83.==84:3298m7b2290/:<;5bd9m245=92d>i=4?;:a7a7=9391<7>t$4g0>65f3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3?87c8>7;05=>"2l=0==i5f2e694?"19<0ii6`91283?>i3k<0;6)8>5;707>=n:m?1<7*9148aa>h19:0:7c;j0;28?xd4l:0:6>4?:1y'1`5=;:k0D;><;I7f3>"19<0>m=5+3219ea1<,;o=68=4n734>70f3-?o878>d:k1`1<72-<:97lj;o427?6<3f>h97>5$736>05432c9h84?:%421?db3g<:?7?4n4g3>5=1/>h85529m241=:?h0(8j;:73g?l4c<3:1(;?::cg8j3742910c9m::18'243==:907dj8:080>5<7s-?n?7=h19>09:n5+5e6924b891<65`4b794?"19<0>?>54i3f6>5<#>8?1nh5a60195>h2m90;76sm3e;95?5=83:p(8k<:21b?M07;2B>i:5+60791d6<,:986lj8;%0f2?343g<:;7<9d:&6`1<19m1b>i:50;&5504?;:m7g0<72-<:97;<3:9j6a3=83.==84me:l556<63g?n<7>4;|`0`g<62:0;6=u+5d1976g<@?:87E;j7:&550<2i91/?>=5ae58 7c12<90b;?8:34f?!3c<3<:h6g=d583>!06=3hn7c8>3;28?j2d=3:1(;?::410?>o5l<0;6)8>5;`f?k06;3;0b8k?:198yg5cl3;1?7>50z&6a6<4;h1C:==4H4g4?!06=3?j<6*<328b`2=#:l<19>5a605963`<,6ok4n730>5=6=4+6079165<3`8o97>5$736>gc=zj:nm6<4<:183!3b;398m6F9029K1`1<,?;>68o?;%107?gc?2.9i;4:3:l552<5?91/9i:560f8m7b3290/:<;5bd9m245=821d8n;50;&550<2;:10e?j::18'243=jl1e:<=51:l6a5<732wi?h?51;194?6|,=n;I437>N2m>1/:<;55`28 6542hn<7)1<7*9148aa>h19:0;76a;c483>!06=3?8?65f2e794?"19<0ii6`91282?k3b83:07pl6<729q/9h=532c8L3643A?n;6*91486e5=#;:91mi94$3g5>054;n6`1?6=,?;>68=<;:k1`0<72-<:97lj;o427?7990D8k8;%421?3f82.8?>4nd69'6`0==:1e:<952618 0b32?;o7d50:9~f6c>28086=4?{%7f7?54i2B=<>5G5d58 3722"5m?0>?6`9168131=#=m>1:5<#>8?1nh5a60194>=h6=4+6079f`=i>891=6`:e183?>{e;lh1=7=50;2x 0c42:9j7E8?3:J6a2=#>8?19l>4$210>db03-8n:7;<;o423?40=2.>h9491e9j6a2=83.==84me:l556<732e?o84?:%421?34;21b>i;50;&5504>;o7f4?6<3th8ii4>:283>5}#=l91?>o4H720?M3b?2.==84:a19'765=im=0(?k9:418j3702;==7);k4;42`>o5l=0;6)8>5;`f?k06;3:07b:l5;29 3722<9876g=d483>!06=3hn7c8>3;38j0c72910qo:i>4<3`9K255<@5;7b4>"4;:0jh:5+2d4916=i>8=1>:94$4f7>37c3`8o87>5$736>gc5<#>8?19>=4;h0g1?6=,?;>6ok4n730>4=i=l:1<65rb500>4<4290;w);j3;10e>N18:1C9h94$736>0g73-98?7ok7:&1a3<2;2d==:4=799'1a2=>8n0e?j;:18'243=jl1e:<=50:9l0f3=83.==84:3298m7b2290/:<;5bd9m245=92d>i=4?;:a07?=9391<7>t$4g0>65f3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3?87c8>7;04=>"2l=0==i5f2e694?"19<0ii6`91283?>i3k<0;6)8>5;707>=n:m?1<7*9148aa>h19:0:7c;j0;28?xd3:m0:6>4?:1y'1`5=;:k0D;><;I7f3>"19<0>m=5+3219ea1<,;o=68=4n734>71f3-?o878>d:k1`1<72-<:97lj;o427?6<3f>h97>5$736>05432c9h84?:%421?db3g<:?7?4n4g3>5=8<7?53;294~"2m:08?l5G6118L0c03-<:97;n0:&0761/>h85529m241=:>h0(8j;:73g?l4c<3:1(;?::cg8j3742910c9m::18'243==:907d5<7s-?n?7=h19>09;n5+5e6927g891<65`4b794?"19<0>?>54i3f6>5<#>8?1nh5a60195>h2m90;76sm64095?5=83:p(8k<:21b?M07;2B>i:5+60791d6<,:986lj8;%0f2?343g<:;7<8d:&6`1<1:h1b>i:50;&5504?;:m7g0<72-<:97;<3:9j6a3=83.==84me:l556<63g?n<7>4;|`511<62:0;6=u+5d1976g<@?:87E;j7:&550<2i91/?>=5ae58 7c12<90b;?8:35f?!3c<3<9m6g=d583>!06=3hn7c8>3;28?j2d=3:1(;?::410?>o5l<0;6)8>5;`f?k06;3;0b8k?:198yg02>3;1?7>50z&6a6<4;h1C:==4H4g4?!06=3?j<6*<328b`2=#:l<19>5a605962`<,6ok4n730>5=6=4+6079165<3`8o97>5$736>gc=zj?68o?;%107?gc?2.9i;4:3:l552<5091/9i:563c8m7b3290/:<;5bd9m245=821d8n;50;&550<2;:10e?j::18'243=jl1e:<=51:l6a5<732wi:;m51;194?6|,=n;I437>N2m>1/:<;55`28 6542hn<7)1<7*9148aa>h19:0;76a;c483>!06=3?8?65f2e794?"19<0ii6`91282?k3b83:07pl96d82>6<729q/9h=532c8L3643A?n;6*91486e5=#;:91mi94$3g5>054;n6`1?6=,?;>68=<;:k1`0<72-<:97lj;o427?7990D8k8;%421?3f82.8?>4nd69'6`0==:1e:<952918 0b32?8j7d50:9~f31528086=4?{%7f7?54i2B=<>5G5d58 3722"5m?0>?6`91681<1=#=m>1:?o4i3f7>5<#>8?1nh5a60194>=h6=4+6079f`=i>891=6`:e183?>{e>>>1=7=50;2x 0c42:9j7E8?3:J6a2=#>8?19l>4$210>db03-8n:7;<;o423?4?=2.>h9492`9j6a2=83.==84me:l556<732e?o84?:%421?34;21b>i;50;&5504>;o7f4?6<3th=;;4>:283>5}#=l91?>o4H720?M3b?2.==84:a19'765=im=0(?k9:418j3702;2=7);k4;41e>o5l=0;6)8>5;`f?k06;3:07b:l5;29 3722<9876g=d483>!06=3hn7c8>3;38j0c72910qo888;397?6=8r.>i>4<3`9K255<@5;7b4>"4;:0jh:5+2d4916=i>8=1>594$4f7>34f3`8o87>5$736>gc5<#>8?19>=4;h0g1?6=,?;>6ok4n730>4=i=l:1<65rb7:;>4<4290;w);j3;10e>N18:1C9h94$736>0g73-98?7ok7:&1a3<2;2d==:4=899'1a2=>;k0e?j;:18'243=jl1e:<=50:9l0f3=83.==84:3298m7b2290/:<;5bd9m245=92d>i=4?;:a2=g=9391<7>t$4g0>65f3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3?87c8>7;0;=>"2l=0=>l5f2e694?"19<0ii6`91283?>i3k<0;6)8>5;707>=n:m?1<7*9148aa>h19:0:7c;j0;28?xd10j0:6>4?:1y'1`5=;:k0D;><;I7f3>"19<0>m=5+3219ea1<,;o=68=4n734>7>f3-?o878=a:k1`1<72-<:97lj;o427?6<3f>h97>5$736>05432c9h84?:%421?db3g<:?7?4n4g3>5=1/>h85529m241=:1h0(8j;:70b?l4c<3:1(;?::cg8j3742910c9m::18'243==:907d5<7s-?n?7=h19>094n5+5e6927g891<65`4b794?"19<0>?>54i3f6>5<#>8?1nh5a60195>h2m90;76sm68095?5=83:p(8k<:21b?M07;2B>i:5+60791d6<,:986lj8;%0f2?343g<:;7<7d:&6`1<1:h1b>i:50;&5504?;:m7g0<72-<:97;<3:9j6a3=83.==84me:l556<63g?n<7>4;|`5=1<62:0;6=u+5d1976g<@?:87E;j7:&550<2i91/?>=5ae58 7c12<90b;?8:3:f?!3c<3<9m6g=d583>!06=3hn7c8>3;28?j2d=3:1(;?::410?>o5l<0;6)8>5;`f?k06;3;0b8k?:198yg0>>3;1?7>50z&6a6<4;h1C:==4H4g4?!06=3?j<6*<328b`2=#:l<19>5a60596=`<,6ok4n730>5=6=4+6079165<3`8o97>5$736>gc=zj>8<6<4<:183!3b;398m6F9029K1`1<,?;>68o?;%107?gc?2.9i;4:3:l552<5191/9i:57368m7b3290/:<;5bd9m245=821d8n;50;&550<2;:10e?j::18'243=jl1e:<=51:l6a5<732wi;?751;194?6|,=n;I437>N2m>1/:<;55`28 6542hn<7)1<7*9148aa>h19:0;76a;c483>!06=3?8?65f2e794?"19<0ii6`91282?k3b83:07pl82c82>6<729q/9h=532c8L3643A?n;6*91486e5=#;:91mi94$3g5>054;n6`1?6=,?;>68=<;:k1`0<72-<:97lj;o427?7990D8k8;%421?3f82.8?>4nd69'6`0==:1e:<952818 0b32>8?7d50:9~f24a28086=4?{%7f7?54i2B=<>5G5d58 3722"5m?0>?6`91681=1=#=m>1;?:4i3f7>5<#>8?1nh5a60194>=h6=4+6079f`=i>891=6`:e183?>{e?:;1=7=50;2x 0c42:9j7E8?3:J6a2=#>8?19l>4$210>db03-8n:7;<;o423?4>=2.>h948259j6a2=83.==84me:l556<732e?o84?:%421?34;21b>i;50;&5504>;o7f4?6<3th4>:283>5}#=l91?>o4H720?M3b?2.==84:a19'765=im=0(?k9:418j3702;3=7);k4;510>o5l=0;6)8>5;`f?k06;3:07b:l5;29 3722<9876g=d483>!06=3hn7c8>3;38j0c72910qo9<5;397?6=8r.>i>4<3`9K255<@5;7b4>"4;:0jh:5+2d4916=i>8=1>494$4f7>2433`8o87>5$736>gc5<#>8?19>=4;h0g1?6=,?;>6ok4n730>4=i=l:1<65rb2a0>4<4290;w);j3;10e>N18:1C9h94$736>0g73-98?7ok7:&1a3<2;2d==:4=999'1a2=>8n0e?j;:18'243=jl1e:<=50:9l0f3=83.==84:3298m7b2290/:<;5bd9m245=92d>i=4?;:a7d4=9391<7>t$4g0>65f3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3?87c8>7;0:=>"2l=0==i5f2e694?"19<0ii6`91283?>i3k<0;6)8>5;707>=n:m?1<7*9148aa>h19:0:7c;j0;28?xd4>00:6>4?:1y'1`5=;:k0D;><;I7f3>"19<0>m=5+3219ea1<,;o=68=4n734>7?f3-?o878>d:k1`1<72-<:97lj;o427?6<3f>h97>5$736>05432c9h84?:%421?db3g<:?7?4n4g3>5=:>7?53;294~"2m:08?l5G6118L0c03-<:97;n0:&0761/>h85529m241=:0h0(8j;:73g?l4c<3:1(;?::cg8j3742910c9m::18'243==:907d5<7s-?n?7=h19>095n5+5e6927g891<65`4b794?"19<0>?>54i3f6>5<#>8?1nh5a60195>h2m90;76sm6`195?5=83:p(8k<:21b?M07;2B>i:5+60791d6<,:986lj8;%0f2?343g<:;7<6d:&6`1<1:h1b>i:50;&5504?;:m7g0<72-<:97;<3:9j6a3=83.==84me:l556<63g?n<7>4;|`407<62:0;6=u+5d1976g<@?:87E;j7:&550<2i91/?>=5ae58 7c12<90b;?8:3;f?!3c<3=986g=d583>!06=3hn7c8>3;28?j2d=3:1(;?::410?>o5l<0;6)8>5;`f?k06;3;0b8k?:198yg50i3;197>50z&6a6<4<81C:==4H4g4?!06=3?j<6*<328b`2=#:l<18<5a60596<`<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm36`95?3=83:p(8k<:262?M07;2B>i:5+60791d6<,:986lj8;%0f2?4b3g<:;7i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h1/>h852d9m241=:h;0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a72b=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>38n7c8>7;0b6>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07di>4<409K255<@5;7b4>"4;:0jh:5+2d496`=i>8=1>l=4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e;>l1=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:7h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=891?65`4b794?"19<0>?>54}c;34?7==3:1990D8k8;%421?3f82.8?>4nd69'6`0=:;1e:<952`78 0b32?;o7di850;&5504=;:k1`2<72-<:97lj;o427?5<3f>h97>5$736>05432wi4;o51;794?6|,:>;I437>N2m>1/:<;55`28 6542hn<7)1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10e?j8:18'243=jl1e:<=53:9l0f3=83.==84:3298yg?d=3;197>50z&6a6<4<81C:==4H4g4?!06=3?j<6*<328b`2=#:l<18n5a60596d1<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm9c;95?2=83:p(8k<:21f?M07;2B>i:5+60791d6<,:986lj8;%0f2?4e82d==:4=a99'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:a=g>=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>38ii6`91681e<=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl75b82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>7dc3g<:;7i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h1e:<952``8 0b32?;o7d!06=38oo65f5b594?"19<0>on54o5a6>5<#>8?19>=4;|`:15<62=0;6=u+5d1976c<@?:87E;j7:&550<2i91/?>=5ae58 7c128<;7c8>7;0bg>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07dk0:694?:1y'1`5=;<:0D;><;I7f3>"19<088h5+3219ea1i;50;&550<5lj10e8m8:18'243==ji07b:l5;29 3722<9876sm77d95?5=83:p(8k<:21a?M07;2B>i:5+60791d6<,:986lj8;%0f2?2f3g<:;7i:50;&5504?;:k1`0<72-<:97lj;o427?7<3f>h97>5$736>05432wi;:>51;794?6|,:>;I437>N2m>1/:<;55`28 6542hn<7)h19>09mk5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<0>m=5+3219ea1<,;o=6>>4n734>7d73-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb7a;>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<3k2d==:4=b09'1a2=>;k0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th=o:4>:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:558j3702;h97);k4;41e>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~f<36280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?0h<6`91681f6=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl8cb82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>4213g<:;7i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h1/>h852c78j3702;h>7);k4;41e>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~f3e>280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?09<6`91681f3=#=m>1:?o4i3f7>5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl81`82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>2e4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj>;i6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4=be9m241=:k20(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a343=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>38ih6`91681f<=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl85`82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>424;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj?9>6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4=bd9m241=:kh0(8j;:70b?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a262=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>38ii6`91681ff=#=m>1:?o4i3f7>5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl8f482>1<729q/9h=532g8L3643A?n;6*91486e5=#;:91mi94$3g5>d=i>8=1>oj4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976a;c483>!06=3?8?65rb6d7>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<692d==:4=bd9'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th3<=4>:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:928j3702;hm7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~f2`4280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?0>>6`91681g5=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl8f382>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>6c;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj?i86<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4;c:l552<5k;1/9i:563c8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`4g0<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c128?m7c8>7;0`7>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07di>4<409K255<@5;7b4>"4;:0jh:5+2d496g74;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj>=m6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;48b:l552<5k<1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`43=<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12>h0b;?8:3a5?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn;ol:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e7876>h19>09o:5+5e6927g891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<0>m=5+3219ea1<,;o=69<4n734>7e?3-?o878=a:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb71;>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<3:2d==:4=c89'1a2=>;k0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3thj=44>:283>5}#=l91?>l4H720?M3b?2.==84:a19'765=im=0(?k9:5c8j3702;ij7);k4;42`>o5l=0;6)8>5;`f?k06;3:07d<;I7f3>"19<0>m=5+3219ea1<,;o=6h5a60596fd<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>i3k<0;6)8>5;707>=zj>im6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4k4:l552<5kj1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`4ef<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12>o0b;?8:3ag?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vnlj;:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e781f2=i>8=1>nk4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{eijo1=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:7i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`bg=<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12;h<7c8>7;0g4>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07di>4<409K255<@5;7b4>"4;:0jh:5+2d49f>h19>09h<5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d4?:1y'1`5=;:h0D;><;I7f3>"19<0>m=5+3219ea1<,;o=6984n734>7b53-?o878=a:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?19>=4;|`;`a<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c128?0b;?8:3f0?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn:<=:087>5<7s-?n?7=1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10c9m::18'243==:907pln0c82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>1`4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj1=j6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4l1:l552<5l?1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`;<6<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12j;0b;?8:3f4?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn56l:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e78`5>h19>09h55+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<0>m=5+3219ea1<,;o=6n?4n734>7b>3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb9;f>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a38n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th3m:4>:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:b38j3702;ni7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~f=d7280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?0h=6`91681`f=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl77082>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>f74;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj1?o6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4l1:l552<5ll1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`:1a<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c128>37c8>7;0gb>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07di>4<409K255<@5;7b4>"4;:0jh:5+2d4951>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj03>6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4>499m241=:l;0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a==c=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>3;?46`91681a7=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl68682>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>42?3g<:;7i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h1/>h8515:8j3702;o?7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~f<1>280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?0:855a60596`3<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm96095?3=83:p(8k<:262?M07;2B>i:5+60791d6<,:986lj8;%0f2?7302d==:4=e79'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th2:o4>:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:06;?k06?38n;6*:d5855a=n:m>1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10e?j8:18'243=jl1e:<=53:9l0f3=83.==84:3298yg?1<3;197>50z&6a6<4<81C:==4H4g4?!06=3?j<6*<328b`2=#:l<1=964n734>7c?3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb875>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<6<11e:<952d;8 0b32?;o7di850;&5504=;:k1`2<72-<:97lj;o427?5<3f>h97>5$736>05432wi;:?51;794?6|,:>;I437>N2m>1/:<;55`28 6542hn<7)1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10e?j8:18'243=jl1e:<=53:9l0f3=83.==84:3298yg1an3;197>50z&6a6<4<81C:==4H4g4?!06=3?j<6*<328b`2=#:l<1>o?4n734>7ce3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb6c4>4<3290;w);j3;10a>N18:1C9h94$736>0g73-98?7ok7:&1a3<3j2d==:4=eb9'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:a3d>=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>38j>6`91681aa=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907plna`82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>674;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zjhk36<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4<1:l552<5mo1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`be3<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12:;0b;?8:3d3?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vnlo;:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e7805>h19>09j<5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<0>m=5+3219ea1<,;o=6>?4n734>7`53-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb`c3>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<492d==:4=f29'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th:583>5}#=l91?>k4H720?M3b?2.==84:a19'765=im=0(?k9:5:8j3702;l?7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di>4<3d9K255<@5;7b4>"4;:0jh:5+2d490g=i>8=1>k;4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976a;c483>!06=3?8?65rb`;`>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<492d==:4=f79'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3thj5l4>:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:238j3702;l<7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~fd??280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?08=6`91681b==#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pln9782>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>674;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zjh3?6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4<1:l552<5nh1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`b=7<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12:;0b;?8:3da?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vnl7?:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e7805>h19>09jn5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<0>m=5+3219ea1<,;o=6>?4n734>7`c3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb`:`>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<492d==:4=fd9'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3thj4l4>:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:238j3702;lm7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~fddf280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?08=6`9168045=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pln8982>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>67>>;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zjh3n6<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4<1:l552<48;1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`bef<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12:;0b;?8:220?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vnloj:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e7805>h19>08<95+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<0>m=5+3219ea1<,;o=6>?4n734>6623-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb``1>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<492d==:4<079'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3thjn94>:483>5}#=l91?9?4H720?M3b?2.==84:a19'765=im=0(?k9:238j3702::<7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~fdd1280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?08=6`916804==#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907plnb982>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>67>6;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj1h26<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4=b`9m241=;9k0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:at$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>38im6`916804g=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl87e82>1<729q/9h=532g8L3643A?n;6*91486e5=#;:91mi94$3g5>43f3g<:;7=?c:&6`1<19m1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?19>=4;|`4<7<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12;;0b;?8:22g?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn:6>:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e781f1=i>8=1?=k4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e?1<1=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:7i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`4ff<62=0;6=u+5d1976c<@?:87E;j7:&550<2i91/?>=5ae58 7c12;h;7c8>7;124>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07d<;I7f3>"19<0>m=5+3219ea1<,;o=6<:6;o423?5692.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=891?65`4b794?"19<0>?>54}c5`f?7=<3:1990D8k8;%421?3f82.8?>4nd69'6`0=:k:0b;?8:231?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9l0f3=83.==84:3298yg1di3;197>50z&6a6<4<81C:==4H4g4?!06=3?j<6*<328b`2=#:l<19<5a6059745<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm60d94?4=:39p(8k<:01g?M07;2B>i:5+3219ea1?;;%7g0?06l2c9h>4?::m7g0<722h9h44>:383>5}#=l91>i74$736>4373-99i76723-?o878>d:k1`6<72-<:977>50z&6a6<6;j1/:<;51428 6542hn<7c8>7;122>"2l=0==i5f2e195?"19<09hl54o5a6>4<#>8?19>=4;|q1`6<72;qU>i=4=3f:>7b43ty?o84?:3y]0f3<589h69m:;|q1`<<72;q6>i754b78945d2;n87psm74f95?4=83:p(8k<:01`?M07;2B>i:5+6079506<,:986lj8;o423?56?2.>h948259j6a5=93.==84=d`98k1e2280/:<;55218?xd4k90:6?4?:1y'1`5=;;k0D;><;I7f3>"19<0:?o5+3219ea1?7;%7g0?06l2c9h>4?:%421?4ci21d8n;50;&550<2;:1C:<:4;|`0=c<62;0;6=u+5d1977g<@?:87E;j7:&550<6;k1/?>=5ae58j3702:;27);k4;42`>o5l:0;6)8>5;0ge>=h8>07pl<6682>7<729q/9h=533c8L3643A?n;6*914827g=#;:91mi94n734>67f3-?o878>d:k1`6<72-<:97:383>5}#=l91??o4H720?M3b?2.==84>3c9'765=im=0b;?8:23a?!3c<3<:h6g=d283>!06=38om65`4b794?"19<0>?>5G6068?xd3<;I7f3>"19<0:?o5+3219ea1?l;%7g0?06l2c9h>4?:%421?4ci21d8n;50;&550<2;:1C:<:4;|`70a<62;0;6=u+5d1977g<@?:87E;j7:&550<6;k1/?>=5ae58j3702:;o7);k4;42`>o5l:0;6)8>5;0ge>=h8>07pl;4g82>7<729q/9h=533c8L3643A?n;6*914827g=#;:91mi94n734>67b3-?o878>d:k1`6<72-<:97:383>5}#=l91??o4H720?M3b?2.==84>3c9'765=im=0b;?8:23e?!3c<3<:h6g=d283>!06=38om65`4b794?"19<0>?>5G6068?xd3=:0:6?4?:1y'1`5=;;k0D;><;I7f3>"19<0:?o5+3219ea14?:%421?4ci21d8n;50;&550<2;:1C:<:4;|`710<62;0;6=u+5d1977g<@?:87E;j7:&550<6;k1/?>=5ae58j3702:8:7);k4;42`>o5l:0;6)8>5;0ge>=h8>07pl;5682>7<729q/9h=533c8L3643A?n;6*914827g=#;:91mi94n734>6453-?o878>d:k1`6<72-<:97:383>5}#=l91??o4H720?M3b?2.==84>3c9'765=im=0b;?8:200?!3c<3<:h6g=d283>!06=38om65`4b794?"19<0>?>5G6068?xd3=k0:6?4?:1y'1`5=;;k0D;><;I7f3>"19<0:?o5+3219ea1<;;%7g0?06l2c9h>4?:%421?4ci21d8n;50;&550<2;:1C:<:4;|`70<<62;0;6=u+5d1977g<@?:87E;j7:&550<6;k1/?>=5ae58j3702:8>7);k4;42`>o5l:0;6)8>5;0ge>=h8>07pl;3482>7<729q/9h=533c8L3643A?n;6*914827g=#;:91mi94n734>6413-?o878>d:k1`6<72-<:97:383>5}#=l91??o4H720?M3b?2.==84>3c9'765=im=0b;?8:204?!3c<3<:h6g=d283>!06=38om65`4b794?"19<0>?>5G6068?xd3;h0:6?4?:1y'1`5=;;k0D;><;I7f3>"19<0:?o5+3219ea1<7;%7g0?06l2c9h>4?:%421?4ci21d8n;50;&550<2;:1C:<:4;|`53d<62;0;6=u+5d1977g<@?:87E;j7:&550<6;k1/?>=5ae58j3702:827);k4;41e>o5l:0;6)8>5;0ge>=h8>07pl99982>7<729q/9h=533c8L3643A?n;6*914827g=#;:91mi94n734>64f3-?o878=a:k1`6<72-<:97:383>5}#=l91??o4H720?M3b?2.==84>3c9'765=im=0b;?8:20a?!3c<3=986g=d283>!06=38om65`4b794?"19<0>?>5G6068?xd0>90:6?4?:1y'1`5=;;k0D;><;I7f3>"19<0:?o5+3219ea14?:%421?4ci21d8n;50;&550<2;:1C:<:4;|`:f2<62;0;6=u+5d1977g<@?:87E;j7:&550<6;k1/?>=5ae58j3702:8o7);k4;42`>o5l:0;6)8>5;0ge>=h8>07pl81382>1<729q/9h=53428L3643A?n;6*914800`=#;:91mi94n734>64b3-?o878>d:k1`1<72-<:97{e?891=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:7??;o423?55n2.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=891?65`4b794?"19<0>?>54}c520?7==3:1990D8k8;%421?3f82.8?>4nd69'6`0=?o1e:<953228 0b32?;o7di850;&5504=;:k1`2<72-<:97lj;o427?5<3f>h97>5$736>05432wi;h;51;694?6|,;?;I437>N2m>1/:<;535g8 6542hn<7c8>7;105>"2l=0==i5f2e694?"19<09ho54i3f6>5<#>8?1>im4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f2c128086=4?{%7f7?54j2B=<>5G5d58 3722"5m?0:i6`9168077=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76a;c483>!06=3?8?65rb6g4>4<2290;w);j3;175>N18:1C9h94$736>0g73-98?7ok7:&1a3<5jh1e:<953218 0b32?;o7di850;&5504=;:k1`2<72-<:97lj;o427?5<3f>h97>5$736>05432wi;km51;694?6|,;?;I437>N2m>1/:<;535g8 6542hn<7c8>7;100>"2l=0==i5f2e694?"19<09ho54i3f6>5<#>8?1>im4;h7`3?6=,?;>68ml;:m7g0<72-<:97;<3:9~f2`c280>6=4?{%7f7?5392B=<>5G5d58 3722"5m?01:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl8fd82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>06=9;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj1?n6<4;:183!3b;39><6F9029K1`1<,?;>6>:j;%107?gc?2d==:4<369'1a2=>8n0e?j;:18'243=:mh07d!06=3?ho65`4b794?"19<0>?>54}c:6b?7=<3:1990D8k8;%421?3f82.8?>4nd69'6`0=i2d==:4<399'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:a<36=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>3=o7c8>7;10=>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07di>4<519K255<@5;17a>"4;:0jh:5a605976g<,6?jm;:k1`0<72-<:97<;I7f3>"19<0>m=5+3219ea1<,;o=68?4n734>65e3-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb927>4<3290;w);j3;10a>N18:1C9h94$736>0g73-98?7ok7:&1a3<4;2d==:4<3b9'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:a3d?=93>1<7>t$4g0>6373A<;?6F:e69'243=;=o0(>=<:`f4?k06?398h6*:d5855a=n:m>1<7*91481`g=6=4+60796ae<3`?h;7>5$736>0ed32e?o84?:%421?34;21vn:on:087>5<7s-?n?7=1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10c9m::18'243==:907pl8ac82>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>f=i>8=1?>h4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e?091=7ln:183!3b;3?256F9029K1`17;3;>71=:10887=::24972<5138j6>753`8~ 0`b2<3j7);if;7:e>h6=m027c?:e;;8 64>2=i87);k6;68 7c02<1/>h655:&1a<<23-8nm7;4$3ga>0=#:li196*=ee86?!4bm3?0(?ki:49'6c6==2.9j<4:;%0e6?3<,;l8685+2g691>"5n<0>7)k655:&1b<<23-8mm7;4$3da>0=#:oi196*=fe86?!4am3?0(?hi:49'756==2.8<<4:;%136?3<,::8685+31691>"48<0>7)=?6;78 6602<1/?=655:&04<<23-9;m7;4$22a>0=#;9i196*<0e86?!57m3?0(>>i:49'746==2.8=<4:;%126?3<,:;8685+30691>"49<0>7)=>6;78 6702<1/?<655:&05<<23-9:m7;4$23a>0=#;8i196*<1e86?!56m3?0(>?i:49'776==2.8><4:;%116?3<,:88685+33691>"4:<0>7)==6;78 6402=1/??654:&1``<23-8oj7;4$3g3>0=#:l;196*=e386?!4b;3?0(?k;:49'6`3==2.>h:4;;I7gb>"19<0>545+be86g`=#;:91mi94$4f2>f4:?;%7g0?1>:2c:9?4>:I7;`>=n9<91=7F:8e98m7?c2800e?7j:088m0b>2800e8jn:088m<3=93.>4n464:l6h=76>;o6`4?b<3`386<4+59a9=1=i=1h1=6*;c08;5>h3k90h76g62;39 0>d20>0b86m:39'0f7=081e8n>5b:9j=4<62-?3o77;;o7;f?5<,=i:65?4n5a3>d=h20k0?7):l1;:2?k2d83307d7k:08'1=e=1=1e95l55:&7g43=#=n1k0:6);7c;;7?k3?j3=0(9m>:938j1e72?10e4o51;&6<2d>4o47;%6`5?>63g>h<7;4;h;:>4<#=1i1595a59`9=>"3k803=6`;c187?>o>03;1(86l:868j0>e2h1/8n?5809m0f6=;21b5:4?:%7;g??33g?3n7l4$5a2>=7g4!3?k3h97c;7b;38 1e620l0b9m?:b98mg6=93.>4n4m2:l6h=77i;o6`4?d<3`km6<4+59a9f7=i=1h1?6*;c08:b>h3k90j76gmc;39 0>d2k80b86m:59'0f7=1o1e8n>59:9jfg<62-?3o7l=;o7;f?3<,=i:64h4n5a3>==h20k0=7):l1;;e?k2d83=07dl6:08'1=e=j;1e95l57:&7g4<>n2d?o=49;:ka==#=nj>0:6);7c;`1?k3?j330(9m>:8d8j1e72=10eo851;&64o4n;%6`5??a3g>h<7=4;h`6>5<#=1i1n?5a59`9f>"3k802j6`;c181?>oe<3:1(86l:c08j0>e2j1/8n?59g9m0f6=921bmh4?:%7;g?d53g?3n7j4$5a2><`5<#=1i1=4l4n4:a>5=#4`<3`;2m7>5$4:`>4?e3g?3n7?4$5a2>4053g>h<7?j;:k2==<72-?3o7?6b:l6h=7?92:l7g5<6l21b=4950;&6;1e8n>51b98m4?1290/95m518`8j0>e2=1/8n?51708j1e728h07d?65;29 0>d283i7c;7b;78 1e628<97c:l0;3b?>o61=0;6);7c;3:f>h20k0=7):l1;356>h3k90:565f18194?"20j0:5o5a59`93>"3k80::?5a4b295==j2d>4o4n;%6`5?71:2d?o=4>5:9j5=`=83.>4n4>9c9m1=d=j2.?o<4>639m0f6=9=10e<6k:18'1=e=90h0b86m:b9'0f7=9?80b9m?:018?l7?k3:1(86l:0;a?k3?j3n0(9m>:041?k2d83;976g>8c83>!3?k3;2n6`:8c8f?!2d93;=>6`;c1825>=n91k1<7*:8b82=g=i=1h1j6*;c08227=i5<#=1i1=4l4n4:a>46<,=i:6<8=;o6`4?`<3`;347>5$4:`>4?e3g?3n7?>;%6`5?71:2d?o=4j;:k2<2<72-?3o7?6b:l6639m0f6=l21b=5850;&62290/95m518`8j0>e28>0(9m>:041?k2d83h07d?74;29 0>d283i7c;7b;36?!2d93;=>6`;c18b?>o6i:0;6);7c;3:f>h20k0::6*;c08227=i==4053g>h<794;h3b4?7=,<2h6<7m;o7;f?7>3->h=7?92:l7g5<132c:5k4>:%7;g?7>j2d>4o4>a:&7g4<6>;1e8n>55:9j54n4>9c9m1=d=9k1/8n?51708j1e72=10e<7k:08'1=e=90h0b86m:0a8 1e628<97c:l0;18?l7>13;1(86l:0;a?k3?j3;o7):l1;356>h3k90976g>8d82>!3?k3;2n6`:8c82a>"3k80::?5a4b295>=n9191=7*:8b82=g=i=1h1=k5+4b395345<#=1i1=ij4n4:a>5=#4`<3`;oo7>5$4:`>4bc3g?3n7?4$5a2>4g33g>h<7?j;:k2`d<72-?3o7?kd:l6h=7?n4:l7g5<6l21b=i750;&651b98m4b?290/95m51ef8j0>e2=1/8n?51`68j1e728h07d?k7;29 0>d28no7c;7b;78 1e628k?7c:l0;3b?>o6l?0;6);7c;3g`>h20k0=7):l1;3b0>h3k90:565f1e794?"20j0:hi5a59`93>"3k80:m95a4b295==4o4n;%6`5?7f<2d?o=4>5:9j5a7=83.>4n4>de9m1=d=j2.?o<4>a59m0f6=9=10e0b9m?:018?l7dm3:1(86l:0fg?k3?j3n0(9m>:0c7?k2d83;976g>ce83>!3?k3;oh6`:8c8f?!2d93;j86`;c1825>=n9ji1<7*:8b82`a=i=1h1j6*;c082e1=i5<#=1i1=ij4n4:a>46<,=i:65$4:`>4bc3g?3n7?>;%6`5?7f<2d?o=4j;:k2g<<72-?3o7?kd:l6a59m0f6=l21b=n650;&60b9m?:b98m4e0290/95m51ef8j0>e28>0(9m>:0c7?k2d83h07d?l6;29 0>d28no7c;7b;36?!2d93;j86`;c18b?>o6m<0;6);7c;3g`>h20k0::6*;c082e1=i==4g33g>h<794;h3f6?6=,<2h63->h=7?n4:l7g5<132c:i<4?:%7;g?7cl2d>4o4>a:&7g4<6i=1e8n>55:9j5`6=83.>4n4>de9m1=d=9k1/8n?51`68j1e72=10eh3k90976g>d183>!3?k3;oh6`:8c82a>"3k80:m95a4b295>=n9j?1<7*:8b82`a=i=1h1=k5+4b395d25<#=1i1=hk4n4:a>5=#6=5<#=1i1=hk4n4:a>7=#4=5+4b395`05<#=1i1=k64n4:a>5=#4n5a3>6=5<#=1i1=k64n4:a>7=#4n5a3>4=6=4+59a95c>5+4b395c64<#=1i19k<4n4:a>5=i=l:1<6*;c086ad=ij?5a59`95>h2m90;7):l1;7fe>h3k90976g:f182>!3?k3?m>6`:8c81?k3b83:0(9m>:4gb?k2d83;07d;jf;39 0>d2h=7;i4:l7g5<532c>jl4>:%7;g?3ak2d>4o4=;o7f4?6<,=i:68h;;o6`4?7<3`?m57?5$4:`>0`d3g?3n7=4n4g3>5=#5=6=4+59a96624o4=;%6`5?7aj2d?o=4>d:9l666=83.>4n4=359m1=d=;2.?o<4>fc9m0f6=9j10c?0b86m:59'0f7=9oh0b9m?:0`8?j45m3:1(86l:317?k3?j3?0(9m>:0da?k2d83;j76a=2e83>!3?k38886`:8c85?!2d93;mn6`;c182=>=h:;i1<7*:8b8171=i=1h1;6*;c082bg=i5<#=1i1>>:4n4:a>==#41<3f89m7>5$4:`>7533g?3n774$5a2>4`e3g>h<7?9;:m16<<72-?3o7<<4:l6h=7?ib:l7g5<6=21d>?650;&651598k741290/95m52268j0>e2j1/8n?51g`8j1e728907b<=5;29 0>d2;9?7c;7b;f8 1e628li7c:l0;31?>i5:=0;6);7c;000>h20k0n7):l1;3ef>h3k90:=65`23194?"20j09?95a59`9b>"3k80:jo5a4b2955=4$5a2>4`e3g>h<7h4;n015?6=,<2h6?=;;o7;f?763->h=7?ib:l7g5=4?:%7;g?44<2d>4o4>2:&7g4<6nk1e8n>5d:9l64`=83.>4n4=359m1=d=9:1/8n?51g`8j1e72j10c??j:18'1=e=::>0b86m:068 1e628li7c:l0;`8?j46l3:1(86l:317?k3?j3;>7):l1;3ef>h3k90j76a=3b83>!3?k38886`:8c822>"3k80:jo5a4b29=>=h::h1<7*:8b8171=i=1h1=:5+4b395cd4<#=1i1>>:4n4:a>4><,=i:67533g?3n7?6;%6`5?7aj2d?o=49;:m17=<62-?3o7<<4:l6fc9m0f6==21d>>951;&6e28i0(9m>:0da?k2d83907b<<2;39 0>d2;9?7c;7b;3g?!2d93;mn6`;c181?>i5:>0:6);7c;000>h20k0:i6*;c082bg=i5=4?:%7;g?40>2d>4o4=;%6`5?44l2d?o=4>d:9l624=83.>4n4=779m1=d=;2.?o<4=3e9m0f6=9j10c?9>:18'1=e=:><0b86m:59'0f7=::n0b9m?:0`8?j4083:1(86l:355?k3?j3?0(9m>:31g?k2d83;j76a=6g83>!3?k38<:6`:8c85?!2d9388h6`;c182=>=h:?o1<7*:8b8133=i=1h1;6*;c0817a=i5<#=1i1>:84n4:a>==#>j4n5a3>41<3f8=o7>5$4:`>7113g?3n774$5a2>75c3g>h<7?9;:m12g<72-?3o7<86:l6h=7<;o50;&651598k70?290/95m52648j0>e2j1/8n?522f8j1e728907b<97;29 0>d2;==7c;7b;f8 1e62;9o7c:l0;31?>i5>?0;6);7c;042>h20k0n7):l1;00`>h3k90:=65`27794?"20j09;;5a59`9b>"3k809?i5a4b2955=4$5a2>75c3g>h<7h4;n057?6=,<2h6?99;o7;f?763->h=7<2d>4o4>2:&7g4<5;m1e8n>5d:9l637=83.>4n4=779m1=d=9:1/8n?522f8j1e72j10c?8?:18'1=e=:><0b86m:068 1e62;9o7c:l0;`8?j42n3:1(86l:355?k3?j3;>7):l1;00`>h3k90j76a=7d83>!3?k38<:6`:8c822>"3k809?i5a4b29=>=h:>n1<7*:8b8133=i=1h1=:5+4b3966b4<#=1i1>:84n4:a>4><,=i:6?=k;o6`4?1<3f87113g?3n7?6;%6`5?44l2d?o=49;:m13d<62-?3o7<86:l6:751;&6e28i0(9m>:31g?k2d83907b<84;39 0>d2;==7c;7b;3g?!2d9388h6`;c181?>i5>00:6);7c;042>h20k0:i6*;c0817a=i>j4n5a3>5=54o3:5>5<#=1i1>594n4:a>4=#:h4n5a3>7=6=4+59a96=14<#=1i1>594n4:a>6=#:h4n5a3>5=54o3;3>5<#=1i1>4?4n4:a>4=#574n5a3>7=4<#=1i1>4?4n4:a>6=#574n5a3>5=5}#=l919474H720?M3b?2P:?k4l{0595=<5?3836>:534802?502;31>l4<9;1b>x"2nl0>5l5+5gd91"4:00?o>5+5e490>"5m>0>7)2<1/>ho55:&1ag<23-8no7;4$3gg>0=#:lo196*=eg86?!4a83?0(?h>:49'6c4==2.9j>4:;%0e0?3<,;l>685+2g491>"5n>0>7)2<1/>ko55:&1bg<23-8mo7;4$3dg>0=#:oo196*=fg86?!5783?0(>>>:49'754==2.8<>4:;%130?3<,::>685+31491>"48>0>7)=?8;78 66>2<1/?=o55:&04g<23-9;o7;4$22g>0=#;9o196*<0g86?!5683?0(>?>:49'744==2.8=>4:;%120?3<,:;>685+30491>"49>0>7)=>8;78 67>2<1/?0=#;8o196*<1g86?!5583?0(><>:49'774==2.8>>4:;%110?3<,:8>685+33491>"4:>0?7)==8;68 7bb2<1/>ih55:&1a5<23-8n=7;4$3g1>0=#:l9196*=e586?!4b=3?0(8j8:59K1a`<,?;>6876;%`g>0eb3-98?7ok7:&6`4?7?5H4:g?>o51m0:66g=9d82>>o2l00:66g:d`82>>o>=3;1(86l:868j0>e291/8n?5809m0f6=l21b5>4>:%7;g??33g?3n7?4$5a2>=7<2!3?k33?7c;7b;78 1e621;0b9m?:998m4n464:l6h=76>;o6`4?1<3`3i6<4+59a9=1=i=1h1;6*;c08;5>h3k90=76g6a;39 0>d20>0b86m:99'0f7=081e8n>55:9j=<<62-?3o77;;o7;f??<,=i:65?4n5a3>1=h20k0j7):l1;:2?k2d83907d78:08'1=e=1=1e95l5b:&7g4f=#=n190;6);7c;;7?k3?j3n0(9m>:938j1e72910eo=51;&64o4?;%6`5??a3g>h<7j4;h`2>4<#=1i1n?5a59`95>"3k802j6`;c18`?>oe83;1(86l:c08j0>e2;1/8n?59g9m0f6=j21bmk4>:%7;g?d53g?3n7=4$5a2><`6`:8c87?!2d933m7c:l0;;8?lde280/95m5b39m1=d==2.?o<46f:l7g5g4!3?k3h97c;7b;58 1e620l0b9m?:798mg>=93.>4n4m2:l6h=77i;o6`4?3<3`h<6<4+59a9f7=i=1h156*;c08:b>h3k90?76gm6;39 0>d2k80b86m:`9'0f7=1o1e8n>53:9jf0<62-?3o7l=;o7;f?d<,=i:64h4n5a3>7=1=7*:8b8a6>h20k0h7):l1;;e?k2d83;07doj:18'1=e=j;1e95l5d:&7g4<>n2d?o=4?;:k2=f<72-?3o7?6b:l6h=7?92:l7g5<6n21b=4o50;&6;1e8n>51d98m4??290/95m518`8j0>e2;1/8n?51708j1e728n07d?67;29 0>d283i7c;7b;18 1e628<97c:l0;3`?>o61?0;6);7c;3:f>h20k0?7):l1;356>h3k90:n65f18794?"20j0:5o5a59`91>"3k80::?5a4b295d=j2d>4o47;%6`5?71:2d?o=4>7:9j5<7=83.>4n4>9c9m1=d=12.?o<4>639m0f6=9?10e<7?:18'1=e=90h0b86m:`9'0f7=9?80b9m?:078?l7?n3:1(86l:0;a?k3?j3h0(9m>:041?k2d83;?76g>8e83>!3?k3;2n6`:8c8`?!2d93;=>6`;c1827>=n91i1<7*:8b82=g=i=1h1h6*;c08227=i5<#=1i1=4l4n4:a>`=#47<3`;3m7>5$4:`>4?e3g?3n7h4$5a2>4053g>h<7??;:k2<<<72-?3o7?6b:l6639m0f6=n21b=5650;&60290/95m518`8j0>e2880(9m>:041?k2d83n07d?76;29 0>d283i7c;7b;30?!2d93;=>6`;c18`?>o60<0;6);7c;3:f>h20k0:86*;c08227=id=4053g>h<774;h3b6?6=,<2h6<7m;o7;f?703->h=7?92:l7g5j2d>4o4>8:&7g4<6>;1e8n>57:9j5d6=83.>4n4>9c9m1=d=901/8n?51708j1e72?10e<7i:18'1=e=90h0b86m:0c8 1e628<97c:l0;78?l7>m3:1(86l:0;a?k3?j3;i7):l1;356>h3k90?76g>9e83>!3?k3;2n6`:8c82g>"3k80::?5a4b297>=n9031<7*:8b82=g=i=1h1=i5+4b395344<#=1i1=4l4n4:a>4c<,=i:6<8=;o6`4?7<3`;3?7?5$4:`>4?e3g?3n7?i;%6`5?71:2d?o=4?;:k2``<72-?3o7?kd:l6h=7?n4:l7g5<6n21b=im50;&651d98m4bf290/95m51ef8j0>e2;1/8n?51`68j1e728n07d?k9;29 0>d28no7c;7b;18 1e628k?7c:l0;3`?>o6l10;6);7c;3g`>h20k0?7):l1;3b0>h3k90:n65f1e594?"20j0:hi5a59`91>"3k80:m95a4b295d=4o47;%6`5?7f<2d?o=4>7:9j5a5=83.>4n4>de9m1=d=12.?o<4>a59m0f6=9?10e0b9m?:078?l7c93:1(86l:0fg?k3?j3h0(9m>:0c7?k2d83;?76g>cg83>!3?k3;oh6`:8c8`?!2d93;j86`;c1827>=n9jo1<7*:8b82`a=i=1h1h6*;c082e1=i5<#=1i1=ij4n4:a>`=#47<3`;ho7>5$4:`>4bc3g?3n7h4$5a2>4g33g>h<7??;:k2gg<72-?3o7?kd:l6a59m0f6=n21b=no50;&60b9m?:d98m4e>290/95m51ef8j0>e2880(9m>:0c7?k2d83n07d?l8;29 0>d28no7c;7b;30?!2d93;j86`;c18`?>o6k>0;6);7c;3g`>h20k0:86*;c082e1=id=6=4+59a95ab4g33g>h<774;h3f0?6=,<2h6h=7?n4:l7g54?:%7;g?7cl2d>4o4>8:&7g4<6i=1e8n>57:9j5`4=83.>4n4>de9m1=d=901/8n?51`68j1e72?10e:18'1=e=9mn0b86m:0c8 1e628k?7c:l0;78?l7b83:1(86l:0fg?k3?j3;i7):l1;3b0>h3k90?76g>dg83>!3?k3;oh6`:8c82g>"3k80:m95a4b297>=n9mh1<7*:8b82`a=i=1h1=i5+4b395d25<#=1i1=ij4n4:a>4c<,=i:65$4:`>4bc3g?3n7?i;%6`5?7f<2d?o=4?;:k2ac<72-?3o7?je:l6h=7?j6:l7g5<432c:ii4?:%7;g?7bm2d>4o4>;%6`5?7b>2d?o=4=;:k2af<72-?3o7?je:l6h=7?j6:l7g5<632c:io4?:%7;g?7bm2d>4o4<;%6`5?7b>2d?o=4?;:k2b<<72-?3o7?i8:l6h=7?i0:l7g5<432c:j:4?:%7;g?7a02d>4o4>;%6`5?7a82d?o=4=;:k2b3<72-?3o7?i8:l6h=7?i0:l7g5<632c:j84?:%7;g?7a02d>4o4<;%6`5?7a82d?o=4?;:k6b6<62-?3o7;i2:l64$5a2>0cf3g>h<7=4;h7e5?7=,<2h68h=;o7;f?74<#=1i19k<4n4:a>7=i=l:1<6*;c086ad=ij?5a59`97>h2m90;7):l1;7fe>h3k90;76g:fe82>!3?k3?mo6`:8c83?k3b83:0(9m>:4d7?k2d83907d;ib;39 0>d2h=7;i4:l7g5<732e9?84?:%7;g?44<2d>4o4?;%6`5?7aj2d?o=4>f:9l665=83.>4n4=359m1=d=92.?o<4>fc9m0f6=9l10c?=>:18'1=e=::>0b86m:39'0f7=9oh0b9m?:0f8?j4483:1(86l:317?k3?j390(9m>:0da?k2d83;h76a=2g83>!3?k38886`:8c87?!2d93;mn6`;c182f>=h:;o1<7*:8b8171=i=1h196*;c082bg=i5<#=1i1>>:4n4:a>3=#4?<3f89o7>5$4:`>7533g?3n794$5a2>4`e3g>h<7?7;:m16g<72-?3o7<<4:l6h=7?ib:l7g5<6?21d>?o50;&651798k74>290/95m52268j0>e2h1/8n?51g`8j1e728?07b<=8;29 0>d2;9?7c;7b;`8 1e628li7c:l0;37?>i5:?0;6);7c;000>h20k0h7):l1;3ef>h3k90:?65`23794?"20j09?95a59`9`>"3k80:jo5a4b2957=?4?:%7;g?44<2d>4o4>0:&7g4<6nk1e8n>5f:9l677=83.>4n4=359m1=d=981/8n?51g`8j1e72l10c?0b86m:008 1e628li7c:l0;f8?j46n3:1(86l:317?k3?j3;87):l1;3ef>h3k90h76a=1d83>!3?k38886`:8c820>"3k80:jo5a4b29f>=h:8n1<7*:8b8171=i=1h1=85+4b395cd5<#=1i1>>:4n4:a>40<,=i:65$4:`>7533g?3n7?8;%6`5?7aj2d?o=47;:m17d<72-?3o7<<4:l6fc9m0f6=?21d>>750;&6e28k0(9m>:0da?k2d83?07b<<7;29 0>d2;9?7c;7b;3a?!2d93;mn6`;c187?>i5;?0;6);7c;000>h20k0:o6*;c082bg=i7=4`e3g>h<7?4;n02g?7=,<2h6?=;;o7;f?7a3->h=7?ib:l7g5<732e9;:4?:%7;g?40>2d>4o4?;%6`5?44l2d?o=4>f:9l623=83.>4n4=779m1=d=92.?o<4=3e9m0f6=9l10c?9<:18'1=e=:><0b86m:39'0f7=::n0b9m?:0f8?j40:3:1(86l:355?k3?j390(9m>:31g?k2d83;h76a=7083>!3?k38<:6`:8c87?!2d9388h6`;c182f>=h:>:1<7*:8b8133=i=1h196*;c0817a=i5<#=1i1>:84n4:a>3=#>j4n5a3>4?<3f8=i7>5$4:`>7113g?3n794$5a2>75c3g>h<7?7;:m12a<72-?3o7<86:l6h=7<;m50;&651798k70e290/95m52648j0>e2h1/8n?522f8j1e728?07b<9a;29 0>d2;==7c;7b;`8 1e62;9o7c:l0;37?>i5>10;6);7c;042>h20k0h7):l1;00`>h3k90:?65`27594?"20j09;;5a59`9`>"3k809?i5a4b2957=2d>4o4>0:&7g4<5;m1e8n>5f:9l635=83.>4n4=779m1=d=981/8n?522f8j1e72l10c?8=:18'1=e=:><0b86m:008 1e62;9o7c:l0;f8?j4193:1(86l:355?k3?j3;87):l1;00`>h3k90h76a=6183>!3?k38<:6`:8c820>"3k809?i5a4b29f>=h:5<#=1i1>:84n4:a>40<,=i:6?=k;o6`4??<3f85$4:`>7113g?3n7?8;%6`5?44l2d?o=47;:m13f<72-?3o7<86:l6:l50;&6e28k0(9m>:31g?k2d83?07b<89;29 0>d2;==7c;7b;3a?!2d9388h6`;c187?>i5?10;6);7c;042>h20k0:o6*;c0817a=i>j4n5a3>7=75c3g>h<7?4;n06a?7=,<2h6?99;o7;f?7a3->h=7<4o4?;%6`5?40n2d?o=4<;:m1<3<72-?3o7<77:l6h=7<8f:l7g5<532e9484?:%7;g?4??2d>4o4=;%6`5?40n2d?o=4>;:m1<1<72-?3o7<77:l6h=7<8f:l7g5<732e95?4?:%7;g?4>92d>4o4?;%6`5?4?12d?o=4<;:m1=5<72-?3o7<61:l6h=7<79:l7g5<532e94k4?:%7;g?4>92d>4o4=;%6`5?4?12d?o=4>;:m1<`<72-?3o7<61:l6h=7<79:l7g5<732wim>;51;794?6|,:>;I437>N2m>1/:<;55`28 6542hn<7)h19>088?5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d<;I7f3>"19<088h5+3219ea1:<;%7g0?06l2c9h94?:%421?4cj21b>i;50;&550<5lj10e8m8:18'243==ji07b:l5;29 3722<9876sma2095?3=83:p(8k<:262?M07;2B>i:5+60791d6<,:986lj8;%0f2?4em2d==:4<459'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3thj?>4>:583>5}#=l91?8>4H720?M3b?2.==84<4d9'765=im=0b;?8:266?!3c<3<:h6g=d583>!06=38on65f2e794?"19<09hn54i4a4>5<#>8?19nm4;n6`1?6=,?;>68=<;:a3`?=93>1<7>t$4g0>65b3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3>37c8>7;172>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07d<;I7f3>"19<0>m=5+3219ea1<,;o=69m4n734>6203-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb6ga>4<3290;w);j3;164>N18:1C9h94$736>62b3-98?7ok7:l552<4<11/9i:560f8m7b3290/:<;52e`8?l4c=3:1(;?::3f`?>o2k>0;6)8>5;7`g>=h7?55;294~"2m:088<5G6118L0c03-<:97;n0:&0761/>h85119m241=;=30(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a=55=93>1<7>t$4g0>65b3A<;?6F:e69'243==h:0(>=<:`f4?!4b>3k0b;?8:26b?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9l0f3=83.==84:3298yg?7<3;187>50z&6a6<4=91C:==4H4g4?!06=39?i6*<328b`2=i>8=1?9l4$4f7>37c3`8o87>5$736>7be32c9h84?:%421?4ck21b9n950;&550<2kj10c9m::18'243==:907pl8b382>0<729q/9h=53538L3643A?n;6*91486e5=#;:91mi94$3g5>1c:l;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj>h86<4::183!3b;39?=6F9029K1`1<,?;>68o?;%107?gc?2.9i;4>469m241=;=n0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a3g2=93>1<7>t$4g0>6373A<;?6F:e69'243=;=o0(>=<:`f4?k06?39?i6*:d5855a=n:m>1<7*91481`g=6=4+60796ae<3`?h;7>5$736>0ed32e?o84?:%421?34;21vn:oj:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e781e0=i>8=1?9h4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e?hl1=7=50;2x 0c42:9i7E8?3:J6a2=#>8?19l>4$210>db03-8n:7?j;o423?5282.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;n6`1?6=,?;>68=<;:a3g6=93>1<7>t$4g0>6373A<;?6F:e69'243=;=o0(>=<:`f4?k06?39>=6*:d5855a=n:m>1<7*91481`g=6=4+60796ae<3`?h;7>5$736>0ed32e?o84?:%421?34;21vn5>9:086>5<7s-?n?7=;1:J546=O=l=0(;?::4c3?!54;3ko;6*=e781f4=i>8=1?8<4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e09=1=7=50;2x 0c42:9i7E8?3:J6a2=#>8?19l>4$210>db03-8n:7<66:l552<4=:1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:m7g0<72-<:97;<3:9~f=6?280?6=4?{%7f7?5282B=<>5G5d58 3722:>n7)=<3;cg3>h19>08995+5e6924b5$736>7bd32c>o:4?:%421?3dk21d8n;50;&550<2;:10qo9i8;390?6=8r.>i>4<3d9K255<@5;7b4>"4;:0jh:5+2d496;:;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65`4b794?"19<0>?>54}c5e=?7=;3:1990D8k8;%421?3f82.8?>4nd69'6`0=:0<0b;?8:275?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810c9m::18'243==:907pl8f`82>1<729q/9h=53428L3643A?n;6*914800`=#;:91mi94n734>6303-?o878>d:k1`1<72-<:97{eim;1=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:789;o423?5202.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=891?65`4b794?"19<0>?>54}ccg6?7=<3:1990D8k8;%421?3f82.8?>4nd69'6`0=>=1e:<9534;8 0b32?;o7di850;&5504=;:m7g0<72-<:97;<3:9~fdb4280?6=4?{%7f7?5282B=<>5G5d58 3722:>n7)=<3;cg3>h19>089l5+5e6924b5$736>7bd32c>o:4?:%421?3dk21d8n;50;&550<2;:10qoolb;391?6=8r.>i>4<409K255<@5;7b4>"4;:0jh:5+2d4923=i>8=1?8l4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{eiji1=7:50;2x 0c42:9n7E8?3:J6a2=#>8?19l>4$210>db03-8n:78;;o423?52k2.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=6=4+6079165<3thjoi4>:583>5}#=l91?8>4H720?M3b?2.==84<4d9'765=im=0b;?8:27g?!3c<3<:h6g=d583>!06=38on65f2e794?"19<09hn54i4a4>5<#>8?19nm4;n6`1?6=,?;>68=<;:aef3=93?1<7>t$4g0>6263A<;?6F:e69'243==h:0(>=<:`f4?!4b>3<=7c8>7;16a>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07di>4<3d9K255<@5;7b4>"4;:0jh:5+2d4921=i>8=1?8h4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976a;c483>!06=3?8?65rb`a4>4<3290;w);j3;164>N18:1C9h94$736>62b3-98?7ok7:l552<4>91/9i:560f8m7b3290/:<;52e`8?l4c=3:1(;?::3f`?>o2k>0;6)8>5;7`g>=h1/>h852858j3702:<:7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di>4<3c9K255<@5;7b4>"4;:0jh:5+2d495`=i>8=1?;<4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=h1e:<953718 0b32?;o7d!06=38oo65f5b594?"19<0>on54o5a6>5<#>8?19>=4;|`4g2<62<0;6=u+5d19717<@?:87E;j7:&550<2i91/?>=5ae58 7c12;k>7c8>7;150>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07di>4<3d9K255<@5;7b4>"4;:0jh:5+2d496<18:;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65`4b794?"19<0>?>54}c5`=?7=<3:1990D8k8;%421?53m2.8?>4nd69m241=;?<0(8j;:73g?l4c<3:1(;?::3fa?>o5l<0;6)8>5;0gg>=n=j=1<7*91486gf=6=4+6079165<3th:283>5}#=l91?>l4H720?M3b?2.==84:a19'765=im=0(?k9:3;5?k06?39=;6*:d5855a=n:m>1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?j2d=3:1(;?::410?>{e?k31=7;50;2x 0c42:>:7E8?3:J6a2=#>8?19l>4$210>db03-8n:7<68:l552<4>11/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`4fd<62=0;6=u+5d19706<@?:87E;j7:&550<4=5ae58j3702:<27);k4;42`>o5l=0;6)8>5;0gf>=n:m?1<7*91481`f=h97>5$736>05432wi;hh51;094?6|,?;>6<=m;%7f7?54?2B>i:5a605973gh97>5$736>05432wi;hk51;194?6|,?;>68o?;I7f3>N18:1/?>=5ae58 0c42:9h7)h19>08:o5+5e6924b891<65f2e794?"19<0ii6`91282?>i3k<0;6)8>5;707>=zj>i;6<4=:183!06=3;8n6*:e28072=O=l=0b;?8:24`?l4c;3:1(;?::3fb?>i3k<0;6)8>5;707>=zj>hm6<4<:183!06=3?j<6F:e69K255<,:986lj8;%7f7?54l2.9i;4;6:l552<4>m1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:m7g0<72-<:97;<3:9~f27a28096=4?{%421?74j2.>i>4<369K1`18j;h0g7?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f27b280>6=4?{%421?3f82B>i:5G6118 6542hn<7);j3;177>"5m?0=96`916802c=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pln2e82>7<729q/:<;512`8 0c42:9<7E;j7:l552<4?91b>i=50;&550<5lh10c9m::18'243==:907pln2b82>0<729q/:<;55`28L0c03A<;?6*<328b`2=#=l91?9<4$3g5>339>;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj?996<4=:183!06=3;8n6*:e28072=O=l=0b;?8:251?l4c;3:1(;?::3fb?>i3k<0;6)8>5;707>=zj?9:6<4::183!06=3?j<6F:e69K255<,:986lj8;%7f7?53;2.9i;4=bd9m241=;>90(8j;:70b?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a26e=9381<7>t$736>45e3-?n?7=<7:J6a2=i>8=1?::4i3f0>5<#>8?1>io4;n6`1?6=,?;>68=<;:a26d=93?1<7>t$736>0g73A?n;6F9029'765=im=0(8k<:260?!4b>3>27c8>7;141>"2l=0=>l5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07d3c9'1`5=;:=0D8k8;o423?50>2c9h>4?:%421?4ci21d8n;50;&550<2;:10qoo?c;390?6=8r.==84:a19K1`1<@?:87)=<3;cg3>"2m:088=5+2d49fc=i>8=1?:94$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976a;c483>!06=3?8?65rb`3g>4<5290;w)8>5;30f>"2m:08?:5G5d58j3702:=37d!06=3?8?65rb`3`>4<2290;w)8>5;7b4>N2m>1C:==4$210>db03-?n?7=;2:&1a3<13g<:;7=89:&6`1<19m1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h7;14e>o5l:0;6)8>5;0ge>=hm=5G5d58L3643-98?7ok7:&6a6<4<;1/>h856:l552<4?k1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`b67<62;0;6=u+607956d<,=8;I7f3>h19>08;n5f2e194?"19<09hl54o5a6>5<#>8?19>=4;|`b64<62=0;6=u+60791d6<@1/9h=532d8 7c12=h0b;?8:25g?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9l0f3=83.==84:3298yg?d:3;1>7>50z&550<6;k1/9h=53258L0c03g<:;7=8e:k1`6<72-<:9750z&550<2i91C9h94H720?!54;3ko;6*:e28006=#:l<1>;5a605972`<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sma3;95?4=83:p(;?::01a?!3b;398;6F:e69m241=;1:0e?j<:18'243=:mk07b:l5;29 3722<9876sma3:95?2=83:p(;?::4c3?M3b?2B=<>5+3219ea1<,=i;%0f2?2e3g<:;7=71:&6`1<19m1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?19>=4;|`b60<62;0;6=u+607956d<,=8;I7f3>h19>084?5f2e194?"19<09hl54o5a6>5<#>8?19>=4;|`b61<62<0;6=u+60791d6<@1/9h=53508 7c12=i0b;?8:2:0?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn598:081>5<7s-<:97?1C9h94n734>6>33`8o?7>5$736>7bf32e?o84?:%421?34;21vn599:087>5<7s-<:97;n0:J6a2=O>990(>=<:`f4?!3b;39?<6*=e781=d=i>8=1?5;4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976a;c483>!06=3?8?65rb9:3>4<5290;w)8>5;30f>"2m:08?:5G5d58j3702:2=7d!06=3?8?65rb95e>4<3290;w)8>5;7b4>N2m>1C:==4$210>db03-?n?7=;0:&1a3<51h1e:<953958 0b32?;o7di850;&5504=;:m7g0<72-<:97;<3:9~f=>>28096=4?{%421?74j2.>i>4<369K1`167;h0g7?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f=>?280?6=4?{%421?3f82B>i:5G6118 6542hn<7);j3;174>"5m?095l5a60597=?<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>i3k<0;6)8>5;707>=zj1396<4=:183!06=3;8n6*:e28072=O=l=0b;?8:2:b?l4c;3:1(;?::3fb?>i3k<0;6)8>5;707>=zj13:6<4;:183!06=3?j<6F:e69K255<,:986lj8;%7f7?5382.9i;4=9`9m241=;1h0(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532e?o84?:%421?34;21vn4>9:081>5<7s-<:97?1C9h94n734>6>d3`8o?7>5$736>7bf32e?o84?:%421?34;21vn4>::086>5<7s-<:97;n0:J6a2=O>990(>=<:`f4?!3b;39?>6*=e781e3=i>8=1?5j4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e00h1=7<50;2x 372289i7);j3;103>N2m>1e:<9539g8m7b4290/:<;52ec8?j2d=3:1(;?::410?>{e00k1=7:50;2x 37226273-8n:7<6a:l552<40o1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3f>h97>5$736>05432wi4l:51;094?6|,?;>6<=m;%7f7?54?2B>i:5a60597<6h97>5$736>05432wi4l=51;694?6|,?;>68o?;I7f3>N18:1/?>=5ae58 0c42:>;7)h19>085<5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807b:l5;29 3722<9876sm8`f95?4=83:p(;?::01a?!3b;398;6F:e69m241=;080e?j<:18'243=:mk07b:l5;29 3722<9876sm8`a95?2=83:p(;?::4c3?M3b?2B=<>5+3219ea1<,:?;%0f2?4>i2d==:4<929'1a2=>8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;n6`1?6=,?;>68=<;:at$736>45e3-?n?7=<7:J6a2=i>8=1?4:4i3f0>5<#>8?1>io4;n6`1?6=,?;>68=<;:a1<7>t$736>0g73A?n;6F9029'765=im=0(8k<:263?!4b>382m6`91680=0=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?j2d=3:1(;?::410?>{e0kl1=7<50;2x 372289i7);j3;103>N2m>1e:<953848m7b4290/:<;52ec8?j2d=3:1(;?::410?>{e0ko1=7:50;2x 37226273-8n:7<6a:l552<41>1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3f>h97>5$736>05432wi4;k51;094?6|,?;>6<=m;%7f7?54?2B>i:5a60597<>h97>5$736>05432wi4;j51;694?6|,?;>68o?;I7f3>N18:1/?>=5ae58 0c42:>;7)h19>08545+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807b:l5;29 3722<9876sm9b295?4=83:p(;?::01a?!3b;398;6F:e69m241=;0k0e?j<:18'243=:mk07b:l5;29 3722<9876sm9cd95?3=83:p(;?::4c3?M3b?2B=<>5+3219ea1<,:=;%0f2?>7m;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj0>n6<4=:183!06=3;8n6*:e28072=O=l=0b;?8:2;`?l4c;3:1(;?::3fb?>i3k<0;6)8>5;707>=zj0>o6<4;:183!06=3?j<6F:e69K255<,:986lj8;%7f7?54n2.9i;494:l552<41m1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3f>h97>5$736>05432wi;;j51;094?6|,?;>6<=m;%7f7?54?2B>i:5a60597h97>5$736>05432wi;;m51;794?6|,?;>68o?;I7f3>N18:1/?>=5ae58 0c42:>97)h19>085k5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d10:6?4?:1y'243=9:h0(8k<:214?M3b?2d==:4>0:684?:1y'243==h:0D8k8;I437>"4;:0jh:5+5d19715<,;o=66g63-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=n:m=1<7*9148aa>h19:0876a;c483>!06=3?8?65rb97b>4<5290;w)8>5;30f>"2m:08?:5G5d58j3702:k97d!06=3?8?65rb97:>4<4290;w)8>5;7b4>N2m>1C:==4$210>db03-?n?7=8n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632e?o84?:%421?34;21vnl?=:081>5<7s-<:97?1C9h94n734>6g33`8o?7>5$736>7bf32e?o84?:%421?34;21vnl?>:086>5<7s-<:97;n0:J6a2=O>990(>=<:`f4?!3b;39??6*=e7870>h19>08m85+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807d"4;:0jh:5+5d19716<,;o=69l4n734>6g03-?o878>d:k1`1<72-<:97lj;o427?6<3`8o97>5$736>gc5<#>8?1nh5a60196>=h7;1b<>o5l:0;6)8>5;0ge>=hm=5G5d58L3643-98?7ok7:&6a6<4<91/>h854c9m241=;h30(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532e?o84?:%421?34;21vn56j:081>5<7s-<:97?1C9h94n734>6gf3`8o?7>5$736>7bf32e?o84?:%421?34;21vn56k:087>5<7s-<:97;n0:J6a2=O>990(>=<:`f4?!3b;39?<6*=e787f>h19>08mo5+5e6924b891<65f2e794?"19<0ii6`91282?>o5l?0;6)8>5;`f?k06;3807b:l5;29 3722<9876sm88595?4=83:p(;?::01a?!3b;398;6F:e69m241=;hi0e?j<:18'243=:mk07b:l5;29 3722<9876sm88495?2=83:p(;?::4c3?M3b?2B=<>5+3219ea1<,:?;%0f2?2e3g<:;7=nd:&6`1<19m1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?19>=4;|`;e5<62;0;6=u+607956d<,=8;I7f3>h19>08mh5f2e194?"19<09hl54o5a6>5<#>8?19>=4;|`;=c<62=0;6=u+60791d6<@1/9h=53528 7c12=h0b;?8:2ce?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9l0f3=83.==84:3298yg>f13;1>7>50z&550<6;k1/9h=53258L0c03g<:;7=m0:k1`6<72-<:97f03;187>50z&550<2i91C9h94H720?!54;3ko;6*:e28005=#:l<18o5a60597g7<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>i3k<0;6)8>5;707>=zj1h96<4=:183!06=3;8n6*:e28072=O=l=0b;?8:2`1?l4c;3:1(;?::3fb?>i3k<0;6)8>5;707>=zj1h:6<4;:183!06=3?j<6F:e69K255<,:986lj8;%7f7?5382.9i;4;b:l552<4j:1/9i:560f8m7b3290/:<;5bd9m245=821b>i;50;&5504>;:k1`3<72-<:97lj;o427?4<3f>h97>5$736>05432wi4:=51;094?6|,?;>6<=m;%7f7?54?2B>i:5a60597g2h97>5$736>05432wi4:<51;694?6|,?;>68o?;I7f3>N18:1/?>=5ae58 0c42:>;7)1<7*9148aa>h19:0;76g=d483>!06=3hn7c8>3;38?l4c>3:1(;?::cg8j3742;10c9m::18'243==:907pl76582>7<729q/:<;512`8 0c42:9<7E;j7:l552<4j?1b>i=50;&550<5lh10c9m::18'243==:907pl76282>1<729q/:<;55`28L0c03A<;?6*<328b`2=#=l91?9>4$3g5>1dl8;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65`4b794?"19<0>?>54}c;6f?7=:3:194H4g4?k06?39i46g=d283>!06=38om65`4b794?"19<0>?>54}c;6e?7==3:1<;%107?gc?2.>i>4<429'6`0=:k>0b;?8:2`:?!3c<3<:h6g=d583>!06=3hn7c8>3;28?l4c=3:1(;?::cg8j3742810e?j9:18'243=jl1e:<=52:9j6a1=83.==84me:l556<432e?o84?:%421?34;21vn47n:081>5<7s-<:97?1C9h94n734>6df3`8o?7>5$736>7bf32e?o84?:%421?34;21vn476:086>5<7s-<:97;n0:J6a2=O>990(>=<:`f4?!3b;39??6*=e781f1=i>8=1?ol4$4f7>37c3`8o87>5$736>gc5<#>8?1nh5a60195>=n:m<1<7*9148aa>h19:0976g=d683>!06=3hn7c8>3;18?j2d=3:1(;?::410?>{e1091=7<50;2x 372289i7);j3;103>N2m>1e:<953ca8m7b4290/:<;52ec8?j2d=3:1(;?::410?>{e1081=7;50;2x 37226243-8n:7i;50;&5504>;:k1`3<72-<:97lj;o427?4<3`8o;7>5$736>gc54o5a6>5<#>8?19>=4;|`:=8;I7f3>h19>08nh5f2e194?"19<09hl54o5a6>5<#>8?19>=4;|`:1/9h=53518 7c12;h?7c8>7;1ab>"2l=0==i5f2e694?"19<0ii6`91283?>o5l<0;6)8>5;`f?k06;3;07d3c9'1`5=;:=0D8k8;o423?5d82c9h>4?:%421?4ci21d8n;50;&550<2;:10qo774;391?6=8r.==84:a19K1`1<@?:87)=<3;cg3>"2m:088>5+2d496g2m>;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj0=n6<4=:183!06=3;8n6*:e28072=O=l=0b;?8:2a1?l4c;3:1(;?::3fb?>i3k<0;6)8>5;707>=zj0=o6<4::183!06=3?j<6F:e69K255<,:986lj8;%7f7?53;2.9i;4=b59m241=;j90(8j;:73g?l4c<3:1(;?::cg8j3742910e?j::18'243=jl1e:<=51:9j6a0=83.==84me:l556<532c9h:4?:%421?db3g<:?7=4;n6`1?6=,?;>68=<;:a=21=9381<7>t$736>45e3-?n?7=<7:J6a2=i>8=1?n:4i3f0>5<#>8?1>io4;n6`1?6=,?;>68=<;:a=20=93?1<7>t$736>0g73A?n;6F9029'765=im=0(8k<:260?!4b>38i86`91680g0=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl67182>7<729q/:<;512`8 0c42:9<7E;j7:l552<4k?1b>i=50;&550<5lh10c9m::18'243==:907pl66g82>0<729q/:<;55`28L0c03A<;?6*<328b`2=#=l91?9=4$3g5>7d33g<:;7=l7:&6`1<19m1b>i:50;&5504?;:k1`0<72-<:97lj;o427?7<3`8o:7>5$736>gc5<#>8?1nh5a60197>=h7;1`<>o5l:0;6)8>5;0ge>=hm=5G5d58L3643-98?7ok7:&6a6<4<:1/>h852c68j3702:i27);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~f<0528096=4?{%421?74j2.>i>4<369K1`1mn;h0g7?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f<06280>6=4?{%421?3f82B>i:5G6118 6542hn<7);j3;177>"5m?09n95a60597fd<,6ok4n730>5=6=4+6079f`=i>891=65f2e494?"19<0ii6`91281?>o5l>0;6)8>5;`f?k06;3907b:l5;29 3722<9876sm94695?4=83:p(;?::01a?!3b;398;6F:e69m241=;ji0e?j<:18'243=:mk07b:l5;29 3722<9876sm94195?3=83:p(;?::4c3?M3b?2B=<>5+3219ea1<,:<;%0f2?4e<2d==:48n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3th<;84>:383>5}#>8?1=>l4$4g0>6503A?n;6`91680g`=n:m91<7*91481`d=6=4+6079165<3th<;94>:483>5}#>8?19l>4H4g4?M07;2.8?>4nd69'1`5=;=90(?k9:5a8j3702:im7);k4;42`>o5l=0;6)8>5;`f?k06;3:07di950;&5504<;:m7g0<72-<:97;<3:9~f21e28096=4?{%421?74j2.>i>4<369K1`1j?;h0g7?6=,?;>6?jn;:m7g0<72-<:97;<3:9~f21f280>6=4?{%421?3f82B>i:5G6118 6542hn<7);j3;177>"5m?0:86`91680`4=#=m>1:5<#>8?1nh5a60194>=n:m?1<7*9148aa>h19:0:76g=d783>!06=3hn7c8>3;08?l4c?3:1(;?::cg8j3742:10c9m::18'243==:907pl88582>7<729q/:<;512`8 0c42:9<7E;j7:l552<4l;1b>i=50;&550<5lh10c9m::18'243==:907pl88282>0<729q/:<;55`28L0c03A<;?6*<328b`2=#=l91?9=4$3g5>2dj<;%7g0?06l2c9h94?:%421?db3g<:?7>4;h0g1?6=,?;>6ok4n730>4=891>65f2e594?"19<0ii6`91280?>i3k<0;6)8>5;707>=zj1hi6<4=:183!06=3;8n6*:e28072=O=l=0b;?8:2f7?l4c;3:1(;?::3fb?>i3k<0;6)8>5;707>=zj1hj6<4::183!06=3?j<6F:e69K255<,:986lj8;%7f7?53;2.9i;46;o423?5c=2.>h9491e9j6a2=83.==84me:l556<732c9h84?:%421?db3g<:?7?4;h0g2?6=,?;>6ok4n730>7=891?65`4b794?"19<0>?>54}c:`0?7=:3:194H4g4?k06?39o:6g=d283>!06=38om65`4b794?"19<0>?>54}c:`7?7==3:1<;%107?gc?2.>i>4<429'6`0=12d==:48n0e?j;:18'243=jl1e:<=50:9j6a3=83.==84me:l556<632c9h;4?:%421?db3g<:?7<4;h0g3?6=,?;>6ok4n730>6=6=4+6079165<3thom7?51;294~"19<0>455G5d58L7bc3-99i7;<3:&073<2<;1e:<953e:8k0>?290/:<;559:8?xdb<3;1=7>50z&550<2011C9h94H3fg?!55m3?8?6`91680`<=h=121<7*91486<==6<4>:183!06=3?346F:e69K6ab<,:8n68=<;o423?5ci2e>454?:%421?3?021vnh951;394?6|,?;>6867;I7f3>N5lm1/??k55218j3702:ni7b;78;29 3722<2376sm28195?7=83:p(;?::4:;?M3b?2B9hi5+33g96ag<,:9=68;m;o423?5ck2c>454?:%421?3?021vn?m9:082>5<7s-<:97;78:J6a2=O:mn0(>3?8h6`91680`a=h=121<7*91486<==455G5d58L7bc3-99i7;<3:l552<4ll1d95650;&550<20110qoh19>08hk5`59:94?"19<0>4554}c0`e?7=93:1=83.==84:8998yg5483;1=7>50z&550<2011C9h94H3fg?!55m38om6*<37861<=i>8=1?h?4i4:;>5<#>8?19564;|`6=1<6280;6=u+60791=><@8552c8j3702:o97b;78;29 3722<2376sm58795?7=83:p(;?::4:;?M3b?2B9hi5+33g9165k<;n7;6867;:a1<0=93;1<7>t$736>0>?3A?n;6F=de9'77c==:90b;?8:2g7?j3?03:1(;?::4:;?>{e=021=7?50;2x 3722<237E;j7:J1`a=#;;o19>=4n734>6c23f?347>5$736>0>?32wi94k51;394?6|,?;>6867;I7f3>N5lm1/??k52ec8 65127;1f2>o2010;6)8>5;7;<>=zj6<4>:183!06=3?346F:e69K6ab<,:8n6?jn;%102?32=2d==:4=83.==84:8998yg06m3;1=7>50z&550<2011C9h94H3fg?!55m38om6*<378612=i>8=1?h64i4:;>5<#>8?19564;|`561<6280;6=u+60791=><@8554d8j3702:o27d;78;29 3722<2376sm63795?7=83:p(;?::4:;?M3b?2B9hi5+33g96ag<,:9=688?;o423?5bi2c>454?:%421?3?021vn;<9:082>5<7s-<:97;78:J6a2=O:mn0(>3?=>6`91680ag=n=121<7*91486<==455G5d58L7bc3-99i7;<3:&073<2;j1e:<953da8k0>?290/:<;559:8?xd0010:6<4?:1y'243==120D8k8;I0g`>"4:l0>?>5+3249105kk;n7;6867;:a3=?=93;1<7>t$736>0>?3A?n;6F=de9'77c==:90(>=9:47;?k06?39ni6a:8983>!06=3?3465rb6:b>4<6290;w)8>5;7;<>N2m>1C>ij4$20f>0543-98:7;:2:l552<4mo1d95650;&550<20110qo97c;395?6=8r.==84:899K1`1<@;no7)==e;707>"4;?0>9<5a60597c6<3thjh84>:083>5}#>8?19564H4g4?M4cl2.8>h4:329'760==:30b;?8:2d2?j3?03:1(;?::4:;?>{tlh0;6>uQd`9>516=5<4sWo<70?;3;6`1>;b?3?346s|28194?5|V;3870<64;0g7>;51:0>455rs3;7>5<2s4=:47709>4;0g2>{t:j<1<7=t^3a5?84dn3>h963=c786<==z{;i<6=4<{_0`3>;5l90?o8522b591=>53z\1g==::m;18n;4=3a;>0>?3ty9ol4?:2y]6fg<5;n969m:;<0`e?3?02wx?>>50;1xZ6573498=7=>:186816038o963<3087g0=:?8k1>i;4=630>7b034=:87;=:5a6?810038o96387081`1=z{:?86=4={<167?2d=278994>4g9~w6332909w0=:4;6`1>;4=?0:8k5rs276>5<5s49>97:l5:?011<2k>1v>;9:181852>3>h963<59820c=z{:?<6=4={<163?2d=2789;4:c69~w63?2908w0=:8;6`1>;4=h0:8k5237c951`57>52z?01<<3k<16?8655b58yv52i3:1?v3<5`87g0=:;42a3ty89o4?:2y>70d=;n:4a4?851i398>6s|34a94?5|5:?h69m:;<16a?73n278:n4>4g9~w63c2908w0=:d;6`1>;4=j0>o:5237`9764i7>53z?01`<3k<16?;>515d8960c28>m7p}<5g83>6}:;0e0349=o7=<2:p736=839p1>8?:5a6?851:3;?j63<6d820c=z{:<:6=4<{<155?2d=278:=4:c69>73b=;:80q~=92;297~;4>;0?o852376951`<5:{t;?>1<71e2349=47?;f:p733=839p1>8::5a6?851<3?h;63<6g8077=z{:<=6=4={<167?3d?278::4;c49~w60>2909w0=99;6`1>;4>108??5rs253>5<4s49<<7:l5:?034<681=9h4=2:0>42a3ty8;?4?:2y>724=9<:06e?85?<3;?j6s|36194?5|5:=869m:;<140?73n278484>4g9~w6132908w0=84;6`1>;4?<0:8k52394951`53z?030<3k<16?:8515d896>028>m7p}<7783>6}:;><18n;4=254>42a349347?;f:p721=839p1>98:5a6?85003;?j63<88820c=z{:=36=4<{<144g9>7=g=9=l0q~=89;296~;4?00?o852393951`53z?035<2k>16?5>53208961f2=i>7p}<7c83>6}:;>;19n94=2:1>6553499=:4a4?85?;398>63<7b87g0=z{:=o6=4<{<147?3d?278494<339>72b=o:523979764<5:=n69m:;|q03c<72:q6?:;55b5896>12:9970=8f;6`1>{t;1h1<7=t=2:a>1e23493o7?;f:?0e6<66l:18085?k3>h963<8d820c=:;h>1=9h4}r1;`?6=;r784i4;c49>7=e==j=01>o<:211?xu40l0;6>u239g90f3<5:3;6<:i;<1b1?73n2wx?5h50;1x96>a2=i>70=7e;7`3>;4i=08??5rs2;3>5<4s492<7:l5:?0=7<693:1?v3<9087g0=:;0:19n94=2c6>6553ty85?4?:2y>7<4=7;:06e?85f?3;?j6s|38194?5|5:3869m:;<1:6?3d?278m;4<339~w6?32908w0=64;6`1>;41?0:8k523`:951`53z?0=0<3k<16?4:55b5896g02:997p}<9783>6}:;0<18n;4=2;;>42a349j57?;f:p7<1=839p1>78:5a6?85>>3?h;634g9>7dg=9=l0q~=69;297~;4100?o85238:91f1<5:k26>==;|q0=d<72:q6?4o54b7896?d28>m70=nb;37b>{t;0h1<7=t=2;a>1e23492m7;l7:?0ed<4;;1v>7l:18185>k3>h9637dd=;:80q~=6e;297~;40k0>o:523`29764<5:3m69m:;|q0e7<72;q6?l<54b7896g62:997p}6}:;hi18n;4=2cg>42a349h87?;f:p7db=839p1>ok:5a6?85fn3;?j637f2=;:80q~=nf;297~;4io0?o8523c3951`<5:i=6<:i;|q0f5<72:q6?o>54b7896ga2{t;k;1<7=t=2`2>1e2349i?7?;f:?0g2<6l=:18085e:3>h963<4}r1a7?6=;r78n>4;c49>7g3=9=l01>m7:06e?xu4j=0;6>u23c690f3<5:h868m8;<1`3?54:2wx?o;50;1x96d22=i>70=m7;37b>;4k00:8k5rs2`5>5<4s49i:7:l5:?0f0<2k>16?n653208yv5e?3:1?v342a3ty8n54?:2y>7g>=l8:4a4?85d1398>6s|3c;94?5|5:h269m:;<1af?73n278oo4>4g9~w6df2908w0=ma;6`1>;4j00>o:523bc976453z?0fg<3k<16?oj515d896ed28>m7p}6}:;ki18n;4=2`a>0e0349hn7=<2:p7gb=838p1>lk:5a6?85d:3;?j6s|3cg94?5|5:hn69m:;<1a`?3d?278on4<339~w6da2908w0=nc;7`3>;4k808??523b290f352z?0g6<3k<16?n<53208yv5dl3:1?v342a3ty8oh4?:2y>7fc=j?:06e?827:3;?j6s|3bd94?5|5:im69m:;<1`a?3d?27?<<4<339~w6b72908w0=k0;6`1>;4l;0:8k52411951`53z?0`4<3k<16?i>55b5891652:997p}6}:;m818n;4=2f7>42a34>;87?;f:p7a5=839p1>j<:5a6?85c:3?h;63;028077=z{:n?6=4<{<1g0?2d=278h;4>4g9>053=9=l0q~=k5;297~;4l<0?o8523e691f1<5=:?6>==;|q0`3<72:q6?i854b7896b?28>m70:?6;37b>{t;m=1<7=t=2f4>1e2349o:7;l7:?740<4;;1v>j7:18085c03>h9637a>==j=019>9:211?xu4lh0;6>u23ec90f3<5:nh6<:i;<6370=ka;7`3>;38>08??5rs2f`>5<4s49oo7:l5:?0``<66553ty8hh4?:2y>7ac=k?:06e?827i3;?j6s|3ed94?5|5:nm69m:;<1ga?3d?27?<44<339~w6c72908w0=j0;6`1>;4m=0:8k5241a951`53z?0a4<3k<16?h>55b58916f2:997p}6}:;l818n;4=2gf>42a34>:?7?;f:p7`5=839p1>k<:5a6?85b:3?h;63;0c8077=z{:o?6=4<{<1f0?2d=278i;4>4g9>05b=9=l0q~=j5;297~;4m<0?o8523d691f1<5=:h6>==;|q0a3<72:q6?h854b7896c?28>m70:?e;37b>{t;l=1<7=t=2g4>1e2349n:7;l7:?74a<4;;1v>k7:18085b03>h9637`>==j=019>j:211?xu4mh0;6>u23dc90f3<5:oh6<:i;<624?73n2wx?hl50;1x96ce2=i>70=ja;7`3>;38o08??5rs2g`>5<5s49no7:l5:?754<6kk:18085bl3>h963<4}r1fa?6=;r78ih4;c49>7c6=9=l019?;:06e?xu4mo0;6>u23dd90f3<5:on68m8;<627?54:2wx?k>50;1x96`72=i>70=i2;37b>;39<0:8k5rs2d2>5<4s49m=7:l5:?0b5<2k>168<:53208yv5a:3:1?v31=9h4=535>42a3ty8j>4?:2y>7c5=h=:4a4?826=398>6s|3g694?5|5:l?69m:;<1e2?73n27?=:4>4g9~w6`22908w0=i5;6`1>;4n=0>o:52404976453z?0b3<3k<16?k6515d8917?28>m7p}6}:;o=18n;4=2d5>0e034>:;7=<2:p7c>=839p1>h7:5a6?85ai3;?j63;18820c=z{:l26=4<{<1e=?2d=278j54:c69>04>=;:80q~=ia;297~;4nh0?o8523ga951`<5=;j6<:i;|q0bg<72:q6?kl54b7896`f29;106>{t;oi1<7=t=2d`>1e2349hi7?;f:?744<6hk:18085al3>h963<4}r1ea?6=;r78oi4:c69>056=;:801>hi:5a6?xu39;0;6?u240090f3<5=;:6>==;|q75f<72;q68m7p};1e83>7}:<8n18n;4=53f>42a3ty?=h4?:4y>04c=:3f6?8?7:38o9636bg81`3=:1=n1>i;4}r62b?6=:r7?=k4;c49>04e==j=0q~:=0;296~;3:90?o85240f91f19=7>52z?764<3k<168v3;2387g0=:<;?1=9h4}r617?6=:r7?>>4;c49>074==j=0q~:=4;296<}:<;>18n;4=64e>7b234=70ok4;0g0>;fkl09h952ab:96a2<5h:i6?j8;ed0=:m=01lo;:3f4?8gf:38o;63na181`2=:i0i1>i94=`;b>7b034k24716m4:52e589d?52;n<70o60;0g3>;f0l09h:52a9a96a1<5h2j6?j8;ei94=``7>7b034ki:716;5?52e789d762;n?70984;0g3>;0?h09h:5279196a3997>52z?760<3k<168?8515d8yv25>3:1>v3;2787g0=:<;=1=9h4}r613?6=:r7?>:4;c49>07>=9=l0q~:=8;296~;3:10?o85243c951`957>52z?76<<3k<168?655b58yv25i3:1>v3;2`87g0=:<;h1=9h4}r61f?6=:r7?>o4;c49>07e=9=l0q~:=c;296~;3:j0?o85243g951`9h7>52z?76a<3k<168?m55b58yv25m3:1>v3;2d87g0=:<;l1=9h4}r61b?6=:r7?>k4;c49>072=9=l0q~:<0;296~;3;90?o85243d91f18=7>52z?774<3k<168?:55b58yv24:3:1>v3;3387g0=:<;?19n94}r607?6=:r7??>4;c49>070==j=0q~:<4;296~;3:>0>o:5242790f38:7>52z?76d<2k>168>954b78yv2403:1>v3;3987g0=:<;h19n94}r60=?6=:r7?>h4:c69>06g=k54b78915c2{t<:l1<7=t=51e>1e234>?<7?;f:?71c<6h963;40820c=:014=9=l0198>:06e?xu3<;0;6>u245090f3<5=>86<:i;<656?73n2wx89=50;1x91242=i>70:;4;37b>;3>:0:8k5rs567>5<4s4>?87:l5:?700<642a3ty?8;4?:2y>010=3;?j6s|45594?4|5=><69m:;<66`?73n2wx89650;0x913c2:9970:;9;6`1>{t<=k1<7=t=51e>0e034>>i7=<2:?70g<3k<1v9:l:18082383?h;63;5g8077=:<=n18n;4}r67a?6=;r7?8<4:c69>036=;:8019:i:5a6?xu3=90;6>u245091f1<5=<:6>==;<665?2d=2wx88<50;1x91242;3=:0?o85rs577>5<4s4>?87;l7:?726<4;;1688;54b78yv22>3:1?v3;4486g2=:1?><4=574>1e23ty?954?:2y>010==j=0198::211?82213>h96s|44c94?5|5=><68m8;<652?54:27?9o4;c49~w100290hiv390581g0=:<:i19l<4=51`>0g434>8o7?;d:?77f<2i=168>m515g8915d2><019=l:658915d2>2019=l:6;8915d2>k019=l:7;8915d2?k019=l:7`8915d2?i019=l:7f8915d2?o019=l:7d8915d2>;019=l:ec8915d2l>019=l:d78915d2l2019=l:dc8915d2lh019=l:da8915d2ln019=l:e`8915d2mi019=l:ef8915d2mo019=l:ed8915d2l:019=l:d38915d2l901;o;:4c1?80f<3?j?639a5820a=:>h>19l:4=7c7>42b343434;<4b0?bf344g9>7db=9?;01>oi:042?85e93;==63406349i57?91:?0fg<6>816?oj51738961728>m70=70;37b>;4??0::<523659537<5:=36<8>;<14=?7192784o4>4g9>7d6=9=l01>6l:042?85?m3;==63<918224=:;081=;?4=2;7>4063492:7?91:?0==<6>816?4o5173896?d28<:70=:3;37b>;4==0::<523449537<5:?36<8>;<16e?7192789n4>609>70c=9?;01>8?:042?851:3;==63<658224=:;jn1=9h4=523>42a349n>7?91:?0a`<6>816?k>5173896`528<:70=i4;355>;4n?0::<523g:9537<5:lj6<8>;<1eg?719278oh4>609>7a6=9?;01>j=:042?85c<3;==63406349oo7?91:?0``<6>816?h>5173896c328<:70=j6;355>;4m10::<523dc9537<5:oh6<8>;<60`?71927?>?4>609>070=9?;019<7:042?825j3;==63;2b8224=:<;l1=;?4=53`>40634>:h7?91:?75`<6>816:97515d8933c28>m708;f;355>;1=80::<526419537<5??>6<8>;<454g9>23?=9?;01;8m:042?801l3;==6396g8224=:>>;1=;?4=750>40634<<97?91:?532<6>816:58515d893?>28>m70877;355>;1000::<5269`9537<5?2o6<8>;<4;b?71927=5<4>609>2<5=9?;01;7::042?815=3;?j63839820c=:?;<1=;?4=60;>40634=9m7?91:?46f<6>816;?k51738925728<:709<2;355>;0;=0::<5280c9502<51;i6<;;;<:2`?72<273=h4>559><4`=9<>015593;>8637238211=:0;91=8:4=907>433342:o7?:4:?4=6<2l016;4=55ec892?42;01:0>jo5278191cb<5>3?64=4=6;7><3<5>3?6o?4=6;7>g5<5>3?68j6;<5:0?3ci27<594:f89>3<2==ok01:7;:4da?81><3?mh6s|47:94?e|50>h69m:;<;:==g=:m=0146<:3f4?8?0k38o;6367481`2=:1?o1>i94=844>7b0343=<71659h52e58yv2113:1>9u26179162<5>:26<;6;<4`1?72127??n4j7:?77f609>7=d=9?;01>;<:042?85dl3;==63;3e820c=:<40634>?<7?91:?704<6>81689<51738912428<:70:;4;355>;3<<0::<524549537<5=><6<8>;<616?73n27?>84>609>071=9?;01940634<3:7?91:?460<6>816;4=528f892?32;3o70o<6;0g1>;f;:09h85rs54b>5>7:l5:?:1d<5l>1654752e589;>0=09h:5296f96a1<50==6?j8;<;5b?4c?272:54=d69>=37=:m=014;<:3f4?xu3>k0;6?u286396a1<51=869m:;|q72f<72;q6;7p};6e83>7}:0i94=947>1e23ty?:h4?:3y>=0b=o0;6?u298a90f3<50326?j;;|q735<72;q654;54b789f}:18i1>i:4=83b>7b3343:470;0g0>;>8l09h95291a96a2<50:j6?j;;<;3;>0k09h95rs550>5<5s433;7:l5:?:<1<5l=1v99;:1818??83>h96367e81`1=z{==>6=4={<;4=?2d=272;;4=d59~w1112909w0782;6`1>;>>o09h95rs554>5<4s42=<7v366c87g0=:1?21>i:4}r64=?6=:r72:94;c49>=37=:m>0q~:8a;296~;>=?0?o85294196a252z?4e2<3k<16;ll52e58yv20k3:1>v38a987g0=:?hk1>i:4}r64`?6=:r73<50=:m<0q~:8e;296~;?j009h:528c`90f352z?;g7<5l>164n:54b78yv2?83:1>v387e87g0=:?>k1>i;4}r6;5?6=:r7<4?4;c49>3=7=:m>0q~:72;296~;f9909h852a0090f33?7>52z?4<3<3k<16;5=52e58yv2?<3:1>v38bb87g0=:?kh1>i:4}r6;1?6=:r73fg=:m?0q~:76;296~;09:0?o85270096a23;7>52z?451<3k<16;<<52e78yv2?03:1>v38e787g0=:?l?1>i:4}r6;=?6=:r73`3=:m?0q~:7a;296~;0nm0?o8527ga96a23n7>52z?4b`<3k<16;km52e78yv2?k3:1>v375g87g0=:0i:4}r6;`?6=:r73:=4;c49><0c=:m?0q~:7e;296~;?8:0?o85281096a23j7>52z?;41<3k<164=<52e78yv2>83:1>v38a`87g0=:?h31>i:4}r6:5?6=:r73d?=:m?0q~:62;296~;f8k09h852a1f90f32?7>52z?4af<5l>16;hh54b78yv2><3:1>v3n2c81`2=:i;n18n;4}r6:1?6=:r72o84=d59>e4b=h09h;52a3290f32;7>52z?;27<5l>16m?<54b78yv2>03:1>v3n2781`1=:i;318n;4}r6:=?6=:r72<=4=d79>e73=9j09h:5291490f32n7>52z?:=a<5l<165n>54b78yv2>k3:1>v37de81`2=:1=o18n;4}r6:`?6=:r7<:h4=d69>33b=k=09h;5298496a3<502m6?j:;<;;=2g=:m?0149<:3f6?8?1k38o96366481`0=:1i;4=874>7b2343ij7:l5:?:g5<5l:1v97i:181811n38o86387087g0=z{=k;6=4l{<:56?2d=273;;4=d79><2`=:m<01567:3f5?8>>938o:6379`81`3=:0h91>i84=9c`>7b1342i972;n>7p};a083>7}:19=18n;4=826>7b23ty?m?4?:by><3d=?:38o96378c81`0=:00>1>i;4=9;g>7b2342j:770680;0g1>;?=j09h85rs5c0>5<5s43i57:l5:?:f=<5l<1v9o;:1848g6838o;6365181`3=:?1=1>i94=653>7b0343h=7v3n3987g0=:i:=1>i94}r6b2?6==53=:m=014:k:5a6?8?3m38o?6s|4`594?5|5>;?=h0?o85rs5c:>5<5s43><7:l5:?:0f<5l=1v9on:1818?3k38o96365087g0=z{=ki6=4={<55b?2d=27<:o4=d59~w1gd2909w0980;6`1>;0>k09h85rs5cg>5<5s4=ih73>h9638d481`3=z{=km6=4={<4`;1;=09h:5rs5`2>5<5s4=m97:l5:?4b1<5l=1v9l=:1818>713>h96370581`3=z{=h86=4={<:34?2d=27;0n;09h:5rs5`6>5165<852e589<732;n<707>2;0g3>;>9909h:5291g96a1<50:h6?j8;<;3e?4c?272<54=d69>=53=9:3f0?xu3j?0;6?u27b796a1<5>i269m:;|q7f2<72;q6;o852e5892df2=i>7p};b983>7}:?ol1>i94=6d`>1e23ty?n44?:3y><2g=:m=0159l:5a6?xu3jh0;6?u289196a1<512>69m:;|q7fg<72;q645m52e589=>b2=i>7p};bb83>7}:00?1>i94=9;4>1e23ty?ni4?:3y><52e589=d52=i>7p}:9583>6}Y=0>018o::5a6?83><3?346s|58794?5|V<3>70;n6;6`1>;21<0>455rs4;5>5<4sW?2:63:a687g0=:=0<19564}r7:55525`:90f3<5<336867;|q6=`<72:qU94k4=4;e>7b434?2i7;78:p1<`=83>p1:?6:3f5?83>n3>h96381281`1=:?8>1>i;4}r7b=?6=:r7=jl4>589>252z?5f2<6=016::l54b78yv3fk3:1>v39fc821<=:>0k18n;4}r7b`?6=:r7<8h4>589>36?=52z?5bf<6=016:4l54b78yv3e83:1>v384g821<=:?:k18n;4}r7a5?6=:r7=n44>589>22b=52z?415<6=016;>l54b78yv3e<3:1>v39b`821<=:>>o18n;4}r7a1?6=:r7=jh4>589>252z?5fg<6=016::h54b78yv3e03:1>v39fg821<=:>0o18n;4}r7a=?6=:r7<9?4>589>36b=52z?445<6=016:4h54b78yv3ek3:1>v3852821<=:?:o18n;4}r7a`?6=:r7=ni4>589>2=7=52z?411<6=016;>h54b78yv3d83:1>v39bd821<=:>1818n;4}r7`5?6=:r7<589>2d7=52z?5fc<6=016:5=54b78yv3d<3:1>v3802821<=:>h818n;4}r7`1?6=:r7<9;4>589>317=53z\6a0=:=l<1>i=4=4g6>0>?3ty>i;4?:4y>34>=:m=01:?6:3f4?816938o:63:e787g0=:?891>i;4}r42a?6=;rT==h5260d96a5<5?;n6867;|q55c<721>p15=m:066?8>4k3;?96373d8200=:0:l1=9;4=963>422342?=7?;5:?;07<6<<1649=515789=2328>>706;5;371>;?;m0:8852a419513<5h??6<::;449>e31=9=?01l87:066?8g113;?963n6`8200=:i?h1=9;4=`4`>42234k>97?;5:?b13<6<<16m89515789d3?28>>70o:9;371>;f=h0:8852a4`9513<5h?h6<::;449>e36=9=?01l8>:066?8g1:3;?963n628200=:i?>1=9;4=`46>422342997?;5:?4e5<6<<16;l?5157892g528>>709n3;371>;0i=0:88527`79513<5>nn6<::;<5gb?73=27449>3`7=9=?01:k=:066?8?e=3;?96372`8200=:0;h1=9;4=8f2>422343o>7?;5:?:`1<6<<165i;515789>707k7;371>;>l10:88529e;9513<50nj6<::;<;gf?73=272h>4>449>ef4=9=?01lm>:066?8gd83;?9636348200=:1:<1=9;4=81;>422343857?;5:?:7d<6<<165>l515789<5d28>>707;>;l0:885292d9513<509<6<::;<:e0?73=273j84>449>a13;?9637f`8200=:0oh1=9;4=9d`>422342mh7?;5:?;b`<6<<164k851578920028>>70998;371>;0>00:885277c9513<50hh6<::;<56f?73=27??n4>509>31b=9=?01::j:066?81293;?96384g8200=:?<:1=9;4=671>42234=>?7?;5:?413<6<<16;8:51578923228>>70o?4;371>;f880:8852a059513<5h:j6<::;449>=cc=9=?01l?n:066?8g6>3;?963n148200=:i8>1=9;4=635>42234=;j7?;5:?;5d<6<<164>706>e;371>;?9o0:88528329513<518:6<::;<:16?73=273>>4>449><72=9=?015?l:066?806n3>h9638928217=:?0>1=8<4}r410?6=;rT=>95263596a5<5?8?6867;|q560<72:qU:?;4=70;>7b434<997;78:p270=839pR;<9;<41=?4c;27=>;4:899~w3402908w08=7;6`1>;fk<09h952ab496a253z?56=<3k<16mnl52e689ded2;n?7p}92883>6}:>;318n;4=`f2>7b334ko>7p1;4081`6=:9=:1>i=4}r41g?6=:r7=>h4:939>515=:m90q~8=f;296~;1:m0:945262290f352z?571<5l=16:><54b78yv04>3:1;v39a`81`3=:>=<1>i84=713>7b134<887:l5:?5ef<5l<16:9652e78935?2;n>7p}93683>7}:>;o1=874=71;>1e23ty=?44?:3y>26?=k52e48935d2=i>7p}93d83>1}:>:o18n;4=7c`>7b0341v;=i:184805l3?2?6392d86=6=:>h=194=4=7c;>0?43454b78yv0393:18v394286=7=::m;1>i=4=3f3>7b4348hj786<;6;<472?2d=2wx:9950;0x932328?2708;8;6`1>{t>=31<7=t=76:>1e234h96394c820c=:>?:1=9h4}r47f?6=;r7=8o4;c49>21e=9=l01;8>:06e?xu1u265a90f3<5?>o6<:i;<456?73n2wx:9j50;1x932c2=i>708;e;37b>;1>:0:8k5rs76f>5<4s4<;1=9h4=746>42a3ty=9=4?:2y>206=6s|64394?5|5??:69m:;<467?73n27=:;4>4g9~w3352908w08:2;6`1>;1=80>o:526779764?7>53z?516<3k<16:8;515d8930028>m7p}95583>6}:><>18n;4=770>0e034<=:7=<2:p203=838p1;;::5a6?802m3;?j6s|64494?5|5??=69m:;<461?3d?27=::4<339~w3302908w08:7;6`1>;1<00>o:5264f976447>53z?51=<3k<16:9o55b58933a2:997p}95883>6}:><318n;4=76a>0e034<=<7=<2:p20g=839p1;;n:5a6?803k3?h;639608077=z{??i6=4<{<46f?2d=27=8i4:c69>234=;:80q~8:c;297~;1=j0?o85265g91f1<5?<86>==;|q52=<72:q6:;654b78930>28>m7088c;37b>{t>?31<7=t=74:>1e234<=n7?;f:?53a<6h96396886g2=:>>i1?><4}r45f?6=;r7=:o4;c49>23b=9=l01;9j:06e?xu1>j0;6>u267a90f3<5?7089f;37b>;1?o0:8k5rs74f>5<4s4<=i7:l5:?52a<2k>16::k53208yv01n3:1?v396g87g0=:>>;1=9h4=7:3>42a3ty=;=4?:2y>226=6s|66394?5|5?=:69m:;<447?73n27=4<4>4g9~w3152908w0882;6`1>;1?80>o:52692976453z?536<3k<16::;515d893>528>m7p}97583>6}:>>>18n;4=750>0e034<3=7=<2:p223=839p1;9::5a6?800?3;?j63982820c=z{?==6=4<{<442?2d=27=;84:c69>2=4=;:80q~887;296~;1?>0?o852696951`53z?53=<3k<16::955b5893>42:997p}97883>6}:>?219n94=75a>65534<6s|69494?5|5?2=69m:;<4;3?73n27=5l4>4g9~w3>02908w0877;6`1>;1000:8k5268`951`53z?5<=<3k<16:5955b5893?f2:997p}98883>6}:>1318n;4=7:a>42a34<2o7?;f:p2=g=839p1;6n:5a6?80?13?h;6399c8077=z{?2i6=4<{<4;f?2d=27=4i4>4g9>2==;|q5a28>m7086e;37b>{t>1o1<7=t=7:f>1e234<3h7;l7:?5=a<4;;1v;6i:18080?n3>h963990820c=:>0l1=9h4}r4:4?6=;r7=5=4;c49>2=`==j=01;7j:211?xu1180;6>u268390f3<5?386<:i;<4b4?73n2wx:4<50;1x93?52=i>70861;7`3>;11o08??5rs7;0>5<4s4<2?7:l5:?5=0<6<3:1?v399587g0=:>0919n94=7c3>6553ty=584?:3y>2<3=u268490f3<5?3>68m8;<4b5?54:2wx:4950;1x93>12;1110?o85rs7c0>5<5s463:a681`6=:=h<1>i=4=4c6>7b43ty=m;4?:3y>2d>==08018o7:3f0?xu1i00;6?u26`5950?<5?kj69m:;|q5eg<72;q6:l6514;893gd2=i>7p}9ae83>1}:>k=194<4=7a;>7b334639c581`0=:>?k1>i:4=7a;>7b23ty=mk4?:5y>2g?==0801;8l:3f7?80d038o:639c281`3=z{?h;6=4;{<4ae?3>:27=o94=d59>23c=:m>01;m7:3f4?xu1j80;68u26e2950?<5?hi687=;<4`6?4c<27=;=4=d59>2f1=:m>0q~8m2;291~;1l80:94526ca91<4<5?i96?j:;<446?4c<27=o:4=d49~w3d4290>w08k2;36=>;1jm0>5?526b096a1<5?=?6?j;;<4`3?4c?2wx:o:50;4x93b428?2708me;7:6>;1k;09h;526e:96a1<5?==6?j;;<4`=?4c>2wx:o;50;4x93b328?2708mf;7:6>;1k=09h:526e:96a0<5?=36?j;;<4`=?4c=2wx:o850;4x93b228?2708l0;7:6>;1k=09h;526e:96a2<5?2>6?j;;<4`=?4c<2wx:n?50;3580ai3;?n639fc820g=:>oi1=9l4=7dg>42e34515`8926628>i709?2;37f>;08:0:8o526c591<5<5?h3687<;<4a=?3>;27=nl4:929>2gd==0901;ll:4;0?80el3?2?639bd86=6=:>kl194=4=7a3>0?434=;47h9639c281`2=z{?i?6=4={<4`0?2d=27=o>4=d59~w3e22909w08l5;7:6>;0>;09h>5rs7a5>5<5s4h9639c881`2=z{?ij6=4={<4g4?3>:27=9:4=d49~w3ee2909w08k1;7:6>;1=109h85rs7a`>5<5s47;62:?51<<5l<1v;mk:18180c;3?2>6395`81`0=z{?in6=4={<4g0?3>:27=9o4=d49~w3ea2909w08k5;7:6>;1=j09h85rs7f5>5i708k4;37f>;1l<0:8o526`6951e<5?n269m:;<5:7?4>m27<594=9d9~w3b0290?w08k7;6`1>;1l009h95271a96a1<5?>;6?j;;|q5`=<72:q6:i654b7893b>2;n>708;0;0g1>{t>mk1<7=t=77g>1e234=2?7l9;<5:0?d33ty=ho4?:2y>20c=1n85rs7fg>5<4s4<=<7:l5:?4=6:5a6?81>;3h270964;`4?xu1lo0;6>u267090f3<5>386oo4=6;7>g>53z?526<3k<16;4=5bc9>3<2=j01v;k>:180801<3>h9638928ag>;01=0im6s|6d094?5|5?<>69m:;<5:7?ga34=287lm;|q5a6<72:q6:;854b7892?42k:01:7;:ca8yv0b<3:1?v396687g0=:?091n<527869ec=z{?o>6=4<{<4b0?31;27=9:4=d59>21?=9?;0q~8j6;296~;1i=0>:95264g976453z?5e1<2>l16:8652e68932f28<:7p}9e983>6}:>h>19:74=77:>7b33421b=9?;0q~8jb;297~;1i=0>4>5264a96a2<5?>n6<8>;|q5af<72;q6:l:5596893372;n?7p}9ee83>7}:>h>195;4=771>7b33ty=ih4?:3y>2d2==1<01;;;:3f7?xu1mo0;6?u26`691=1<5??=6?j;;|q5b5<72:q6:ko5580892622;n<70868;0g7>{t>o;1<7:t=7da>0?534=;97i;4=62g>7b134<3m76380481`1=:?9n1>i:4=7:`>7b334=;o7;08m09h:5269g96a2<5>:h6?j:;|q5b0<72?q6:l:5739>2c`==0801:>8:3f5?80c?38o96380c81`1=:>0:1>i:4}r4e2?6=>r7=m9483:?445<21;16;=952e7893b02;n?709?b;0g1>;11;09h95rs7d4>5<1s4:27<<:4=d59>2a1=:m=01:>m:3f5?80><38o86s|6g:94?0|5?k?6:;4=621>0?534=;:70}:?99194<4=625>7b334oh194=4=7d`>0?434;08;0>5>5271191<5<5>:369m:;|q440<72;q6;=;54b78926?2;n=7p}80783>7}:?9<18n;4=62;>7b23ty<<:4?:3y>351=7:3f4?xu0800;6?u271;91<4<5hn=6?j<;|q44d<72;q6;=755818926b2=i>7p}80c83>7}:?9h18n;4=62f>7b13ty<35c=:m=01:>l:5a6?xu08m0;6?u271f90f3<5>:n6?j;;|q44c<728i:4;1?8>7j38o9638d981`0=:?821>i:4=63:>7b334=o97709i6;0g1>;0n=09h;5270196a0<5>;?6?j8;<5e`?4c=273dc=:m>015>9:3f6?81c938o8638c681`1=:?j21>i:4=6`;>7b334=i57i:07:?816=3>h96s|70394?4|5>;:69m:;<521?4c?2wx;<<50;0x92722;n=709>2;6`1>{t?8<1<7?;{<522?3>:273`b=:m>01:mk:3f6?81el38o86381`81`1=:?o>1>i94=6d1>7b134=hj716;h952e4892`c2;n<709ie;0g2>;?8;0>o:527`c96a0<5>ki6?j9;<5a6?4c<274=d79>3f1=:m=01:l6:3f5?xu09>0;6?u2704950?<5>;i69m:;|q45=<72;q6;<654b78927e2;n=7p}81883>7}:?8318n;4=63b>7b03ty<=l4?:3y>34g=7b134=:h7:l5:?;27<5l?165ok52e689<2d2;??h09h;5289196a0<512h6?j9;<::1?4c>2735h4=d79>0938o:6375e81`3=:19>19n94=871>7b2343in7;>8<09h;529cd96a3<50?j6?j9;<;:=?4c>2725?4=d79>==d=:m<0146;:3f5?8?0l38o:6367781`3=:1?l1>i84=84;>7b1343==71?|5h?86<:m;4c9>e30=9=h01l88:06a?8g103;?n63n68820g=:i?k1=9l4=`4a>42e34k=o7?;b:?b10<6i70o:8;37f>;f=00:8o52a4c951d<5h?i6<:m;4c9>e0c=9=h01l8?:06a?8g193;?n63n63820g=:i?91=9l4=`47>42e34k=97?;b:?;60<6515`892g628>i709n2;37f>;0i:0:8o527`6951d<5>k>6<:m;<5ga?73j274c9>3`6=9=h01:k>:06a?81b:3;?n6372`820g=:0;h1=9l4=8f2>42e343o>7?;b:?:`1<6i707k7;37f>;>l10:8o529e;951d<50nj6<:m;<;gf?73j272h>4>4c9>ef4=9=h01lm>:06a?8gd83;?n63866820g=:??21=9l4=64:>42e34==m7?;b:?77f<6i70o>7;37f>;f8h0:8o52a1d951d<5h:<6<:m;<;ea?73j27j=l4>4c9>e40=9=h01l?::06a?8g6<3;?n63817820g=:?9l1=9l4=601>1e23ty<>84?:2y>373=8=69m:;<514g9~w2402908w09=7;6`1>;0:?0>o:5272;976453z?46=<3k<16;?o515d8925e28>m7p}82883>6}:?;318n;4=60;>0e034=8m7=<2:p37g=839p1:8i6=4<{<51f?2d=27<>l4:c69>36d=;:80q~9=c;297~;0:j0?o85273g951`<5>9o6<:i;|q46a<72:q6;?j54b78924d2{t?;o1<7=t=60f>1e234=8<7?;f:?47`<6h96382d86g2=:?:n1?><4}r504?6=;r7364=9=l01:=i:06e?xu0;80;6>u272390f3<5>9;68m8;<50a?54:2wx;><50;1x92552=i>709<4;37b>;0<90:8k5rs610>5<4s4=8?7:l5:?477<2k>16;>h53208yv14<3:1>v383587g0=:?=;1=9h4}r501?6=;r7362==j=01::?:211?xu0;?0;6>u273791f1<5>936>==;<503?2d=2wx;9<50;0x92252=i>709;1;106>{t?=91<7=t=66g>0?534=>476385981`0=:?;=1>i:4}r571?6=;r7<8k4:939>30>=:m=01:<6:3f7?xu0u274291<4<5>?36?j9;<51f?4c<2wx;9950;1x92362<39709:9;0g3>;0:m09h95rs66;>5<4s4=>>7;62:?41<<5l?16;?h52e68yv1313:1?v385286=7=:?<31>i;4=612>7b33ty<8l4?:2y>302==0801:;6:3f7?814;38o86s|75`94?5|5>?>687=;<501?4c<27<9l4=d49~w22d2908w09:6;7:6>;0<;09h95274c96a2;7>5cz?41g<6;05>5274291<5<5>?9687<;<567?3>;27<9;4:929>302==0901:;::4;0?812i3>h96s|74:94?4|5>?369m:;<56e?4c>2wx;8750;0x923>2=i>709:a;0g3>{t?i4>449>27c=9=?01;o8:066?80f03;?9639428200=:>=>1=9;4=7a6>42234>708k4;371>;1l<0:88526`69507<5?lj6<::;<4ef?73=27=jn4>449>2cb=9=?01;hj:066?80an3;?9638018200=:?9;1=9;4=621>42234=;?7?;5:?5f2<6<<16:o65157893d>28>>708ma;371>;1jk0:88526ca9513<5?ho6<::;<4aa?73=27=nk4>449>2f6=9=?01:;k:5a6?81>;3;>?638958216=z{>?h6=4<{<56f?3>:27<9i4=d29>336=:m90q~9:f;296~;0=k0:945277290f353z\424=:??818n;4=642>0>?3ty<:>4?:6y>331==0801>;=:3f6?810n38o96388081`3=:?>>1>i;4=65b>7b134=3?7o1>i:4=650>7b334=3>7{t???1<77t=64:>0?534k9j7;00;09h:5279496a0<5h;:6?j:;|q423<72kq6;;o558089d4a2;n?7099b;7`3>;0?o09h:5276:96a1<5>=86?j8;<545?4c=27<;i4=d49>3=4=:m?01:69:3f7?8g6938o;6s|77`94?4|5>28?270987;6`1>{t?>91<71e234=<87h96s|76:94?4|5>=<6?j9;<54{t?>k1<77b234=h96s|79294?4|5><<6<;6;<5;3?2d=2wx;5?50;0x92>62=i>70977;0g2>{t?191<77b234=387:l5:p3=>=839pR:67;<5;`?2d=27<454:899~w2>>2908wS979:?4<`<3k<16;57559:8yv1?i3:1?vP88`9>3=`=uQ79a892?72=i>7097c;7;<>{t?1n1<71e234=3h73>h96s|79d94?4|5>2m6?j<;50;0x9d572=i>70960;0g7>{t?0k1<7jt=6c3>0?534=o47;0ij09h;527`:96a3<5>hn6?j9;<5af?4c?273dd=:m?01:li:3f6?xu01k0;6iu27`391<4<51i36?j:;<5g1?4c<273f`=:m=01:ol:3f4?81f?38o8638a981`2=:?ko1>i;4=6``>7b334=hn7k3:1jv38a386=7=:0jk1>i;4=6ag>7b334=h87;0jj09h8527b`96a0<5>h96?j8;<5a7?4c?273a4=:m>01>9l:3f6?xu01m0;6hu27`191<4<51ih6?j:;<5``?4c>273gb=:m=01:m::3f5?81ek38o:638c`81`1=:?h319n94=6`1>7b134=i?77p}89d83>a}:?h>194<4=9af>7b234=ih716;o852e4892gd2;n>709n7;0g2>;0i109h;527c`96a3<5>ij6?j9;<5a72c=:m?0q~96f;29`~;0i<0>5?528e296a3<5>ih6?j;;<5bg?4c<273fg=:m=01:on:3f6?81fj38o8638b381`0=:?k91>i;4=6cf>7b134=jj75638b187g0=z{>k26=4={<5b=?2d=27;0j=0>o:5rs6cg>5<5s4=ji7:l5:?4f5<5l<1v:oj:18181fn3>h9638b181`1=z{>h:6=4={<5a0?2d=27;0j=09h85rs6`0>5<5s4=i?7:l5:?4f1<5l=1v:l::18181f<3;>5638b787g0=z{>h<6=4={<5a;0jh09h95rs6`a>5<5s4=in7:l5:?4f<<5l>1v:lk:18181el3>h9638b`86g2=z{>i96=4={<5``?4c?27;0k<0?o85rs6a7>5<4s4=h87:l5:?4g2<5l<16;n652e48yv1d>3:1>v38c687g0=:?j31>i;4}r5`3?6=:r73f?=:m>0q~9la;296~;0kh0?o8527b;91f152z?4g1<5l>16;nm54b78yv1dl3:1>v38ce87g0=:?j>1>i:4}r5`a?6=:r7589>3a5=52z?4`4<3k<16;i=52e78yv1c93:1>v38d387g0=:?m91>i:4}r5g0?6=:r7589>3a3=5az?4``<21;164=l52e4892cd2;n=709i5;0g0>;0n;09h9527ga91f1<51:86?j;;<:30?4c<273`g=:m=0q~9ka;29f~;0lo0>5?527da96a3<5>l>6?j:;<:34?4c?274=d69>3cb=:m<015><:3f6?8>7<38o9638e`81`3=:09<1>i94=924>7b33ty3`6==0801:h::3f5?8>7838o8638f281`0=:?ol1>i84=92:>7b234=mh7{t?mi1<7lt=6g2>0?534=m:752e7892`42;n?709i2;0g1>;?8009h;527d;96a3<5>oj6?j:;<5e=?4c<27;0m=09h8527da96a2<51:;6?j9;<5e7?4c>273<44=d59>3`0=:m>015><:3f5?81bj3?h;6s|7d194?5|5>o96<;6;<60g?1234=n97:l5:p3`2=838p1:k;:5a6?81b?38o;6s|7d:94?4|5>o<6?j:;<5ff?2d=2wx;h750;0x92c>2=i>709jb;0g1>{t?lk1<71e234=nn7oo69m:;<5f3?4c<2wx;k>50;1x9=642;n<709je;6`1>;0mo09h>5rs6d2>5<4s4=n=7?:9:?77f<0<27;0n109h85rs6d7>5<5s4=m87:l5:?4b=<5l?1v:h9:18181a>3>h9638f981`1=z{>l<6=4={<5e;0nh09h95rs6da>5<4s4=n<7?:9:?77f<0;27;3;j0<>6370987g0=z{1:>6=4={<:32?2d=273<54=d49~w=612909w06?7;6`1>;?8109h95rs92b>5<4s4=oi7?:9:?77f<10273a;36=>;?8m0?o85rs92e>5<4s42:m7;62:?;5g<6=0164;=52e68yv>693:1?v371c86=7=:08n1=874=95a>7b33ty3==4?:6y><4e==08015>k:3f6?8>1838o863n2c81`3=:0>81>i:4=9`b>7b2342h?76m3;>56378581`1=z{1;86=4<{<:2a?3>:273=k4>589><=b=:m>0q~6>4;290~;?9o0>5?52832950?<5h8h6?j8;<::2?4c<2wx4<;50;6x9=472<39706=1;36=>;f:j09h;5288d96a254z?;64<21;164?<514;89d4d2;n>706n8;0g0>{t08=1<7:t=901>0?53429?7?:9:?b6f<5l=164o?52e68yv>603:1:v372286=7=:0;>1=874=92g>7b3342=<7613:19v372586=7=:08i1=874=943>7b134k9n75=3?2>6371`820g=:08h1=9l4=93g>42e342:i7?;b:?;5c<6515`89=4628>i706=2;37f>;?::0:8o52836951d<51;h6<:m;<:13?4c<2wx4?850;0x9=4228?2706=7;6`1>{t0;21<78t=90b>0?53429j7{t0;31<79t=90a>0?53429h752e689<362;n?707mf;0g0>;>5<5s429n7?:9:?;6a<3k<1v55i3;>56372g87g0=z{19;6=4;{<:0f?3>:278;l4=d79>731=:m9015;6:3f7?xu?;;0;69u282a91<4<5:?>6?j;;<14f?4c<273;;4=d59~w=56290?w06;?l:09h85237;96a2<51k5580896302;n?70=8c;0g0>;??o09h95rs917>5<3s428j7;62:?01<<5l=16?:j52e689=>?2;n?7p}73483>1}:0=:194<4=27a>7b3349393?2>63<5e81`1=:;>l1>i:4=9;b>7b33ty3?:4?:5y><14==08015j::3f6?852n38o8637a281`1=z{1936=4;{<:77?3>:273h:4=d49>737=:m>015ol:3f7?xu?;00;69u285691<4<51n26?j:;<157?4c<273n84=d59~w=5f290?w06;5;7:6>;?lk09h85237796a2<51hn6?j;;|q;03<72;q6?;654b789=002;n=7p}74683>7}:;?k18n;4=945>7b33ty3854?:3y>73d=7}:;?o18n;4=944>7b33ty38n4?:3y>73`=m557689=b42;n=7p}74g83>7}:<:i19;k4=25a>7b03ty39=4?:3y>06e==>301>9l:3f4?xu?=80;6?u242a91=7<5:=o6?j8;|q;17<72;q68>m55908961b2;n<7p}75283>7}:<:i195=4=25e>7b03ty3994?:3y>06e==1>015j::3f5?xu?=<0;6?u242a91=3<51n<6?j9;|q;13<72;q68>m559489=b>2;n=7p}75683>7}:<:i19594=9fa>7b13ty3954?:5y><6d=9<3015;l:5a6?81>;33370964;;5?xu?=l0;6?u284g90f3<51<96?j:;|q;24<72;q648m52e689=3c2=i>7p}76783>7}:0?<18n;4=944>7b03ty3::4?:3y><3d=:m=01587:5a6?xu?>h0;6?u287c90f3<51j514;89=172=i>70963;;6?81><3397p}76e83>7}:0>:1>i84=94f>1e23ty3;<4?:3y><27=34=28778;|q;33<72;q64:752e489=102=i>7p}77`83>7}:0>k18n;4=955>7b23ty3;h4?:5y><6c=9<30156=:5a6?81>;33j70964;;;?xu??o0;6?u289096a0<512;69m:;|q;<6<72;q645=54b789=1a2;n>7p}78683>1}:0:l1=874=9:a>1e234=2?77m;<5:0??>3ty3454?:3y><=d=:m<01566:5a6?xu?0j0;6?u289a90f3<51236?j:;|q;=5<72=q649>514;89=?32=i>70963;;`?81><33j7p}79083>7}:00>1>i84=9;1>1e23ty3584?:3y><<3=:3f6?xu?100;69u2853950?<513o69m:;<5:7??c34=2877m;|q;=d<72;q644j52e489=?e2=i>7p}79d83>7}:00o18n;4=9;b>7b23ty3m?4?:5y><14=9<3015o9:5a6?81>;33n70964;;`?xu?i:0;6?u28`496a0<51k?69m:;|q;e2<72;q64l954b789=g42;n>7p}7ac83>1}:0=91=874=9ce>1e234=2?77>;<5:0??c3ty3mn4?:3y>70963;;1?81><33n7p}7b483>7}:0k21>i84=9`5>1e23ty3n44?:3y>;|q;f`<72;q64n?52e489=da2=i>7p}7c383>7}:0j818n;4=9`f>7b23ty3o;4?:2y>726=9?;015m8:5a6?850i38o86s|8b;94?4|51i36>=;;<145?7192wx4nl50;0x9=ef2:9?70=82;355>{t0jn1<7653349=;;<141?7192wx4i<50;0x96>62:99706k3;6`1>{t0m>1<7=t=255>0e03493;7=<2:?;`0<3k<1v5j9:180850?3?h;63<898077=:0m=18n;4}r:g7=?=;:8015j6:5a6?xu?lh0;6>u236;91f1<5:2j6>==;<:gf?2d=2wx4im50;ax9=5e28>i706;?;l0:8o5282d951d<51>;6<:m;<:75?73j2738?4>4c9><15=9=h015:;:06a?8>3=3;?n6373e820g=:0mn18n;4}r:ga?6=:r784=4;c49><0e=:m<0q~6kf;296~;4080?o85286296a252z?0<7<3k<164:752e68yv>b93:1>v3<8287g0=:0181>i:4}r:f6?6=:r78494;c49><=d=:m>0q~6j3;296~;40<0?o85288696a252z?0<3<3k<1644j52e68yv>b=3:1>v3<8687g0=:0h<1>i:4}r:f2?6=:r78454;c49>0q~6j7;296~;4000?o8528c:96a252z?0b13:18v37f586=7=:1921>i;4=97g>7b33492j7p15h::4;1?8?7k38o963<8e81`1=:0>k1>i:4}r:fe?6=?r73j;4:939>=5g=:m?01>o=:3f7?8>0938o8637b881`3=:0j81>i84=63g>7b13ty3in4?:5y>j:3f6?85?n38o86378281`1=z{1oo6=4;{<:e:272==4=d49>7<7=:m>0156l:3f7?xu?ml0;68u28g;91<4<50;96?j:;<1:7?4c<273584=d59>34c=:m=0q~6jf;291~;?nh0>5?5290696a3<5:3>6?j;;<::a?4c<27<=h4=d79~w=`7290>w06ib;7:6>;>9?09h85238596a2<51k<6?j;;<52a?4c=2wx4k?50;7x9=`d2<39707>8;0g1>;41009h9528c296a2<5>;n6?j;;|q;b7<7270=6b;0g0>;?j009h95270f96a255z?;b`<21;16;70=6d;0g0>;?k;09h95rs9de>5<5s42m87?:9:?:4=<3k<1v4>?:1818?783>h96360381`1=z{0::6=4={<;36?2d=272<94=d49~w<652909w07?3;6`1>;>8=09h95rs82:>5<5s42m:7?:9:?:4d<3k<1v4>m:1818>a=3;>56360b87g0=z{0:o6=4={<:e3?721272;>990?o85rs832>5<5s42m57?:9:?:57<3k<1v4?<:1818>ai3;>56361587g0=z{0;>6=4={<:ef?721272=;4;c49~w<702909w06ic;36=>;>910?o85rs83:>5<5s42mh7?:9:?:5d<3k<1v4?m:1818>am3;>56361b87g0=z{0;o6=4l{<:e0?73j273j84>4c9>a13;?n637f`820g=:0oh1=9l4=9d`>42e342mh7?;b:?;b`<67p}61g83>7}:;h:18n;4=82;>7b13ty2>=4?:3y>7d7=n:3f5?xu>:80;6?u23`190f3<50:h6?j9;|q:67<72;q6?l:54b789<6b2;n=7p}62283>7}:;h?18n;4=833>7b13ty2>94?:3y>7d0=:<0;6?u23`590f3<50;?6?j9;|q:63<72;q6?l654b789<712;n=7p}62683>7}:;h318n;4=83;>7b13ty2>54?:3y>7dg=:00;6?u23``90f3<50;h6?j9;|q:6d<72:q65>;5580892?42828707:9;0g0>{t1;i1<7=t=815>0?534=2?7?7e:?:==<5l=1v46389582<`=:1=l1>i:4}r;1`?6=;r72?54:939>3<5=9030147>:3f7?xu>:l0;6>u292;91<4<5>386<7k;<;;e?4c<2wx5?h50;1x9<5f2<3970963;3:a>;>0:09h95rs813>5<4s438n7;62:?4=6<61o165:m52e68yv?493:1?v363b86=7=:?091=l>4=856>7b33ty2??4?:2y>=6b==0801:7<:0c2?8?1m38o86s|92194?5|509n687=;<5:7?7bj272::4=d59~w<532908w07;01=0:4>5297296a252z?71f<3k<1658k52e68yv?393:1>v3;5e87g0=:1<=1>i:4}r;76?6=:r7?9h4;c49>=0q~7;3;296~;3=o0?o85298496a252z?725<3k<1655h52e68yv?3=3:1>v3;6087g0=:1121>i:4}r;72?6=:r7?:?4;c49>==7=:m>0q~7;7;296~;3>:0?o85296c96a252z?721<3k<165:=52e68yv?313:1>v3;6487g0=:1?i1>i:4}r;7e?6=:r7?:;4;c49>=33=:m>0q~7;b;296~;>;>0:945295d90f3?7>52z?:0c<5l<1658:54b78yv?2?3:1>v365687g0=:1=l1>i84}r;6589>=0?==009h85294`90f3i7>52z?:1`<3k<1658752e48yv?2n3:1>v363g821<=:1?:18n;4}r;55?6=:r72:=4=d49>=34=><0?o85297296a052z?:7`<6=0165;954b78yv?103:1>v366681`0=:1?318n;4}r;5g?6=:r72:n4;c49>=31=:m<0q~79d;296~;>;m0:945297g90f352z?:2`<5l<165:>54b78yv?0;3:1>v367287g0=:1?o1>i84}r;40?6=:r72?n4>589>=23=?<09h85296590f352z?:3d<3k<165:;52e48yv?0j3:1>v363c821<=:1>i18n;4}r;4`?6=:r72;n4=d49>=2c=080?o85296a96a07>52z?:7d<6=01655=54b78yv??<3:1>v368281`0=:11?18n;4}r;;==5=:m<0q~779;296~;>;00:945299c90f352z?:v368g87g0=:11k1>i84}r;:4?6=:r72?54>589>=<7=1809h85298190f352z?:=3<3k<1654?52e48yv?>?3:1>v3637821<=:10218n;4}r;:=?6=:r72554=d49>=1m0?o85298:96a05cz?:70<68515`89<5?28>i707<9;37f>;>;h0:8o5292`951d<509h6<:m;<;0`?73j272?h4>4c9>=6`=9=h014=8:06a?815;3>h96s|98d94?3|5=;m6?j;;3<5=:8i01l?i:3f4?xu>i90;68u243396a0<5=>26?j<;<5:0?45?27j=n4=d59>e72=:m>0q~7n1;291~;39o09h85245`96a5<5>386?<8;54=d79~ww0:>f;0g2>;f:>09h95245f96a5<5>386?==;2wx5l=50;7x917a2;n<70o=7;0g1>;3527819660<5h;h6?j8;|q:e1<7252e689d402;n=70::1;0g7>;01:09?:52a0g96a055z?765<5l<1688=52e1892?42;9370o>e;0g1>;f:=09h85rs8c5>5<2s4>9<7{t1h=1<7;t=503>7b034>>;70}:<;;1>i:4=57:>7b434=2?7<74:?b5`<5l=16m?652e68yv?f13:19v3;2081`0=:<

i=4=6;7>77d34k:j78k4}r;bf?6=0r7=ml4=d49>210=:m?01;=?:3f6?80fk38o:6394981`3=:>:21>i84=6;7>70>34<8n73<5=:>>0q~7ne;297~;1;h09h95262796a3<5>386?97;|q:ec<72:q6:>o52e4892?42;=2708<1;0g0>{t1k:1<7=t=6;0>71f34<8=7:18081>;38:h1>i;4}r;a6?6=;r7=?84=d79>3<5=:>i01;=m:3f5?xu>j:0;6>u262c96a3<5>386?6j;<405?4c?2wx5o:50;1x935>2;n?708<5;0g3>;01=099h5rs8`6>5707m9;0g2>;0:;09h8529c596a5<51no6?j;;|q:f3<72;q65om515`897p}6b983>7}:1k?1=874=8`;>1e23ty2nl4?:3y>=g3=9=h014lm:5a6?xu>jj0;6<=t=8``>0?534=9?7;01:0>j=5278191c7<5>3868h<;<5:0?3bn27<594:f19>3<2==o;01:7;:4d0?8?7:38o:6360281`3=:1kh1>i:4=8a7>7b3342oh75636c587g0=z{0hn6=4={<;aa?2d=272o94=d49~w;>k;0?o85rs8a6>5<5s43h97:l5:?:g4<5l?1v4m9:1878?c93?2>636e`81`3=:;j:1>i=4=87g>7b33ty2o54?:5y>=a4==08014hl:3f5?85fm38o86369b81`1=z{0i<6=4;{<;g7?3>:272i54=d79>7f5=:m>014;9:3f7?xu>k00;69u29e691<4<50lj6?j9;<1a4?4c<272584=d59~w;>n109h;523c096a2<502n6?j;;|q:gg<72=q65i8558089<`12;n=70=m4;0g0>;>0>09h95rs8a`>5<3s43o;7;62:?:b1<5l?16?o852e689<>72;n?7p}6ce83>1}:1m2194<4=8d1>7b1349i47636f181`3=:;kk1>i:4=851>7b33ty2ok4?:5y>=ag==08014kj:3f5?85ek38o86366c81`1=z{0n;6=4;{<;gf?3>:272in4=d79>7gc=:m>0148;:3f7?xu>lj0;6>u23b390f3<50oj6?j8;<;6`?4c=2wx5ij50;1x96e52=i>707j8;0g3>;>=?09h85rs8ff>5<4s49h87:l5:?:bf<5l>1654m52e78yv?cn3:1?v3i94=8;6>7b23ty2i=4?:2y>7f0=;>n=09h:5299296a353z?0g<<3k<165k<52e589<1>2;n>7p}6e583>6}:;jk18n;4=8d3>7b0343<>7mm:5a6?8?bm38o;6366c81`0=z{0o=6=4<{<1`g?2d=272in4=d69>=32=:m?0q~7j7;296~;>l:0:94529d:90f352z?:`4<6=0165ho54b78yv?bj3:1>v36dc821<=:1li18n;4}r;f`?6=:r72hl4>589>=`c=l00:94529g290f352z?:`=<6=0165k<54b78yv?a;3:1>v36d6821<=:1o>18n;4}r;e1?6=:r72h;4>589>=c0=l<0:94529g:90f352z?:`1<6=0165ko54b78yv?aj3:1>v36d3821<=:1oi18n;4}r;ea?6=jr72jh4:939>e66=:m?01l=8:3f7?8g7838o:6365381`1=:0?k1>i:4=8a6>7b0343><76}:1oo1=874=`23>1e2343i47;f;109h;529dc96a3<5h:26?j;;<:13?4c?272jn4=d49>=cg=:m?014h7:3f6?8?a>38o9636f581`0=:1o81>i;4=8d3>7b2343ni7{ti981<743>34k;?7:l5:pe52=8383w0o?4;7:6>;?:o09h95283f96a0<51:i6?j8;<5g3a3=:m=014hl:3f7?8?ai38o8636f981`1=:1o<1>i:4=8d7>7b3343m>7;f8:09h9527g496a2<5>=;6?j9;<52f?4c<27<=84=d59>3f3=:m>01:l9:3f7?81an38o86388081`2=:?l<1>i;4=820>7b334=jj72;n>70ok1;0g1>;fl;09h852ab`96a3<5hih6?j:;3a4=:m?01:8j:3f5?xuf8<0;6?u2a16950?<5h:=69m:;|qb42<728;p1l>8:4;1?8g4838o:63n0881`0=:0;=1>i;4=`23>7b2342>i7;l7:?;3g<5l<1645:52e789=>c2;n>70666;0g1>;?1o09h8528`:96a3<51h:6?j:;<:46?4c=273:>4=d49>9;0g0>;f8k09h;52a2796a3<5h996?j9;|qb4g<72;q6m=o514;89d6e2=i>7p}n0g83>41|5h:m687=;ege=:m?01l??:3f7?810n38o86387981`1=:i9<1>i:4=`f7>7b134khi7;00;09h952a2796a2<5h996?j:;ef3=:m=01:8j:3f7?810838o86388281`3=z{h;;6=4={i84=`20>7b134k:<7;0>l09h85276296a3<5h:h6?j:;<;`5?4c<2729l4=d49>=n1>i;4=855>7b2343=j77p}n1483>41|51i36?j;;<:`e?4c<273on4=d59>015j?:3f7?8g6=3?2>63n15821<=:0j=1>i:4=9f0>7b3342o97;4?k09h;5236a96a0<5:=o6?j9;<14a?4c>278;k4=d79><0`=:m<01l=<:4a4?8>1j38o8636b981`1=z{h;=6=4i{:27j=84>589><5d=:m>01:j7:3f7?8g4038o9638d781`1=:?j?1>i;4=6`5>7b234=mj716m>;52e4892d72;0nh0>o:527e191f1518y>e41==0801l?n:07:?8>5n38o:6372e81`1=:i:=1>i;4=8`:>7b33427066e;0g1>;?i>09h8528c296a3<51=:6?j:;<:6`?4c=273n44=d49>i84=`aa>7b134kho7v3n16821<=:i8318n;4}rc2e?6=?r7j=l4:939>e40=9<301:?>:3f6?816i38o:6381c81`0=:?8?1>i;4=`15>0e03tyj=o4?:02x9d672;n<70o?b;0g0>;>=;09h;5294f96a1<503h6?j8;<;:1?4c?2724h4=d69>==1=:m=0146?:3f4?8?0138o;6367381`2=:1?h1>i94=847>7b0343>:77b434k9=7h9636c481`0=:0?k1>i;4=`02>7b334==o7h963n2181`6=:i;;1>i;4=64`>7b13tyj>>4?:00x9d642;n>70o=6;6`1>;>=809h:5294f96a0<503h6?j9;<;:1?4c>2724h4=d79>==1=:m<0146?:3f5?8?0138o:6367381`3=:1?h1>i84=847>7b1343>:7{ti;>1<7=t=`05>7b234k987:l5:?b60<5l:1vl<8:1808g5?3>h963n2781`3=:19:1>i94}rc1e7>=o4;c49><2d=:m<0156;:3f5?8>?l38o:6379781`3=:00l1>i84=9c;>7b1342i=7v3n2g87g0=:i9<1>i84}rc05?6=:r7j??4;c49>e65=:m>0q~o<4;296~;f;<0?o852a2496a253z?b16<21;16mlo52e7896`a2;n87p}n4583>6}:i<>194<4=2g0>7b334kj47p1l;::4;1?824;38o9631>i;4}rc0f?6=065=:m<01>j>:3f7?8g>:38o96s|a2a94?2|5h?<687=;<607?4c?278h>4=d59>e<6=:m?0q~o5?523e796a2<5h2n6?j:;<601?4c;2wxm>k50;6x9d3>2<3970=k7;0g0>;3:009h952a9a96a354z?b1d<21;16?i752e689d>f2;n>70:<7;0g7>{ti=:1<7:t=`7a>0?534>847i;4=2fg>7b334ki47p1l;k:4;1?824038o:63i;4}rc77?6=06>=:m=01>k>:3f7?8ge<38o96s|a5`94?5|5h?m687=;<1fb?4c<27jm;4=d49~wd22290?w0o90;7:6>;4m<09h95243f96a2<5hh96?j:;|qb03<72=q6m;?5580896c02;n?70om0;0g1>;3;h09h>5rs`64>5<3s4k=>7;62:?0a<<5l=168>>52e689dgb2;n>7p}n4983>1}:i?9194<4=512>7b3349nn763;3081`0=:;ln1>i:4=`;f>7b23tyj8l4?:5y>e33==08019=>:3f5?826:38o863n8981`0=z{h>h6=4<{:278j<4=d59>ed2=:m?0q~o;d;290~;f>>0>5?523g196a2<5=886?j;;;4n<09h952a`296a354z?b2<<21;168><52e7896`02;n?70o6c;0g1>{ti<:1<7:t=`4b>0?534>8>7i94=2da>7b334k247p1l8l:4;1?824;38o863i;4}rc5`?6=:r7?<=4;c49>edg=:m<0q~o9e;296~;3880?o852a8696a052z?747<3k<16m4<52e48yvg083:1>v3;0287g0=:i0:1>i84}rc45?6=:r7?<94;c49>e=c=:m<0q~o82;296~;38<0?o852a9a96a052z?743<3k<16m5o52e48yvg0<3:1>v3;0687g0=:ikk1>i84}rc41?6=:r7?<54;c49>eg>=:m<0q~o86;296~;3800?o852ac496a052z?74d<3k<16mo:52e48yvg003:1>v3;0c87g0=:ih21>i84}rc4=?6=:r7?eg4=:m<0q~o8a;296~;38m0?o852ac296a052z?74`<3k<16mlk52e48yvg0k3:1>v3;0g87g0=:ihi1>i84}rc4`?6=:r7?==4;c49>e52z?756<3k<16ml852e48yvg?83:1>v3;1587g0=:ih>1>i84}rc;5?6=:r7?=84;c49>ed4=:m<0q~o72;296~;39?0?o852a`296a052z?752<3k<16m4m52e48yvg?<3:1>v3;1987g0=:i0k1>i84}rc;1?6=:r7?=44;c49>e<>=:m<0q~o76;296~;39h0?o852a8496a052z?b20<6=016m5654b78yvg?13:1>v3n5`821<=:i1k18n;4}rc;f?6=:r7j944>589>e=e=52z?b12<6=016m4>54b78yvg>93:1>v3n57821<=:i0818n;4}rc:7?6=:r7j984>589>e<2=j0:9452a8490f352z?b2g<6=016m4654b78yvg>13:1>v3n6`821<=:i0k18n;4}rc:f?6=:r7j:44>589>e=0:9452a8g90f352z?b2=<6=016ml>54b78yvgf93:1>v3n66821<=:ih818n;4}rcb7?6=:r7j:;4>589>ed2=52z?b11<6=016ml654b78yvgf13:1>v3n52821<=:ihk18n;4}rcbf?6=:r7j:>4>589>ede=;0:9452a`g90f352z?b24<6=016mo>54b78yvge93:1>v3n61821<=:ik818n;4}rca7?6=:r7j9h4>589>eg2=52z?b1f<6=016mo654b78yvge13:1>v3n5c821<=:ikk18n;4}rcaf?6=9kq6mom54b789dgf2;n?70on8;0g0>;fi?09h952a`696a2<5hk96?j;;e01l77:3f7?8g>>38o863n9581`1=:i081>i:4=`;3>7b334k3i7;f1l09h952a`a96a2<5hkn6?j;;eg2=:m>01ll9:3f7?8ge038o86s|acf94?3|5hi;687=;<4be?4c<27=mn4=d59>ef>=:m?01lm8:4a4?xufjl0;68u2ab391<4<5?>=6?j;;<47efb==j=0q~omf;291~;fk;0>5?5262296a2<5?936?j;;4:c69~wde42909w0ol0;36=>;fk10?o85rs`a7>5<5s4kh47h963nc681`0=z{hi=6=4={2909w0ol1;36=>;fkl0?o85rs`ab>5<5s4khi7h963nce81`0=z{hih6=4={;fl=0?o85rs`f3>5<5s4ko87:1818gc93>h963nd281`0=z{hn96=4={4=d59~wdb22908wSok5:?b`3<3k<16mi;559:8yv1d93:1>v38bg87g0=:?j:1>i=4}r514?6=:r7<=h4;c49>34`=:m90q~8<3;296~;1;80?o85262096a552z?57g<3k<16:>m52e18yvg7m3:1>v3n0b87g0=:i9n1>i=4}r;`7?6=:r72o<4;c49>=f4=:m90q~688;296~;???0?o85286596a552z?;3c<3k<1645>52e18yv>?i3:1>v378987g0=:0131>i=4}r::7?6=:r735<4;c49><<4=:m90q~66c;296~;?1h0?o85288`96a552z?;e6<3k<164l:52e18yv>fm3:1>v37ab87g0=:0hn1>i=4}r:a3?6=:r73n84;c49>52z?;2a<3k<164;k52e18yv>113:1>v376687g0=:0?21>i=4}r:6f?6=:r73944;c49><0g=:m90q~o>3;296~;f980?o852a0096a552z?;3g<3k<164:m52e18yv>?>3:1>v378587g0=:01?1>i=4}r:;b?6=:r734i4;c49><=c=:m90q~668;296~;?1?0?o85288596a552z?;=c<3k<164l>52e18yv>fi3:1>v37a987g0=:0h31>i=4}r:a7?6=:r73n<4;c49>52z?;26<3k<164;:52e18yv?2k3:1>v365`87g0=:1

i=4}r;:f?6=:r72544;c49>=1;0?o85298196a552z?:3:1>v368587g0=:11?1>i=4}r;4b?6=:r72;i4;c49>=2c=:m90q~788;296~;>??0?o85296596a552z?:2c<3k<165:>52e18yv?1i3:1>v366987g0=:1?31>i=4}r;57?6=:r72:<4;c49>=34=:m90q~7:5;296~;>=:0?o85294696a552z?431<3k<16;:;52e18yv10k3:1>v387`87g0=:?>h1>i=4}r5;1?6=:r7<4>4;c49>3=2=:m90q~6mc;296~;?jh0?o8528c`96a552z?;g6<3k<164n:52e18yxh6>>31<7?tH4g4?L3?03;p>7sU2b695~54>3we:<950:m531f290:wE;j7:K6<=<6s;0vb;?8:09~j400j3:1=vF:e69J1=>=9r81qc8>7;08yk71?j0;6?28q96p`91680?xh6>>n1<7?tH4g4?L3?03;p=7sU2b695~54>3we:<954:m531b290:wE;j7:l552<23td:::h50;3xL0c03@?347?t2;Y6f2=9r98:7sa60592>{i9?2;6=4>{I7f3>O2010:w?4rn734>2=zf8<3=7>51zJ6a2=N=121=v<5}o423?>3td::5=50;3xL0c03@?347?t1;Y6f2=9r98:7sa6059e>{i9?2?6=4>{I7f3>h19>0i7p`>69794?7|@7;a8yk710?0;61e:<95e:m53>?280:wE;j7:l552>290:wE;j7:l552<682we=;6n:082M3b?2d==:4>1:&5541e:<95139~j40?k3;1=vF:e69m241=9:1/:0qc?98d82>4}O=l=0b;?8:078 376219>7p`>69d94?7|@7;35?xh6>0:1=7?tH4g4?k06?3;<7)8>1;:02>{i9?3:6=4>{I7f3>h19>0:46sa17;1>4<6sA?n;6`91682=>"19803?:5rn04:7?6=9rB>i:5a60595d=zf8<287?51zJ6a2=i>8=1=o5+6039<6>4b<,?;:65=6;|l22<1=83;pD8k8;o423?7b3td::4651;3xL0c03g<:;7?i;%425?>4i2we=;76:182M3b?2d==:4=0:m53?f280:wE;j7:l552<592.==<47309~j40>j3:1=vF:e69m241=:;1vb<86c;295~N2m>1e:<95229~j40>l3:1=vF:e69m241=:=1vb<86e;295~N2m>1e:<95249~j40>n3:1=vF:e69m241=:?1vb<8n0;295~N2m>1e:<95269~j40f93:1=vF:e69m241=:11vb<8n2;295~N2m>1e:<95289~j40f;3:1=vF:e69m241=:h1vb<8n4;295~N2m>1e:<952c9~j40f=3:1=vF:e69m241=:j1vb<8n6;295~N2m>1e:<952e9~j40f?3:1=vF:e69m241=:l1vb<8n8;295~N2m>1e:<952g9~j40f13:1=vF:e69m241=;91vb<8na;295~N2m>1e:<95309~j40fj3:1=vF:e69m241=;;1vb<8nc;295~N2m>1e:<95329~j40fl3;1=vF:e69m241=;=1/:4}O=l=0b;?8:248 37621oh7p`>6c294?7|@7;14?xh6>k;1=7?tH4g4?k06?3937)8>1;:f`>{i9?h96=4>{I7f3>h19>0856sa17`0>4<6sA?n;6`91680e>"19803ih5rn04a0?6=9rB>i:5a60597g=zf88=1?n5+6039<``j4}o35f2<628qC9h94n734>6c<,?;:65h?;|l22g>=83;pD8k8;o423?5a3td::o751;3xL0c03g<:;7:?;%425?>a92we=;ln:182M3b?2d==:4;1:m53de280:wE;j7:l552<3:2.==<47f39~j40ek3:1=vF:e69m241=<:1vb<8md;395~N2m>1e:<95459'247=0o90qc?9bd83>4}O=l=0b;?8:578yk71jo0:6:9gb?xh6>j:1<7?tH4g4?k06?3><7p`>6b394?7|@7;6;?xh6>j81=7?tH4g4?k06?3>27)8>1;;`<>{i9?i86=4>{I7f3>h19>0?m6sa17a7>4<6sA?n;6`91687f>"19802o45rn04`1?6=9rB>i:5a60590f=zf88=18i5+6039=fg1`<,?;:64mm;|l22f?=83;pD8k8;o423?373td::no51;3xL0c03g<:;7;>;%425??dk2we=;mm:182M3b?2d==:4:2:m53ed280:wE;j7:l552<2;2.==<46ce9~j40dl3:1=vF:e69m241===1vb<8le;395~N2m>1e:<95549'247=1jo0qc?9cg83>4}O=l=0b;?8:448yk71l90:6:8ae?xh6>m;1<7?tH4g4?k06?3?37p`>6e095?7|@7;7:?!06933o<6sa17f0>5<6sA?n;6`91686e>{i9?n?6<4>{I7f3>h19>0>n6*9108:g2=zf851zJ6a2=i>8=19n5rn04g2?6=9rB>i:5a60591a=zf88=19h5+6039e6g36<,?;:6l=m;|l22ag=83;pD8k8;o423?063td::il51;3xL0c03g<:;78=;%425?g4k2we=;jl:182M3b?2d==:493:m53bc280:wE;j7:l552<1<2.==<4n3e9~j40cm3:1=vF:e69m241=><1vb<8kf;395~N2m>1e:<95679'247=i:o0qc?9e183>4}O=l=0b;?8:758yk71m80:6:`1e?xh6>l81<7?tH4g4?k06?3<27p`>6d195?7|@7;4b?!0693k?<6sa17g7>5<6sA?n;6`91685f>{i9?o>6<4>{I7f3>h19>0=o6*9108b04=zf851zJ6a2=i>8=1:i5rn04f3?7=9rB>i:5a60592`=#>8;1m9<4}o35a=<728qC9h94n734>3`:0yK1`14$732>d243td::ho50;3xL0c03g<:;79>;|l22`d=93;pD8k8;o423?153-<:=7o;4:m53cd290:wE;j7:l552<0;2we=;kk:082M3b?2d==:484:&5541e:<95749~j40bn3;1=vF:e69m241=??1/:=0qc?9f082>4}O=l=0b;?8:6:8 3762h><7p`>6g094?7|@7;5:?xh6>o91=7?tH4g4?k06?3=j7)8>1;c7<>{i9?l?6=4>{I7f3>h19>04<6sA?n;6`91684g>"1980j845rn04e2?6=9rB>i:5a60593a=zf88=1;h5+6039e1d=6<,?;:6l:l;|l22cg=83;pD8k8;o423?>63td::kl51;3xL0c03g<:;76=;%425?g3l2we=;hl:182M3b?2d==:473:m53`c280:wE;j7:l5521e:<95879'247=i=l0qc?80183>4}O=l=0b;?8:958yk70880:6:`73?xh6?981<7?tH4g4?k06?3227p`>71195?7|@7;:b?!0693k>=6sa1627>5<6sA?n;6`9168;f>{i9>:>6<4>{I7f3>h19>03o6*9108b17=zf8=;:7>51zJ6a2=i>8=14i5rn0533?7=9rB>i:5a6059<`=#>8;1m9o4}o344=<728qC9h94n734>=`4}o344d<728qC9h94n734><7<5<3:0yK1`1d2c3td:;<>50;3xL0c03g<:;778;|l2347=83;pD8k8;o423???3td:;<<50;3xL0c03g<:;776;|l2345=83;pD8k8;o423??f3td:;<:50;3xL0c03g<:;77m;|l2343=93;pD8k8;o423??d3-<:=7ol2we=:?8:182M3b?2d==:46e:m527?290:wE;j7:l552<>n2we=:?6:082M3b?2d==:4n0:&554a;295~N2m>1e:<95a09~j416j3:1=vF:e69m241=i;1vb<9>c;395~N2m>1e:<95a29'247=i==0qc?81e83>4}O=l=0b;?8:`68yk709l0;64}O=l=0b;?8:`48yk70:90;64}O=l=0b;?8:`:8yk70:;0;64}O=l=0b;?8:`c8yk70:=0;64}O=l=0b;?8:`a8 376203m7p`>73494?7|@7;cg?xh6?;=1<7?tH4g4?k06?3kn7p`>73:94?7|@7;ce?xh6?;31<7?tH4g4?k06?3h;7p`>73c94?7|@7;`2?xh6?;h1<7?tH4g4?k06?3h97p`>73a94?7|@7;`0?xh6?;n1<7?tH4g4?k06?3h?7p`>73g94?7|@7;`6?xh6?;l1<7?tH4g4?k06?3h=7p`>72294?7|@7;`4?xh6?:;1<7?tH4g4?k06?3h37p`>72094?7|@7;`:?xh6?:91<7?tH4g4?k06?3hj7p`>72694?7|@7;`a?xh6?:?1<7?tH4g4?k06?3hh7p`>72494?7|@7;`g?xh6?:=1<7?tH4g4?k06?3hn7p`>72:94?7|@7;`e?xh6?:31<7?tH4g4?k06?3i;7c;kb;28yk70;h0;64}O=l=0b;?8:b08j0be281vb<91e:<95c29~j414l3;1=vF:e69m241=k=1/::603?xh6?:l1=7?tH4g4?k06?3i=7)8>1;:51>{i9>>;6=4>{I7f3>h19>0h;6sa1662>5<6sA?n;6`9168`<>{i9>>96=4>{I7f3>h19>0h56sa1660>5<6sA?n;6`9168`e>{i9>>?6=4>{I7f3>h19>0hn6sa1666>5<6sA?n;6`9168`g>{i9>>=6=4>{I7f3>h19>0hh6sa1664>5<6sA?n;6`9168`a>{i9>>36=4>{I7f3>h19>0hj6sa166:>4<6sA?n;6`9168g4>{i9>>j6=4>{I7f3>h19>0o=6sa166a>5<6sA?n;6`9168g6>{i9>>h6=4>{I7f3>h19>0o?6sa166g>5<6sA?n;6`9168g0>{i9>>n6=4>{I7f3>h19>0o96sa166e>5<6sA?n;6`9168g2>{i9>?;6<4>{I7f3>h19>0o;6*9108;ff=zf8=>=7?51zJ6a2=i>8=1h55+6039ag:0yK1`1d743td:;8;50;3xL0c03g<:;7jl;|l2300=83;pD8k8;o423?bc3td:;8950;3xL0c03g<:;7jj;|l230>=83;pD8k8;o423?ba3td:;8750;3xL0c03g<:;7k?;|l230g=83;pD8k8;o423?c63td:;8l50;3xL0c03g<:;7k=;|l230e=83;pD8k8;o423?c43td:;8j50;3xL0c03g<:;7k;;|l230c=83;pD8k8;o423?c23td:;8h50;3xL0c03g<:;7k9;|l2336=83;pD8k8;o423?c03td:;;?50;3xL0c03g<:;7k7;|l2334=83;pD8k8;o423?c>3td:;;=50;3xL0c03g<:;7kn;|l2332=93;pD8k8;o423?ce3-<:=7o?e:m5202280:wE;j7:l5523;1=vF:e69m241=mm1/:>0:6:`3`?xh6??21=7?tH4g4?k06?3om7)8>1;c2b>{i9><26<4>{I7f3>h19>0m<6*9108b5g=zf8==m7?51zJ6a2=i>8=1j<5+6039e7>:0yK1`1d433td:;;m51;3xL0c03g<:;7h<;%425?2e=2we=:8k:082M3b?2d==:4i4:&554<31l1vb<99e;395~N2m>1e:<95f49'247=4}O=l=0b;?8:g48 3762=k?7p`>76295?7|@7;d4?xh6?>;1<7?tH4g4?k06?3l37p`>76094?7|@7;d:?xh6?>91<7?tH4g4?k06?3lj7p`>76694?7|@7;da?xh6?>?1<7?tH4g4?k06?3lh7p`>76495?7|@7;dg?xh6?>=1<7?tH4g4?k06?3ln7p`>76:95?7|@7;de?xh6?>31<7?tH4g4?k06?3;;<6sa165b>4<6sA?n;6`9168244=#>8;148l4}o343g<728qC9h94n734>4653td:;:m50;3xL0c03g<:;7??3:m521c290:wE;j7:l552<68=1vb<98e;295~N2m>1e:<951178yk70?o0;679294?7|@7;333>{i9>2:6=4>{I7f3>h19>0:<55rn05;6?6=9rB>i:5a605955?4?:0yK1`1n;|l23=2=83;pD8k8;o423?77j2we=:6::182M3b?2d==:4>0b9~j41?>3:1=vF:e69m241=99n0qc?88682>4}O=l=0b;?8:02f?xh6?121<7?tH4g4?k06?3;;j6sa16::>5<6sA?n;6`9168255=zf8=3m7>51zJ6a2=i>8=1=4753-<:=768d:m52>d280:wE;j7:l552<69:1/:1;:;b>{i9>2n6<4>{I7f3>h19>0:=85+6039<<>:0yK1`1f92we=:7?:082M3b?2d==:4>169'247=0hk0qc?89082>4}O=l=0b;?8:03;?!06932i?6sa16;1>5<6sA?n;6G:8982778194?7|@8=1=4}52td==:4>1c9~j41>=3:1=vF:e69J1=>=9r81qc8>7;32g>{i9>3=6=4>{I7f3>O2010:w<4rZ3a7>4}4;?0vb;?8:03g?xh6?0=1<7?tH4g4?k06?3;:i6sa16;;>5<6sA?n;6`916825c=zf8=257>51zJ6a2=i>8=1=?>4}o34=d<728qC9h94n734>4463td:;4l50;3xL0c03g<:;7?=2:m52?d290:wE;j7:l552<6::1vb<96d;295~N2m>1e:<951368yk701l0;67p`>78d94?7|@7;312>{i9>k;6=4>{I7f3>h19>0:>:5rn05b5?6=9rB>i:5a605957>2c9~j41f=3:1=vF:e69m241=9;i0qc?8a783>4}O=l=0b;?8:00g?xh6?h=1<7?tH4g4?k06?3;9i6sa16c;>5<6sA?n;6`916826c=zf8=j57>51zJ6a2=i>8=1=>>4}o34ed<728qC9h94n734>4563td:;ll50;3xL0c03g<:;7?<2:m52gd290:wE;j7:l552<6;:1vb<9nd;295~N2m>1e:<951268yk70il0;67p`>7`d94?7|@7;302>{i9>h;6=4>{I7f3>h19>0:?:5rn05a5?6=9rB>i:5a605956>3c9~j41e=3:1=vF:e69m241=9:i0qc?8b783>4}O=l=0E867:0y2>x\5k=0:w>=9:|l552<6;m1vb<9m7;295~N2m>1e:<9512g8yk70j10;6?uG5d58M0>?28q:6pT=c5826512td==:4>3g9~j41e13:1>vF:e69m241=9=:0qc?8b`83>4}O=l=0E867:0y2>x\5k=0:w>=9:|l552<6<81vb<9mb;295~N2m>1B95651z39y_4d<3;p?>85}o423?73:2we=:ll:182M3b?2C>454>{08~^7e328q8?;4rn734>4243td:;oj50;3xL0c03g<:;7?;4:m52db290:wE;j7:l552<6<<1vb<9mf;295~N2m>1e:<951548yk70k90;6<7p`>7b394?7|@7;37<>{i9>i96=4>{I7f3>h19>0:845rn05`7?7=9rB>i:5a605951g<,?;:6;=<;|l23f2=83;pD8k8;o423?73j2we=:m::182M3b?2d==:4>4b9~j41d>3:1=vF:e69m241=9=n0qc?8c683>4}O=l=0b;?8:06f?xh6?j21=7?tH4g4?k06?3;?j6*910857a=zf8=h57>51zJ6a2=i>8=1=8>4}o34gd<728qC9h94n734>4363td:;nl50;3xL0c03g<:;7?:2:m52ed290:wE;j7:l552<6=:1vb<9ld;295~N2m>1e:<951468yk70kl0;67p`>7bd94?7|@7;362>{i9>n;6=4>{I7f3>h19>0:9:5rn05g5?6=9rB>i:5a605950>5c9~j41c=3:1=vF:e69m241=94}O=l=0b;?8:07g?!06935<6sA?n;6`916821`=zf8=o47?51zJ6a2=i>8=1=8h4$732>3cc3td:;i750;3xL0c03g<:;7?90:m52bf280:wE;j7:l552<6>81/:7ea95?7|@7;357>"1980=ik5rn05g`?6=9rB>i:5a60595322we=:k?:182M3b?2d==:4>669~j41b93:1=vF:e69m241=9?20qc?8e383>4}O=l=0b;?8:04:?xh6?l91<7?tH4g4?k06?3;=m6sa16g7>5<6sA?n;6`916822g=zf8=n97?51zJ6a2=i>8=1=;m4$732>3gb3td:;h850;3xL0c03g<:;7?9d:m52c0280:wE;j7:l552<6>l1/:7d;95?7|@7;344>"1980=n=5rn05fe?6=9rB>i:5a6059527:0yK1`1729~j41bl3;1=vF:e69m241=9>>0(;?>:7`1?xh6?lo1<7?tH4g4?k06?3;<96sa16ge>4<6sA?n;6`9168233=#>8;1:o=4}o34b5<728qC9h94n734>4103td:;k?51;3xL0c03g<:;7?88:&554<1j=1vb<9i2;295~N2m>1e:<9516;8yk70n:0:61;4a1>{i9>l?6=4>{I7f3>h19>0:;o5rn05e1?7=9rB>i:5a605952e<,?;:6;l9;|l23c0=83;pD8k8;o423?70l2we=:h8:182M3b?2d==:4>7d9~j41a03;1=vF:e69m241=9>l0(;?>:7d2?xh6?o31<7?tH4g4?k06?3;3<6sa16db>4<6sA?n;6`91682<4=#>8;1:k<4}o34bg<728qC9h94n734>4>53td:;km51;3xL0c03g<:;7?73:&554<1n:1vb<9id;295~N2m>1e:<951968yk70nl0:67)8>1;4e0>{i9>lm6=4>{I7f3>h19>0:4;5rn0:34?7=9rB>i:5a60595=1<,?;:6;h:;|l2<57=83;pD8k8;o423?7?02we=5>=:082M3b?2d==:4>889'247=>o<0qc?70283>4}O=l=0b;?8:0:b?xh609>1=7?tH4g4?k06?3;3n6*91085b2=zf82;97>51zJ6a2=i>8=1=5m4}o3;43<628qC9h94n734>4>c3-<:=78i8:m5=60290:wE;j7:l552<60l1vb<6?8;395~N2m>1e:<9519d8 3762?l27p`>81;94?7|@7;3:4>{i91:j6=4>{I7f3>h19>0:5<5rn0:3f?6=9rB>i:5a60595<4<2we=5>j:082M3b?2d==:4>949~j4>7n3;1=vF:e69m241=90<0qc?71182>4}O=l=0b;?8:0;4?xh608;1=7?tH4g4?k06?3;246sa1931>4<6sA?n;6`91682=<=zf82:?7?51zJ6a2=i>8=1=4o4}o3;51<628qC9h94n734>4?e3td:4<;51;3xL0c03g<:;7?6c:m5=71280:wE;j7:l552<61m1vb<6>7;295~N2m>1e:<9518g8yk7?910;680;94?7|@7;3b4>{i91;j6=4>{I7f3>h19>0:m<5rn0:2f?6=9rB>i:5a60595d4a49~j4>6n3:1=vF:e69m241=9h<0qc?72183>4}O=l=0b;?8:0c4?xh60;;1<7?tH4g4?k06?3;j46sa1901>5<6sA?n;6`91682e<=zf829?7>51zJ6a2=i>8=1=lo4}o3;61<728qC9h94n734>4ge3td:4?;50;3xL0c03g<:;7?nc:m5=41290:wE;j7:l552<6im1vb<6=7;295~N2m>1e:<951`g8yk7?:10;683;94?7|@7;3a4>{i918j6=4>{I7f3>h19>0:n<5rn0:1f?6=9rB>i:5a60595g4n4?:0yK1`1b49~j4>5n3:1=vF:e69m241=9k<0qc?73183>4}O=l=0b;?8:0`4?xh60:;1<7?tH4g4?k06?3;i46sa1911>5<6sA?n;6`91682f<=zf828?7>51zJ6a2=i>8=1=oo4}o3;71<728qC9h94n734>4de3td:4>;50;3xL0c03g<:;7?mc:m5=51290:wE;j7:l552<6jm1vb<6<7;295~N2m>1e:<951cg8yk7?;10:682;95?7|@7;3`4>{i919j6<4>{I7f3>h19>0:o<5rn0:0f?7=9rB>i:5a60595f4c49~j4>4n3;1=vF:e69m241=9j<0qc?74182>4}O=l=0b;?8:0a4?xh60=;1=7?tH4g4?k06?3;h46sa1961>4<6sA?n;6`91682g<=zf82??7?51zJ6a2=i>8=1=no4}o3;01<628qC9h94n734>4ee3td:49;51;3xL0c03g<:;7?lc:m5=21290:wE;j7:l552<6km1vb<6;7;295~N2m>1e:<951bg8yk7?<10;685;94?7|@7;3g4>{i91>j6=4>{I7f3>h19>0:h<5rn0:7f?6=9rB>i:5a60595a4d49~j4>3n3:1=vF:e69m241=9m<0qc?75183>4}O=l=0b;?8:0f4?xh60<;1<7?tH4g4?k06?3;o46sa1971>5<6sA?n;6`91682`<=zf82>?7>51zJ6a2=i>8=1=io4}o3;11<728qC9h94n734>4be3td:48;50;3xL0c03g<:;7?kc:m5=31290:wE;j7:l552<6lm1vb<6:7;295~N2m>1e:<951eg8yk7?=10;684;94?7|@7;3f4>{i91?j6=4>{I7f3>h19>0:i<5rn0:6f?6=9rB>i:5a60595`4:0yK1`1e59~j4>2m3;1=vF:e69m241=9l?0(;?>:666?xh604<6sA?n;6`91682a2=#>8;1;984}o3;24<728qC9h94n734>4c?3td:4;<51;3xL0c03g<:;7?j9:&554<0<>1vb<693;295~N2m>1e:<951dc8yk7?>=0:61;57<>{i91<>6=4>{I7f3>h19>0:in5rn0:52?7=9rB>i:5a60595`b<,?;:6::6;|l2<31=83;pD8k8;o423?7bm2we=587:082M3b?2d==:4>eg9'247=?=k0qc?76883>4}O=l=0b;?8:0d3?xh60?k1=7?tH4g4?k06?3;m=6*910840g=zf82=n7>51zJ6a2=i>8=1=k<4}o3;2f<628qC9h94n734>4`43-<:=79;c:m5=0c290:wE;j7:l552<6n=1vb<69e;395~N2m>1e:<951g78yk7?>o0:686295?7|@7;3e3>{i91=:6<4>{I7f3>h19>0:j55rn0:46?7=9rB>i:5a60595c?4>:0yK1`1fb9~j4>0>3;1=vF:e69m241=9on0qc?77683>4}O=l=0b;?8:0df?xh60>21<7?tH4g4?k06?3;mj6sa195:>5<6sA?n;6`9168145=zf8251zJ6a2=i>8=1>=?4}o3;3g<628qC9h94n734>7653td:4:m51;3xL0c03g<:;71B95651z09y_4d<3;p?>85}o423?47<2we=59j:182M3b?2d==:4=049~j4>0n3:1=vF:e69m241=:9<0qc?78183>4}O=l=0b;?8:324?xh601;1<7?tH4g4?k06?38;46sa19:1>5<6sA?n;6`916814<=zf823?7>51zJ6a2=i>8=1>=o4}o3;<1<728qC9h94n734>76e3td:45;51;3xL0c03g<:;71e:<9521f8yk7?0>0;689:95?7|@7;03b>"1980<;n5rn0:;=?6=9rB>i:5a6059646;|l2<=d=83;pD8k8;o423?46:2we=56l:082M3b?2d==:4=129'247=?1?0qc?78e83>4}O=l=0E867:0y1>x\5k=0:w>=9:|l552<59=1vb<67e;295~N2m>1B95651z09y_4d<3;p?>85}o423?46=2we=56i:182M3b?2C>454>{38~^7e328q8?;4rn734>7713td:44>50;3xL0c03@?347?t2;Y6f2=9r98:7sa6059641><3:1=vF:e69m241=:8h0qc?79483>4}O=l=0b;?8:33`?xh600<1<7?tH4g4?k06?38:h6sa19;4>5<6sA?n;6`916815`=zf82247>51zJ6a2=i>8=1>7473td:44o50;3xL0c03g<:;7<=1:m5=?e290:wE;j7:l552<5:;1vb<66c;295~N2m>1e:<952318yk7?1m0;688g94?7|@7;011>{i913m6=4>{I7f3>h19>09>;5rn0:b4?6=9rB>i:5a6059671f<3:1=vF:e69m241=:;h0qc?7a483>4}O=l=0b;?8:30`?xh60h<1<7?tH4g4?k06?389h6sa19c4>5<6sA?n;6`916816`=zf82j47?51zJ6a2=i>8=1>?h4$732>2e63td:4l750;3xL0c03g<:;7<<0:m5=gf290:wE;j7:l552<5;81vb<6nb;295~N2m>1e:<952208yk7?ij0;68`f94?7|@7;000>{i91kn6=4>{I7f3>h19>09?85rn0:bb?6=9rB>i:5a6059660e;3:1=vF:e69m241=::k0qc?7b583>4}O=l=0b;?8:31a?xh60k?1<7?tH4g4?k06?388o6sa19`5>5<6sA?n;6`916817a=zf82i;7>51zJ6a2=i>8=1>>k4}o3;f=<728qC9h94n734>75a3td:4o750;3xL0c03g<:;7<;0:m5=df290:wE;j7:l552<5<81vb<6mb;295~N2m>1e:<952508yk7?jj0;687p`>8cf94?7|@7;070>{i91hn6=4>{I7f3>h19>09885rn0:ab?6=9rB>i:5a6059610d;3:1=vF:e69m241=:=k0qc?7c583>4}O=l=0b;?8:36a?xh60j?1<7?tH4g4?k06?38?o6sa19a5>5<6sA?n;6`916810a=zf82h;7>51zJ6a2=i>8=1>9k4}o3;g=<728qC9h94n734>72a3td:4n750;3xL0c03g<:;7<:0:m5=ef290:wE;j7:l552<5=81vb<6lb;295~N2m>1e:<952408yk7?kj0;68bf94?7|@7;060>{i91in6=4>{I7f3>h19>09985rn0:`b?6=9rB>i:5a6059600c;3:1=vF:e69m241=:4}O=l=0b;?8:37a?xh60m?1<7?tH4g4?k06?38>o6sa19f5>5<6sA?n;6`916811a=zf82o;7>51zJ6a2=i>8=1>8k4}o3;`=<728qC9h94n734>73a3td:4i750;3xL0c03g<:;7<90:m5=bf290:wE;j7:l552<5>81vb<6kb;295~N2m>1e:<952708yk7?lj0;68ef94?7|@7;050>{i91nn6=4>{I7f3>h19>09:85rn0:gb?6=9rB>i:5a6059630b;3;1=vF:e69m241=:?k0qc?7e582>4}O=l=0b;?8:34a?xh60l?1=7?tH4g4?k06?38=o6sa19g5>4<6sA?n;6`916812a=zf82n;7?51zJ6a2=i>8=1>;k4}o3;a=<628qC9h94n734>70a3td:4h751;3xL0c03g<:;7<80:m5=cf280:wE;j7:l552<5?81vb<6jb;295~N2m>1e:<952608yk7?mj0;68df94?7|@7;040>{i91on6=4>{I7f3>h19>09;85rn0:fb?6=9rB>i:5a6059620a;3:1=vF:e69m241=:>k0qc?7f583>4}O=l=0b;?8:35a?xh60o?1<7?tH4g4?k06?385<6sA?n;6`916813a=zf82m;7>51zJ6a2=i>8=1>:k4}o3;b=<728qC9h94n734>71a3td:4k750;3xL0c03g<:;7<70:m5=`f290:wE;j7:l552<5081vb<6ib;295~N2m>1e:<952908yk7?nj0;68gf94?7|@7;0;0>{i91ln6=4>{I7f3>h19>09485rn0:eb?6=9rB>i:5a60596=04}O=l=0b;?8:3:b?xh619>1=7?tH4g4?k06?383n6*9108;2c=zf83;97>51zJ6a2=i>8=1>5m4}o3:43<728qC9h94n734>7>c3td:5=951;3xL0c03g<:;7<7e:&5541e:<9529d8yk7>800;691c95?7|@7;0:5>"198034<5rn0;3f?6=9rB>i:5a60596<4<2.==<478`9~j4?7m3:1=vF:e69m241=:0?0qc?60g83>4}O=l=0b;?8:3;5?xh618:1=7?tH4g4?k06?382;6*9108;=6=zf83:=7>51zJ6a2=i>8=1>464}o3:57<728qC9h94n734>7?>3td:5<=51;3xL0c03g<:;7<6a:&5544;295~N2m>1e:<9528`8yk7>9<0;690495?7|@7;0:`>"19803m85rn0;23?6=9rB>i:5a605964}O=l=0b;?8:3c1?xh618i1=7?tH4g4?k06?38j?6*9108;f2=zf83:h7>51zJ6a2=i>8=1>l:4}o3:5`<728qC9h94n734>7g23td:51e:<952`58yk7>:80;693094?7|@7;0b=>{i90886=4>{I7f3>h19>09ml5rn0;10?6=9rB>i:5a60596dd84?:0yK1`14}O=l=0b;?8:3`3?xh61;k1<7?tH4g4?k06?38i=6sa180a>5<6sA?n;6`91681f7=zf839o7>51zJ6a2=i>8=1>o=4}o3:6a<728qC9h94n734>7d33td:5?k50;3xL0c03g<:;71e:<952c58yk7>;80;692094?7|@7;0a=>{i90986=4>{I7f3>h19>09nl5rn0;00?6=9rB>i:5a60596gd4}O=l=0b;?8:3a3?xh61:k1=7?tH4g4?k06?38h=6sa181a>4<6sA?n;6`91681g7=zf838o7?51zJ6a2=i>8=1>n=4}o3:7a<628qC9h94n734>7e33td:5>k51;3xL0c03g<:;71e:<952b58yk7><80:695095?7|@7;0`=>{i90>86=4>{I7f3>h19>09ol5rn0;70?6=9rB>i:5a60596fd4}O=l=0b;?8:3f3?xh61=k1<7?tH4g4?k06?38o=6sa186a>5<6sA?n;6`91681`7=zf83?o7>51zJ6a2=i>8=1>i=4}o3:0a<728qC9h94n734>7b33td:59k50;3xL0c03g<:;71e:<952e58yk7>=80;694094?7|@7;0g=>{i90?86=4>{I7f3>h19>09hl5rn0;60?6=9rB>i:5a60596ad4}O=l=0b;?8:3g3?xh615<6sA?n;6`91681a7=zf83>o7>51zJ6a2=i>8=1>h=4}o3:1a<728qC9h94n734>7c33td:58k50;3xL0c03g<:;71e:<952d58yk7>>80;697094?7|@7;0f=>{i90<86=4>{I7f3>h19>09il5rn0;50?6=9rB>i:5a60596`d4}O=l=0b;?8:3d3?xh61?k1<7?tH4g4?k06?38m=6sa184a>5<6sA?n;6`91681b7=zf83=o7>51zJ6a2=i>8=1>k=4}o3:2a<728qC9h94n734>7`33td:5;k50;3xL0c03g<:;71e:<952g58yk7>?80;696094?7|@7;0e=>{i90=86=4>{I7f3>h19>09jl5rn0;40?7=9rB>i:5a60596cd<,?;:64;:;|l2=23=83;pD8k8;o423?4ak2we=499:182M3b?2d==:4=fe9~j4?0?3;1=vF:e69m241=:oo0(;?>:87`?xh61>21<7?tH4g4?k06?38mj6sa185:>5<6sA?n;6`9168045=zf838=1?=?4$732><043td:5:l50;3xL0c03g<:;7=?2:m5<1d290:wE;j7:l552<48:1vb<78d;395~N2m>1e:<953168 3762096g94?7|@7;131>{i90=m6=4>{I7f3>h19>08<;5rn0;;4?7=9rB>i:5a6059751<,?;:649>;|l2==7=83;pD8k8;o423?5702we=46=:182M3b?2d==:4<089~j4??;3;1=vF:e69m241=;9k0(;?>:85;?xh611>1<7?tH4g4?k06?39;n6sa18:6>5<6sA?n;6`916804f=zf833:7?51zJ6a2=i>8=1?=j4$732><1a3td:55950;3xL0c03g<:;7=?e:m5<>?290:wE;j7:l552<48o1vb<779;395~N2m>1e:<953028 376202=7p`>99c94?7|@7;125>{i902i6=4>{I7f3>h19>08=?5rn0;;g?7=9rB>i:5a6059745<,?;:646k;|l2==b=83;pD8k8;o423?56<2we=46j:182M3b?2d==:4<149~j4??n3;1=vF:e69m241=;8<0(;?>:8;7?xh610:1<7?tH4g4?k06?39:;6sa18;2>5<6sA?n;6`916805==zf832>7?51zJ6a2=i>8=1?<74$732>a:m51e:<9530a8yk7>1?0;698594?7|@7;12a>{i90336=4>{I7f3>h19>08=k5rn0;:=?6=9rB>i:5a6059776<>;|l2=l3:1=vF:e69m241=;;>0qc?69d83>4}O=l=0b;?8:206?xh610l1<7?tH4g4?k06?399:6sa18c3>5<6sA?n;6`9168062=zf83j=7>51zJ6a2=i>8=1??64}o3:e7<728qC9h94n734>64>3td:5l=50;3xL0c03g<:;7==a:m51e:<9533a8yk7>i?0;69`594?7|@7;11a>{i90k36=4>{I7f3>h19>08>k5rn0;b=?6=9rB>i:5a6059766=>;|l2=dd=93;pD8k8;o423?54:2we=4ol:082M3b?2d==:4<329'247=1k?0qc?6ae83>4}O=l=0b;?8:217?xh61ho1<7?tH4g4?k06?39896sa18ce>5<6sA?n;6`9168073=zf83i<7>51zJ6a2=i>8=1?>94}o3:f4<728qC9h94n734>65?3td:5o<51;3xL0c03g<:;7=<9:&554<>k:1vb<7m3;295~N2m>1e:<9532c8yk7>j=0;69c795?7|@7;10g>{i90h=6<4>{I7f3>h19>08?i5rn0;a3?7=9rB>i:5a605976c:0yK1`1=i;|l2=g?=93;pD8k8;o423?5382we=4ln:082M3b?2d==:4<409~j4?ej3;1=vF:e69m241=;=80qc?6bb82>4}O=l=0b;?8:260?xh61kn1=7?tH4g4?k06?39?86sa18`f>4<6sA?n;6`9168000=zf83ij7>51zJ6a2=i>8=1?984}o3:g5<728qC9h94n734>6203td:5n?50;3xL0c03g<:;7=;8:m51e:<9535c8yk7>k=0;6i7p`>9b794?7|@7;17g>{i90i=6=4>{I7f3>h19>088i5rn0;`3?6=9rB>i:5a605971c:i;|l2=f?=83;pD8k8;o423?5282we=4mn:182M3b?2d==:4<509~j4?dj3:1=vF:e69m241=;<80qc?6cb83>4}O=l=0b;?8:270?xh61jn1<7?tH4g4?k06?39>86sa18af>5<6sA?n;6`9168010=zf83hj7>51zJ6a2=i>8=1?884}o3:`5<728qC9h94n734>6303td:5i?50;3xL0c03g<:;7=:8:m51e:<9534c8yk7>l=0;69e794?7|@7;16g>{i90n=6=4>{I7f3>h19>089i5rn0;g3?6=9rB>i:5a605970c;i;|l2=a?=83;pD8k8;o423?5182we=4jn:182M3b?2d==:4<609~j4?cj3:1=vF:e69m241=;?80qc?6db83>4}O=l=0b;?8:240?xh61mn1<7?tH4g4?k06?39=86sa18ff>5<6sA?n;6`9168020=zf83oj7>51zJ6a2=i>8=1?;84}o3:a5<728qC9h94n734>6003td:5h?50;3xL0c03g<:;7=98:m501vb<7j3;295~N2m>1e:<9537c8yk7>m=0;69d794?7|@7;15g>{i90o=6=4>{I7f3>h19>08:i5rn0;f3?7=9rB>i:5a605973c:0yK1`18i;|l2=`?=83;pD8k8;o423?5082we=4kn:082M3b?2d==:4<709~j4?bj3:1=vF:e69m241=;>80qc?6eb82>4}O=l=0b;?8:250?xh61ln1<7?tH4g4?k06?39<86sa18gf>4<6sA?n;6`9168030=zf83nj7>51zJ6a2=i>8=1?:84}o3:b5<728qC9h94n734>6103td:5k?50;3xL0c03g<:;7=88:m5<`5290:wE;j7:l552<4?01vb<7i3;295~N2m>1e:<9536c8yk7>n=0:69g795?7|@7;14g>{i90l=6<4>{I7f3>h19>08;i5rn0;e3?7=9rB>i:5a605972c:0yK1`19i;|l2=c?=93;pD8k8;o423?5?82we=4hn:082M3b?2d==:4<809~j4?aj3;1=vF:e69m241=;180qc?6fb82>4}O=l=0b;?8:2:0?xh61on1=7?tH4g4?k06?39386sa18df>4<6sA?n;6`91680<0=zf83mj7?51zJ6a2=i>8=1?584}o3b45<628qC9h94n734>6>03td:m=?51;3xL0c03g<:;7=78:m5d65280:wE;j7:l552<4001vb1e:<9539c8yk7f8=0:6a1795?7|@7;1;g>{i9h:=6<4>{I7f3>h19>084i5rn0c33?7=9rB>i:5a60597=c:0yK1`16i;|l2e5?=93;pD8k8;o423?5>82we=l>n:082M3b?2d==:4<909~j4g7j3;1=vF:e69m241=;080qc?n0b82>4}O=l=0b;?8:2;0?xh6i9n1<7?tH4g4?k06?39286sa1`2f>5<6sA?n;6`91680=0=zf8k;j7>51zJ6a2=i>8=1?484}o3b55<728qC9h94n734>6?03td:m3;295~N2m>1e:<9538c8yk7f9=0;6a0794?7|@7;1:g>{i9h;=6=4>{I7f3>h19>085i5rn0c23?6=9rB>i:5a605977i;|l2e4?=83;pD8k8;o423?5f82we=l?n:182M3b?2d==:44}O=l=0b;?8:2c0?xh6i8n1<7?tH4g4?k06?39j86sa1`3f>5<6sA?n;6`91680e0=zf8k:j7>51zJ6a2=i>8=1?l84}o3b65<728qC9h94n734>6g03td:m??50;3xL0c03g<:;7=n8:m5d45290:wE;j7:l552<4i01vb1e:<953`c8yk7f:=0;6a3794?7|@7;1bg>{i9h8=6=4>{I7f3>h19>08mi5rn0c13?6=9rB>i:5a60597dc54?:0yK1`1oi;|l2e7?=83;pD8k8;o423?5e82we=l4}O=l=0b;?8:2`0?xh6i;n1<7?tH4g4?k06?39i86sa1`0f>5<6sA?n;6`91680f0=zf8k9j7>51zJ6a2=i>8=1?o84}o3b75<728qC9h94n734>6d03td:m>?50;3xL0c03g<:;7=m8:m5d55290:wE;j7:l552<4j01vb1e:<953cc8yk7f;=0;6a2794?7|@7;1ag>{i9h9=6=4>{I7f3>h19>08ni5rn0c03?6=9rB>i:5a60597gcli;|l2e6?=83;pD8k8;o423?5d82we=l=n:182M3b?2d==:44}O=l=0b;?8:2a0?xh6i:n1<7?tH4g4?k06?39h86sa1`1f>5<6sA?n;6`91680g0=zf8k8j7>51zJ6a2=i>8=1?n84}o3b05<728qC9h94n734>6e03td:m9?50;3xL0c03g<:;7=l8:m5d25290:wE;j7:l552<4k01vb1e:<953bc8yk7f<=0;6a5794?7|@7;1`g>{i9h>=6=4>{I7f3>h19>08oi5rn0c73?6=9rB>i:5a60597fcmi;|l2e1?=83;pD8k8;o423?5c82we=l:n:182M3b?2d==:44}O=l=0b;?8:2f0?xh6i=n1<7?tH4g4?k06?39o86sa1`6f>5<6sA?n;6`91680`0=zf8k?j7>51zJ6a2=i>8=1?i84}o3b15<728qC9h94n734>6b03td:m8?50;3xL0c03@?347?t2;Y6f2=9r98:7sa60597a>:0ym241=;m30qc?n5282>4}i>8=1?io4}o3b11<628qe:<953e`8yk7f=<0:6:0ym241=;mn0qc?n5682>4}i>8=1?ik4}o3b1=<628qe:<953ed8yk7f=00:6:0ym241=;l;0qc?n5c82>4}i>8=1?h<4}o3b1f<628qe:<953d18yk7f=m0:6:0ym241=;l?0qc?n5g82>4}i>8=1?h84}o3b25<628qe:<953d58yk7f>80:6:0ym241=;l30qc?n6282>4}i>8=1?ho4}o3b21<628qe:<953d`8yk7f><0:6:0ym241=;ln0qc?n6682>4}i>8=1?hk4}o3b2=<628qe:<953dd8yk7f>00:6:0ym241=;o;0qc?n6c82>4}i>8=1?k<4}o3b2f<628qe:<953g18yk7f>m0:6:0ym241=;o?0qc?n6g82>4}i>8=1?k84}o3b35<628qe:<953g58yk7f?80:6:0ym241=;o30qc?n7282>4}i>8=1?ko4}o3b31<628qe:<953g`8yk7f?<0:6:0ym241=;on0qc?n7682>4}i>8=1?kk4}o3b3=<628qe:<953gd8yk7f?00:6:0ym241=<9;0qc?n7c82>4}i>8=18=<4}o3b3f<628qe:<954118yk7f?m0:65984=4<5289897`<@;ii7E=;b:J1gf=e>8n1<7?n:27`>615sS;8j7;t3980f?5d2:n1>i4rH3a2?!3cm38m7ch2l908;95a60594>ifl<0;66g:9d83>>o4;90;66g91d83>>o2m<0;66a86083>>o51:0;66aj7;29 0>d2l<0b86m:198k`3=83.>4n4j6:l65$4:`>`0i5kh0;6);7c;0`=>h20k0;76a=c983>!3?k38h56`:8c82?>i5k>0;6);7c;0`=>h20k0976a=c783>!3?k38h56`:8c80?>i2110;6);7c;7:3>h20k0;76a:9783>!3?k3?2;6`:8c82?>i21<0;6);7c;7:3>h20k0976a:9583>!3?k3?2;6`:8c80?>i00j0;6);7c;5;f>h20k0;76a88`83>!3?k3=3n6`:8c82?>i0000;6);7c;5;f>h20k0976a88983>!3?k3=3n6`:8c80?>o1:=0;6);7c;417>h20k0;76g92483>!3?k3<9?6`:8c82?>o1:?0;6);7c;417>h20k0976l73c82>3<729q/>n<52`c8 0b32?;o7);j3;433>"2lm0h96*=e780?M4en2d==:4>;h36=?6=3`;>=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`;7f<62?0;6=u+2b096dg<,hi4l5:&1a3<43A8ij6`91681?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd?;l0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?087E57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3th3?k4>:783>5}#:j81>lo4$4f7>37c3-?n?78?7:&6`ah19>0?7d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pl74182>3<729q/>n<52`c8 0b32?;o7);j3;433>"2lm0h96*=e780?M4en2d==:4:;h36=?6=3`;>=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`;04<62?0;6=u+2b096dg<,hi4l5:&1a3<43A8ij6`91685?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd?<;0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?087E57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3th38>4>:783>5}#:j81>lo4$4f7>37c3-?n?78?7:&6`ah19>037d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pl74582>3<729q/>n<52`c8 0b32?;o7);j3;433>"2lm0h96*=e780?M4en2d==:46;h36=?6=3`;>=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`;00<62?0;6=u+2b096dg<,hi4l5:&1a3<43A8ij6`9168b?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd?;m0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?087E57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3thj9>4>:783>5}#:j81>lo4$4f7>37c3-?n?78?7:&6`ah19>0h7d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pln5582>3<729q/>n<52`c8 0b32?;o7);j3;433>"2lm0h96*=e780?M4en2d==:4k;h36=?6=3`;>=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`b1c<62?0;6=u+2b096dg<,hi4l5:&1a3<43A8ij6`9168f?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xdf>?0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?087E57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3thj::4>:783>5}#:j81>lo4$4f7>37c3-?n?78?7:&6`ah19>0:<6g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sma7:95?0=83:p(?m=:3cb?!3c<3<:h6*:e28542=#=mn1o85+2d497>N5jo1e:<95109j50?=831b=8?50;9j502=831b95h50;9j51d=831d94<50;9~fd0>280=6=4?{%0`6?4fi2.>h9491e9'1`5=>9=0(8jk:b78 7c12:1C>oh4n734>445<5<i6=44o4;1>5<8;%7g`?e23-8n:7=4H3`e?k06?3;87d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pln6c82>3<729q/>n<52`c8 0b32?;o7);j3;433>"2lm0h96*=e780?M4en2d==:4>4:k21<<722c:9<4?::k211<722c>4k4?::k20g<722e>5?4?::ae3e=93<1<7>t$3a1>7gf3-?o878>d:&6a6<18>1/9ij5c49'6`0=;2B9nk5a605950=n9<31<75f14394?=n9<>1<75f59d94?=n9=h1<75`58094?=zjh?>6<49:183!4d:38jm6*:d5855a=#=l91:=94$4fg>f3<,;o=6>5G2cd8j37028<0e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qoo:6;392?6=8r.9o?4=a`9'1a2=>8n0(8k<:724?!3cl3i>7)=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`b12<62?0;6=u+2b096dg<,hi4l5:&1a3<43A8ij6`91682<>o6=00;66g>5083>>o6==0;66g:8g83>>o6>{ei<21=7850;2x 7e52;kj7);k4;42`>"2m:0=<:5+5ef9g0=#:l<1?6F=bg9m241=901b=8750;9j507=831b=8:50;9j1=`=831b=9l50;9l1<4=831vnl;6:085>5<7s-8h>75<5<5<3:11:3603-?oh7m:;%0f2?5<@;hm7c8>7;3a?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xdf=k0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?087Ei>49069'1ab=k<1/>h853:J1fc=i>8=1=i5f14;94?=n9<;1<75f14694?=n=1l1<75f15`94?=h=081<75rb`7g>4<1290;w)"2l=0==i5+5d19251<,6=O:kl0b;?8:0g8m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188ygg2m3;1:7>50z&1g7<5ih1/9i:560f8 0c42?:<7);kd;a6?!4b>390D?li;o423?7a3`;>57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3thj:=4>:783>5}#:j81>lo4$4f7>37c3-?n?78?7:&6`ah19>09<6g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sma7395?0=83:p(?m=:3cb?!3c<3<:h6*:e28542=#=mn1o85+2d497>N5jo1e:<95209j50?=831b=8?50;9j502=831b95h50;9j51d=831d94<50;9~fd05280=6=4?{%0`6?4fi2.>h9491e9'1`5=>9=0(8jk:b78 7c12:1C>oh4n734>745<5<i6=44o4;1>5<8;%7g`?e23-8n:7=4H3`e?k06?3887d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pln6582>3<729q/>n<52`c8 0b32?;o7);j3;433>"2lm0h96*=e780?M4en2d==:4=4:k21<<722c:9<4?::k211<722c>4k4?::k20g<722e>5?4?::ae33=93<1<7>t$3a1>7gf3-?o878>d:&6a6<18>1/9ij5c49'6`0=;2B9nk5a605960=n9<31<75f14394?=n9<>1<75f59d94?=n9=h1<75`58094?=zj18>6<49:183!4d:38jm6*:d5855a=#=l91:=94$4fg>f3<,;o=6>5G2cd8j3702;<0e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qo9n0;392?6=8r.9o?4=a`9'1a2=>8n0(8k<:724?!3cl3i>7)=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`4e4<62?0;6=u+2b096dg<,hi4l5:&1a3<43A8ij6`91681<>o6=00;66g>5083>>o6==0;66g:8g83>>o6>{e?h81=7850;2x 7e52;kj7);k4;42`>"2m:0=<:5+5ef9g0=#:l<1?6F=bg9m241=:01b=8750;9j507=831b=8:50;9j1=`=831b=9l50;9l1<4=831vn:o<:085>5<7s-8h>75<5<5<3:11:3603-?oh7m:;%0f2?5<@;hm7c8>7;0a?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd0i<0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?087Ei>49069'1ab=k<1/>h853:J1fc=i>8=1>i5f14;94?=n9<;1<75f14694?=n=1l1<75f15`94?=h=081<75rb6fe>4<1290;w)"2l=0==i5+5d19251<,6=O:kl0b;?8:3g8m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188yg1b83;1:7>50z&1g7<5ih1/9i:560f8 0c42?:<7);kd;a6?!4b>390D?li;o423?4a3`;>57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3th:783>5}#:j81>lo4$4f7>37c3-?n?78?7:&6`ah19>08<6g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sm7d095?0=83:p(?m=:3cb?!3c<3<:h6*:e28542=#=mn1o85+2d497>N5jo1e:<95309j50?=831b=8?50;9j502=831b95h50;9j51d=831d94<50;9~fh9491e9'1`5=>9=0(8jk:4f`?!4b>390D?li;o423?553`;>57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3th3>l4>:783>5}#:j81>lo4$4f7>37c3-?n?78?7:&6`ah19>08?6g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sm83`95?0=83:p(?m=:3cb?!3c<3<:h6*:e28542=#=mn1o85+2d497>N5jo1e:<95359j50?=831b=8?50;9j502=831b95h50;9j51d=831d94<50;9~fh9491e9'1`5=>9=0(8jk:b78 7c12:1C>oh4n734>635<5<i6=44o4;1>5<7?56;294~"5k;09ml5+5e6924b<,8;%7g`?e23-8n:7=4H3`e?k06?39=7d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pl6d582>3<729q/>n<52`c8 0b32?;o7);j3;433>"2lm0h96*=e780?M4en2d==:4<7:k21<<722c:9<4?::k211<722c>4k4?::k20g<722e>5?4?::a=a3=93<1<7>t$3a1>7gf3-?o878>d:&6a6<18>1/9ij5c49'6`0=;2B9nk5a60597==n9<31<75f14394?=n9<>1<75f59d94?=n9=h1<75`58094?=zj0n=6<49:183!4d:38jm6*:d5855a=#=l91:=94$4fg>f3<,;o=6>5G2cd8j3702:30e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qo7k7;392?6=8r.9o?4=a`9'1a2=>8n0(8k<:724?!3cl3i>7)=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`:`=<62?0;6=u+2b096dg<,hi4l5:&1a3<43A8ij6`91680f>o6=00;66g>5083>>o6==0;66g:8g83>>o6>{e1m31=7850;2x 7e52;kj7);k4;42`>"2m:0=<:5+5ef9g0=#:l<1?6F=bg9m241=;j1b=8750;9j507=831b=8:50;9j1=`=831b=9l50;9l1<4=831vn4jn:085>5<7s-8h>7j4i07:>5<5<5<3:11:3603-?oh7m:;%0f2?5<@;hm7c8>7;1f?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd>l:0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?087Ei>49069'1ab=k<1/>h853:J1fc=i>8=18=5f14;94?=n9<;1<75f14694?=n=1l1<75f15`94?=h=081<75rb`a2>4<1290;w)"2l=0==i5+5d19251<,6=O:kl0b;?8:538m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188yggd83;1:7>50z&1g7<5ih1/9i:560f8 0c42?:<7);kd;a6?!4b>390D?li;o423?253`;>57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3th2?84>:783>5}#:j81>lo4$4f7>37c3-?n?78?7:&6`ah19>0??6g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sm92495?0=83:p(?m=:3cb?!3c<3<:h6*:e28542=#=mn1o85+2d497>N5jo1e:<95459j50?=831b=8?50;9j502=831b95h50;9j51d=831d94<50;9~f<5?280=6=4?{%0`6?4fi2.>h9491e9'1`5=>9=0(8jk:b78 7c12:1C>oh4n734>135<5<i6=44o4;1>5<8;%7g`?e23-8n:7=4H3`e?k06?3>=7d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pl63`82>3<729q/>n<52`c8 0b32?;o7);j3;433>"2lm0h96*=e780?M4en2d==:4;7:k21<<722c:9<4?::k211<722c>4k4?::k20g<722e>5?4?::a=6d=93<1<7>t$3a1>7gf3-?o878>d:&6a6<18>1/9ij5c49'6`0=;2B9nk5a60590==n9<31<75f14394?=n9<>1<75f59d94?=n9=h1<75`58094?=zj09h6<49:183!4d:38jm6*:d5855a=#=l91:=94$4fg>f3<,;o=6>5G2cd8j3702=30e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qo78n0(8k<:724?!3cl3i>7)=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`:7`<62?0;6=u+2b096dg<,hi4l5:&1a3<43A8ij6`91687f>o6=00;66g>5083>>o6==0;66g:8g83>>o6>{e1:l1=7850;2x 7e52;kj7);k4;42`>"2m:0=<:5+5ef9g0=#:l<1?6F=bg9m241=5<7s-8h>75<5<5<3:11:3603-?oh7m:;%0f2?5<@;hm7c8>7;6f?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd?n<0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?087Ei>49069'1ab=k<1/>h853:J1fc=i>8=19=5f14;94?=n9<;1<75f14694?=n=1l1<75f15`94?=h=081<75rb9d;>4<1290;w)"2l=0==i5+5d19251<,6=O:kl0b;?8:438m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188yg>a13;1:7>50z&1g7<5ih1/9i:560f8 0c42?:<7);kd;a6?!4b>3;87Ei>49069'1ab=k<1/>h85129K6g`5<5<5<3:11:3603-?oh7m:;%0f2?5<@;hm7c8>7;77?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd?nj0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?0:?6F=bg9m241==<1b=8750;9j507=831b=8:50;9j1=`=831b=9l50;9l1<4=831vn5hk:085>5<7s-8h>75<5<5<3:11:3603-?oh7m:;%0f2?5<@;hm7c8>7;74?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd?n?0:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?0:?6F=bg9m241==11b=8750;9j507=831b=8:50;9j1=`=831b=9l50;9l1<4=831vn:88:085>5<7s-8h>75<5<5<3:11:3603-?oh7m:;%0f2?5<@;hm7c8>7;7b?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd0>00:6;4?:1y'6f4=:hk0(8j;:73g?!3b;3<;;6*:de8`1>"5m?087Ei>49069'1ab=k<1/>h853:J1fc=i>8=19n5f14;94?=n9<;1<75f14694?=n=1l1<75f15`94?=h=081<75rb8``>4<1290;w)"2l=0==i5+5d19251<,6=O:kl0b;?8:4f8m43>2900e<;>:188m4332900e86i:188m42e290C??l4;n7:6?6=3th<<44>:783>5}#:j81>lk4$4f7>34f3-?n?78?7:&6`a<2lj1/>h853:J1fc=i>8=19h5f14;94?=n9<;1<75f14694?=n=1l1<75f15`94?=h=081<75rb70g>4<1290;w)"2l=0=>l5+5d19251<,7;7e?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd1:l0:6;4?:1y'6f4=:ho0(8j;:70b?!3b;3<;;6*:de86`f=#:l<1?6F=bg9m241=>91b=8750;9j507=831b=8:50;9j1=`=831b=9l50;9l1<4=831vn;o8:085>5<7s-8h>7;h36=?6=3`;>=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`5e=<62?0;6=u+2b096dc<,hi4:db9'6`0=;2B9nk5a605927=n9<31<75f14394?=n9<>1<75f59d94?=n9=h1<75`58094?=zj?>86<49:183!4d:38ji6*:d5856d=#=l91:=94$4fg>0bd3-8n:7=4H3`e?k06?3<87d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pl94582>3<729q/>n<52`g8 0b32?8j7);j3;433>"2lm0>hn5+2d497>N5jo1e:<95659j50?=831b=8?50;9j502=831b95h50;9j51d=831d94<50;9~f3e2280=6=4?{%0`6?4fm2.>h9492`9'1`5=>9=0(8jk:4f`?!4b>390D?li;o423?023`;>57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3th=h=4>:783>5}#:j81>lo4$4f7>34f3-?n?78?7:&6`ah19>0=:6g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sm6e395?0=83:p(?m=:3cb?!3c<3<9m6*:e28542=#=mn1o85+2d497>N5jo1e:<95669j50?=831b=8?50;9j502=831b95h50;9j51d=831d94<50;9~f3b5280=6=4?{%0`6?4fi2.>h9492`9'1`5=>9=0(8jk:b78 7c12:1C>oh4n734>3>5<5<i6=44o4;1>5<8;%7g`?e23-8n:7=4H3`e?k06?3<27d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pl9d582>3<729q/>n<52`c8 0b32?8j7);j3;433>"2lm0h96*=e780?M4en2d==:49a:k21<<722c:9<4?::k211<722c>4k4?::k20g<722e>5?4?::a2a3=93<1<7>t$3a1>7gf3-?o878=a:&6a6<18>1/9ij5c49'6`0=;2B9nk5a60592g=n9<31<75f14394?=n9<>1<75f59d94?=n9=h1<75`58094?=zj>?i6<49:183!4d:38jm6*:d58461=#=l91:=94$4fg>f3<,;o=6>5G2cd8j3702?i0e<;6:18K77d<3`;>=7>5;h360?6=3`?3j7>5;h37f?6=3f?2>7>5;|`77f<62>91<7>t$4g0>62d3-?o878>d:l`0?7"6<=0:jl5a58394>N5jo1Q=>h55z3:>4g=9k09o7?l:|l552<1l2c:8n4?::k20a<722c:8h4?::k214<722c>m?4?::k6e6<722c>m94?::k6`?6=,<2h6:>4n4:a>5=h20k0:76g:b;29 0>d2>:0b86m:398m0g=83.>4n480:l657>5$4:`>26o2?3:1(86l:628j0>e2?10e8850;&64o48;:k53?6=,<2h6:>4n4:a>==1<7*:8b844>h20k0276g93;29 0>d2>:0b86m:`98m34=83.>4n480:l65$4:`>26o2n3:1(86l:628j0>e2l10e8k50;&64o4i;:k61?6=,<2h6:>4n4:a>46<3`??6=4+59a935=i=1h1=<54ie294?"20j0n>6`:8c83?>odn3:1(86l:d08j0>e2810enk50;&64o4=;:k``?6=,<2h6h<4n4:a>6=h20k0?76glb;29 0>d2l80b86m:498mfg=83.>4n4j2:l65$4:`>`46`:8c8;?>oc03:1(86l:d08j0>e2010ei950;&64o4n;:kg2?6=,<2h6h<4n4:a>g=h20k0h76gk3;29 0>d2l80b86m:e98ma4=83.>4n4j2:l65$4:`>`46`:8c824>=nk>0;6);7c;g1?k3?j3;:76gi8;29?l`02900ek850;9jb0<722cm87>5;hd0>5<>o6890;66gif;29?l`b2900ekj50;9jbf<722cmn7>5;hdb>5<>obn3:17b;:2;29?j3293:17b;:0;29?j33n3:17b;;e;29?j33l3:17b;;b;29?j33i3:17b;;9;29?j3303:17b;;7;29?j33>3:17b;;5;29?j33<3:17b;;3;29?j33:3:17b;;0;29?j34n3:17b;!3?k3?=h=5<#=1i19:h4n4:a>4e<3f?>o7>5$4:`>01a3g?3n7?k;:m61d<72-?3o7;8f:l6e2;:07b;;1;29 0>d2<=m7c;7b;02?>i2;?0;6);7c;74b>h20k09>65`52794?"20j0>;k5a59`966=5<5<5<5<5<5<5<5<5<5}#=l91?9m4$4f7>34f3gi?6<5a12c94>h2190;7)?;4;3ee>h2180;7Eb;0`>4e=ug<:;78j;h37g?6=3`;?h7>5;h37a?6=3`;>=7>5;h7b6?6=3`?j?7>5;h7b0?6=3`?o6=4+59a935=i=1h1<65f5b83>!3?k3=;7c;7b;38?l3e290/95m5719m1=d=:21b9l4?:%7;g?173g?3n7=4;h7:>5<#=1i1;=5a59`90>=n=10;6);7c;53?k3?j3?07d;8:18'1=e=?91e95l56:9j13<72-?3o79?;o7;f?1<3`<<6=4+59a935=i=1h1465f6583>!3?k3=;7c;7b;;8?l04290/95m5719m1=d=i21b:?4?:%7;g?173g?3n7l4;h42>5<#=1i1;=5a59`9g>=n>90;6);7c;53?k3?j3n07d;i:18'1=e=?91e95l5e:9j1`<72-?3o79?;o7;f?`<3`?>6=4+59a935=i=1h1==54i4694?"20j0<<6`:8c825>=nl90;6);7c;g1?k3?j3:07dmi:18'1=e=m;1e95l51:9jg`<72-?3o7k=;o7;f?4<3`io6=4+59a9a7=i=1h1?65fcb83>!3?k3o97c;7b;68?lee290/95m5e39m1=d==21bol4?:%7;g?c53g?3n784;ha:>5<#=1i1i?5a59`93>=nl00;6);7c;g1?k3?j3207dj7:18'1=e=m;1e95l59:9j`2<72-?3o7k=;o7;f?g<3`n=6=4+59a9a7=i=1h1n65fd483>!3?k3o97c;7b;a8?lb4290/95m5e39m1=d=l21bh?4?:%7;g?c53g?3n7k4;hf2>5<#=1i1i?5a59`9b>=nk10;6);7c;g1?k3?j3;;76gl7;29 0>d2l80b86m:038?l`?2900ek950;9jb3<722cm97>5;hd7>5<>oa93:17d??0;29?l`a2900ekk50;9jba<722cmo7>5;hda>5<>oa83:17dki:188k0352900c8;>:188k0372900c8:i:188k02b2900c8:k:188k02e2900c8:n:188k02>2900c8:7:188k0202900c8:9:188k0222900c8:;:188k0242900c8:=:188k0272900c8=i:188k05b2900c8=k:188k05d2900c8=m:188k05f2900c8=6:188k05?2900c8=8:18'1=e==>l0b86m:0;8?j3193:1(86l:45e?k3?j3;j76a:5d83>!3?k3?=h=5<#=1i19:h4n4:a>4b<3f?>m7>5$4:`>01a3g?3n7?j;:m613<72-?3o7;8f:l6e2;;07b;<6;29 0>d2<=m7c;7b;01?>i2;<0;6);7c;74b>h20k09?65`10g94?=h98n1<75`10a94?=h98h1<75`10c94?=h9831<75`10:94?=h98=1<75`13494?=h9;?1<75`13694?=h9;91<75`13094?=h9;;1<75`13294?=h98l1<75`10494?=h98?1<75rb66g>4<1290;w)"2l=0<>95+5d19251<,o6=00;66g>5083>>o6==0;66g:8g83>>o6>{e?=o1=7850;2x 7e52;kn7);k4;510>"2m:0=<:5+5ef91ae<,;o=6>5G2cd8j3702>:0e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qo9:1;392?6=8r.9o?4=ad9'1a2=?;>0(8k<:724?!3cl3?oo6*=e780?M4en2d==:481:k21<<722c:9<4?::k211<722c>4k4?::k20g<722e>5?4?::a31`=93<1<7>t$3a1>7gb3-?o879=4:&6a6<18>1/9ij55ea8 7c12:1C>oh4n734>245<5<i6=44o4;1>5<<7?56;294~"5k;09mh5+5e69372<,8;%7g`?3ck2.9i;4<;I0ab>h19>05883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sm74095?0=83:p(?m=:3cf?!3c<3=986*:e28542=#=mn19im4$3g5>6=O:kl0b;?8:668m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188yg12;3;1:7>50z&1g7<5il1/9i:57368 0c42?:<7);kd;7gg>"5m?087Ei>49069'1ab==mi0(?k9:29K6g`5<5<5<3:11;?:4$4g0>3603-?oh7;kc:&1a3<43A8ij6`916843>o6=00;66g>5083>>o6==0;66g:8g83>>o6>{e?"2m:0=<:5+5ef91ae<,;o=6>5G2cd8j3702>20e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qo8ia;392?6=8r.9o?4=ad9'1a2=>;k0(8k<:724?!3cl3?oo6*=e780?M4en2d==:489:k21<<722c:9<4?::k211<722c>4k4?::k20g<722e>5?4?::a2cd=93<1<7>t$3a1>7gb3-?o878=a:&6a6<18>1/9ij55ea8 7c12:1C>oh4n734>2g5<5<i6=44o4;1>5<8;%7g`?3ck2.9i;4<;I0ab>h19>05883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sm6gf95?0=83:p(?m=:3cf?!3c<3<9m6*:e28542=#=mn19im4$3g5>6=O:kl0b;?8:6a8m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188yg0am3;1:7>50z&1g7<5il1/9i:563c8 0c42?:<7);kd;7gg>"5m?087Ei>49069'1ab==mi0(?k9:29K6g`5<5<5<3:11:?o4$4g0>3603-?oh7;kc:&1a3<43A8ij6`91684b>o6=00;66g>5083>>o6==0;66g:8g83>>o6>{e?9;1=7850;2x 7e52;kn7);k4;41e>"2m:0=<:5+5ef91ae<,;o=6>5G2cd8j37021:0e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qo9?2;392?6=8r.9o?4=ad9'1a2=>;k0(8k<:724?!3cl3?oo6*=e780?M4en2d==:471:k21<<722c:9<4?::k211<722c>4k4?::k20g<722e>5?4?::a355=93<1<7>t$3a1>7gb3-?o878=a:&6a6<18>1/9ij55ea8 7c12:1C>oh4n734>=45<5<i6=44o4;1>5<8;%7g`?3ck2.9i;4<;I0ab>h19>03?6g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sm6c:95?0=83:p(?m=:3cf?!3c<3<9m6*:e28542=#=mn19im4$3g5>6=O:kl0b;?8:968m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188yg0e13;1:7>50z&1g7<5il1/9i:563c8 0c42?:<7);kd;7gg>"5m?087Ei>49069'1ab==mi0(?k9:29K6g`5<5<5<3:11:?o4$4g0>3603-?oh7;kc:&1a3<43A8ij6`9168;3>o6=00;66g>5083>>o6==0;66g:8g83>>o6>{e>ki1=7850;2x 7e52;kn7);k4;41e>"2m:0=<:5+5ef91ae<,;o=6>5G2cd8j3702120e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qo8md;392?6=8r.9o?4=ad9'1a2=>;k0(8k<:724?!3cl3?oo6*=e780?M4en2d==:479:k21<<722c:9<4?::k211<722c>4k4?::k20g<722e>5?4?::a2gc=93<1<7>t$3a1>7gb3-?o878=a:&6a6<18>1/9ij55ea8 7c12:1C>oh4n734>=g5<5<i6=44o4;1>5<8;%7g`?3ck2.9i;4<;I0ab>h19>03n6g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sm6b295?0=83:p(?m=:3cf?!3c<3<9m6*:e28542=#=mn19im4$3g5>6=O:kl0b;?8:9a8m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188yg5fk3;187>50z&6a6<1801/9i:560f8L7da3g<:;76k;h355?6=3`;?j7>5;h7`3?6=3f>h97>5;|`0g4<62:0;6=u+5d1925d<,h19>03i6g<3383>>o6>{e;hn1=7:50;2x 0c42?:27);k4;42`>N5jo1e:<958g9j537=831b=9h50;9j1f1=831d8n;50;9~f6e328086=4?{%7f7?07j2.>h9491e9K6g`4i211>5<m6=44o5a6>5<7;;2?l7193:17d?;f;29?l3d?3:17b:l5;29?xd4k<0:6>4?:1y'1`5=>9h0(8j;:73g?M4en2d==:462:k077<722c:8k4?::m7g0<722wi?o?51;694?6|,6;%7g0?06l2B9nk5a6059=6=n9?;1<75f15d94?=n=j=1<75`4b794?=zj:i=6<4<:183!3b;3<;n6*:d5855a=O:kl0b;?8:868m6552900e<:i:188k1e22900qo=m3;390?6=8r.>i>49089'1a2=>8n0D?li;o423??23`;==7>5;h37b?6=3`?h;7>5;n6`1?6=3th8o:4>:283>5}#=l91:=l4$4f7>37c3A8ij6`9168:2>o4;;0;66g>4g83>>i3k<0;66sm3c795?2=83:p(8k<:72:?!3c<3<:h6F=bg9m241=1>1b=;?50;9j51`=831b9n950;9l0f3=831vn>m7:080>5<7s-?n?78?b:&6`1<19m1C>oh4n734><>5<6=44}c1a3?7=<3:11:6<729q/9h=561`8 0b32?;o7Ei2c8??4?::k20c<722e?o84?::a7g?=93>1<7>t$4g0>36>3-?o878>d:J1fc=i>8=15o5f17394?=n9=l1<75f5b594?=h4<4290;w);j3;43f>"2l=0==i5G2cd8j37020i0e>==:188m42a2900c9m::188yg5ej3;187>50z&6a6<1801/9i:560f8L7da3g<:;77k;h355?6=3`;?j7>5;h7`3?6=3f>h97>5;|`0gg<62:0;6=u+5d1925d<,h19>02i6g<3383>>o6>{e;kn1=7:50;2x 0c42?:27);k4;42`>N5jo1e:<959g9j537=831b=9h50;9j1f1=831d8n;50;9~f6ed28086=4?{%7f7?07j2.>h9491e9K6g`4i211>5<m6=44o5a6>5<7?53;294~"2m:0=7;c2?l54:3:17d?;f;29?j2d=3:17pl<7182>1<729q/9h=561;8 0b32?;o7Eo:4?::m7g0<722wi?5>51;194?6|,m;%7g0?06l2B9nk5a6059e6=n;:81<75f15d94?=h4<4290;w);j3;43<>"2l=0==i5G2cd8j3702h>0e?j;:188m7b22900c>=;:188yg5093;187>50z&6a6<1801/9i:560f8L7da3g<:;7o:;h355?6=3`;?j7>5;h7`3?6=3f>h97>5;|`0<7<62:0;6=u+5d1925d<,h19>0j:6g<3383>>o6>{e0jk1=7=50;2x 0c42?:37);k4;42`>N5jo1e:<95a69j6a2=831b>i;50;9l762=831vn>9=:087>5<7s-?n?78?9:&6`1<19m1C>oh4n734>d>5<5<7;c:?l54:3:17d?;f;29?j2d=3:17pl7cb82>6<729q/9h=561:8 0b32?;o7E1<7>t$4g0>36>3-?o878>d:J1fc=i>8=1mo5f17394?=n9=l1<75f5b594?=h4<4290;w);j3;43f>"2l=0==i5G2cd8j3702hi0e>==:188m42a2900c9m::188yg>dm3;1?7>50z&6a6<1811/9i:560f8L7da3g<:;7ok;h0g0?6=3`8o97>5;n100?6=3th8;94>:583>5}#=l91:=74$4f7>37c3A8ij6`9168ba>o6>80;66g>4g83>>o2k>0;66a;c483>>{e;1?1=7=50;2x 0c42?:i7);k4;42`>N5jo1e:<95ag9j764=831b=9h50;9l0f3=831vn5j?:080>5<7s-?n?78?8:&6`1<19m1C>oh4n734>g65<1:6<729q/9h=561`8 0b32?;o7E1<7>t$4g0>36>3-?o878>d:J1fc=i>8=1n>5f17394?=n9=l1<75f5b594?=h4<4290;w);j3;43f>"2l=0==i5G2cd8j3702k>0e>==:188m42a2900c9m::188yg50?3;187>50z&6a6<1801/9i:560f8L7da3g<:;7l:;h355?6=3`;?j7>5;h7`3?6=3f>h97>5;|`0<=<62:0;6=u+5d1925d<,h19>0i:6g<3383>>o6>{e;>21=7:50;2x 0c42?:27);k4;42`>N5jo1e:<95b69j537=831b=9h50;9j1f1=831d8n;50;9~f6>>28086=4?{%7f7?07j2.>h9491e9K6g`5<m6=44o5a6>5<7;`:?l7193:17d?;f;29?l3d?3:17b:l5;29?xd40h0:6>4?:1y'1`5=>9h0(8j;:73g?M4en2d==:4ma:k077<722c:8k4?::m7g0<722wi?5?51;194?6|,m;%7g0?06l2B9nk5a6059fg=n;:81<75f15d94?=h4<3290;w);j3;43=>"2l=0==i5G2cd8j3702ki0e<8>:188m42a2900e8m8:188k1e22900qo=n0;397?6=8r.>i>490c9'1a2=>8n0D?li;o423?dc3`98>7>5;h37b?6=3f>h97>5;|`0h19>0ii6g>6083>>o6>i3k<0;66sm3`195?5=83:p(8k<:72a?!3c<3<:h6F=bg9m241=jo1b?><50;9j51`=831d8n;50;9~f6>b280?6=4?{%7f7?0712.>h9491e9K6g`4i042>5<m6=44i4a4>5<6=44}c1b0?7=;3:11:930(8j;:73g?M4en2d==:4l2:k224<722c:8k4?::k6g2<722e?o84?::a7d3=9391<7>t$4g0>36e3-?o878>d:J1fc=i>8=1o>5f32094?=n9=l1<75`4b794?=zj:396<4;:183!3b;3<;56*:d5855a=O:kl0b;?8:b68m4062900e<:i:188m0e02900c9m::188yg5f>3;1?7>50z&6a6<18k1/9i:560f8L7da3g<:;7m:;h106?6=3`;?j7>5;n6`1?6=3th8594>:583>5}#=l91:=74$4f7>37c3A8ij6`9168`2>o6>80;66g>4g83>>o2k>0;66a;c483>>{e;h=1=7=50;2x 0c42?:i7);k4;42`>N5jo1e:<95c69j764=831b=9h50;9l0f3=831vn>79:087>5<7s-?n?78?9:&6`1<19m1C>oh4n734>f>5<5<7;a:?l54:3:17d?;f;29?j2d=3:17pl<9982>1<729q/9h=561;8 0b32?;o7Eo:4?::m7g0<722wi?l751;194?6|,m;%7g0?06l2B9nk5a6059gg=n;:81<75f15d94?=h4<3290;w);j3;43=>"2l=0==i5G2cd8j3702ji0e<8>:188m42a2900e8m8:188k1e22900qo=na;397?6=8r.>i>490c9'1a2=>8n0D?li;o423?ec3`98>7>5;h37b?6=3f>h97>5;|`0=f<62=0;6=u+5d1925?<,h19>0hi6g>6083>>o6>i3k<0;66sm3``95?5=83:p(8k<:72a?!3c<3<:h6F=bg9m241=ko1b?><50;9j51`=831d8n;50;9~f6g628086=4?{%7f7?07j2.>h9491e9K6g`4i211>5<m6=44o5a6>5<?7?54;294~"2m:0=<45+5e6924b<@;hm7c8>7;f2?l7193:17d?;f;29?l3d?3:17b:l5;29?xd4==0:694?:1y'1`5=>930(8j;:73g?M4en2d==:4k2:k224<722c:8k4?::k6g2<722e?o84?::a700=93>1<7>t$4g0>36>3-?o878>d:J1fc=i>8=1h>5f17394?=n9=l1<75f5b594?=h4<3290;w);j3;43=>"2l=0==i5G2cd8j3702m>0e<8>:188m42a2900e8m8:188k1e22900qo=:a;390?6=8r.>i>49089'1a2=>8n0D?li;o423?b23`;==7>5;h37b?6=3`?h;7>5;n6`1?6=3th8:l4>:283>5}#=l91:=l4$4f7>37c3A8ij6`9168g2>o4;;0;66g>4g83>>i3k<0;66sm34a95?2=83:p(8k<:72:?!3c<3<:h6F=bg9m241=l>1b=;?50;9j51`=831b9n950;9l0f3=831vn>8m:080>5<7s-?n?78?b:&6`1<19m1C>oh4n734>a>5<6=44}c16a?7=<3:11:6<729q/9h=561`8 0b32?;o7E1<7>t$4g0>36>3-?o878>d:J1fc=i>8=1ho5f17394?=n9=l1<75f5b594?=h4<4290;w);j3;43f>"2l=0==i5G2cd8j3702mi0e>==:188m42a2900c9m::188yg51:3;187>50z&6a6<1801/9i:560f8L7da3g<:;7jk;h355?6=3`;?j7>5;h7`3?6=3f>h97>5;|`02`<62:0;6=u+5d1925d<,h19>0oi6g<3383>>o6>{e;?>1=7:50;2x 0c42?:27);k4;42`>N5jo1e:<95dg9j537=831b=9h50;9j1f1=831d8n;50;9~f60a28086=4?{%7f7?07j2.>h9491e9K6g`4i211>5<m6=44o5a6>5<7;g2?l54:3:17d?;f;29?j2d=3:17pl1<729q/9h=561;8 0b32?;o7Eo:4?::m7g0<722wi8=>51;194?6|,m;%7g0?06l2B9nk5a6059a6=n;:81<75f15d94?=h4<3290;w);j3;43=>"2l=0==i5G2cd8j3702l>0e<8>:188m42a2900e8m8:188k1e22900qo:?b;397?6=8r.>i>490c9'1a2=>8n0D?li;o423?c23`98>7>5;h37b?6=3f>h97>5;|`0a`<62=0;6=u+5d1925?<,h19>0n:6g>6083>>o6>i3k<0;66sm40195?5=83:p(8k<:72a?!3c<3<:h6F=bg9m241=m>1b?><50;9j51`=831d8n;50;9~f6`7280?6=4?{%7f7?0712.>h9491e9K6g`5<m6=44i4a4>5<6=44}c620?7=;3:11:930(8j;:73g?M4en2d==:4ja:k224<722c:8k4?::k6g2<722e?o84?::a043=9391<7>t$4g0>36e3-?o878>d:J1fc=i>8=1io5f32094?=n9=l1<75`4b794?=zj:l?6<4;:183!3b;3<;56*:d5855a=O:kl0b;?8:da8m4062900e<:i:188m0e02900c9m::188yg26>3;1?7>50z&6a6<18k1/9i:560f8L7da3g<:;7kk;h106?6=3`;?j7>5;n6`1?6=3th8j;4>:583>5}#=l91:=74$4f7>37c3A8ij6`9168fa>o6>80;66g>4g83>>o2k>0;66a;c483>>{e<8=1=7=50;2x 0c42?:i7);k4;42`>N5jo1e:<95eg9j764=831b=9h50;9l0f3=831vn>h7:087>5<7s-?n?78?9:&6`1<19m1C>oh4n734>c65<5<:47?53;294~"2m:0=7;d2?l54:3:17d?;f;29?j2d=3:17pl1<729q/9h=561;8 0b32?;o7Eo:4?::m7g0<722wi8<751;194?6|,m;%7g0?06l2B9nk5a6059b6=n;:81<75f15d94?=h4<3290;w);j3;43=>"2l=0==i5G2cd8j3702o>0e<8>:188m42a2900e8m8:188k1e22900qo:>a;397?6=8r.>i>490c9'1a2=>8n0D?li;o423?`23`98>7>5;h37b?6=3f>h97>5;|`0g`<62=0;6=u+5d1925?<,h19>0m:6g>6083>>o6>i3k<0;66sm41395?5=83:p(8k<:72a?!3c<3<:h6F=bg9m241=n>1b?><50;9j51`=831d8n;50;9~f6b7280?6=4?{%7f7?0712.>h9491e9K6g`5<m6=44i4a4>5<6=44}c636?7=;3:11:930(8j;:73g?M4en2d==:4ia:k224<722c:8k4?::k6g2<722e?o84?::a055=9391<7>t$4g0>36e3-?o878>d:J1fc=i>8=1jo5f32094?=n9=l1<75`4b794?=zj:n?6<4;:183!3b;3<;56*:d5855a=O:kl0b;?8:ga8m4062900e<:i:188m0e02900c9m::188yg27<3;1?7>50z&6a6<18k1/9i:560f8L7da3g<:;7hk;h106?6=3`;?j7>5;n6`1?6=3th8h;4>:583>5}#=l91:=74$4f7>37c3A8ij6`9168ea>o6>80;66g>4g83>>o2k>0;66a;c483>>{e<9?1=7=50;2x 0c42?:i7);k4;42`>N5jo1e:<95fg9j764=831b=9h50;9l0f3=831vn>j7:087>5<7s-?n?78?9:&6`1<19m1C>oh4n734>4673`;==7>5;h37b?6=3`?h;7>5;n6`1?6=3th?<;4>:283>5}#=l91:=l4$4f7>37c3A8ij6`9168244=n;:81<75f15d94?=h4<3290;w);j3;43=>"2l=0==i5G2cd8j37028:97d?91;29?l73n3:17d;l7;29?j2d=3:17pl;0682>6<729q/9h=561`8 0b32?;o7E<50;9j51`=831d8n;50;9~f6bd280?6=4?{%7f7?0712.>h9491e9K6g`;;h355?6=3`;?j7>5;h7`3?6=3f>h97>5;|`74=<62:0;6=u+5d1925d<,h19>0:<85f32094?=n9=l1<75`4b794?=zj:nn6<4;:183!3b;3<;56*:d5855a=O:kl0b;?8:025?l7193:17d?;f;29?l3d?3:17b:l5;29?xd3800:6>4?:1y'1`5=>9h0(8j;:73g?M4en2d==:4>069j764=831b=9h50;9l0f3=831vn>k?:087>5<7s-?n?78?9:&6`1<19m1C>oh4n734>46?3`;==7>5;h37b?6=3`?h;7>5;n6`1?6=3th?:283>5}#=l91:=l4$4f7>37c3A8ij6`916824<=n;:81<75f15d94?=h4<3290;w);j3;43=>"2l=0==i5G2cd8j37028:j7d?91;29?l73n3:17d;l7;29?j2d=3:17pl;0b82>6<729q/9h=561`8 0b32?;o7E<50;9j51`=831d8n;50;9~f6c1280?6=4?{%7f7?0712.>h9491e9K6g`l;h355?6=3`;?j7>5;h7`3?6=3f>h97>5;|`74a<62:0;6=u+5d1925d<,h19>0:4?:1y'1`5=>9h0(8j;:73g?M4en2d==:4>0g9j764=831b=9h50;9l0f3=831vn>kn:087>5<7s-?n?78?9:&6`1<19m1C>oh4n734>4773`;==7>5;h37b?6=3`?h;7>5;n6`1?6=3th?:283>5}#=l91:=l4$4f7>37c3A8ij6`9168254=n;:81<75f15d94?=h4<3290;w);j3;43=>"2l=0==i5G2cd8j37028;97d?91;29?l73n3:17d;l7;29?j2d=3:17pl;1182>6<729q/9h=561`8 0b32?;o7E<50;9j51`=831d8n;50;9~f17628086=4?{%7f7?07j2.>h9491e9K6g`5;n6`1?6=3th??i4>:583>5}#=l91:=74$4f7>37c3A8ij6`9168250=n9?;1<75f15d94?=n=j=1<75`4b794?=zj=?h6<4<:183!3b;3<;n6*:d5855a=O:kl0b;?8:035?l54:3:17d?;f;29?j2d=3:17pl;3g82>1<729q/9h=561;8 0b32?;o7E1b=;?50;9j51`=831b9n950;9l0f3=831vn9;j:080>5<7s-?n?78?b:&6`1<19m1C>oh4n734>47?3`98>7>5;h37b?6=3f>h97>5;|`705<62=0;6=u+5d1925?<,h19>0:=45f17394?=n9=l1<75f5b594?=h4<4290;w);j3;43f>"2l=0==i5G2cd8j37028;j7d=<2;29?l73n3:17b:l5;29?xd3<80:694?:1y'1`5=>930(8j;:73g?M4en2d==:4>1c9j537=831b=9h50;9j1f1=831d8n;50;9~f10728086=4?{%7f7?07j2.>h9491e9K6g`5;n6`1?6=3th?8?4>:583>5}#=l91:=74$4f7>37c3A8ij6`916825a=n9?;1<75f15d94?=n=j=1<75`4b794?=zj=<:6<4<:183!3b;3<;n6*:d5855a=O:kl0b;?8:03f?l54:3:17d?;f;29?j2d=3:17pl;4282>1<729q/9h=561;8 0b32?;o7E5<7s-?n?78?b:&6`1<19m1C>oh4n734>4473`98>7>5;h37b?6=3f>h97>5;|`701<62=0;6=u+5d1925?<,h19>0:><5f17394?=n9=l1<75f5b594?=h4<4290;w);j3;43f>"2l=0==i5G2cd8j37028897d=<2;29?l73n3:17b:l5;29?xd3<<0:694?:1y'1`5=>930(8j;:73g?M4en2d==:4>229j537=831b=9h50;9j1f1=831d8n;50;9~f10328086=4?{%7f7?07j2.>h9491e9K6g`5;n6`1?6=3th?8;4>:583>5}#=l91:=74$4f7>37c3A8ij6`9168260=n9?;1<75f15d94?=n=j=1<75`4b794?=zj=<>6<4<:183!3b;3<;n6*:d5855a=O:kl0b;?8:005?l54:3:17d?;f;29?j2d=3:17pl;4682>1<729q/9h=561;8 0b32?;o7E1b=;?50;9j51`=831b9n950;9l0f3=831vn989:080>5<7s-?n?78?b:&6`1<19m1C>oh4n734>44?3`98>7>5;h37b?6=3f>h97>5;|`71a<62:0;6=u+5d1925d<,h19>0:>45f32094?=n9=l1<75`4b794?=zj=896<4;:183!3b;3<;56*:d5855a=O:kl0b;?8:00b?l7193:17d?;f;29?l3d?3:17b:l5;29?xd3;;0:684?:1y'1`5=;:30(?m=:402?!3c<3<:h6F=bg9m241=9;h0e?j;:188m7b22900e?j9:188m7b02900c8=<:188yg25=3;187>50z&6a6<1801/9i:560f8L7da3g<:;7?=c:k224<722c:8k4?::k6g2<722e?o84?::a065=93?1<7>t$4g0>65>3-8h>7;?0:&6`1<19m1C>oh4n734>44c3`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`763<62=0;6=u+5d1925?<,h19>0:>h5f17394?=n9=l1<75f5b594?=h4<3290;w);j3;43=>"2l=0==i5G2cd8j370288m7d?91;29?l73n3:17d;l7;29?j2d=3:17pl;2982>1<729q/9h=561;8 0b32?;o7E5<7s-?n?78?9:&6`1<19m1C>oh4n734>4563`;==7>5;h37b?6=3`?h;7>5;n6`1?6=3th??54>:483>5}#=l91?>74$3a1>0673-?o878>d:J1fc=i>8=1=><4i3f7>5<6=44i3f5>5<5<9n7?54;294~"2m:0=<45+5e6924b<@;hm7c8>7;307>o6>80;66g>4g83>>o2k>0;66a;c483>>{e<;i1=7:50;2x 0c42?:27);k4;42`>N5jo1e:<951268m4062900e<:i:188m0e02900c9m::188yg25m3;187>50z&6a6<1801/9i:560f8L7da3g<:;7?<5:k224<722c:8k4?::k6g2<722e?o84?::a07`=93>1<7>t$4g0>36>3-?o878>d:J1fc=i>8=1=>84i042>5<m6=44i4a4>5<6=44}c605?7=<3:137c3A8ij6`9168272=n:m>1<75f2e794?=n:m<1<75`52194?=zj=8?6<4;:183!3b;3<;56*:d5855a=O:kl0b;?8:01;?l7193:17d?;f;29?l3d?3:17b:l5;29?xd39o0:684?:1y'1`5=;:30(?m=:402?!3c<3<:h6F=bg9m241=9:30e?j;:188m7b22900e?j9:188m7b02900c8=<:188yg26k3;187>50z&6a6<1801/9i:560f8L7da3g<:;7?t$4g0>65>3-8h>7;=1:&6`1<19m1C>oh4n734>45e3`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`75a<62=0;6=u+5d1925?<,h19>0:?n5f17394?=n9=l1<75f5b594?=h4<3290;w);j3;10=>"5k;0>>>5+5e6924b<@;hm7c8>7;30`>o5l=0;66g=d483>>o5l?0;66a:3283>>{e<8o1=7:50;2x 0c42?:27);k4;42`>N5jo1e:<9512g8m4062900e<:i:188m0e02900c9m::188yg02?3;1?7>50z&6a6<4;01/>n<550f8 0b32?8j7Ei:50;9j6a3=831d9>=50;9~f32>280?6=4?{%7f7?0712.>h9492`9K6g`5;h7`3?6=3f>h97>5;|`51a<62:0;6=u+5d1925d<,h19>0:8<5f32094?=n9=l1<75`4b794?=zj??36<4<:183!3b;39856*=c3865a=#=m>1:?o4H3`e?k06?3;?>6g=d583>>o5l<0;66a:3283>>{e>=k1=7:50;2x 0c42?:27);k4;41e>N5jo1e:<951518m4062900e<:i:188m0e02900c9m::188yg02n3;1?7>50z&6a6<18k1/9i:563c8L7da3g<:;7?;4:k077<722c:8k4?::m7g0<722wi:8751;194?6|,=6;%0`6?36l2.>h9492`9K6g`5;n707?6=3th=8o4>:583>5}#=l91:=74$4f7>34f3A8ij6`9168203=n9?;1<75f15d94?=n=j=1<75`4b794?=zj?<;6<4<:183!3b;3<;n6*:d5856d=O:kl0b;?8:064?l54:3:17d?;f;29?j2d=3:17pl95`82>6<729q/9h=532;8 7e52<;o7);k4;41e>N5jo1e:<9515:8m7b32900e?j::188k0542900qo8;c;390?6=8r.>i>49089'1a2=>;k0D?li;o423?7312c::<4?::k20c<722c>o:4?::m7g0<722wi:;?51;194?6|,m;%7g0?05i2B9nk5a605951g5<6=44}c46f?7=;3:134f3A8ij6`916820g=n:m>1<75f2e794?=h=:91<75rb76g>4<3290;w);j3;43=>"2l=0=>l5G2cd8j37028>h7d?91;29?l73n3:17d;l7;29?j2d=3:17pl96382>6<729q/9h=561`8 0b32?8j7E<50;9j51`=831d8n;50;9~f33d28086=4?{%7f7?5412.9o?4:1e9'1a2=>;k0D?li;o423?73m2c9h94?::k1`0<722e>?>4?::a21c=93>1<7>t$4g0>36>3-?o878=a:J1fc=i>8=1=9h4i042>5<m6=44i4a4>5<6=44}c457?7=;3:11:?o4H3`e?k06?3;><6g<3383>>o6>{e>=l1=7:50;2x 0c42?:27);k4;41e>N5jo1e:<951438m4062900e<:i:188m0e02900c9m::188yg01<3;1?7>50z&6a6<18k1/9i:563c8L7da3g<:;7?:2:k077<722c:8k4?::m7g0<722wi:8?51;694?6|,6;%7g0?05i2B9nk5a60595055<5<7;360>o4;;0;66g>4g83>>i3k<0;66sm64195?2=83:p(8k<:72:?!3c<3<9m6F=bg9m241=9:188m42a2900e8m8:188k1e22900qo896;397?6=8r.>i>490c9'1a2=>;k0D?li;o423?72>2c8??4?::k20c<722e?o84?::a203=93>1<7>t$4g0>36>3-?o878=a:J1fc=i>8=1=894i042>5<m6=44i4a4>5<6=44}c453?7=;3:11:?o4H3`e?k06?3;>46g<3383>>o6>{e>N5jo1e:<9514;8m6552900e<:i:188k1e22900qo898;390?6=8r.>i>49089'1a2=>;k0D?li;o423?72i2c::<4?::k20c<722c>o:4?::m7g0<722wi::l51;194?6|,m;%7g0?05i2B9nk5a605950d5<6=44}c45=?7=<3:11:?o4H3`e?k06?3;>o6g>6083>>o6>i3k<0;66sm66a95?5=83:p(8k<:72a?!3c<3<9m6F=bg9m241=9==:188m42a2900c9m::188yg01j3;187>50z&6a6<1801/9i:563c8L7da3g<:;7?:e:k224<722c:8k4?::k6g2<722e?o84?::a22b=9391<7>t$4g0>36e3-?o878=a:J1fc=i>8=1=8h4i211>5<m6=44o5a6>5<7;354>o6>80;66g>4g83>>o2k>0;66a;c483>>{e>>o1=7=50;2x 0c42?:i7);k4;41e>N5jo1e:<951738m6552900e<:i:188k1e22900qo89f;390?6=8r.>i>49089'1a2=>;k0D?li;o423?71:2c::<4?::k20c<722c>o:4?::m7g0<722wi::h51;194?6|,m;%7g0?05i2B9nk5a60595355<6=44}c445?7=<3:11:?o4H3`e?k06?3;=86g>6083>>o6>i3k<0;66sm69295?5=83:p(8k<:72a?!3c<3<9m6F=bg9m241=9??0e>==:188m42a2900c9m::188yg00;3;187>50z&6a6<1801/9i:563c8L7da3g<:;7?96:k224<722c:8k4?::k6g2<722e?o84?::a2=7=9391<7>t$4g0>36e3-?o878=a:J1fc=i>8=1=;94i211>5<m6=44o5a6>5<7;35<>o6>80;66g>4g83>>o2k>0;66a;c483>>{e>181=7=50;2x 0c42?:i7);k4;41e>N5jo1e:<9517;8m6552900e<:i:188k1e22900qo887;390?6=8r.>i>49089'1a2=>;k0D?li;o423?71i2c::<4?::k20c<722c>o:4?::m7g0<722wi:5=51;194?6|,m;%7g0?05i2B9nk5a605953d5<6=44}c4;0?7=;3:11:?o4H3`e?k06?3;=o6g<3383>>o6>{e>1<1=7:50;2x 0c42?:27);k4;41e>N5jo1e:<9517f8m4062900e<:i:188m0e02900c9m::188yg0>13;1?7>50z&6a6<18k1/9i:563c8L7da3g<:;7?9e:k077<722c:8k4?::m7g0<722wi:5951;694?6|,6;%7g0?05i2B9nk5a605953`5<5<7;344>o4;;0;66g>4g83>>i3k<0;66sm69;95?2=83:p(8k<:72:?!3c<3<9m6F=bg9m241=9>;0e<8>:188m42a2900e8m8:188k1e22900qo86b;397?6=8r.>i>490c9'1a2=>;k0D?li;o423?70:2c8??4?::k20c<722e?o84?::a2=d=93>1<7>t$4g0>36>3-?o878=a:J1fc=i>8=1=:=4i042>5<m6=44i4a4>5<6=44}c4:g?7=;3:11:?o4H3`e?k06?3;<86g<3383>>o6>{e>1n1=7:50;2x 0c42?:27);k4;41e>N5jo1e:<951678m4062900e<:i:188m0e02900c9m::188yg0>l3;1?7>50z&6a6<18k1/9i:563c8L7da3g<:;7?86:k077<722c:8k4?::m7g0<722wi:5h51;694?6|,6;%7g0?05i2B9nk5a60595215<5<7;34<>o4;;0;66g>4g83>>i3k<0;66sm68395?2=83:p(8k<:72:?!3c<3<9m6F=bg9m241=9>30e<8>:188m42a2900e8m8:188k1e22900qo86f;397?6=8r.>i>490c9'1a2=>;k0D?li;o423?70i2c8??4?::k20c<722e?o84?::a2<5=93>1<7>t$4g0>36>3-?o878=a:J1fc=i>8=1=:l4i042>5<m6=44i4a4>5<6=44}c4b4?7=;3:11:?o4H3`e?k06?3;>o6>{e>0?1=7:50;2x 0c42?:27);k4;41e>N5jo1e:<9516f8m4062900e<:i:188m0e02900c9m::188yg0f93;1?7>50z&6a6<18k1/9i:563c8L7da3g<:;7?8e:k077<722c:8k4?::m7g0<722wi:l<51;194?6|,m;%7g0?05i2B9nk5a605952`5<6=44}c511?7=<3:11;?:4H3`e?k06?3;3<6g>6083>>o6>i3k<0;66sm72:95?5=83:p(8k<:72a?!3c<3=986F=bg9m241=91;0e>==:188m42a2900c9m::188yg15>3;187>50z&6a6<1801/9i:57368L7da3g<:;7?72:k224<722c:8k4?::k6g2<722e?o84?::a36?=9391<7>t$4g0>36e3-?o879=4:J1fc=i>8=1=5=4i211>5<m6=44o5a6>5<7;3;0>o6>80;66g>4g83>>o2k>0;66a;c483>>{e?:k1=7=50;2x 0c42?:i7);k4;510>N5jo1e:<951978m6552900e<:i:188k1e22900qo9=a;390?6=8r.>i>49089'1a2=?;>0D?li;o423?7?>2c::<4?::k20c<722c>o:4?::m7g0<722wi;>l51;194?6|,m;%7g0?15<2B9nk5a60595=15<6=44}c51g?7=<3:11;?:4H3`e?k06?3;346g>6083>>o6>i3k<0;66sm72a95?5=83:p(8k<:72a?!3c<3=986F=bg9m241=9130e>==:188m42a2900c9m::188yg15m3;187>50z&6a6<1801/9i:57368L7da3g<:;7?7a:k224<722c:8k4?::k6g2<722e?o84?::a36b=9391<7>t$4g0>36e3-?o879=4:J1fc=i>8=1=5l4i211>5<m6=44o5a6>5<7;3;g>o6>80;66g>4g83>>o2k>0;66a;c483>>{e?:o1=7=50;2x 0c42?:i7);k4;510>N5jo1e:<9519f8m6552900e<:i:188k1e22900qo9<2;390?6=8r.>i>49089'1a2=?;>0D?li;o423?7?m2c::<4?::k20c<722c>o:4?::m7g0<722wi;>h51;194?6|,m;%7g0?15<2B9nk5a60595=`5<6=44}c500?7=<3:11;?:4H3`e?k06?3;2<6g>6083>>o6>i3k<0;66sm75295?5=83:p(8k<:72a?!3c<3=986F=bg9m241=90;0e>==:188m42a2900c9m::188yg1393;1?7>50z&6a6<18k1/9i:57368L7da3g<:;7?62:k077<722c:8k4?::m7g0<722wim<:51;494?6|,;i96?on;%7g0?06l2.>i>49069'1ab=k<1/>h853:J1fc=i>8=1=4=4i07:>5<5<5<3:11:3603-?oh7m:;%0f2?5<@;hm7c8>7;3:0>o6=00;66g>5083>>o6==0;66g:8g83>>o6>{ei8<1=7850;2x 7e52;kj7);k4;42`>"2m:0=<:5+5ef9g0=#:l<1?6F=bg9m241=90?0e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qoo>a;392?6=8r.9o?4=a`9'1a2=>8n0(8k<:724?!3cl3i>7)4k4?::k20g<722e>5?4?::a=cc=93<1<7>t$3a1>7gf3-?o878>d:&6a6<18>1/9ij5c49'6`0=;2B9nk5a60595<15<5<i6=44o4;1>5<8;%7g`?e23-8n:7=4H3`e?k06?3;246g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sma1d95?0=83:p(?m=:3cb?!3c<3<:h6*:e28542=#=mn1o85+2d497>N5jo1e:<9518;8m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188ygg7i3;1:7>50z&1g7<5ih1/9i:560f8 0c42?:<7);kd;a6?!4b>390D?li;o423?7>i2c:944?::k214<722c:994?::k6i>49069'1ab=k<1/>h853:J1fc=i>8=1=4l4i07:>5<5<5<3:11:3603-?oh7m:;%0f2?5<@;hm7c8>7;3:g>o6=00;66g>5083>>o6==0;66g:8g83>>o6>{ei9>1=7850;2x 7e52;kj7);k4;42`>"2m:0=<:5+5ef9g0=#:l<1=>5G2cd8j370283o7d?:9;29?l7293:17d?:4;29?l3?n3:17d?;b;29?j3>:3:17pl80g82>3<729q/>n<52`c8 0b32?;o7);j3;433>"2lm0h96*=e7827>N5jo1e:<9518g8m43>2900e<;>:188m4332900e86i:188m42e2900c87=:188yg16>3;1:7>50z&1g7<5ih1/9i:560f8 0c42?:<7);kd;a6?!4b>3;87E5<7s-8h>74k4?::k20g<722e>5?4?::a<72=93<1<7>t$3a1>7gf3-?o876?c:&6a6<18>1/9ij5c49'6`0=;2B9nk5a60595d75<5<i6=44o4;1>5<8;%7g`?e23-8n:7=4H3`e?k06?3;j>6g>5883>>o6=80;66g>5583>>o20o0;66g>4c83>>i21;0;66sm83095?0=83:p(?m=:3cb?!3c<32;o6*:e28542=#=mn1o85+2d4956=O:kl0b;?8:0c0?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd?:80:6;4?:1y'6f4=:hk0(8j;:92`?!3b;3<;;6*:de8`1>"5m?0:?6F=bg9m241=9h>0e<;6:188m4362900e<;;:188m0>a2900e<:m:188k0?52900qo6=0;392?6=8r.9o?4=a`9'1a2=09i0(8k<:724?!3cl3i>7)a49j50?=831b=8?50;9j502=831b95h50;9j51d=831d94<50;9~f=7a280=6=4?{%0`6?4fi2.>h9470b9'1`5=>9=0(8jk:b78 7c12:1C>oh4n734>4g13`;>57>5;h365?6=3`;>87>5;h7;b?6=3`;?n7>5;n7:6?6=3th3=h4>:783>5}#:j81>lo4$4f7>=6d3-?n?78?7:&6`ah19>0:m:5f14;94?=n9<;1<75f14694?=n=1l1<75f15`94?=h=081<75rb93g>4<1290;w)"2l=036=O:kl0b;?8:0c;?l7213:17d?:1;29?l72<3:17d;7f;29?l73j3:17b;62;29?xd?9k0:6;4?:1y'6f4=:hk0(8j;:92`?!3b;3<;;6*:de8`1>"5m?087E5<7s-8h>74k4?::k20g<722e>5?4?::ae66=93>1<7>t$4g0>65>3-8h>7;>a:&6`1<19m1C>oh4n734>4ge3`8o87>5;h0g1?6=3`8o:7>5;n707?6=3th3:283>5}#=l91?>74$3a1>07c3-?o876?c:J1fc=i>8=1=lm4i3f7>5<6=44o410>5<<,h19>0:mi5f2e694?=n:m?1<75f2e494?=h=:91<75rb90g>4<3290;w);j3;10=>"5k;0>=55+5e6924b<@;hm7c8>7;3ba>o5l=0;66g=d483>>o5l?0;66a:3283>>{e09h1=7;50;2x 0c42:927)"2l=0==i5G2cd8j37028km7d50z&6a6<4;01/>n<550c8 0b32?;o7Ei:50;9j6a3=831b>i850;9l165=831vnl=8:086>5<7s-?n?7=<9:&1g7<2901/9i:560f8L7da3g<:;7?m2:k1`1<722c9h84?::k1`3<722c9h:4?::m676<722wi;?=51;194?6|,=6;%0`6?35<2.>h9491e9K6g`5;n707?6=3th<=54>:483>5}#=l91?>74$3a1>1`33-?o878>d:J1fc=i>8=1=o:4i3f7>5<6=44i3f5>5<5<h19>0:n85f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj>?36<4::183!3b;39856*=c3864g=#=m>1;?:4H3`e?k06?3;i:6g=d583>>o5l<0;66g=d783>>o5l>0;66a:3283>>{e?<31=7;50;2x 0c42:927)"2l=0<>95G2cd8j37028h<7dmh0:684?:1y'1`5=;:30(?m=:437?!3c<3<:h6F=bg9m241=9k20e?j;:188m7b22900e?j9:188m7b02900c8=<:188yggek3;1?7>50z&6a6<4;01/>n<550`8 0b32?;o7Ei:50;9j6a3=831d9>=50;9~f2b1280?6=4?{%7f7?5412.9o?4:299'1a2=>8n0D?li;o423?7ei2c9h94?::k1`0<722c9h;4?::m676<722wi;i;51;794?6|,=6;%0`6?2c02.>h9491e9K6g`5;h0g2?6=3`8o;7>5;n707?6=3th=o94>:483>5}#=l91?>74$3a1>0673-?o878=a:J1fc=i>8=1=om4i3f7>5<6=44i3f5>5<5<7?55;294~"2m:08?45+2b0915d<,h19>0:ni5f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj>:=6<4<:183!3b;39856*=c38654=#=m>1:?o4H3`e?k06?3;ii6g=d583>>o5l<0;66a:3283>>{e?9?1=7;50;2x 0c42:927)"2l=0=>l5G2cd8j37028hm7d0:684?:1y'1`5=;:30(?m=:402?!3c<3<9m6F=bg9m241=9j:0e?j;:188m7b22900e?j9:188m7b02900c8=<:188yg1703;197>50z&6a6<4;01/>n<55128 0b32?8j7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~fd4a280?6=4?{%7f7?5412.9o?4:289'1a2=>8n0D?li;o423?7d:2c9h94?::k1`0<722c9h;4?::m676<722wim=751;694?6|,=6;%0`6?3602.>h9491e9K6g`5;h0g2?6=3f?8?7>5;|`;62<62<0;6=u+5d1976?<,;i969hn;%7g0?06l2B9nk5a60595f25<5<37c3A8ij6`91682g0=n:m>1<75f2e794?=n:m<1<75`52194?=zj?n<6<4::183!3b;39856*=c3866g=#=m>1:?o4H3`e?k06?3;h:6g=d583>>o5l<0;66g=d783>>o5l>0;66a:3283>>{e>m21=7;50;2x 0c42:927)"2l=0=>l5G2cd8j37028i<7d4?:1y'1`5=;:30(?m=:433?!3c<3<9m6F=bg9m241=9j20e?j;:188m7b22900c8=<:188yg17l3;197>50z&6a6<4;01/>n<54g:8 0b32?8j7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~f26e280>6=4?{%7f7?5412.9o?4:019'1a2=>;k0D?li;o423?7di2c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::a35c=93?1<7>t$4g0>65>3-8h>7;?9:&6`1<1:h1C>oh4n734>4ee3`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`:bf<62<0;6=u+5d1976?<,;i969jm;%7g0?06l2B9nk5a60595fe5<5<37c3A8ij6`91682ga=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb271>4<4290;w);j3;10=>"5k;0>==5+5e6924b<@;hm7c8>7;3`a>o5l=0;66g=d483>>i2;:0;66sm70395?3=83:p(8k<:21:?!4d:3?;h6*:d5855a=O:kl0b;?8:0ae?l4c<3:17d3:17d0<729q/9h=532;8 7e52=ni7);k4;42`>N5jo1e:<951e28m7b32900e?j::188m7b12900e?j8:188k0542900qo7i6;391?6=8r.>i>4<389'6f4=d09j6a2=831b>i;50;9j6a0=831b>i950;9l165=831vn4h;:086>5<7s-?n?7=<9:&1g7<3lk1/9i:560f8L7da3g<:;7?k2:k1`1<722c9h84?::k1`3<722c9h:4?::m676<722wi5k<51;794?6|,=6;%0`6?2cj2.>h9491e9K6g`5;h0g2?6=3`8o;7>5;n707?6=3th2j=4>:483>5}#=l91?>74$3a1>1be3-?o878>d:J1fc=i>8=1=i:4i3f7>5<6=44i3f5>5<5<h19>0:h85f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj0oh6<4::183!3b;39856*=c387`g=#=m>1:>o5l<0;66g=d783>>o5l>0;66a:3283>>{e1l21=7;50;2x 0c42:927)"2l=0==i5G2cd8j37028n<7d4?:1y'1`5=;:30(?m=:433?!3c<3<:h6F=bg9m241=9m20e?j;:188m7b22900c8=<:188yg1bk3;197>50z&6a6<4;01/>n<54d`8 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=83B9m:54o410>5<h19>0:hl5f2e694?=n:m?1<75f2e494?=h=:91<75rb7cb>4<3290;w);j3;10=>"5k;0?i85+5e6927g<@;hm7c8>7;3gf>o5l=0;66g=d483>>o5l?0;66a:3283>>{e>=<1=7:50;2x 0c42:927)"2l=0=>l5G2cd8j37028nh7d1<729q/9h=532;8 7e52=o>7);k4;41e>N5jo1e:<951ef8m7b32900e?j::188m7b12900c8=<:188ygg7;3;187>50z&6a6<4;01/>n<55058 0b32?;o7Ei:50;9j6a3=831b>i850;9l165=831vn5m8:080>5<7s-?n?7=<9:&1g7<2:m1/9i:560f8L7da3g<:;7?kf:k1`1<722c9h84?::m676<722wim=>51;794?6|,=6;%0`6?2c<2.>h9491e9K6g`5;h0g2?6=3`8o;7>5;n707?6=3th<=i4>:483>5}#=l91?>74$3a1>1cb3-?o878>d:J1fc=i>8=1=h?4i3f7>5<6=44i3f5>5<1<729q/9h=532;8 7e52<;<7);k4;42`>N5jo1e:<951d08m7b32900e?j::188m7b12900c8=<:188ygg5j3;197>50z&6a6<4;01/>n<54dg8 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=83B9m:54o410>5<h19>0:i95f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj?9j6<4;:183!3b;39856*=c3866f=#=m>1:?o4H3`e?k06?3;n96g=d583>>o5l<0;66g=d783>>i2;:0;66sm62g95?2=83:p(8k<:21:?!4d:3?:m6*:d5856d=O:kl0b;?8:0g5?l4c<3:17d3:1D?o8;:m676<722wim<>51;794?6|,=6;%0`6?2c<2.>h9491e9K6g`5H3c4?>o5l?0;66g=d683>>i2;:0;66sm79595?3=83:p(8k<:21:?!4d:3>n86*:d5855a=O:kl0b;?8:0g;?l4c<3:17d?>4?::a3fb=93?1<7>t$4g0>65>3-8h>7:ie:&6`1<19m1C>oh4n734>4c>3`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=@;k<76a:3283>>{e?j>1=7;50;2x 0c42:927)"2l=0==i5G2cd8j37028oj7d=;0:694?:1y'1`5=;:30(?m=:42`?!3c<3<:h6F=bg9m241=9lh0e?j;:188m7b22900e?j9:188k0542900qo692;391?6=8r.>i>4<389'6f4=eb9j6a2=831b>i;50;9j6a0=831b>i950;J1e2=37c3A8ij6`91682aa=n:m>1<75f2e794?=n:m<1<75f2e594?N5i>10c8=<:188yg10m3;197>50z&6a6<4;01/>n<54g08 0b32?;o7Ei:50;9j6a3=83B9m:54i3f5>5<5<h19>0:ik5f2e694?=n:m?1<7F=a698m7b12900e?j8:188k0542900qoo>e;390?6=8r.>i>4<389'6f4==;90(8j;:73g?M4en2d==:4>f19j6a2=831b>i;50;9j6a0=831d9>=50;9~f8n0D?li;o423?7a92c9h94?::k1`0<722c9h;4?::m676<722wi4i=51;694?6|,=6;%0`6?2a>2.>h9491e9K6g`5;h0g2?6=3f?8?7>5;|`;23<62<0;6=u+5d1976?<,;i969j?;%7g0?06l2B9nk5a60595c55<5<37c3A8ij6`91682b1=n:m>1<75f2e794?=n:m<1<75f2e594?N5i>10c8=<:188yg?em3;1?7>50z&6a6<4;01/>n<55028 0b32?;o7Ei:50;9j6a3=831d9>=50;9~f6=4?{%7f7?5412.9o?4:1g9'1a2=>8n0D?li;o423?7a>2c9h94?::k1`0<722c9h;4?::k1`2<72A8j;65`52194?=zjh8<6<4::183!3b;39856*=c38645=#=m>1:>o5l<0;66g=d783>>o5l>0;66a:3283>>{ei;<1=7:50;2x 0c42:927)"2l=0==i5G2cd8j37028l37d?>4?::a1<7>t$4g0>65>3-8h>7:i6:&6`1<19m1C>oh4n734>4`>3`8o87>5;h0g1?6=3`8o:7>5;n707?6=3th3h:4>:583>5}#=l91?>74$3a1>1`13-?o878>d:J1fc=i>8=1=ko4i3f7>5<6=44i3f5>5<37c3A8ij6`91682bg=n:m>1<75f2e794?=n:m<1<75`52194?=zj1ni6<4;:183!3b;39856*=c387b3=#=m>1:>o5l<0;66g=d783>>i2;:0;66sm86;95?2=83:p(8k<:21:?!4d:3>o96*:d5855a=O:kl0b;?8:0dg?l4c<3:17d3:1D?o8;:m676<722wi45<51;694?6|,=6;%0`6?2c=2.>h9491e9K6g`5;h0g2?6=@;k<76a:3283>>{e01h1=7:50;2x 0c42:927)"2l=0==i5G2cd8j37028lm7d?>4?::a<<2=93>1<7>t$4g0>65>3-8h>7:k5:&6`1<19m1C>oh4n734>7673`8o87>5;h0g1?6=3`8o:7>5H3c4?>i2;:0;66sm90a95?3=83:p(8k<:21:?!4d:3>o?6*:d5855a=O:kl0b;?8:322?l4c<3:17d3:17d?>4?::a=4g=93?1<7>t$4g0>65>3-8h>7:k3:&6`1<19m1C>oh4n734>7653`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`:5=<62<0;6=u+5d1976?<,;i969j<;%7g0?06l2B9nk5a60596555<5<37c3A8ij6`9168141=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb837>4<2290;w);j3;10=>"5k;0?h>5+5e6924b<@;hm7c8>7;031>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sm90095?3=83:p(8k<:21:?!4d:3>o?6*:d5855a=O:kl0b;?8:325?l4c<3:17d3:17d0<729q/9h=532;8 7e52=n87);k4;42`>N5jo1e:<952158m7b32900e?j::188m7b12900e?j8:188k0542900qo7?e;391?6=8r.>i>4<389'6f4=i;50;9j6a0=831b>i950;9l165=831vn4>l:086>5<7s-?n?7=<9:&1g7<3l:1/9i:560f8L7da3g<:;7=6;%0`6?2c;2.>h9491e9K6g`n;h0g0?6=3`8o97>5;h0g2?6=3`8o;7>5;n707?6=3th2<54>:483>5}#=l91?>74$3a1>1b43-?o878>d:J1fc=i>8=1>=l4i3f7>5<6=44i3f5>5<5<h19>091:>o5l<0;6E5<7s-?n?7=<9:&1g7<3m:1/9i:560f8L7da3g<:;7=n:m<1<75f2e594?=h=:91<75rb8;5>4<4290;w);j3;10=>"5k;0>==5+5e6924b<@;hm7c8>7;03b>o5l=0;66g=d483>>i2;:0;66sm98395?3=83:p(8k<:21:?!4d:3>n?6*:d5855a=O:kl0b;?8:333?l4c<3:17d?>4?::a==`=9391<7>t$4g0>65>3-8h>7;>0:&6`1<19m1C>oh4n734>7763`8o87>5;h0g1?6=3f?8?7>5;|`:5010:6>4?:1y'1`5=;:30(?m=:433?!3c<3<:h6F=bg9m241=:890e?j;:188m7b22900c8=<:188yg??;3;197>50z&6a6<4;01/>n<54d18 0b32?;o7Ei:50;9j6a3=83B9m:54i3f5>5<5<h19>09=85f2e694?=n:m?1<75`52194?=zj0=h6<4::183!3b;39856*=c387a6=#=m>1:>o5l<0;6Ei950;9l165=831vn49n:080>5<7s-?n?7=<9:&1g7<2991/9i:560f8L7da3g<:;7<>7:k1`1<722c9h84?::m676<722wi5:;51;794?6|,=6;%0`6?2b;2.>h9491e9K6g`5H3c4?>o5l?0;66g=d683>>i2;:0;66sm96195?5=83:p(8k<:21:?!4d:3?:<6*:d5855a=O:kl0b;?8:33:?l4c<3:17d0<729q/9h=532;8 7e52=o87);k4;42`>N5jo1e:<9520c8m7b32900e?j::18K6d1<3`8o:7>5;h0g3?6=3f?8?7>5;|`:2f<62:0;6=u+5d1976?<,;i968??;%7g0?06l2B9nk5a605964d5<37c3A8ij6`916815f=n:m>1<75f2e794?N5i>10e?j9:188m7b02900c8=<:188yg?1=3;1?7>50z&6a6<4;01/>n<55028 0b32?;o7Ei:50;9j6a3=831d9>=50;9~f<07280>6=4?{%7f7?5412.9o?4;e29'1a2=>8n0D?li;o423?46m2c9h94?::k1`0<72A8j;65f2e494?=n:m=1<75`52194?=zj0?n6<4<:183!3b;39856*=c38655=#=m>1:>o5l<0;66a:3283>>{e1<31=7;50;2x 0c42:927)"2l=0==i5G2cd8j3702;8;7d=6;%0`6?3682.>h9491e9K6g`;h0g0?6=3`8o97>5;n707?6=3th28k4>:483>5}#=l91?>74$3a1>1c43-?o878>d:J1fc=i>8=1>?<4i3f7>5<6=4G2`58?l4c>3:17d1<729q/9h=532;8 7e52=n>7);k4;42`>N5jo1e:<952318m7b32900e?j::188m7b1290C>l94;n707?6=3th3m;4>:583>5}#=l91?>74$3a1>1b23-?o878>d:J1fc=i>8=1>?:4i3f7>5<6=44i3f5>55;|`;f=<62=0;6=u+5d1976?<,;i969j:;%7g0?06l2B9nk5a60596705<1<729q/9h=532;8 7e52=n>7);k4;42`>N5jo1e:<952358m7b32900e?j::188m7b1290C>l94;n707?6=3th3;=4>:583>5}#=l91?>74$3a1>1b23-?o878>d:J1fc=i>8=1>?64i3f7>5<6=44i3f5>5i>4=d99'1a2=>8n0(>h9491e9'77c=:mk0D?li;o423?45j2c9h>4?::m7g0<722wi>4:51;094?6|,h4=d`9K6g`h97>5;|`562<62;0;6=u+5d196a><,5<h19>09>h5f2e194?=h4<5290;w);j3;0g<>"2l=0==i5+33g96ag<@;hm7c8>7;01b>o5l:0;66a;c483>>{eim<1=7<50;2x 0c42<9;7);k4;42`>"4:l0>?>5G2cd8j3702;9;7d7<729q/9h=55228 0b32?;o7)==e;707>N5jo1e:<952238m7b42900c9m::188yg73;3;1>7>50z&6a6<2;91/9i:560f8 64b2<987Ei=50;9l0f3=831vn<:=:081>5<7s-?n?7;<0:&6`1<19m1/??k55218L7da3g<:;7<<3:k1`6<722e?o84?::a517=9381<7>t$4g0>0573-?o878>d:&06`<2;:1C>oh4n734>7533`8o?7>5;n6`1?6=3th:8=4>:383>5}#=l919>>4$4f7>37c3-99i7;<3:J1fc=i>8=1>>;4i3f0>5<6=44}c0g6?7=:3:11:0543A8ij6`9168173=n:m91<75`4b794?=zj;n:6<4=:183!3b;3?8<6*:d5855a=#;;o19>=4H3`e?k06?388;6g=d283>>i3k<0;66sm2e295?4=83:p(8k<:413?!3c<3<:h6*<2d8676=O:kl0b;?8:31;?l4c;3:17b:l5;29?xd5ko0:6?4?:1y'1`5==::0(8j;:73g?!55m3?8?6F=bg9m241=::30e?j<:188k1e22900qo;n8;396?6=8r.>i>4:319'1a2=>8n0(>h9491e9'77c==:90D?li;o423?44j2c9h>4?::m7g0<722wi9l851;094?6|,h4:329K6g`h97>5;|`6e0<62;0;6=u+5d19166<,5<?=5+5e6924b<,:8n68=<;I0ab>h19>09?h5f2e194?=h4<5290;w);j3;704>"2l=0==i5+33g9165<@;hm7c8>7;00b>o5l:0;66a;c483>>{e?1o1=7<50;2x 0c42<9;7);k4;42`>"4:l0>?>5G2cd8j3702;>;7d7<729q/9h=55228 0b32?;o7)==e;707>N5jo1e:<952538m7b42900c9m::188yg50i3;197>50z&6a6<4;01/>n<550a8 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~f61e280>6=4?{%7f7?5412.9o?4;d89'1a2=>8n0D?li;o423?43;2c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::a72e=93?1<7>t$4g0>65>3-8h>7:k9:&6`1<19m1C>oh4n734>7233`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`03a<62<0;6=u+5d1976?<,;i969j6;%7g0?06l2B9nk5a60596135<5<37c3A8ij6`9168103=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb25e>4<2290;w);j3;10=>"5k;0?h45+5e6924b<@;hm7c8>7;073>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sm91295?3=83:p(8k<:21:?!4d:3?;j6*:d5855a=O:kl0b;?8:36;?l4c<3:17d3:1D?o8;:k1`2<722e>?>4?::a<3g=93?1<7>t$4g0>65>3-8h>7;?f:&6`1<19m1C>oh4n734>72>3`8o87>5;h0g1?6=3`8o:7>5H3c4?>o5l>0;66a:3283>>{e1j?1=7;50;2x 0c42:927)"2l=0==i5G2cd8j3702;>j7d=6;%0`6?36i2.>h9491e9K6g`5;h0g2?6=3f?8?7>5;|`:f=<62<0;6=u+5d1976?<,;i968?6;%7g0?06l2B9nk5a605961e5<5<37c3A8ij6`916810a=n:m>1<75f2e794?=n:m<1<75f2e594?N5i>10c8=<:188yg?3k3;187>50z&6a6<18h1/9i:560f8L7da3g<:;7<;e:k1`1<722c9h84?::k6g2<722e?o84?::a=06=93>1<7>t$4g0>65>3-8h>7;=7:&6`1<19m1C>oh4n734>72a3`8o87>5;h0g1?6=3`8o:7>5;n707?6=3th<:o4>:583>5}#=l91:=o4$4f7>37c3A8ij6`9168115=n:m>1<75f2e794?=n=j=1<75`4b794?=zj>1:=6g=d583>>o5l<0;66a:3283>>{e?>:1=7;50;2x 0c42:927)"2l=0==i5G2cd8j3702;?97d50z&6a6<4;01/>n<55128 0b32?8j7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~f3e0280>6=4?{%7f7?5412.9o?4;e39'1a2=>;k0D?li;o423?42=2c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::a=07=93?1<7>t$4g0>65>3-8h>7:ic:&6`1<19m1C>oh4n734>7313`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`4gf<62<0;6=u+5d1976?<,;i969k?;%7g0?06l2B9nk5a60596015<5<34f3A8ij6`916811==n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb7a:>4<2290;w);j3;10=>"5k;0><45+5e6927g<@;hm7c8>7;06=>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sm70c95?3=83:p(8k<:21:?!4d:3?;;6*:d5855a=O:kl0b;?8:37b?l4c<3:17d3:17d0<729q/9h=532;8 7e52<;87);k4;42`>N5jo1e:<9524`8m7b32900e?j::188m7b12900e?j8:188k0542900qo9>5;391?6=8r.>i>4<389'6f4==890(8j;:73g?M4en2d==:4=5b9j6a2=831b>i;50;9j6a0=831b>i950;9l165=831vn:;n:086>5<7s-?n?7=<9:&1g7<2:91/9i:57368L7da3g<:;7<:d:k1`1<722c9h84?::k1`3<722c9h:4?::m676<722wi:>;51;794?6|,=6;%0`6?3612.>h9492`9K6g`5;h0g2?6=3`8o;7>5;n707?6=3th=?94>:483>5}#=l91?>74$3a1>07>3-?o878=a:J1fc=i>8=1>8h4i3f7>53:17d1<729q/9h=532;8 7e52<887);k4;42`>N5jo1e:<952728m7b32900e?j::188m7b12900c8=<:188yg1a<3;197>50z&6a6<4;01/>n<54b48 0b32?;o7E81b>i:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~f=67280>6=4?{%7f7?5412.9o?4;fe9'1a2=>8n0D?li;o423?41:2c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::a3c5=93?1<7>t$4g0>65>3-8h>7:i0:&6`1<19m1C>oh4n734>7043`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`4b7<62<0;6=u+5d1976?<,;i969mn;%7g0?06l2B9nk5a60596325<5<4$4f7>34f3A8ij6`9168120=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb6a6>4<2290;w);j3;10=>"5k;0?ho5+5e6924b<@;hm7c8>7;052>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sm7c495?3=83:p(8k<:21:?!4d:3?:86*:d5855a=O:kl0b;?8:344?l4c<3:17d3:17d0<729q/9h=532;8 7e52<:n7);k4;42`>N5jo1e:<9527:8m7b32900e?j::188m7b12900e?j8:188k0542900qo988;391?6=8r.>i>4<389'6f4==9o0(8j;:73g?M4en2d==:4=689j6a2=831b>i;50;9j6a0=831b>i950;9l165=831vn;ol:086>5<7s-?n?7=<9:&1g7<3l>1/9i:563c8L7da3g<:;7<9a:k1`1<722c9h84?::k1`3<722c9h:4?::m676<722wi:9651;794?6|,=6;%0`6?2c?2.>h9492`9K6g`5;h0g2?6=3`8o;7>5;n707?6=3th=?54>:483>5}#=l91?>74$3a1>1b03-?o878=a:J1fc=i>8=1>;m4i3f7>5<6=44i3f5>5<5<h19>09:i5f2e694?=n:m?1<75`52194?=zjh:=6<4;:183!3b;39856*=c38646=#=m>1:>o5l<0;66g=d783>>i2;:0;66sm7bd95?3=83:p(8k<:21:?!4d:3>h46*:d5855a=O:kl0b;?8:34e?l4c<3:17d3:17d0<729q/9h=532;8 7e52=oi7);k4;42`>N5jo1e:<952628m7b32900e?j::188m7b12900e?j8:188k0542900qook4;391?6=8r.>i>4<389'6f4=i;50;9j6a0=831b>i950;9l165=831vnlmj:086>5<7s-?n?7=<9:&1g7<3k>1/9i:560f8L7da3g<:;7<82:k1`1<722c9h84?::k1`3<722c9h:4?::m676<722wimn651;794?6|,=6;%0`6?2d?2.>h9491e9K6g`5;h0g2?6=3`8o;7>5;n707?6=3th<;>4>:483>5}#=l91?>74$3a1>1c63-?o878>d:J1fc=i>8=1>::4i3f7>5<6=44i3f5>5<5<h19>09;85f2e694?=n:m?1<75`52194?=zj1no6<4::183!3b;39856*=c38667=#=m>1:>o5l<0;66g=d783>>o5l>0;6E5<7s-?n?7=<9:&1g7<2::1/9i:560f8L7da3g<:;7<87:k1`1<722c9h84?::k1`3<722e>?>4?::ae5d=93?1<7>t$4g0>65>3-8h>7:k4:&6`1<19m1C>oh4n734>71?3`8o87>5;h0g1?6=@;k<76g=d783>>o5l>0;66a:3283>>{e0>k1=7;50;2x 0c42:927)"2l=0==i5G2cd8j3702;=27d=6;%0`6?2a92.>h9491e9K6g`5;h0g2?6=3`8o;7>5H3c4?>i2;:0;66sm89a95?3=83:p(8k<:21:?!4d:3>m=6*:d5855a=O:kl0b;?8:35a?l4c<3:17d3:17d?>4?::a<<3=93?1<7>t$4g0>65>3-8h>7:i1:&6`1<19m1C>oh4n734>71d3`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=@;k<76a:3283>>{e00o1=7;50;2x 0c42:927)"2l=0==i5G2cd8j3702;=o7d=6;%0`6?2a92.>h9491e9K6g`5;h0g2?6=3`8o;7>5H3c4?>i2;:0;66sm8c295?3=83:p(8k<:21:?!4d:3>m=6*:d5855a=O:kl0b;?8:35e?l4c<3:17d3:17d?>4?::a<27=93?1<7>t$4g0>65>3-8h>7:i1:&6`1<19m1C>oh4n734>7>73`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=@;k<76a:3283>>{e0"2l=0==i5G2cd8j3702;2:7d=6;%0`6?2cn2.>h9491e9K6g`5;h0g2?6=3`8o;7>5;n707?6=3th25n4>:483>5}#=l91?>74$3a1>1ba3-?o878>d:J1fc=i>8=1>5=4i3f7>5<6=44i3f5>5<5<h19>09495f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj02n6<4::183!3b;39856*=c387`c=#=m>1:>o5l<0;66g=d783>>o5l>0;66a:3283>>{e11=1=7;50;2x 0c42:927)"2l=0==i5G2cd8j3702;2=7d090:684?:1y'1`5=;:30(?m=:5fe?!3c<3<:h6F=bg9m241=:1=0e?j;:188m7b22900e?j9:188m7b02900c8=<:188yg?013;197>50z&6a6<4;01/>n<54ed8 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~f<15280>6=4?{%7f7?5412.9o?4;dg9'1a2=>8n0D?li;o423?4?12c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::a=3d=93?1<7>t$4g0>65>3-8h>7:kf:&6`1<19m1C>oh4n734>7>f3`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`:21<62<0;6=u+5d1976?<,;i969ji;%7g0?06l2B9nk5a60596=d5<5<37c3A8ij6`916811<75f2e794?=n:m<1<75f2e594?=h=:91<75rb652>4<2290;w);j3;10=>"5k;0><45+5e6924b<@;hm7c8>7;0;`>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sm7gd95?3=83:p(8k<:21:?!4d:3?:86*:d5855a=O:kl0b;?8:3:f?l4c<3:17d3:17d1<729q/9h=532;8 7e52<:97);k4;42`>N5jo1e:<9529d8m7b32900e?j::188m7b12900c8=<:188yg1f03;197>50z&6a6<4;01/>n<553c8 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~fdgf280>6=4?{%7f7?5412.9o?4;f59'1a2=>8n0D?li;o423?4>92c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::aed>=93?1<7>t$4g0>65>3-8h>7:i4:&6`1<19m1C>oh4n734>7?53`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`be3<62<0;6=u+5d1976?<,;i969h;;%7g0?06l2B9nk5a60596<55<5<37c3A8ij6`91681=1=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb`c1>4<2290;w);j3;10=>"5k;0?j95+5e6924b<@;hm7c8>7;0:1>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sma`295?3=83:p(8k<:21:?!4d:3>m86*:d5855a=O:kl0b;?8:3;5?l4c<3:17d3:17d1<729q/9h=532;8 7e52<8h7);k4;42`>N5jo1e:<952858m7b32900e?j::188m7b12900c8=<:188yg>713;187>50z&6a6<4;01/>n<55108 0b32?;o7Ei:50;9j6a3=831b>i850;9l165=831vnl7l:086>5<7s-?n?7=<9:&1g7<3n=1/9i:560f8L7da3g<:;7<69:k1`1<722c9h84?::k1`3<722c9h:4?::m676<722wim4o51;794?6|,=6;%0`6?2a<2.>h9491e9K6g`5;h0g2?6=3`8o;7>5;n707?6=3thj554>:483>5}#=l91?>74$3a1>1`33-?o878>d:J1fc=i>8=1>4l4i3f7>5<6=44i3f5>5<5<h19>095n5f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zjh3?6<4::183!3b;39856*=c387b1=#=m>1:>o5l<0;66g=d783>>o5l>0;66a:3283>>{ei081=7;50;2x 0c42:927)"2l=0==i5G2cd8j3702;3n7d50z&6a6<4;01/>n<54g68 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~fd>d280>6=4?{%7f7?5412.9o?4;f59'1a2=>8n0D?li;o423?4f92c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::ae=g=93?1<7>t$4g0>65>3-8h>7:i4:&6`1<19m1C>oh4n734>7g53`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`bfd<62<0;6=u+5d1976?<,;i969h;;%7g0?06l2B9nk5a60596d55<5<37c3A8ij6`91681e1=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb`;f>4<2290;w);j3;10=>"5k;0?j95+5e6924b<@;hm7c8>7;0b1>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sma`a95?3=83:p(8k<:21:?!4d:3>m86*:d5855a=O:kl0b;?8:3c5?l4c<3:17d3:17d0<729q/9h=532;8 7e52=l?7);k4;42`>N5jo1e:<952`58m7b32900e?j::188m7b12900e?j8:188k0542900qoom0;391?6=8r.>i>4<389'6f4=0(8j;:73g?M4en2d==:4=a99j6a2=831b>i;50;9j6a0=831b>i950;9l165=831vnll=:086>5<7s-?n?7=<9:&1g7<3n=1/9i:560f8L7da3g<:;7=6;%0`6?2a<2.>h9491e9K6g`5;h0g2?6=3`8o;7>5;n707?6=3thjn;4>:483>5}#=l91?>74$3a1>1`33-?o878>d:J1fc=i>8=1>ll4i3f7>5<6=44i3f5>5<5<h19>09mn5f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj1h26<4::183!3b;39856*=c387b<=#=m>1:>o5l<0;66g=d783>>o5l>0;6E5<7s-?n?7=<9:&1g7<3n01/9i:560f8L7da3g<:;7=h=:91<75rb65g>4<3290;w);j3;10=>"5k;0>>;5+5e6924b<@;hm7c8>7;0bb>o5l=0;66g=d483>>o5l?0;66a:3283>>{e?181=7;50;2x 0c42:927)"2l=0==i5G2cd8j3702;h;7d3;197>50z&6a6<4;01/>n<54g:8 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~f2dd280?6=4?{%7f7?5412.9o?4:1`9'1a2=>8n0D?li;o423?4e;2c9h94?::k1`0<722c9h;4?::m676<722wi;ol51;794?6|,=6;%0`6?2ci2.>h9491e9K6g`5;h0g2?6=3`8o;7>5;n707?6=3th:583>5}#=l91?>74$3a1>07f3-?o878>d:J1fc=i>8=1>o;4i3f7>5<6=44i3f5>5<37c3A8ij6`91681f3=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb701>4<5290;w);j3;0g<>"2l=0==i5+33g96ag<@;hm7c8>7;0a3>o5l:0;66a;c483>>{e;j:1=7<50;2x 0c42:927)"2l=0==i5G2cd8j3702;h37d7<729q/9h=532;8 7e52<8m7);k4;42`>N5jo1e:<952c;8m7b32900c8=<:188yg51?3;1>7>50z&6a6<4;01/>n<553d8 0b32?;o7Ei:50;9l165=831vn>hi:081>5<7s-?n?7=<9:&1g7<2:o1/9i:560f8L7da3g<:;7?>4?::a01d=9381<7>t$4g0>65>3-8h>7;=f:&6`1<19m1C>oh4n734>7dd3`8o87>5;n707?6=3th?8i4>:383>5}#=l91?>74$3a1>04a3-?o878>d:J1fc=i>8=1>oj4i3f7>5<37c3A8ij6`91681f`=n:m>1<75`52194?=zj=?:6<4=:183!3b;39856*=c3866c=#=m>1:>i2;:0;66sm44195?4=83:p(8k<:21:?!4d:3?9j6*:d5855a=O:kl0b;?8:3a3?l4c<3:17b;<3;29?xd3=<0:6?4?:1y'1`5=;:30(?m=:40e?!3c<3<:h6F=bg9m241=:j;0e?j;:188k0542900qo::7;396?6=8r.>i>4<389'6f4==;l0(8j;:73g?M4en2d==:4=c39j6a2=831d9>=50;9~f13>28096=4?{%7f7?5412.9o?4:2g9'1a2=>8n0D?li;o423?4d;2c9h94?::m676<722wi88l51;094?6|,=6;%0`6?35n2.>h9491e9K6g`5;|`70<<62;0;6=u+5d1976?<,;i9685<897?52;294~"2m:08?45+2b0917`<,h19>09o;5f2e694?=h=:91<75rb514>4<5290;w);j3;10=>"5k;0>>k5+5e6924b<@;hm7c8>7;0`3>o5l=0;66a:3283>>{e<:k1=7<50;2x 0c42:927)"2l=0==i5G2cd8j3702;i37d7<729q/9h=532;8 7e52<8m7);k4;41e>N5jo1e:<952b;8m7b32900c8=<:188yg0>03;1>7>50z&6a6<4;01/>n<553d8 0b32?8j7Ei:50;9l165=831vn:=8:081>5<7s-?n?7=<9:&1g7<2:o1/9i:57368L7da3g<:;7?>4?::a344=93>1<7>t$4g0>36f3-?o878>d:J1fc=i>8=1>nm4i3f7>5<6=44i4a4>5<6=44}c527?7==3:137c3A8ij6`91681ga=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb637>4<2290;w);j3;10=>"5k;0>=;5+5e6924b<@;hm7c8>7;0`a>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sm7d795?2=83:p(8k<:72b?!3c<3<:h6F=bg9m241=:jl0e?j;:188m7b22900e8m8:188k1e22900qo9j6;397?6=8r.>i>4<389'6f4==8;0(8j;:73g?M4en2d==:4=d19j6a2=831b>i;50;9l165=831vn:k8:086>5<7s-?n?7=<9:&1g7<3n01/9i:560f8L7da3g<:;7n;%7g0?06l2B9nk5a60596a45<5<h19>09h>5f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj>ln6<4::183!3b;39856*=c387a<=#=m>1:>o5l<0;66g=d783>>o5l>0;66a:3283>>{e0N5jo1e:<952e78m7b32900e?j::188m0e02900c9m::188yg>2n3;187>50z&6a6<4;01/>n<55318 0b32?;o7Ei:50;9j6a3=831b>i850;9l165=831vn58?:086>5<7s-?n?7=<9:&1g7<28<1/9i:560f8L7da3g<:;7n;%7g0?06l2B9nk5a60596a>5<5<h19>09h45f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj1:?6<4;:183!3b;39856*=c387b0=#=m>1:>o5l<0;66g=d783>>i2;:0;66sm7`;95?2=83:p(8k<:72b?!3c<3<:h6F=bg9m241=:mh0e?j;:188m7b22900e8m8:188k1e22900qo9na;390?6=8r.>i>4<389'6f4==990(8j;:73g?M4en2d==:4=db9j6a2=831b>i;50;9j6a0=831d9>=50;9~f2ge280>6=4?{%7f7?5412.9o?4;dd9'1a2=>8n0D?li;o423?4cl2c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::ae63=93?1<7>t$4g0>65>3-8h>7;>9:&6`1<19m1C>oh4n734>7bb3`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`b73<62=0;6=u+5d1925g<,h19>09hk5f2e694?=n:m?1<75f5b594?=h4<2290;w);j3;10=>"5k;0>=45+5e6924b<@;hm7c8>7;0f4>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sma2195?2=83:p(8k<:72b?!3c<3<:h6F=bg9m241=:l;0e?j;:188m7b22900e8m8:188k1e22900qo9j9;390?6=8r.>i>4<389'6f4==;i0(8j;:73g?M4en2d==:4=e39j6a2=831b>i;50;9j6a0=831d9>=50;9~f2cf280>6=4?{%7f7?5412.9o?4:019'1a2=>8n0D?li;o423?4b;2c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::a3`d=93>1<7>t$4g0>36f3-?o878>d:J1fc=i>8=1>h:4i3f7>5<6=44i4a4>5<6=44}c;36?7==3:137c3A8ij6`91681a0=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb820>4<3290;w);j3;10=>"5k;0>>>5+5e6924b<@;hm7c8>7;0f2>o5l=0;66g=d483>>o5l?0;66a:3283>>{e19>1=7:50;2x 0c42?:j7);k4;42`>N5jo1e:<952d58m7b32900e?j::188m0e02900c9m::188yg1e:3;197>50z&6a6<4;01/>n<55148 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~f2d4280>6=4?{%7f7?5412.9o?4;de9'1a2=>8n0D?li;o423?4b12c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::a3g2=93>1<7>t$4g0>36f3-?o878>d:J1fc=i>8=1>ho4i3f7>5<6=44i4a4>5<6=44}c5ba?7==3:137c3A8ij6`91681ag=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb6ce>4<4290;w);j3;10=>"5k;0>=<5+5e6924b<@;hm7c8>7;0fg>o5l=0;66g=d483>>i2;:0;66sm7c295?2=83:p(8k<:72b?!3c<3<:h6F=bg9m241=:ln0e?j;:188m7b22900e8m8:188k1e22900qo6?6;391?6=8r.>i>4<389'6f4==8>0(8j;:73g?M4en2d==:4=ed9j6a2=831b>i;50;9j6a0=831b>i950;9l165=831vn5>8:080>5<7s-?n?7=<9:&1g7<29k1/9i:560f8L7da3g<:;7n;%7g0?06l2B9nk5a60596c65<5<h19>09j<5f2e694?=n:m?1<75f2e494?=h=:91<75rb6d:>4<4290;w);j3;10=>"5k;0>=o5+5e6924b<@;hm7c8>7;0e6>o5l=0;66g=d483>>i2;:0;66sm7gc95?2=83:p(8k<:72b?!3c<3<:h6F=bg9m241=:o90e?j;:188m7b22900e8m8:188k1e22900qook1;391?6=8r.>i>4<389'6f4=i;50;9j6a0=831b>i950;9l165=831vnlj=:087>5<7s-?n?7=<9:&1g7<2811/9i:560f8L7da3g<:;7?>4?::aea5=93>1<7>t$4g0>36f3-?o878>d:J1fc=i>8=1>k84i3f7>5<6=44i4a4>5<6=44}cc`f?7==3:137c3A8ij6`91681b2=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb`a`>4<3290;w);j3;10=>"5k;0><55+5e6924b<@;hm7c8>7;0e<>o5l=0;66g=d483>>o5l?0;66a:3283>>{eijn1=7:50;2x 0c42?:j7);k4;42`>N5jo1e:<952g;8m7b32900e?j::188m0e02900c9m::188yggd=3;197>50z&6a6<4;01/>n<54da8 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~fde1280?6=4?{%7f7?5412.9o?4:099'1a2=>8n0D?li;o423?4aj2c9h94?::k1`0<722c9h;4?::m676<722wimn951;694?6|,n;%7g0?06l2B9nk5a60596ce5<5<h19>09ji5f2e694?=n:m?1<75f2e494?=h=:91<75rb6f1>4<4290;w);j3;10=>"5k;0>=<5+5e6924b<@;hm7c8>7;0ea>o5l=0;66g=d483>>i2;:0;66sm7e195?2=83:p(8k<:72b?!3c<3<:h6F=bg9m241=:ol0e?j;:188m7b22900e8m8:188k1e22900qo9l7;391?6=8r.>i>4<389'6f4=i;50;9j6a0=831b>i950;9l165=831vn:m7:087>5<7s-?n?7=<9:&1g7<3n?1/9i:560f8L7da3g<:;7=?1:k1`1<722c9h84?::k1`3<722e>?>4?::a3f?=93>1<7>t$4g0>36f3-?o878>d:J1fc=i>8=1?=<4i3f7>5<6=44i4a4>5<6=44}c5a37c3A8ij6`9168046=n:m>1<75f2e794?=h=:91<75rb6`:>4<2290;w);j3;10=>"5k;0?j>5+5e6924b<@;hm7c8>7;130>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sm7cc95?2=83:p(8k<:72b?!3c<3<:h6F=bg9m241=;9?0e?j;:188m7b22900e8m8:188k1e22900qo9je;397?6=8r.>i>4<389'6f4==8h0(8j;:73g?M4en2d==:4<079j6a2=831b>i;50;9l165=831vn:li:080>5<7s-?n?7=<9:&1g7<2:l1/9i:560f8L7da3g<:;7=?7:k1`1<722c9h84?::m676<722wi;=6;%0`6?37<2.>h9491e9K6g`>7;h0g0?6=3`8o97>5;h0g2?6=3`8o;7>5;n707?6=3thj>n4>:483>5}#=l91?>74$3a1>0633-?o878>d:J1fc=i>8=1?=74i3f7>5<6=44i3f5>5<5<h19>081:?o4H3`e?k06?39;n6g=d583>>o5l<0;66g=d783>>o5l>0;66a:3283>>{ei9i1=7:50;2x 0c42:927)"2l=0==i5G2cd8j3702::h7d0<729q/9h=532;8 7e52<8:7);k4;42`>N5jo1e:<9531f8m7b32900e?j::188m7b12900e?j8:188k0542900qoo>f;391?6=8r.>i>4<389'6f4==;;0(8j;:73g?M4en2d==:4<0d9j6a2=831b>i;50;9j6a0=831b>i950;9l165=831vnl<>:087>5<7s-?n?7=<9:&1g7<28;1/9i:560f8L7da3g<:;7=?f:k1`1<722c9h84?::k1`3<722e>?>4?::a=f7=93?1<7>t$4g0>65>3-8h>7:lc:&6`1<19m1C>oh4n734>6773`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`b6=<62=0;6=u+5d1976?<,;i968>=;%7g0?06l2B9nk5a60597475<5<h19>08=?5f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj1==6<4;:183!3b;39856*=c387`3=#=m>1:>o5l<0;66g=d783>>i2;:0;66sm86d95?2=83:p(8k<:21:?!4d:3>o:6*:d5855a=O:kl0b;?8:237?l4c<3:17d3:17b;<3;29?xd?010:694?:1y'1`5=;:30(?m=:5f5?!3c<3<:h6F=bg9m241=;8?0e?j;:188m7b22900e?j9:188k0542900qo661;390?6=8r.>i>4<389'6f4=i;50;9j6a0=831d9>=50;9~f<62280>6=4?{%7f7?5412.9o?4:139'1a2=>8n0D?li;o423?56?2c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::a<1<7>t$4g0>65>3-8h>7:k6:&6`1<19m1C>oh4n734>67?3`8o87>5;h0g1?6=3`8o:7>5;n707?6=3th3m>4>:583>5}#=l91?>74$3a1>1b13-?o878>d:J1fc=i>8=1?<74i3f7>5<6=44i3f5>5<37c3A8ij6`916805d=n:m>1<75f2e794?=n:m<1<75`52194?=zj1h>6<4;:183!3b;39856*=c387`3=#=m>1:>o5l<0;66g=d783>>i2;:0;66sm8cg95?2=83:p(8k<:21:?!4d:3>o:6*:d5855a=O:kl0b;?8:23`?l4c<3:17d3:17b;<3;29?xd?>m0:694?:1y'1`5=;:30(?m=:5f5?!3c<3<:h6F=bg9m241=;8n0e?j;:188m7b22900e?j9:188k0542900qo7mf;391?6=8r.>i>4<389'6f4==9;0(8j;:73g?M4en2d==:4<1d9j6a2=831b>i;50;9j6a0=831b>i950;9l165=831vn4:k:087>5<7s-?n?7=<9:&1g7<2811/9i:560f8L7da3g<:;7=>f:k1`1<722c9h84?::k1`3<722e>?>4?::a33e=93?1<7>t$4g0>65>3-8h>7:k1:&6`1<19m1C>oh4n734>6473`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`;22<62<0;6=u+5d1976?<,;i969kk;%7g0?06l2B9nk5a60597775<5<4$4f7>37c3A8ij6`9168067=n:m>1<75f2e794?=h=:91<75rb`32>4<2290;w);j3;10=>"5k;0>>85+5e6924b<@;hm7c8>7;117>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sm86`95?2=83:p(8k<:21:?!4d:3?;>6*:d5855a=O:kl0b;?8:207?l4c<3:17d3:17b;<3;29?xd?0=0:694?:1y'1`5=;:30(?m=:421?!3c<3<:h6F=bg9m241=;;?0e?j;:188m7b22900e?j9:188k0542900qo67d;390?6=8r.>i>4<389'6f4==980(8j;:73g?M4en2d==:4<279j6a2=831b>i;50;9j6a0=831d9>=50;9~f=?1280?6=4?{%7f7?5412.9o?4:039'1a2=>8n0D?li;o423?55?2c9h94?::k1`0<722c9h;4?::m676<722wi44h51;694?6|,=6;%0`6?37:2.>h9491e9K6g`<7;h0g0?6=3`8o97>5;h0g2?6=3f?8?7>5;|`;e=<62=0;6=u+5d1976?<,;i968>=;%7g0?06l2B9nk5a605977?5<5<h19>08>l5f2e694?=n:m?1<75f2e494?=h=:91<75rb951>4<3290;w);j3;10=>"5k;0>7;11f>o5l=0;66g=d483>>o5l?0;66a:3283>>{e0?91=7:50;2x 0c42:927)"2l=0==i5G2cd8j3702:8h7d0<729q/9h=532;8 7e52=n97);k4;42`>N5jo1e:<9533f8m7b32900e?j::188m7b12900e?j8:188k0542900qo769;391?6=8r.>i>4<389'6f4=i;50;9j6a0=831b>i950;9l165=831vn47=:086>5<7s-?n?7=<9:&1g7<3l;1/9i:560f8L7da3g<:;7==f:k1`1<722c9h84?::k1`3<722c9h:4?::m676<722wi55l51;794?6|,=6;%0`6?2c:2.>h9491e9K6g`=?;h0g0?6=3`8o97>5;h0g2?6=3`8o;7>5;n707?6=3th2494>:483>5}#=l91?>74$3a1>1b53-?o878>d:J1fc=i>8=1?>?4i3f7>5<6=44i3f5>5<5<h19>08??5f2e694?=n:m?1<75f2e494?=n:m=1<75`52194?=zj0==6<4::183!3b;39856*=c387`7=#=m>1:>o5l<0;66g=d783>>o5l>0;66a:3283>>{e1?l1=7;50;2x 0c42:927)"2l=0==i5G2cd8j3702:9?7d>10:684?:1y'1`5=;:30(?m=:5f1?!3c<3<:h6F=bg9m241=;:?0e?j;:188m7b22900e?j9:188m7b02900c8=<:188yg?193;197>50z&6a6<4;01/>n<54e08 0b32?;o7Ei:50;9j6a3=831b>i850;9j6a1=831d9>=50;9~f<34280>6=4?{%7f7?5412.9o?4;d39'1a2=>8n0D?li;o423?54?2c9h94?::k1`0<722c9h;4?::k1`2<722e>?>4?::a322=93?1<7>t$4g0>65>3-8h>7;?0:&6`1<19m1C>oh4n734>65?3`8o87>5;h0g1?6=3`8o:7>5;h0g3?6=3f?8?7>5;|`43d<62<0;6=u+5d1976?<,;i9685<5<37c3A8ij6`916807d=n:m>1<75f2e794?=n:m<1<75f2e594?=h=:91<75rb9`b>4<2290;w);j3;10=>"5k;0>7;10f>o5l=0;66g=d483>>o5l?0;66g=d683>>i2;:0;66sm8b195?3=83:p(8k<:21:?!4d:3?;m6*:d5855a=O:kl0b;?8:21`?l4c<3:17d3:17di>4:339'77c==:90(>=9:461?M4en2d==:4<3e9j1a4=831vnh:51;394?6|,4<6290;w);j3;706>"4:l0>?>5G2cd8j3702:9m7d;k2;29?xdb?3;1=7>50z&6a6<2;;1/??k55218L7da3g<:;7=;0:k6`7<722wi>4=51;394?6|,:>;n7g7?6=3th9o;4>:083>5}#=l919><4$20f>0543-98:7;8=1?9<4i4f1>5<??5+33g9165<@;hm7c8>7;177>o2l;0;66sm2b:95?7=83:p(8k<:411?!55m3?8?6F=bg9m241=;=>0e8j=:188yg4di3;1=7>50z&6a6<2;;1/??k55218L7da3g<:;7=;5:k6`7<722wi?>>51;394?6|,:9;n7g7?6=3th>594>:083>5}#=l919><4$20f>0543-98:7;8=1?994i4f1>5<??5+33g9165<@;hm7c8>7;17<>o2l;0;66sm58495?7=83:p(8k<:411?!55m3?8?6F=bg9m241=;=30e8j=:188yg3>03;1=7>50z&6a6<2;;1/??k55218L7da3g<:;7=;a:k6`7<722wi94k51;394?6|,:m;n7g7?6=3th>i84>:083>5}#=l91??h4$20f>7bf3-98:7;:5:J1fc=i>8=1?9m4o4f0>5<k5+33g96ag<,:9=68;8;I0ab>h19>088i5`5e194?=zj?8?6<4>:183!3b;399j6*<2d81`d=#;:<198h4H3`e?k06?39?i6a:d283>>{e>;?1=7?50;2x 0c42:8m7)==e;0ge>"4;?0>:=5G2cd8j3702:>m7b;k3;29?xd1:?0:6<4?:1y'1`5=;;l0(>3?=>6F=bg9m241=;<:0c8j<:188yg1193;1=7>50z&6a6<2;;1/??k55218 6512<9h7E?280:6=4?{%7f7?34:2.8>h4:329'760==<90D?li;o423?52:2c>h?4?::a3=?=93;1<7>t$4g0>0553-99i7;<3:&073<2=11C>oh4n734>6343`?o>7>5;|`4=4$215>0363A8ij6`9168010=n=m81<75rb`f6>4<6290;w);j3;706>"4:l0>?>5+324916?<@;hm7c8>7;162>o2l;0;66sm78495?4=939p(8k<:3af?M3b:2d==:4<569j274=931d:1<7>t$4g0>3613-?o878>d:J1fc=i>8=1?864i3f7>51<7>t$4g0>3613-?o879=4:J1fc=i>8=1?8o4i3f7>5<6=44i4a4>57}Y?:3095?45s-?n?7v;la;7`8=1?8l4i73e>4<?i6<44i54:>4<4<4<4<4<4<4<4<4<4<4<4<4<4<4<4<51;4<4n07g>7=i96*:fd86=d=#=ol194o4$3g4>0=#:l2196*=e886?!4bi3?0(?km:49'6`e==2.9ii4:;%0fa?3<,;om685+2g291>"5n80>7)k:55:&1b0<23-8m:7;4$3d4>0=#:o2196*=f886?!4ai3?0(?hm:49'6ce==2.9ji4:;%0ea?3<,;lm685+31291>"4880>7)=?2;78 6642<1/?=:55:&040<23-9;:7;4$224>0=#;92196*<0886?!57i3?0(>>m:49'75e==2.8"4980>7)=>2;78 6742<1/?<:55:&050<23-9::7;4$234>0=#;82196*<1886?!56i3?0(>?m:49'74e==2.8=i4:;%12a?3<,:;m685+33291>"4:80>7)==2;78 6442<1/??:55:&060<23-99:7;4$204>1=#;;2186*=dd86?!4cn3?0(?k?:49'6`7==2.9i?4:;%0f7?3<,;o?685+2d791>"2l?0?7);k7;68 64>2=i87);k1;a1?M4en2P:?k4l{0595=<5?3836>:534802?502;31>l4<9;1b>xh19>089n5f14094?=n9<91<75f28f94?=n:0o1<75f5e;94?=n=mk1<75f8683>!3?k33?7c;7b;28?l>1290/95m5959m1=d=921b484?:%7;g??33g?3n7<4;h:7>5<#=1i1595a59`97>=n0o0;6);7c;;7?k3?j3>07d6j:18'1=e=1=1e95l55:9j!3?k33?7c;7b;:8?l>f290/95m5959m1=d=121b444?:%7;g??33g?3n7o4;h:;>5<#=1i1595a59`9f>=n0:0;6);7c;;7?k3?j3i07d6=:188md3=83.>4n4m2:l65$4:`>g46`:8c81?>of:3:1(86l:c08j0>e2:10elj50;&64o4;;:kbg?6=,<2h6o<4n4:a>0=h20k0=76gna;29 0>d2k80b86m:698md?=83.>4n4m2:l65$4:`>g46`:8c8b?>of>3:1(86l:c08j0>e2k10el?50;&64o4l;:kb4?6=3`;5;h34f?6=3`;<57>5;h345;h342?6=3`;<97>5;h340?6=3`;5;h346?6=3`;<=7>5;h344?6=3`;=i7>5;h35`?6=3`;=o7>5;h35f?6=3`;=m7>5;h35=?6=3`;=47>5;h353?6=3`;=:7>5;h351?6=3`;3>7>5;h3;5?6=3`;3<7>5;h34b?6=3`;5;h34`?6=3`;5;h35b?6=3`;=87>5$4:`>4?e3g?3n7?j;:k226<72-?3o7?6b:l6=831b=o950;9j5g0=831b=o;50;9j5g2=831b=o=50;9j5g4=831b=o>50;9j5d`=831b=lk50;9j5db=831b=lm50;9j5dd=831b=lo50;9j5d?=831b=l650;9j5d1=831b=n:50;9j5f5=831b=n<50;9j5f7=831b=n>50;9j5g`=831b=om50;9j5g7=831b=l850;9j5d3=831b=ho50;9j5`?=831b=h650;9j5`1=831b=k:50;9j5c5=831b=k<50;9j5c7=831b9hk50;&64n4:f39m1=d=921b9hm50;&64n4:f39m1=d=;21b9k650;&64n4:fb9m1=d=921b9k850;&64n4:fb9m1=d=;21d><;50;9l642=831d><<50;9l647=831d><>50;9l65`=831d>=k50;9l65b=831d>=m50;9l65d=831d>=o50;9l65?=831d>=950;9l650=831d>=;50;9l652=831d>==50;9l654=831d>=?50;9l656=831d=kh50;9l5cc=831d><750;9l64>=831d><950;9l640=831d><=50;9l65>=831d=kj50;&6e28l07b<:7;29?j42>3:17b<:4;29?j42;3:17b<:2;29?j4293:17b<:0;29?j43n3:17b<;e;29?j43l3:17b<;c;29?j43j3:17b<;9;29?j4303:17b<;7;29?j43>3:17b<;5;29?j43<3:17b<;3;29?j43:3:17b<;1;29?j4383:17b<:d;29?j42k3:17b<:b;29?j42i3:17b<:9;29?j4203:17b<:5;29?j43i3:17b<d2;==7c;7b;3f?>i5;l0;6);7c;042>h20k0:j65`29194?=h:181<75`29394?=h:1:1<75`29f94?=h:1i1<75`29`94?=h:1k1<75rs73e>5<5sW<:j638958217=z{>?i6=4={_56f>;01=0:9>5rs54:>5<5sW>=56389581=a=z{?n=6=4={_4g2>;01=095h5rs544>5=;6389586`<=:?0>19io4=6;7>=1<5>3?6584=6;7>d3<5>3?6l:4=6;7>0`?34=287;i7:?4=1<2n?16;4:55g78yv>1k3:1>vP76b9>3<2=0<1v5lk:181[>el27<59474:pa3ty3mo4?:3y]3?65k4}r:b6?6=:rT3m?527869;01=03o6s|88294?4|V13;70964;:a?xu?0>0;6?uQ895892?321k0q~68e;296~X??l16;4:5889~w=122909wS685:?4=1d552z\5a1=:?0>1m?5rs7g0>5<5sW{t>l81<7<3kh7p}9e083>7}Y>l;01:7;:``8yv0b83:1>vP9e19>3<2=ih1v;ji:181[0cn27<594n9:p2ac=838pR;jj;<5:0?g?3ty=hi4?:3y]2ab<5>3?6l94}r4gg?6=:rT=hn527869e3=z{?nj6=4={_4ge>;01=0j=6s|93`94?4|V08i70964;350>{t1:>1<7<3;=?6s|9ca94?3|V0hh70964;7fa>;01=0>ii5278691`e<5>3?68km;|q:e5<72;qU5l>4=6;7>4`c3ty2m44?:3y]=d?<5>3?675a3ty2n94?:3y]=g2<5>3?6?=j;|a37}2kk0>o44rn734>63c3`<:j7?5;h56f?7=3`>=57?5;h4g2?7=3`>=;7?5;h:5g?7=3`2ih7?5;h:a0?7=3`2jn7?5;h:b6?7=3`2257?5;h::4?7=3`23;7?5;h:4a?7=3`2<97?5;h:67?5;n;b5?7=3f32j7?5;n;a6?7=3f3i=7?5;n;a4?7=3f3jj7?5;n;ba?7=3f3jh7?5;n;bg?7=3f3jm7?5;n;b"5mh0>7)hj55:&1a`<23-8nj7;4$3d3>0=#:o;196*=f386?!4a;3?0(?h;:49'6c3==2.9j;4:;%0e3?3<,;l3685+2g;91>"5nh0>7)kj55:&1b`<23-8mj7;4$223>0=#;9;196*<0386?!57;3?0(>>;:49'753==2.8<;4:;%133?3<,::3685+31;91>"48h0>7)=?b;78 66d2<1/?=j55:&04`<23-9;j7;4$233>0=#;8;196*<1386?!56;3?0(>?;:49'743==2.8=;4:;%123?3<,:;3685+30;91>"49h0>7)=>b;78 67d2<1/?0=#;;;196*<2386?!55;3?0(><;:49'773==2.8>;4:;%113?2<,:83695+2eg91>"5lo0>7)h<55:&1a6<23-8n87;4$3g6>0=#=m<186*:d687?!5513>h?6*:d08`6>N5jo1Q=>h5cz34>4>=:>0947=;:27973<4?3826?o53880e?{i>8=1?8k4i071>5<5<5<o?>3:1(86l:868j0>e2810e5;50;&6<2d>4o4=;:k;0?6=,<2h64:4n4:a>6=h20k0?76g7e;29 0>d20>0b86m:498m=b=83.>4n464:l65$4:`><2o?i3:1(86l:868j0>e2010e5750;&6<2d>4o4n;:k;!3?k3h97c;7b;68?lgd290/95m5b39m1=d==21bmo4?:%7;g?d53g?3n784;hcb>5<#=1i1n?5a59`93>=ni00;6);7c;`1?k3?j3207do7:18'1=e=j;1e95l59:9je2<72-?3o7l=;o7;f?g<3`k=6=44i`394?=ni90;66g>7b83>>o6?k0;66g>7883>>o6?10;66g>7683>>o6??0;66g>7483>>o6?=0;66g>7283>>o6?;0;66g>7083>>o6?90;66g>6d83>>o6>m0;66g>6b83>>o6>k0;66g>6`83>>o6>00;66g>6983>>o6>>0;66g>6783>>o6><0;66g>8383>>o6080;66g>8183>!3?k3;2n6`:8c82<>=n9>l1<7*:8b82=g=i=1h1=454i05f>5<#=1i1=4l4n4:a>4g<3`;5$4:`>4?e3g?3n7?m;:k23d<72-?3o7?6b:l6e28o07d?93;29 0>d283i7c;7b;3e?>o6jl0;66g>be83>>o6jk0;66g>b`83>>o6j00;66g>b983>>o6j>0;66g>b783>>o6j<0;66g>b583>>o6j:0;66g>b383>>o6j90;66g>ag83>>o6il0;66g>ae83>>o6ij0;66g>ac83>>o6ih0;66g>a883>>o6i10;66g>a683>>o6k=0;66g>c283>>o6k;0;66g>c083>>o6k90;66g>bg83>>o6jj0;66g>b083>>o6i?0;66g>a483>>o6mh0;66g>e883>>o6m10;66g>e683>!3?k3;ni6`:8c80?>o6n=0;66g>f283>>o6n;0;66g>f083>>o2ml0;6);7c;7e6>h20k0;76g:ee83>!3?k3?m>6`:8c82?>o2mj0;6);7c;7e6>h20k0976g:ec83>!3?k3?m>6`:8c80?>o2n10;6);7c;7eg>h20k0;76g:f683>!3?k3?mo6`:8c82?>o2n?0;6);7c;7eg>h20k0976g:f483>!3?k3?mo6`:8c80?>i59<0;66a=1583>>i59;0;66a=1083>>i5990;66a=0g83>>i58l0;66a=0e83>>i58j0;66a=0c83>>i58h0;66a=0883>>i58>0;66a=0783>>i58<0;66a=0583>>i58:0;66a=0383>>i5880;66a=0183>>i6no0;66a>fd83>>i59k0;66a=1`83>>i5900;6);7c;000>h20k0:465`20:94?"20j09?95a59`95<=4?:%7;g?44<2d>4o4>c:9l65>=83.>4n4=359m1=d=9m10c0b86m:0g8?j7ak3:1(86l:317?k3?j3;m76a=5683>>i5=?0;66a=5583>>i5=:0;66a=5383>>i5=80;66a=5183>>i5>i5>i5>i5<10;66a=4683>>i5>i5<=0;66a=4283>>i5<;0;66a=4083>>i5<90;66a=5e83>>i5=j0;66a=5c83>!3?k38<:6`:8c82<>=h:5<#=1i1>:84n4:a>4g<3f8>47>5$4:`>7113g?3n7?m;:m110<72-?3o7<86:l69o50;&6e28o07b<d2;==7c;7b;3e?>i50:0;66a=8383>>i5080;66a=8183>!3?k383;6`:8c80?>i50m0;66a=8b83>>i50k0;66a=8`83>!3?k382=6`:8c80?>{t>8l1<7;3;>>6s|74`94?4|V>?i70963;367>{t;382h6s|6e494?4|V?n=70963;0:a>{t;3?o56389286`d=:?0919k64=6;0>0`034=2?7;i6:?4=6<2n<1v58l:181[>1k27<5>477:p13ty3n94?:3y]3865;4}r:bf?6=:rT3mo527819<1=z{1k96=4={_:b6>;01:03j6s|88;94?4|V13270963;:f?xu?190;6?uQ882892?421n0q~677;296~X?0>16;4=58b9~w=1b2909wS68e:?4=6=?52z\5`g=:?091m85rs7g7>5<5sW{t>l91<7;3k87p}9e383>7}Y>l801:7<:`08yv0b93:1>vP9e09>3<5=im1v;k?:181[0b827<5>4nc:p2a`=838pR;ji;<5:7?ge3ty=hh4?:3y]2ac<5>386lo4}r4g`?6=:rT=hi527819e<=z{?nh6=4={_4gg>;01:0j46s|6ec94?4|V?nj70963;c4?xu>;;0;6?uQ920892?4282;7p}63083>7}Y1:;01:7<:05e?xu>;90;6?uQ922892?428=n7p}62g83>7}Y1;l01:7<:05g?xu>:l0;6?uQ93g892?428=j7p}62e83>7}Y1;n01:7<:04e?xu>:j0;6?uQ93a892?4287}Y1;k01:7<:040?xu>;:0;6?uQ921892?428o<7p}6bb83>0}Y1ki01:7<:4gf?81>;3?nh6389286af=:?0919hl4}r;b3?6=:rT2m:52781964?52z\:e3=:?091><64}r;b1?6=:rT2m852781964152z\:e1=:?091><84}r;b7?6=:rT2m>5278196457>52z\:e7=:?091>=64}r;b5?6=:rT2m<5278195cb52z\:=c=:?091=km4}r;a6?6=:rT2n?52781960d52z\:f4=:?091>8o4}r;a4?6=:rT2n=52781960?52z\:ec=:?091>864}r;ba?6=:rT2mh52781960352z\:ea=:?091>9o4}r;bg?6=:rT2mn52781966`52z\:ed=:?091>>k4}r;b52z\:f6=:?091>5o4}|`212<6290;6=uG6028 0c428?=7E;kf:J7g1=i>8=1?8h4}c7:g?7=83:1h19>08:=5rs97;>5<3s428n7?:9:?;1f<2;:16;46584:892?>21?37p}91g83>=2|519i6<;>;<:0g?729273?h4>509><6`=9<;015:?:072?8>393;>=637438214=:0=91=8?4=967>436342?97?:1:?;7a<6=816m8=514389d3328?:70o:f;365>;f>?0:9<52a759507<5h<36<;>;509>e3d=9<;01l8l:072?8g2=3;>=63n578214=:i<=1=8?4=`7;>43634k>57?:1:?b1d<6=816m8l514389d3d28?:70o:d;365>;f=l0:9<52a729507<5h<:6<;>;4>509>e32=9<;01l8::072?8>5=3;>=638a18214=:?h;1=8?4=6c1>43634=j?7?:1:?4e1<6=816;l;5143892bb28?:709kf;365>;0m90:9<527d39507<5>o96<;>;<;a1?729273>l4>509><7d=9<;014j>:072?8?c:3;>=636d58214=:1m?1=8?4=8f5>436343o;7?:1:?:`=<6=8165i7514389;>l:0:9<52ab09507<5hi:6<;>;509>=60=9<;014=7:072?8?413;>=6363`8214=:1:h1=8?4=81`>4363438h7?:1:?:7`<6=8165>h514389<5028?:706i4;365>;?n<0:9<528g59507<51l36<;>;<:e=?729273jl4>509>al3;>=637fd8214=:0o<1=8?4=644>43634==47?:1:?42<<6=816;;o514389;3;j0:9<5275f9507<5>>n6<;>;<565?72927<8k4>509>306=9<;01:;=:072?812;3;>=638578214=:?<>1=8?4=676>43634k:87?:1:?b50<6=816m<8514389d7f28?:707ie;365>;f8>0:9<52a1d9507<5h:j6<;>;509>e52=9<;01:>i:072?816>3;>=6371b8214=:0;>1=8?4=900>4363429>7?:1:?;64<6=8164?>514389=7a28?:706>e;365>;?9m0:9<5280`9507<51;j6<;>;<5:2?06n27<55491g9>38l0q~6kc;29g~;?;k0:8o5282a951d<519n6<:m;<:0b?73j2738=4>4c9><17=9=h015:=:06a?8>3;3;?n63745820g=:0=?1=9l4=91g>42e342oh7;<3:p<66=83>p15=m:4;1?850i38o:63<6681`1=:0<31>i:4}r:41?6=589><2?==:901:77:956?81>132<96s|82094?2|519h687=;<160?3d?278;o4=d59><20=:m>0q~68e;290~;?;l0:94528909165<5>33659j;<5:=?>0m2wx4>=50;6x9=5b2<3970=:6;7`3>;4?j09h95286d96a254z?;7c<6=01645l5521892??212<70969;:;3>{t0:>1<7:t=91e>0?5349>47;l7:?03a<5l=1645652e68yv>>83:18v3741821<=:00>19>=4=6;;>=?734=257660:p<63=83?p15:?:4;1?852i3?h;63<6`8077=:;>o1>i:4=9;2>7b33ty3544?:5y><17=9<30157k:410?81>03225638988;=<=z{19=6=4:{<:75?3>:2789n4:c69>73d=;:801>9i:3f7?8>>i38o86s|8`094?2|51>96<;6;<:b2?34;27<5547a39>35?5234g91f1<5:
==;<:g1?4c=273m>4=d59~w=ge290?w06;3;36=>;?io0>?>5278:93265om;|q;7=<72;?l>09h8528`a96a254z?;01<6=0164o65521892??21h?70969;:a0>{t0:31<7;t=967>0?5349=>7;l7:?02`<4;;164i752e789=d22;n?7p}7be83>1}:0=?1=874=9a2>05434=2476md:?4=<3=3?2>63<6586g2=:;?l1?><4=9fa>7b2342ii7p15=k:07:?8>083?8?638998;2f=:?0314;m4}r:05?6=73>=;:8015j<:3f6?8>1l38o86s|a`;94?4|5h?86<;6;42e34k=;7?;b:?b2=<6i70o9b;37f>;f>j0:8o52a47951d<5h?=6<:m;4c9>e0?=9=h01l;n:06a?8g2j3;?n63n5b820g=:i42e34k=<7?;b:?b24<6i70o94;37f>;f><0:8o52837951d<5>k;6<:m;<5b5?73j274c9>3d5=9=h01:o;:06a?81f=3;?n638dd820g=:?ml1=9l4=6g3>42e34=n=7?;b:?4a7<6i707k1;37f>;>l;0:8o529e6951d<50n>6<:m;<;g2?73j272h:4>4c9>=a>=9=h014j6:06a?8?ci3;?n636dc820g=:1m91=9l4=`a1>42e34kh=7?;b:?bg5<6i70999;37f>;0>h0:8o5242a951e<5h;?6<:m;4c9>e4g=9=h014hj:06a?8g7?3;?n63n0g820g=:i9k1=9l4=`34>42e34k;=7?;b:?b41<6i709=2;707>{ti:31<7=t=`70>0?534kjm7563na98676=z{h>?6=4;{:278i?4:c69>05d=;:801lo7:3f6?xufi<0;6?u2a4d950?<5hk=68=<;|qb0g<72=q6m8h5580896cb23;106>;fi?09h85rs`c0>5<5s4k=:7?:9:?be1<2;:1vl:l:1878g1>3?2>631?><4=`c7>7b23tyjm<4?:3y>e31=9<301lo=:410?xuf?4:c69>ed4=:m?0q~o6f;296~;f>10:9452a`2916555z?b2=<21;16?k:55b5891712:9970:<2;0g0>;fi909h85rs`;a>5<5s4k=57?:9:?b=f<2;:1vl:i:1868g113?2>63<4=511>7b234k2o7i3?8?6s|a4294?3|5h064=:m<01l7n:3f6?xuf1>0;6?u2a7`950?<5h3368=<;|qb14<729;106>;3;;09h:52a8:96a352z?b2f<6=016m4855218yvg2:3:19v3n6b86=7=:;oi19n94=53b>65534>8?7563n958676=z{h9j6=4:{:278oh4:c69>057=;:8019=<:3f6?8g><38o96s|a8394?4|5h?=6<;6;l50;7x9d312<3970=k0;7`3>;38;08??5242196a0<5h396?j:;|qb0}:i<=194<4=2f1>0e034>;?7=<2:?776<5l>16m4>52e78yvg?l3:1>v3n59821<=:i1o19>=4}rc0`?6==r7j954:939>7a2==j=019>;:211?8g?m38o963;3481`1=z{h2i6=4={w0o:9;7:6>;4l?0>o:524179764<5=8368m8;{ti:l1<7;t=`7b>0?5349o47;l7:?743<4;;16m5o52e7891502;n?7p}nb883>7}:i0543tyj8=4?:4y>e0d==0801>jn:4a4?827?398>63;3981`1=:ikk1>i;4}rca3?6=:r7j9n4>589>eg>==:90q~o;1;291~;f=j0>5?523ea91f1<5=:36>==;<60;fj?0>?>5rs`61>5<2s4k>h7;62:?0``<2k>168=753208915?2;n=70om6;0g1>{tik91<743>34ki87;<3:pe15=83?p1l;j:4;1?85b83?h;63;0`8077=:<:21>i94=``7>7b23tyjn<4?:3y>e36=9<301ll=:410?xuf<<0;68u2a7291<4<5:o?68m8;<63g?54:27?>n4:c69>eg4=:m?0q~onf;296~;f>80:9452ac2916555z?b24<21;16?h855b58916c2:9970om0;0g1>;3;h09h95rs`cg>5<5s4k=>7?:9:?be`<2;:1vl:8:1868g1:3?2>63<4=50e>0e034kji7067=:m>01lol:3f6?xuf1m0;6?u2a76950?<5h3n68=<;|qb0<<720;106>;3;809h852a8g96a352z?b20<6=016m5655218yvg3i3:18v3n6486=7=:<8;1?><4=512>7b134k3475?3?8?6s|83794?b|518>687=;<:2g?73j273>94>4c9><75=9=h015<=:06a?8>593;?n63721820g=:08l1=9l4=93f>42e342:h7?;b:?;5g<67}:?h:1=874=6f;>0543ty<5l4?:ey>3d6==0801:j7:3f5?81c>38o:637c681`0=:?ji1>i84=6ae>7b234=jo7;0ik09h8527cd96a352z?4e4<6=016;i;55218yv1>j3:1hv38a086=7=:0j21>i;4=6f6>7b3349;0i109h:527cg96a3<5>hh6?j;;<5`f?4c=27;0l:0?o85rs6;`>57;62:?;gd<5l<16;nj52e6892e32;n=70=8c;0g1>;0ko09h;527`596a3<5>k36?j;;<5aa?4c<273fd=:m<01:l=:3f4?81e;38o;638d081`0=:?m81>i:4}r5`7?6=:r74>589>3f3==:90q~96d;29a~;0i:0>5?528ba96a3<5>io6?j9;<5`0?4c=278;i4=d49>3gb=:m=01:m::3f5?81ek38o:638c`81`1=:?h319n94=6`1>7b134=i?7v38a5821<=:?k<19>=4}r5:a?6=lr79j:3f6?81el38o9638cb81`2=:?k<1>i84=6c`>7b234=j;7;0j009h85rs6c5>5<5s4=j97?:9:?4f5<3k<1v:7i:18g81f=3?2>637d181`0=:;>l1>i;4=6a`>7b334=jo7709nb;0g0>;0j;09h8527c196a3<5>kn6?j9;<5bb?4c<2wx4=o50;1x92bb28?270:7j3?8?6s|7e;94?g|5>nn687=;<:3f?4c>273c3=:m>01:h=:3f7?81ak3?h;6370281`1=:09>1>i:4=6g:>7b134=nm7706?8;6`1>{t?mk1<7lt=6fe>0?534=no752e5892`42;n<709id;0g2>;?8:09h85281696a3<5>oj6?j9;<:32?4c?273<:4=d59~w2`e2908w09j0;36=>;3;j0>i638fg8676=z{>ni6=4m{<5f4?3>:27<56=:m>01:h<:3f6?81an38o:6370881`0=:?on1>i:4=6df>7b334=n575bz?4a4<21;16;k852e4892`32;n>706?0;0g1>;0n:09h9527g096a3<51:26?j9;<5f=?4c=273c?=:m>01:kj:3f6?xu0m:0;6>u27d0950?<5=9h6;>4=6g6>1e23ty3`4==0801:k;:3f6?81bk38o86370181`3=:?o91>i84=92:>7b334=n:7v36b4821<=:1k219>=4}r;a1?6=0r72n84>559>=g3==08014ll:06a?815;38o96361d81`0=:1k31>i84=9fg>7b334=9>7;>jl09h85291596a3<50?:6?j9;<;36?4c?2wx4?m50;0x9=4e28?2706=d;707>{t0;31<79t=90a>0?53429h752e689<362;n?707mf;0g0>;>5<5s43o=7?:9:?:ad<2;:1v4m9:1878?c93?2>636e`81`3=:1i:4=2a3>7b33ty2jo4?:3y>=a4=9<3014hl:410?xu>k10;68u29e091<4<5:ko68m8;<1`0?54:272jn4=d79>=0q~7i9;296~;>l=0:94529gc916555z?:`1<21;16?lh55b5896e22:99707ia;0g2>;>1<09h95rs8d4>5<5s43o97?:9:?:b=<2;:1v4mn:1868?c=3?2>63<4=8d;>7b13433i73?8?6s|9b`94?3|50n=687=;<1a7?3d?278o:4<339>=c0=:m<01468:3f7?xu>n:0;6?u29e5950?<50l?68=<;|q:gf<72;>n=09h;5299296a252z?:`=<6=0165k<55218yv?dl3:19v36d986=7=:;k=19n94=2a:>655343m>75636f18676=z{0in6=4:{<;g=?3>:278n44:c69>7fg=;:8014h?:3f5?8?0:38o86s|9df94?4|50nj6<;6;<;fa?34;2wx5nh50;7x9;4kk08??529dg96a0<500}:1mh194<4=2`g>0e0349ho7=<2:?:af<5l?165;:52e68yv?b?3:1>v36d2821<=:1l219>=4}r;`3?6=4:939>7f4=;:8014k7:3f5?8?2>38o86s|abd94?4|5hi96<;6;;1;109h952ae696a3<5hn868m8;|qbg<<72;q6mn?514;89deb2<987p}nbd83>0}:ij;194<4=765>7b334v3nc1821<=:ij219>=4}rca`?6==r7jo=4:939>2dg=:m>01;ol:3f7?8gd038o963nc686g2=z{0?36=4={<;01?721272944:329~w;>;?0:8o5292:951d<50926<:m;<;0e?73j272?o4>4c9>=6e=9=h014=k:06a?8?4m3;?n6363g820g=:1:=1=9l4=600>0543ty2>l4?:2y>=63==08014;6:3f7?81>1339m6s|98594?4|509=6<;6;<;:;01002>n5rs8;3>5<5s43847?:9:?:=4<2;:1v46369081`1=:?0315?j4}r;;=?6=:r72?44>589>==g==:90q~7=e;297~;>;00>5?5299c96a2<5>3264o514;89<>42<987p}62g83>6}:1:k194<4=8:0>7b334=2577=f:p=2d=838p14=m:07:?8?0k3?8?6s|92294?5|509i687=;<;4g?4c<27<5446319~w<132909w07;>?<0>?>5rs812>5<4s438o7;62:?:30<5l=16;4759238yv?1l3:1>v363e821<=:1?o19>=4}r;06?6=;r72?i4:939>=3c=:m>01:76:811?xu>>?0;6?u292g950?<50<<68=<;|q:76<72:q65>k558089<002;n?70969;;07>{t143>343=<7;<3:p=62=839p14=i:4;1?8?1838o8638998:71=z{0>i6=4={<;03?7212728k4:329~w<4e2908w07<7;7:6>;>52z?;b1<6=0165=655218yv?6l3:1ov37f5820g=:0o?1=9l4=9d4>42e342m47?;b:?;b<<6i706ic;37f>;?nm0:8o528gg951d<51l=6<:m;<;2a?34;2wx4h750;6x9=`32<39707?8;0g1>;?=m09h95238d96a252z?;b0<6=0165=m55218yv>bj3:19v37f486=7=:;1i19n94=2c0>655343;o7k:1818>a?3;>56360d8676=z{1oh6=4:{<:e3?3>:2784h4:c69>7d2=;:8014>j:3f6?8>?;38o86s|91d94?4|51l36<;6;<;24?34;2wx4hj50;7x9=`?2<3970=60;7`3>;4i<08??5290296a3<512h6?j;;|q:54<72;q64k7514;89<752<987p}7ed83>3}:0o3194<4=2;1>0e0349j:7=<2:?:57<5l<1644;52e68927b2;n<7p}61283>7}:0ok1=874=837>0543ty3ik4?:7y>7;:4a4?85f?398>6361581`0=:00o1>i:4=63f>7b13ty2=84?:3y>01:?j:3f6?xu>9>0;6?u28ga950?<50;368=<;|q;b4<72?q64km5580896??2;>9109h8528c296a2<5>;n6?j;;|q:5<<72;q64kj514;89<7f2<987p}7f383>3}:0on194<4=2;b>0e0349jm7=<2:?45a<5l=1652;n?7p}61c83>7}:0oo1=874=83`>0543ty3j>4?:7y>7l:4a4?85fj398>6381e81`0=:18i1>i;4=9a1>7b33ty2<44?:3y>n:410?xu?mh0;6:u28g491<4<5:k:6>==;<52`?4c>272<27=:m>015l6:3f5?8>d:38o:6s|79294?4|5><<6<;6;<5;3?34;2wx;;=50;5x92002<3970=:2;0g1>;0?o09h85279396a0<5>=?6?j:;<54e?4c>27<4>4=d59~w21>2909w0998;36=>;0?l0>?>5rs647>5;00;09h;5279496a3<5h;:6?j9;<540?4c<27<;l4=d59~w2152909w0999;36=>;0?>0>?>5rs646>5<>s4==57;62:?b6c<5l?16;:952e6892142;n=70981;0g2>;0?m09h;5279096a1<5>2=6?j9;{t??<1<7lt=64b>0?534k9j716;:h52e58921?2;n<70983;0g3>;0?809h85276f96a3<5>296?j:;<5;2?4c<27j=<4=d69~w;>k=0>?>5rs8``>5;>j009h8528ef96a3<5>896?j;;<;36?4c>272<>4=d79>=53=:m>01:77:8``?81>133io6s|47;94?44s4=;57?:9:?5g0<6=0168>m5d49>06e=l;16:l:5d49>2d2=l;16?lm5173896>e28<:70=:3;355>;4km0::<5242f951`<5=?h6<:i;<60b?71927?8=4>609>017=9?;019:=:042?823;3;==63;458224=:<=?1=;?4=565>40634>?;7?91:?767<6;3:l0::<524369537<5=;h6<:i;<45609>373=9?;01l=9:3f6?8g4;38o963899872<=:?0318;74}r56f?6=:?q6;=751438934c28?:708=e;365>;1i>0:9<526`:9507<5?>86<;>;<470?72927=o84>509>2a6=9<;01;j>:072?80c:3;>=639d28214=:>m>1=8?4=7f6>43634;1nl0:9<526gd9507<5>:;6<;>;<535?72927<509>355=9<;01;l8:072?80e03;>=639b88214=:>kk1=8?4=7`a>43634;01>0<9o5278:930d<5>326:;m;|q44d<72;q6;=751468926b2<987p}80883>7}:?93194<4=`f5>7b43ty=>k4?:3y>27b=9<301;=?:410?xu1;o0;6:u263f9502<5?8n6<;;;<4b3?72<27=m54>559>215=9<>01;:;:077?80383?8?6s|63`94?2|5?8o687=;<376?4c;27:8<4=d29>516=:m90q~8<7;296~;1:l0:945262:916552z?56`<21;16=9=52e18yv0f13:1>v39a6821<=:>hk19>=4}r4b1?6=1d1=:m9018o9:3f0?83f=38o?6s|6``94?4|5?k36<;6;<4bg?34;2wx:l850;0x93g?2<3970;n8;0g7>{t>=?1<743>34p1;:<:4;1?84c938o?63=d181`6=::jl1>i=4}r473?6=:r7=894>589>21>==:90q~8;2;296~;1<=0>5?522e096a552z?5g0<6==16:n755218yv0d=3:1>v39c486=7=:??81>i=4}r4a5?6=>r7=h=4>589>2gd==0801;8i:4a4?800n398>639c381`1=:>j=1>i:4}r4g2?6=ir7=h=4>4c9>2a7=9=h01;j=:06a?80c;3;?n639d5820g=:>m?1=9l4=7c7>42d34v39d186=7=:><=1>i;4}r4a6?6=>r7=h<4>589>2ge==0801;9>:4a4?80?8398>639c381`0=:>j=1>i;4}r4`f?6=:r7=h<4:939>20>=:m?0q~8m3;292~;1l;0:94526cf91<4<5?=868m8;<4;5?54:27=o?4=d69>2f1=:m=0q~8lc;296~;1l;0>5?5264;96a357z?5`6<6=016:ok5580893122;1k;09h;526e:96a1<5?i26?j9;|q5ga<72;q6:i=55808933f2;n>7p}9b483>2}:>m>1=874=7`e>0?534<<;7;l7:?5<6<4;;16:n:52e5893b?2;n=708l9;0g1>{t>jo1<70?534<>n7639858077=:>j>1>i84=7f;>7b334?i6<;6;<56f?3>:27<5:485b9~w230290hw09:b;37f>;04k5275g9502<5>?:6<;;;<57b?72<27<9=4>559>304=9<>01:;<:077?812>3;>8638558211=:?0543ty?::4?:c6x915d28>o70:;3;j0>m?5242a91d5<5=9h68o;;<60g?3c34>8o7;l;<60g?3e34>8o7;n;<60g?3>34>8o7;7;<60g?3034>8o7;9;<60g?0034>8o78;;<60g?0434>8o78=;<60g?0634>8o7j?;<60g?ea34>8o7mj;<60g?ec34>8o7ml;<60g?ee34>8o7mn;<60g?e>34>8o7j6;<60g?b?34>8o7j8;<60g?b134>8o7j<;<60g?b634>8o7m7;<60g?e034;1i=0>h639a586g>;1i=0>n639a586e>;1i=0>5639a586<>;1i=0>;639a5862>;1i=0=;639a5850>;1i=0=?639a5856>;1i=0==639a58g4>;1i=0hj639a58`a>;1i=0hh639a58`g>;1i=0hn639a58`e>;1i=0h5639a58g=>;1i=0o4639a58g3>;1i=0o:639a58g7>;1i=0o=639a58`<>;1i=0h;63406349jj7?91:?0f4<6>816?o=5173896d228<:70=m7;355>;4j00::<523c`9537<5:ho6<8>;<144?73n2784=4>4g9>720=9?;01>98:042?85003;==63<788224=:;1h1=9h4=2c3>42a3493o7?91:?0<`<6>816?4>5173896?528<:70=64;355>;41?0::<5238:9537<5:3j6<8>;<1:g?7192789>4>4g9>702=9?;01>;9:042?85203;==63<5`8224=:;406349=<7?91:?027<6>816?;:5173896ec28>m70:?0;37b>;4m;0::<523dg9537<5:l;6<8>;<1e6?719278j94>609>7c0=9?;01>h7:042?85ai3;==63406349o>7?91:?0`1<6>816?i85173896b?28<:70=ka;355>;4lj0::<523eg9537<5:o;6<8>;<1f0?719278i;4>609>7`>=9?;01>kn:042?85bk3;==63;3e8224=:<;81=;?4=505>40634>947?91:?76g<6>8168?m51738914a28<:70:>c;355>;39m0::<5240g9537<5?>26<:i;<46`?73n27=8k4>609>207=9?;01;;<:042?802=3;==63969820c=:>>h1=9h4=74:>40634<=n7?91:?52a<6>816:;h51738931628<:70883;355>;1?<0::<526659537<5?2=6<:i;<4:=?73n27=4:4>609>2=?=9?;01;6m:042?80?l3;==6398g8224=:>0;1=;?4=7;0>40634<297?91:?460<66515d8924128<:709=8;355>;0:h0::<5273a9537<5>8n6<8>;<504?71927609>362=9?;01:77:544?81>13>=;6s|85g94?4|5=9h68=8;<:g7?4c>2wx48950;0x915d2<<:706kb;0g2>{t0<<1<703b342o57c?38o:6s|84694?4|5=9h68;l;<:g1?4c>2wx48=50;0x915d2{t0<81<7031349;<14g?4c?2wx49h50;0x915d2<9=70=8b;0g3>{t0=n1<7052349=83=p1;o;:72892652<3970865;7`3>;1i808??5271496a3<5?n<6?j9;<53f?4c?2wx:k950;5x93g32>:4;1?80>;3?h;639a18077=:?9=1>i:4=7f4>7b034=;n7;11o08??5271596a3<5?n<6?j;;<53f?4c=2wx:k;50;5x93g32i84=7f4>7b234=;n7;11m08??5271596a1<5>:o6?j8;<53g?4c=2wx:h850;0x93g32<9<708:e;106>{t>ll1<7=t=7c7>00634<>97;l7:?522<4;;1v;kj:18080f<3?>i6395286g2=:>?<1?><4}r4f`?6=;r7=m94:5e9>207==j=01;8::211?xu1mj0;6>u26`6910e<5?>m68m8;<450?54:2wx:hl50;1x93g32;15<4s4i:4=76`>4063ty=i54?:2y>2d2===;01;;6:3f7?803j3;==6s|6d594?5|5?k?68=9;<46609~w3c22908w08n4;701>;1=>09h95265;953752z?40a<6=016;>654b78yv13;3:1?v384e86=7=:?<21>i:4=614>7b33ty>mi4?:3y>31c=9<301:=6:5a6?xu0<=0;69u275g91<4<5>8=68m8;<50=?54:27<954=d49~w0d12909w09:1;36=>;0;j0?o85rs664>5<3s4=>=7;62:?46f<2k>16;>m53208923>2;n<7p}:b183>7}:?=l1=874=61b>1e23ty<884?:5y>31`==0801:<7:4a4?814i398>6385981`2=z{;0:h0>o:5272`9764<5>?36?j9;|q6f<<72;q6;8<514;8925c2=i>7p}84983>1}:?<8194<4=60f>0e034=8h7=<2:?41<<5l?1v8ll:181812;3;>56383d87g0=z{>>26=4;{<567?3>:2736c=;:801:;6:3f6?xu2k<0;6?u2744950?<5>>:69m:;|q40f<72:q6;885580892262:99709:a;0g0>{t=kl1<743>34=8j7:l5:p31g=83>p1:;;:4;1?814:3?h;6383g8077=:?<31>i:4}r7`6?6=:r7<984>589>316=5?5272691f1<5>>;6>==;<56e?4c=2wx9l750;0x93`f28?270869;6`1>{t?9>1<7lt=7db>43334;0890:99527139502<5>:96<;;;<537?72<27<<54:329~w3e6290::v39f`820g=:>oh1=9l4=7d`>42e34i709?1;37f>;08;0:8o52711951d<5?h<6<;;;<4a559>2gg=9<>01;lm:077?80ek3;>8639be8211=:>ko1=8:4=7`e>43334i94=7;;>7b33ty>mn4?:3y>2cd=9<301;7n:5a6?xu1n80;68u26g`91<4<5?2<68m8;<4:e?54:27<<84=d79>35b=:m?0q~;nf;296~;1nj0:945268`90f37>56z?5bf<21;16:5755b5893?e2:99709?5;0g1>;08m09h;5271a96a07>52z?5ba<6=016:4m54b78yv0a;3:1:v39fe86=7=:>1h19n94=7;`>65534=;97v39fd821<=:>0n18n;4}r7a589>252z?444<6=016:l>54b78yv3d93:1>v3803821<=:>h;18n;4}r7`0?6=:r7<<>4>589>2d4=5?526`09764<5>:=6?j;;<4g;1?k0?o85rs7cg>5<3s47}:>k21=874=75`>1e23ty=mh4?:4y>2g>==0801;86:4a4?800k398>639c581`0=:>j21>i;4}r7a5?6=:r7=n44>589>22b=5?5267`91f1<5?=o6>==;<4`27=o>4=d79~w0d32909w08ma;36=>;1?l0?o85rs7`3>5<2s416::k5320893e32;n?708l8;0g3>{t=k=1<743>34<h96s|5cf94?4|5?ho6<;6;<4;5?2d=2wx9n>50;0x93db28?270872;6`1>{t=j91<743>34<3?7:l5:p1f0=838p1;m?:07:?80?<3>h96s|3cd94?5|5:kh68m8;<1`5?54:278o=4:329~w6gd2908w0=nc;6`1>;4im0:8k523b6951`53z?0g4<3k<165ho52e589<3c2;n>7p}6}:;hn18n;4=2ce>42a349h97?;f:p=ac=839p1>m;:5a6?8?ak38o;6369b81`0=z{:km6=4<{<1bb?2d=278n<4>4g9>7f0=9=l0q~7kf;297~;4k<0?o8529gc96a1<503>6?j:;|q0f4<72:q6?o?54b7896d428>m70=l7;37b>{t1l:1<7=t=2a5>1e2343m47l<:18085e;3>h963=c0=:m=01468:3f6?xu4j<0;6>u23c790f3<5:h<6<:i;<1`=?73n2wx5h<50;1x96e?2=i>707i4;0g3>;>0909h85rs2`4>5<4s49i;7:l5:?0f<<6i94=85:>7b23ty8n44?:2y>7g?=lm:06e?85dj3;?j6s|9d694?5|5:ij69m:;<;e4?4c?272;?4=d49~w6de2908w0=mb;6`1>;4jm0:8k523ba951`53z?0gg<3k<165hk52e589<0e2;n>7p}7}:;kn18n;4=2a1>42a3ty2i;4?:2y>7fe=;?k>0>?>5236c96a253z?035<2k>16?5>53208961f2<987p}<7183>6}:;>:18n;4=252>42a3493>7?;f:p6?:5a6?8>2k38o:6s|a0794?70s42h47;f9=0:9452a0791<4<51i<6?j;;<:g7?4c<273:o4=d59>015j8:3f7?8>c138o8637dc81`1=:;>k1>i;4=25a>7b1349;?=o09h;52a2191f152z?;g=<4;=16?:?51738yv50j3:1?v3<7086g2=:;181?><4=25a>0543ty8;<4?:2y>727=9=:06e?85?;3;?j6s|8d294?4|5:2969m:;<:4=?4c<2wx4nl50;0x9=ef2:9?70=82;355>{t;>i1<7=t=251>0e03493?7=<2:?03f<2;:1v>9=:180850:3>h963<72820c=:;1>1=9h4}r:f5?6=:r784>4;c49><=4=:m>0q~6ld;296~;?kj08?952361953753z?036<2k>16?5:53208961c2<987p}<7283>6}:;>918n;4=257>42a349397?;f:p<`4=838p1>6;:5a6?8>?j38o86s|8bd94?4|51in6>=;;<140?7192wx?:k50;1x96132;4?l0>?>5rs257>5<4s49<87:l5:?030<6b;3:1>v3<8487g0=:00>1>i:4}r:g5?6=:r73h=4<359>723=9?;0q~=8f;297~;4?<0>o:523949764<5:=m68=<;|q030<72:q6?:;54b78961128>m70=77;37b>{t0l>1<71e23422h799:4a4?85??398>637d48676=z{:==6=4<{<142?2d=278;:4>4g9>7=>=9=l0q~6j5;296~;40>0?o8528`496a253z?032<2k>16?56532089=b02<987p}<7683>6}:;>=18n;4=25;>42a349357?;f:p<`0=838p1>67:5a6?8>fn38o86s|8e:94?5|5:=368m8;<1;=?54:273h44:329~w61?2908w0=88;6`1>;4?00:8k5239c951`52z?0<<<3k<164o652e68yv>ci3:1?v3<7886g2=:;1k1?><4=9fa>0543ty8;44?:3y>72?=6>:06e?xu?m10;6?u239c90f3<51i:6?j;;|q;`7<72;q6?5?532089=b42<987p}7dg83>7}:;1;18n;4=953>7b33ty85h4?:2y>7=d==j=01>o?:211?85>n3?8?6s|39`94?5|5:2i69m:;<1;g?73n278m>4>4g9~w<7a2909w0=n0;6`1>;>8109h;5rs2:`>5<4s493o7:l5:?0<`<6v3i84}r1;a?6=;r784h4;c49>7<6=9=l01>o::06e?xu>:;0;6?u23`690f3<50:n6?j9;|q0=5<72:q6?4>54b7896?528>m70=n6;37b>{t1;91<71e2343:<77=:5a6?85><3;?j63;41?0:8k523`:951`52z?0e2<3k<165<:52e48yv5>>3:1?v3<9787g0=:;021=9h4=2c:>42a3ty2>;4?:3y>7d>=u238:90f3<5:3j6<:i;<1be?73n2wx5?950;0x96g>2=i>707>8;0g2>{t;0k1<7=t=2;b>1e23492o7?;f:?0eg<6h96361`81`3=z{:3h6=4={<1:g?2d=278m<4>4g9~w<4>2909w0=nb;6`1>;>9j09h;5rs803>5<5s49j=7:l5:?:4d<5l?1v>89:181852;3?h;63<668676=z{:?86=4={<167?2d=278994>4g9~w6332909w0=:4;6`1>;4=?0:8k5rs275>5<5s49>:7:l5:?01=<6;7:18085203>h963<5`820c=:;?k1=9h4}r16e?6=;r789l4;c49>70e=9=l01>8m:06e?xu?<>0;6?u237c90f3<51<=6?j;;|q01f<72:q6?8m54b78963b28>m70=9c;37b>{t0=21<71e2342=:7;j:5a6?85183;?j63<6e820c=z{1>26=4={<15g?2d=273:;4=d79~w6072908w0=90;6`1>;4>;0:8k5237g951`52z?02a<3k<164;852e58yv51:3:1?v3<6387g0=:;?>1=9h4=24e>42a3ty38o4?:3y>73c==0;6?u237690f3<5:<36<:i;|q;0f<72;q6?;h54b789=002;n>7p}74783>7}:;?218n;4=944>7b13ty8jh4?:2y>7fb==j=019>?:211?85an3?8?6s|3bf94?5|5:io69m:;<1f6?73n27?4g9~wd0c2909w0:?0;6`1>;fih09h;5rs2g1>5<4s49n>7:l5:?0a`<6v3;0c87g0=:ih21>i84}r1fa?6=;r78ih4;c49>7c6=9=l019?;:06e?xuf?o0;6?u240190f3<5hk=6?j9;|q0b5<72:q6?k>54b7896`528>m70:>5;37b>{ti1:1<71e234kj87h=:5a6?85a<3;?j63;17820c=z{h2:6=4={<621?2d=27jm?4=d79~w6`32908w0=i4;6`1>;4n?0:8k52405951`7>52z?753<3k<16ml>52e48yv5a>3:1?v342a3tyj4>4?:3y>041=u23g:90f3<5:lj6<:i;<62=?73n2wxm5:50;0x917?2=i>70o6a;0g2>{t;ok1<7=t=2db>1e2349mo7?;f:?75d<6h963n9981`3=z{:lh6=4<{<1eg?2d=278oh4>4g9>057=9=l0q~o76;296~;39h0?o852a8496a053z?0g`<3k<16?i>515d8916528>m7p}n6d83>7}:<9;18n;4=`;7>7b13ty8h=4?:2y>7a6=j=:06e?827;3;?j6s|a7d94?4|5=:969m:;2wx?i<50;1x96b52=i>70=k4;37b>;38=0:8k5rs`53>5<5s4>;?7:l5:?b=5<5l?1v>j;:18085c<3>h963e=c=:m<0q~=k6;297~;4l?0?o8523e:951`<5=:=6<:i;|qb37<72;q68=;54b789d>d2;n=7p}6}:;m218n;4=2fb>42a34>;;7?;f:pe25=838p19>9:5a6?8g?i38o:6s|3ec94?5|5:nj69m:;<1gg?73n27?<54>4g9~wd132909w0:?7;6`1>;fjh09h;5rs2f`>5<4s49oo7:l5:?0``<6v3;0987g0=:ik21>i84}r1ga?6=;r78hh4;c49>7`6=9=l019>n:06e?xuf??0;6?u241;90f3<5hh=6?j9;|q0a5<72:q6?h>54b7896c328>m70:?c;37b>{ti>=1<71e234ki87k;:5a6?85b>3;?j63;0e820c=z{h=26=4={<63g?2d=27jn?4=d79~w6c12908w0=j6;6`1>;4m10:8k5241g951`52z?74a<3k<16mo>52e48yv5b03:1?v342a3tyj;o4?:3y>05c=u23dc90f3<5:oh6<:i;<624?73n2wxm:m50;0x916a2=i>70onc;0g2>{t;li1<71e234>:=7?;f:pe2b=838p19??:5a6?8g>m38o:6s|a6g94?4|5=;:69m:;2wx54h50;4x915c2;39o09h952a3596a1<5h;m6?j8;<5:=??>n2wx8>j50;1x915c2=i>70:;3=l0:8k5rs863>5<5s4>>o7:l5:?:1`<5l=1v9:n:180824n3?h;63;5d8077=:<=h19>=4}r60b?6=;r7??k4;c49>016=9=l019;i:06e?xu><;0;6?u244g90f3<503o6?j;;|q70f<72:q689>55b58913a2:9970:;d;707>{t<=:1<7=t=563>1e234>?=7?;f:?725<6h96369781`1=z{=>n6=4<{<675?3d?27?:=4<339>01`==:90q~:;1;297~;3<80?o852450951`<5=<:6<:i;|q:01<72;q68;>54b789<>a2;n?7p};5183>6}:<=819n94=542>65534>>=7;<3:p014=839p19:=:5a6?823;3;?j63;63820c=z{0>>6=4={<655?2d=272454=d59~w1352908w0:;3;7`3>;3>;08??524419165??7>53z?706<3k<1689:515d8910428>m7p}64783>7}:7b33ty?994?:2y>012==j=0198<:211?822=3?8?6s|45694?5|5=>?69m:;<671?73n27?:94>4g9~w<202909w0:93;6`1>;>?h09h95rs575>5<4s4>?97;l7:?721<4;;1688955218yv23=3:1?v3;4487g0=:<=<1=9h4=546>42a3ty2854?:3y>032=u245491f1<5=<>6>==;<66=?34;2wx89850;1x91212=i>70:;7;37b>;3>?0:8k5rs86:>5<5s4>=97:l5:?:2f<5l=1v9;n:180823?3?h;63;678077=:<=4}r673?6=:r7?8:4;c49>00b=9=l0q~7;a;296~;3>?0?o85297796a2?47>52z?71a<4;;1689755218yv?393:1>v3;5e87g0=:1<=1>i:4}r616?6=:r7?>?4;c49>073=9=l0q~:<2;296~;3;;0>?>5243791f1997>52z?760<3k<168?8515d8yv24;3:1>v3;328676=:<;<19n94}r612?6=:r7?>;4;c49>071=9=l0q~:<4;296~;3:>0>o:5242791659;7>52z?762<3k<168?6515d8yv2503:1>v3;2987g0=:<;k1=9h4}r602?6=:r7?>l4:c69>061==:90q~:=a;296~;3:h0?o85243`951`847>52z?77=<2;:168?l55b58yv25j3:1>v3;2c87g0=:<;i1=9h4}r61g?6=:r7?>n4;c49>07c=9=l0q~:<9;296~;3:l0>o:5242c91659i7>52z?76`<3k<168?h515d8yv25n3:1>v3;2g87g0=:<;>1=9h4}r605?6=:r7??<4:329>072==j=0q~:=4;296<}:<;>18n;4=64e>7b234=70ok4;0g0>;fkl09h952ab:96a2<5h:i6?j8;ed0=:m=01lo;:3f4?8gf:38o;63na181`2=:i0i1>i94=`;b>7b034k24716m4:52e589d?52;n<70o60;0g3>;f0l09h:52a9a96a1<5h2j6?j8;ei94=``7>7b034ki:716;5?52e789d762;n?70984;0g3>;0?h09h:5279196a355z?75c<5l<1689l52e689d7d2;n>70o=8;0g2>;01002m<5rs8c1>5<2s4>:j7{t1h91<7;t=53e>7b034k9;720k87p};1g83>7}:<8l19>=4=53`>0e03ty?=n4?:3y>04e=i=0;68u243296a2<5h;n6?j9;27?9<4=d59>30q~7n5;291~;3:909h852a0g96a3<5=?86?j;;w0:=0;0g2>;3=<09h952a0d96a2<5h8?6?j9;<5:=??f>2wx5l950;7x91472;n<70::7;0g0>;f9o09h852a3696a1<5>3264o8;|q765<72;q68?>55218917c27}:<8n18n;4=53f>42a3ty2m54?:4y>077=:m>01l?j:3f7?822138o863n2981`1=:?0315l64}r;b=?6==r7?><4=d49>00d=:m>01l?i:3f5?8g5038o9638998:e<=z{0k;6=4:{<615?4c>27?844=d59>e4e=:m>01l<;:3f7?81>033j<6s|43394?4|5=8:68=<;<62a?3d?2wx8707:1;0g1>;>8;09h8529cd96a0<50>o6?j:;|q512<72:q6:8955218932>2{t>=31<7=t=76:>1e234h96389985`d=:?031:io4}r4621g==j=01;;i:211?xu1u265c90f3<5?>i6<:i;<454?73n2wx:im50;1x933a2=i>70968;4gg>;0100=hn5rs77:>5<4s4<>57;<3:?50g<2k>16:;>53208yv03j3:1?v394c87g0=:>=i1=9h4=742>42a3ty=hi4?:2y>236=13;153z?524<3k<16;4656eg892?>2?nn7p}95c83>6}:>=4=76g>0e034<=>7=<2:p21b=839p1;:k:5a6?803m3;?j63962820c=z{?nm6=4<{<456?2d=27<5549dg9>3ml0q~8:c;297~;1=j0>?>5265g91f1<5?<86>==;|q50`<72:q6:9k54b78932a28>m70894;37b>{t>l:1<7=t=740>1e234=2478j0:?4=<<1m91v;:i:180803n3>h963950820c=:>??1=9h4}r4f5?6=;r7=:94;c49>3<>=>l;01:76:7g2?xu1=80;6>u264390f3<5??86<:i;<452?73n2wx:h<50;1x93022=i>70968;4f6>;0100=i?5rs770>5<4s4<>?7:l5:?510<63c43ty=984?:3y>203=u267590f3<5>336;k;;<5:=?0b<2wx:il50;1x933b2=i>70968;4gf>;0100=ho5rs75:>5<4s4<=47;l7:?53g<4;;16::o55218yv0103:1?v396987g0=:>?31=9h4=75`>42a3ty=:44?:2y>23?=4g9~w30c2908w089d;6`1>;1>o0:8k5266d951`53z?52c<3k<16::?515d893>728>m7p}97083>6}:>>;18n;4=750>42a34<3=7?;f:p225=839p1;9<:5a6?800=3;?j63983820c=z{?=>6=4<{<441?2d=27=;:4>4g9>2=5=9=l0q~887;296~;1?>0?o852696951`53z?5<3<2k>16:475320893??2<987p}98783>6}:>1<18n;4=7:4>42a34<2m7?;f:p2=1=839p1;68:5a6?80?13;?j6399c820c=z{?226=4<{<4;=?2d=27=4o4>4g9>2a28>m7086e;37b>{t>1l1<7=t=7:e>1e234<2=7?;f:?5=c<6:18080>93>h963992820c=:>h:1=9h4}r4:7?6=;r7=5>4;c49>2<3=9=l01;o>:06e?xu11<0;6?u268790f3<5?k96<:i;|q473<72:q6;?;55b58925?2:99709<7;707>{t?;?1<7=t=606>1e234=9:7?;f:?47<<63>h963829820c=:?:k1=9h4}r5154;c49>37g=9=l01:=m:06e?xu0:h0;6>u273c90f3<5>8h6<:i;<50g?73n2wx;?m50;1x924d2=i>709=e;37b>;0;m0:8k5rs60f>5<4s4=9i7:l5:?475<6k515d8yv1483:1?v383187g0=:?:81=9h4=61e>42a3ty364=9?69m:;<575?73n2wxm<:50;348g6<3?2>63n3681`3=:i991>i84=`33>7b134=3;752e6892172;n>70976;0g3>;f;;09h952a1a96a3<50i:6?j;;<;6e?4c=272544=d49>=<4=:m?0146m:3f6?8??<38o96367e81`0=:1><1>i;4=84e>7b2343=473:1jv3n14821<=:i8<194<4=92a>7b334=o47709m6;0g1>;0no09h8527d791f1<5h9>6?j9;<5a4?3d?273<54:c69>3cg==j=01:j<:4a4?xuf9h0;6:u2a04950?<5h;j687=;<525?4c=27<=l4=d79>34d=:m?01:?::3f6?8g4>3?h;6s|a0594?7>s4k:m7?:9:?b52<21;164?h52e489=4c2;n?70o<7;0g1>;>j009h95286c96a3<51286?j:;<:;g?4c=273584=d49><e838o96377081`0=:0i;4=9`:>7b2342h>7;fkk09h;52aba96a0<5hi>6?j9;2wx5kh50;1x9<`b28?270o?0;707>;>j109h:5rs8df>5952e689d672;n=707:2;0g0>;?>;09h95287c96a2<50i>6?j8;<;64?4c=2739k4=d59>e5e=:m>0q~o?8;296~;f8>0:9452a1;9165510y>e51==0801l=?:3f5?8g7138o96372681`0=:i9:1>i;4=97f>0e03427066f;0g1>;?i109h8528c396a3<51=96?j:;<:57?4c=273nl4=d79>0;296~;f8o0:9452a029165516y>e5`==0801l=?:3f7?8g4038o863nbb81`0=:i8:1>i:4=64f>7b334=<<7;fkl09h;52ab:96a0<5>=86?j:;<545?4c?27<;i4=d59>3=4=:m>01l=::3f7?8g4:38o963nd081`2=:ijh1>i94=`a6>7b034=3?7n:07:?8g7j3?8?6s|a1c94?0|5h:j687=;e5d=:m<01l=::3f6?8g4:38o:6s|a0:94?4|5h;<6<;6;{ti9;1<7?>{:27j?54=d79>=`g=:m?01l>6:3f7?8>5?38o;636fb81`0=:1ok1>i;4=8d;>7b2343m:7707je;0g1>;>mj09h8529d:96a3<5h9>6?j8;{ti9>1<7<7{:273>k4=d59><7b=:m<015>m:3f4?81c038o;636e`81`1=:?m?1>i94=8d`>7b3343mm7;>n909h9529dg96a2<50oh6?j;;<;f4=d59>3c0=:m>01:8j:3f5?810838o:6381c81`1=:?8?1>i:4=6a6>7b334=i:7707?3;0g0>;0io09h85281496a2<51:<6?j:;<5e=?4c=27jh<4=d49>ea4=:m?01lmm:3f6?8gdk38o963nc481`0=:ij<1>i;4=6f1>7b23ty<==4?:3y>35`=9<301:?::410?xu08o0;6<8t=62e>0?5342;n72;n?709k5;0g1>;09809h9527d696a2<5>oo6?j:;<5e2?4c=27345=:m<01:?;:3f4?81al38o9638fd81`0=:?ho1>i:4=925>7b234=o=7{t?8=1<743>34=:n7;<3:p340=83;?w09>6;7:6>;0l?09h8527df96a2<5>io6?j:;<5a`?4c<27<=l4=d59>3c2=:m=01:h=:3f5?81dn38o86381386g2=:?l=1>i84=6dg>7b034=mi716;lo52e4892ge2;n=709m2;0g0>;0j:09h;527b596a1<5>h26?j9;|q;5<<72;?>909h;528b196a257z?;5f<21;164=j52e789d4e2;n=70690;0g0>;??;09h9528cc96a3<51i86?j:;|q;5=<72?q64?:514;89=442<39706?d;0g0>;f:k09h85287296a1<51hj6?j;;|q;52<72=q64?=514;89=452<3970o=c;0g0>;?j809h95rs935>5<3s429>7?:9:?;64<21;16m?m52e789=g?2;n?7p}71483>1}:0;;1=874=903>0?534k9o7583;>56371g86=7=:i;i1>i94=9;5>7b33ty3=>4?:2y><4`=9<3015?j:4;1?8>?l38o86s|80094?5|51;n6<;6;<:2`?3>:273494=d59~w=762908w06>d;36=>;?9k0>5?5286`96a253z?;5g<6=01647}:08k1=874=92g>0543ty<5=4?:3y>e66==:901:7?:3f0?xu3i<0;6?u2a2:9165<5h9<6?j8;|q495521892>c2;n87p}<3083>0}:?821>i;4=212>1e234=:m716;<:52e68yv4><3:19v381981`3=:?831>i;4=632>7b0348287:l5:?451<5l?1v8k9:186816038o;6381881`2=:?8;1>i84=4g5>1e234=:?7=838p1:?7:410?816j38o:6s|58d94?2|5>;26?j9;<7:b?2d=27<=>4=d59>342=:m?0q~9>9;296~;0900>?>5270c96a147>52z?41=<2;:16;8o52e48yv1213:1>v38588676=:?i94}rcaf?6=9kq6mom552189dgf2;n?70on8;0g0>;fi?09h952a`696a2<5hk96?j;;e01l77:3f7?8g>>38o863n9581`1=:i081>i:4=`;3>7b334k3i7;f1l09h952a`a96a2<5hkn6?j;;eg2=:m>01ll9:3f7?8ge038o86s|4`g94?4|5>n=68=<;<5g1?4c>2wx:n:50;0x93e32<98708l3;0g0>{t>j81<7054349:410?817038o96s|71794?4|5>:>68=<;<532wx;=950;0x92602<98709?8;0g3>{ti;o1<705434k;:76:3f5?8>5?38o:63n0181`1=:i;h19>=4=95a>7b1342387;?j809h;5286096a0<51<86?j9;|q45f<72;?p14?j:3f5?816l3?8?6365381`0=:0?81>i84=8`a>7b2342=n7;0:;09h;5286c96a0<51286?j9;<:;g?4c>273584=d79><e838o:6377081`3=:0i84=827>0e0343h=7;>1;09h;5299`96a0<502?6?j9;<;4`?4c>272;;4=d79>=3`=:m<01487:3f5?8?1938o:6365281`3=:0kk1>i94=9a0>7b03ty=h:4?:5y>2a1==:901;j6:3f7?817k38o;6394181`1=z{?n36=4<{<4g216=:m?0q~9?d;296~;08m0>?>5271g96a252z?44g<2;:16;=k52e48yv17k3:1>v380d81`2=:?9i19>=4}r165?6=;r789?4:329>32>=:m?01:9>:3f7?xu0980;6?u27039165<5>;>6?j8;|q4a1<72;q6;h:5521892c02;n<7p}8f183>6}:?li1>i94=920>7b034=ni7;<3:p3`e=838p1:kl:410?81bl38o:6s|7df94?4|5>oo68=<;<5f3?4c<2wx5ll50;:x93gf2;n>708;6;0g1>;1;909h8526`a96a0<5?>36?j9;<4027=?o4=d69>3<>=1hh0q~8<6;293~;1ih09h;5265496a0<5?9;6?j9;<400?34;27=mn4=d49>21>=:m?01;=7:3f6?xuf::0;6<7b234k9:7;<3:?:14<5l>1658j52e489;>0l09h;5299596a0<502;6?j9;<;4=?4c>272;?4=d79>=3d=:m<0148;:3f5?8?2>38o:63n0b81`3=:1kl1>i94=86g>7b134==o7;>=;09h;5287096a1<5h:i6?j;;<;6`?4c?2725n4=d69>=<3=:m=0146j:3f4?8???38o;6368181`2=:1>31>i94=851>7b0343=n71658852e589d462<987p}82183>7}:?8n1>i94=63f>0543ty3c0==:901:h7:3f7?xu3?>0;6>u2a3`96a1<51<;6?j:;2;n?708<5;0g3>;01102n95rs8cb>5<4s4<857:>1>i84=6;:>26?=:m=01;=::3f7?81>133jh6s|62;94?4|5?9268=<;<40a?4c<2wx5lk50;1x935f2;n?708<5;0g1>;01002mh5rs8`0>5<4s4<8m716;4759c18yv?fn3:1?v393`81`3=:>:;1>i:4=6;:>26g==:901;=j:3f6?xu1;m0;6?u262g96a0<5?9i68=<;|q57`<72=q6:>k5521893gd2;n<708;8;0g3>;1;109h:5rs`30>5<5s4k:<7i94=873>7b134=<<716;;m55218yv1?=3:1>v388681`0=:?1919>=4}r5;5?6=:r7<4:4=d79>3=7==:90q~9l1;296~;0km09h:527cd916552z?4ga<2;:16;n:52e68yv1dk3:1>v38c581`2=:?ji19>=4}r5`0?6=;r73f1=:m?01:m7:3f5?xu3>h0;6nu29409165<50?j6?j8;<;:=?4c?2725?4=d69>==d=:m=0146;:3f4?8?0l38o;6367781`2=:1?l1>i94=84;>7b0343==71v5;j:1818>1:38o96375d87g0=z{=k;6=4l{<:56?34;273;;4=d79><2`=:m<01567:3f5?8>>938o:6379`81`3=:0h91>i84=9c`>7b1342i972;n>7p};a683>6}:??o19>=4=65f>7b034=<;7=n6?j9;<54b?34;2wx;:850;0x92102;n>70984;707>{t?>21<77b134=<47;<3:pe4c=83?p1l?j:410?8>1i38o9636c481`0=:i;;1>i:4=64`>7b23ty?m;4?:5y>=gd=:m<015jk:3f4?8?7=38o;6364e8676=z{1<=6=4={<:52?34;273::4=d69~w=0f2909w069b;0g2>;?>h0>?>5rs94:>5<5s42=n71j3?8?6377881`0=:0181>i;4=9:a>7b2342287706m8;0g1>;?k809h85286296a3<51?h6?j:;|q:f`<72;q65ok5521897p};9d83>a}:1j>1>i84=8;g>7b23432:762;n>7078a;0g1>;>?:09h85297a96a3<50<>6?j:;<;6a?4c=2729:4=d49>=g`==:90q~7l3;296~;>k=09h:529b3916553z?b62<2;:16m?852e489<672;n<7p}n2983>6}:i;<1>i:4=823>7b234k947;<3:pe72=839p1l<9:3f6?8?7838o:63n258676=z{1=36=4={<:4=?4c>273;;4:329~w=>62909w0672;0g2>;??o0>?>5rs9:b>5<5s423n7><38o:637908676=z{==:6=4l{<;2g?4c<272=l4=d59>=4>=:m>014?9:3f7?8?6<38o86361381`1=:18:1>i:4=82f>7b3343;o77p};b483>f}:18i1>i94=83b>7b0343:47165<:52e589<752;n<707>0;0g3>;>8l09h:5291a96a1<50:j6?j8;<;3;>8<09h85rs8;g>5<5s432h7;<3:?:==<5l?1v47m:1818?>038o9636988676=z{=<36=4l{<;:==g=:m=0146<:3f4?8?0k38o;6367481`2=:1?o1>i94=844>7b0343=<71659h52e589<2d2=i>7p}69783>7}:10<19>=4=8;2>7b13ty2594?:3y>=<7=:m?0147=:410?xu>0o0;6?u299d9165<502j6?j9;|q:e2<987p}68983>7}:11219>=4=8:0>7b13ty24;4?:3y>==5=:m?0146;:410?xu>080;6?u29939165<50=h6?j9;|q:3c<72;q65:m52e789<1c2<987p}67`83>7}:1>k19>=4=856>7b13ty2;54?:3y>=23=:m?01499:410?xu>?:0;6?u29619165<507}:1?i19>=4=844>7b13ty2:l4?:3y>=31=:m?01487:410?xu>><0;6?u29779165<50<;6?j9;|q:26<72;q65;>52e789<062<987p}65d83>7}:1=4=87:>7b13ty29n4?:3y>=0?=:m?014;n:410?xu>=>0;6?u29459165<50>m6?j9;|q:10<72;q659h52e789<342<987p}79b83>7}:00n1>i84=9;b>0543ty3m84?:3y>7}:0j;1>i84=9`f>0543ty3:k4?:3y><26=:m<0158k:410?xu21l0;6>u258d96a5<5<3n68j<;_7:a>{t;::1<7=t=212>7b43498<7;k3:\075=z{6=4<{<7f2?4c;27>i84:d29]1`353z?1=1<5l:16>4=55e18Z7?43ty=>94?:2y>271=:m901;<;:4f0?[05<2wx:?950;1x93402=i>70ol5;0g0>;fk?09h95rs706>5<4s4<94754;c49>efd=:m>01lml:3f7?xu1:?0;6>u263;96a5<5?8=68j<;_412>{t>;31<7=t=70:>1e234ko=73>h963nd486`7=Yim?0q~991;297~;0>;0?o85277391a4<:7p}j7;297~;6<:0?o852e686`7=Ym>1vh;50;1x94252=i>70k::4f1?[c23tyn87>53z?204<3k<16i94:d39]a1=z{mk1<7=t=063>1e234nj68j=;_fb?xu5kh0;6>u22e090f3<5;ij68j=;_0`e>{t:j21<7=t=3f2>1e2348h47;k2:\1g==z{;i<6=4<{<0g4?2d=279o:4:d39]6f153z?1gc<3k<16>n855e08Z7e13ty>554?:2y>1d>=02wx94850;1x90g02=i>70;66;7g6>X21?1v87::18083f>3>h963:9486`7=Y=0?0q~;64;297~;2i<0?o85258691a46}:?0:18n;4=6:`>0b53W=3o6s|79d94?4|5>2m6?j<;a2=i>7097a;7g6>X00h1v:6j:18181?m38o?63n3787g0=z{>226=4<{<5;a?2d=27<444:d39]3=?53z?4?3ty2<=4?:3y>=56==:9014>=:3f7?xuf9o0;68u287c96a0<50i>6?j9;<4=d49>33e=:m<0q~o>c;291~;?>h09h:529b796a2<5h;h68=<;27<:n4=d59~w;>k809h;5rs5c0>5<5s43i57;<3:?:f=<5l<1v58>:1818>2k38o86375e8676=z{1?i6=4={<:6g?4c?273944:329~w1g>2909w07;c;0g0>;>=90>?>5rs5cb>5<5s43?o7;0?80>?>5rs5cg>5<5s4=ih7;1k009h:5rs63b>5<5s4=:m7;<3:?45g<5l>1v:?=:181816=38o:6381387g0=z{0h96=4<{<401?4c>27=?o4=d79>3?>5262696a152z?571<5l=16:>?55218yv2e93:1>v38f48676=:?o>1>i:4}r5e0?6=:r73c>=:m<0q~:m3;296~;?890>?>527gg96a1i87>52z?4b6<2;:16;k<52e58yv1a:3:1>v38f38676=:?o21>i;4}r6a2?6=:r73f?=52z?4gc<2;:16;i?52e48yv1fk3:1>v38ab8676=:?k>19n94}rcg4?6=:r7jh94=d69>ea5=52z?bg=<5l>16mn954b78yv10;3:1>v38728676=:?>>1>i84}rc3a?6=:r7je5e==:90q~68d;296~;??h09h:5286`916552z?;3d<2;:164:852e78yv>?>3:1>v378281`2=:01>19>=4}r:;7?6=:r734>4:329><2`=:m?0q~67f;296~;?0j09h:5289f916552z?;>03:1>v379481`2=:00<19>=4}r::1?6=:r73584:329><<7=:m?0q~6n1;296~;?1l09h:5288d916552z?;=`<2;:1644o52e78yv>fi3:1>v37a681`2=:0h219>=4}r:b3?6=:r73m:4:329>52z?;f5<2;:164lm52e78yv>0<3:1>v377081`2=:0>819>=4}r:45?6=:r73;<4:329><3b=:m?0q~695;296~;?=m09h:528719165=i7>52z?:1a<2;:1658o52e68yv21n3:1>v369b8676=:1031>i:4}r644?6=:r72584:329>=<4=:m>0q~:82;296~;>0l0>?>5299`96a252z?:<2<2;:1655:52e68yv20<3:1>v36818676=:1>n1>i:4}r641?6=:r72;44:329>=20=:m>0q~:86;296~;>?;0>?>5297d96a2<47>52z?:2g<2;:165;652e68yv2013:1>v36658676=:1?;1>i:4}r64e?6=:r729;4:329>=05=:m>0q~:m8;296~;0no09h:527ga90f352z?4e2<2;:16;ll52e58yv20k3:1>v38a98676=:?hk1>i:4}r6a6?6=:r73<44:329><52=:m<0q~6mc;296~;?j009h:528cc916552z?;f<<2;:164o;52e78yv>d=3:1>v37c381`2=:0j919>=4}r:`6?6=:r73o?4:329>?>5276c96a33=7>52z?4<7<2;:16;5?52e68yv2?;3:1>v38878676=:?191>i94}r6;0?6=:r73gd=:m>0q~9mb;296~;0jk0>?>527c;96a1397>52z?4gg<2;:16;no52e78yv1di3:1>v38c`8676=:?j319n94}r42a?6=;r7=>?4=d29>24c==m90R;?j;|q567<72;q6:?<54b7892?12?897p};8783>7}:?881>i:4=630>0543ty?4:4?:3y>344=:m?01:?;:410?xu3010;6?u27d796a2<5>o=68=<;|q7<<<72;q6;h;52e7892c02<987p}8e983>7}:?l=1>i;4=6ga>1e23ty?4l4?:3y>3ce=:m>01:hk:410?xu30k0;6?u27ga96a3<5>ln68=<;|q77}:0i;4=943>0543ty?4h4?:3y><54=:m>015><:410?xu30o0;6?u281096a3<51:?68=<;|q73a<72;q64=<54b789=612;n=7p};9183>7}:?h31>i:4=6cb>0543ty?5<4?:3y>3d?=:m?01:om:410?xu0i00;6?u27`;90f3<5>kn6?j8;|qb71<72;q6m>;552189d512;n?7p}n3083>7}:i:819>=4=`10>7b33ty3`?==:901:km:3f6?xu0mh0;6?u27dc9165<5>oi6?j;;|q:44<72;q65=<552189<632;n>7p}60383>7}:19919>=4=827>7b33ty3g4==:901:l;:3f6?xu0j:0;6?u27c19165<5>h?6?j;;|q4f4<72;q6;o:54b7892gb2;n>7p}8ae83>7}:?ho19>=4=6`3>7b23ty3d`==:901:l?:3f7?xu?8<0;6?u28149165<51:36?j:;|q;43<72;q64=9552189=6?2;n?7p}8f683>7}:?o219>=4=6db>7b23ty3c?==:901:hn:3f7?xufl80;6?u2ae39165<5hn86?j:;|qb`7<72;q6mi<552189db42;n?7p}ncc83>7}:ijh19>=4=`ag>7b23tyjon4?:3y>efe==:901lmk:3f7?xufk<0;6?u2ab79165<5hi<6?j:;|qbg3<72;q6mn8552189de02;n?7p}8d183>7}:?m;19>=4=6f0>7b23ty3a4==:901:j<:3f7?xu0k?0;6?u27b59165<5>i26?j:;|q4g2<72;q6;n65521892e>2;n?7p}8b683>7}:?k219>=4=6`b>7b23ty3g?==:901:ln:3f7?xu>j90;6>u262396a3<5?9i6?j;;<5:=??e82wx5o?50;1x93562;n=708;01002n<5r}o100<<72:qe:<950:m762f290?wc8>7;38yk54{i;:>h6=4>{o423?5:j:182k06?3?0qc=<4g83>6}i>8=1:6sa3273>5<6sg<:;794}o1014<72:qe:<958:m7635290:wc8>7;;8yk54=:0;6>ua6059e>{i;:??6=4>{o423?d;9:182k06?3n0qc=<5683>6}i>8=1i6sa327;>5<6sg<:;7h4}o101<<72:qe:<95119~j652i3:1=v`916825>{i;:?i6=4<{o423?753td8?8m50;3xj3702890qc=<5e83>6}i>8=1=95rn216a?6=9rd==:4>5:m763a2908wc8>7;35?xh4;?:1<7?tn734>41=92;295~h19>0:56sa3240>5<6sg<:;7?n;|l0732=83;pb;?8:0`8yk54><0;651zl552<6l2we?>88:182k06?3;n7p`<37:94?7|f?;<6{i;:{o423?453td8?;m50;3xj3702;90qc=<6e83>4}i>8=1>95rn215a?6=9rd==:4=5:m760a290:wc8>7;05?xh4;>:1<7?tn734>71=82;295~h19>0956sa3250>5<6sg<:;751zl552<5l2we?>98:182k06?38n7p`<36:94?7|f?;<6?h4}o103<<728qe:<95319~j650i3:1=v`916805>{i;:=i6=4>{o423?553td8?:m50;3xj3702:90qc=<7e83>4}i>8=1?95rn214a?6=9rd==:4<5:m761a290:wc8>7;15?xh4;1:1<7?tn734>61=72;295~h19>0856sa32:0>5<6sg<:;7=n;|l07=2=83;pb;?8:2`8yk540<0;651zl552<4l2we?>68:182k06?39n7p`<39:94?7|f?;<6>h4}o10<<<728qe:<95419~j65?i3:1=v`916875>{i;:2i6=4>{o423?253td8?5m50;3xj3702=90qc=<8e83>4}i>8=1895rn21;a?6=9rd==:4;5:m76>a290:wc8>7;65?xh4;0:1<7?tn734>11=62;295~h19>0?56sa32;0>5<6sg<:;7:n;|l07<2=83;pb;?8:5`8yk541<0;651zl552<3l2we?>78:182k06?3>n7p`<38:94?7|f?;<69h4}o10=<<728qe:<95519~j65>i3:1=v`916865>{i;:3i6=4>{o423?353td8?4m50;3xj3702<90qc=<9e83>4}i>8=1995rn21:a?6=9rd==:4:5:m76?a290:wc8>7;75?xh4;h:1<7?tn734>01=n2;295~h19>0>56sa32c0>5<6sg<:;7;n;|l07d2=83;pb;?8:4`8yk54i<0;651zl552<2l2we?>o8:182k06?3?n7p`<3`:94?7|f?;<68h4}o10e<<728qe:<95619~j65fi3:1=v`916855>{i;:ki6=4>{o423?053td8?lm50;3xj3702?90qc=4}i>8=1:95rn21ba?6=9rd==:495:m76ga290:wc8>7;45?xh4;k:1<7?tn734>3111vb>=m2;295~h19>0=56sa32`0>5<6sg<:;78n;|l07g2=83;pb;?8:7`8yk54j<0;651zl552<1l2we?>l8:182k06?3{i;:hi6=4>{o423?153td8?om50;3xj3702>90qc=4}i>8=1;95rn21aa?6=9rd==:485:m76da290:wc8>7;55?xh4;j:1<7?tn734>21=l2;295~h19>0<56sa32a0>5<6sg<:;79n;|l07f2=83;pb;?8:6`8yk54k<0;651zl552<0l2we?>m8:182k06?3=n7p`<3b:94?7|f?;<6:h4}o10g<<728qe:<95819~j65di3:1=v`9168;5>{i;:ii6=4>{o423?>53td8?nm50;3xj3702190qc=4}i>8=1495rn21`a?6=9rd==:475:m76ea290:wc8>7;:5?xh4;m:1<7?tn734>=1=k2;295~h19>0356sa32f0>5<5sg<:;76n;|l07a2=83;pb;?8:9`8yk54l<0;6?ua605951zl552j8:181k06?32n7p`<3e:94?7|f?;<65h4}o10`<<72;qe:<95919~j65ci3:1=v`9168:5>{i;:ni6=4={o423??53td8?im50;3xj3702090qc=7}i>8=1595rn21ga?6=9rd==:465:m76ba2909wc8>7;;5?xh4;l:1<7?tn734><1=j2;295~h19>0256sa32g0>5<5sg<:;77n;|l07`2=83;pb;?8:8`8yk54m<0;6?ua6059=f=zf:9n:7>51zl552<>l2we?>k8:181k06?33n7p`<3d:94?7|f?;<64h4}o10a<<728qe:<95a19~j65bi3:1=v`9168b5>{i;:oi6=4>{o423?g53td8?hm50;3xj3702h90qc=4}i>8=1m95rn21fa?6=9rd==:4n5:m76ca290:wc8>7;c5?xh4;o:1<7?tn734>d1=i2;295~h19>0j56sa32d0>5<6sg<:;7on;|l07c2=83;pb;?8:``8yk54n<0;651zl552h8:182k06?3kn7p`<3g:94?7|f?;<6lh4}o10b<<728qe:<95b19~j65ai3:1=v`9168a5>{i;:li6=4>{o423?d53td8?km50;3xj3702k90qc=4}i>8=1n95rn21ea?6=9rd==:4m5:m76`a290:wc8>7;`5?xh4<9:1<7?tn734>g1:?2;295~h19>0i56sa3520>5<6sg<:;7ln;|l0052=83;pb;?8:c`8yk538<0;6;:7>51zl5528:182k06?3hn7p`<41:94?5|f?;<6oh4}o174<<72:qe95k51:l552n:187k06?3i:7p`<41`94?7|f?;<6n<4}o174f<728qe:<95c29~j627l3:1=v`9168`0>{i;=:n6=4>{o423?e23td88=h50;3xj3702j<0qc=;1183>4}i>8=1o:5rn2625?6=9rd==:4l8:m7175290:wc8>7;a:?xh4<891<7?tn734>fg:>5;295~h19>0ho6sa3535>5<6sg<:;7mk;|l0041=83;pb;?8:bg8yk53910;6:57>51zl552{i;=;n6=4>{o423?b23td884}i>8=1h:5rn2615?6=9rd==:4k8:m7145290:wc8>7;f:?xh4<;91<7?tn734>ag94?:0ym241=lk1vb>:=5;295~h19>0oo6sa3505>5<6sg<:;7jk;|l0071=83;pb;?8:eg8yk53:10;6957>52zl5529o7>51zl552{i;=9:6=4>{o423?c?3td88><50;3xj3702l30qc=;3283>4}i>8=1il5rn2600?6=9rd==:4jb:m7152290:wc8>7;g`?xh4<:<1<7?tn734>`b:<8;295~h19>0nj6sa351:>5<6sg<:;7h?;|l006g=83;pb;?8:g38yk53;k0;68o7>51zl552{i;=>:6=4>{o423?`?3td889<50;3xj3702o30qc=;4283>4}i>8=1jl5rn2670?6=9rd==:4ib:m7122290:wc8>7;d`?xh4<=<1<7?tn734>cb:;8;295~h19>0mj6sa356:>5<6sg<:;7??0:m712f290:wc8>7;335>{i;=>i6=4>{o423?77:2we?9:l:182k06?3;;?6sa356g>5<6sg<:;7??4:m712b290:wc8>7;331>{i;=>m6=4>{o423?77>2we?9;?:182k06?3;;;6sa3572>5<6sg<:;7??8:m7135290:wc8>7;33=>{i;=?86=4>{o423?77i2we?9;;:182k06?3;;n6sa3576>5<6sg<:;7??c:m7131290:wc8>7;33`>{i;=?<6=4>{o423?77m2we?9;7:182k06?3;;j6sa357:>5<6sg<:;7?>0:m713f290:wc8>7;325>{i;=?i6=4>{o423?76:2we?9;l:182k06?3;:?6sa357g>5<6sg<:;7?>4:m713b290:wc8>7;321>{i;=?m6=4>{o423?76>2we?98?:182k06?3;:;6sa3542>5<6sg<:;7?>8:m7105290:wc8>7;32=>{i;=<86=4>{o423?76i2we?98;:182k06?3;:n6sa3546>5<6sg<:;7?>c:m7101290:wc8>7;32`>{i;=<<6=4>{o423?76m2we?987:182k06?3;:j6sa354:>5<6sg<:;7?=0:m710f290:wc8>7;315>{i;={o423?75:2we?98l:182k06?3;9?6sa354g>5<6sg<:;7?=4:m710b290:wc8>7;311>{i;={o423?75>2we?99?:182k06?3;9;6sa3552>5<6sg<:;7?=8:m7115290:wc8>7;31=>{i;==86=4>{o423?75i2we?99;:182k06?3;9n6sa3556>5<6sg<:;7?=c:m7111290:wc8>7;31`>{i;==<6=4>{o423?75m2we?997:182k06?3;9j6sa355:>5<6sg<:;7?<0:m711f290:wc8>7;305>{i;==i6=4>{o423?74:2we?99l:182k06?3;8?6sa355g>5<6sg<:;7?<4:m711b290:wc8>7;301>{i;==m6=4>{o423?74>2we?96?:182k06?3;8;6sa35:2>5<6sg<:;7?<8:m71>5290:wc8>7;30=>{i;=286=4>{o423?74i2we?96;:182k06?3;8n6sa35:6>5<6sg<:;7?1290:wc8>7;30`>{i;=2<6=4>{o423?74m2we?967:182k06?3;8j6sa35::>5<6sg<:;7?;0:m71>f290:wc8>7;375>{i;=2i6=4>{o423?73:2we?96l:182k06?3;??6sa35:g>5<6sg<:;7?;4:m71>b290:wc8>7;371>{i;=2m6=4>{o423?73>2we?97?:182k06?3;?;6sa35;2>5<6sg<:;7?;8:m71?5290:wc8>7;37=>{i;=386=4>{o423?73i2we?97;:182k06?3;?n6sa35;6>5<6sg<:;7?;c:m71?1290:wc8>7;37`>{i;=3<6=4>{o423?73m2we?977:182k06?3;?j6sa35;:>5<6sg<:;7?:0:m71?f290:wc8>7;365>{i;=3i6=4>{o423?72:2we?97l:182k06?3;>?6sa35;g>5<6sg<:;7?:4:m71?b290:wc8>7;361>{i;=3m6=4>{o423?72>2we?9o?:182k06?3;>;6sa35c2>5<6sg<:;7?:8:m71g5290:wc8>7;36=>{i;=k86=4>{o423?72i2we?9o;:182k06?3;>n6sa35c6>5<6sg<:;7?:c:m71g1290:wc8>7;36`>{i;=k<6=4>{o423?72m2we?9o7:182k06?3;>j6sa35c:>5<6sg<:;7?90:m71gf290:wc8>7;355>{i;=ki6=4>{o423?71:2we?9ol:182k06?3;=?6sa35cg>5<6sg<:;7?94:m71gb290:wc8>7;351>{i;=km6=4>{o423?71>2we?9l?:182k06?3;=;6sa35`2>5<6sg<:;7?98:m71d5290:wc8>7;35=>{i;=h86=4>{o423?71i2we?9l;:182k06?3;=n6sa35`6>5<6sg<:;7?9c:m71d1290:wc8>7;35`>{i;=h<6=4>{o423?71m2we?9l7:182k06?3;=j6sa35`:>5<6sg<:;7?80:m71df290:wc8>7;345>{i;=hi6=4>{o423?70:2we?9ll:182k06?3;5<6sg<:;7?84:m71db290:wc8>7;341>{i;=hm6=4>{o423?70>2we?9m?:182k06?3;<;6sa35a2>5<6sg<:;7?88:m71e5290:wc8>7;34=>{i;=i86=4>{o423?70i2we?9m;:182k06?3;5<6sg<:;7?8c:m71e1290:wc8>7;34`>{i;=i<6=4>{o423?70m2we?9m7:182k06?3;5<6sg<:;7?70:m71ef290:wc8>7;3;5>{i;=ii6=4>{o423?7?:2we?9ml:182k06?3;3?6sa35ag>5<6sg<:;7?74:m71eb290:wc8>7;3;1>{i;=im6=4>{o423?7?>2we?9j?:182k06?3;3;6sa35f2>5<6sg<:;7?78:m71b5290:wc8>7;3;=>{i;=n86=4>{o423?7?i2we?9j;:182k06?3;3n6sa35f6>5<6sg<:;7?7c:m71b1290:wc8>7;3;`>{i;=n<6=4>{o423?7?m2we?9j7:182k06?3;3j6sa35f:>5<6sg<:;7?60:m71bf290:wc8>7;3:5>{i;=ni6=4>{o423?7>:2we?9jl:182k06?3;2?6sa35fg>5<6sg<:;7?64:m71bb290:wc8>7;3:1>{i;=nm6=4>{o423?7>>2we?9k?:182k06?3;2;6sa35g2>5<6sg<:;7?68:m71c5290:wc8>7;3:=>{i;=o86=4>{o423?7>i2we?9k;:182k06?3;2n6sa35g6>5<6sg<:;7?6c:m71c1290:wc8>7;3:`>{i;=o<6=4>{o423?7>m2we?9k7:182k06?3;2j6sa35g:>5<6sg<:;7?n0:m71cf290:wc8>7;3b5>{i;=oi6=4>{o423?7f:2we?9kl:182k06?3;j?6sa35gg>5<6sg<:;7?n4:m71cb290:wc8>7;3b1>{i;=om6=4>{o423?7f>2we?9h?:182k06?3;j;6sa35d2>5<6sg<:;7?n8:m71`5290:wc8>7;3b=>{i;=l86=4>{o423?7fi2we?9h;:182k06?3;jn6sa35d6>5<6sg<:;7?nc:m71`1290:wc8>7;3b`>{i;=l<6=4>{o423?7fm2we?9h7:182k06?3;jj6sa35d:>5<6sg<:;7?m0:m71`f290:wc8>7;3a5>{i;=li6=4>{o423?7e:2we?9hl:182k06?3;i?6sa35dg>5<6sg<:;7?m4:m71`b290:wc8>7;3a1>{i;=lm6=4>{o423?7e>2we?8>?:182k06?3;i;6sa3422>5<6sg<:;7?m8:m7065290:wc8>7;3a=>{i;<:86=4>{o423?7ei2we?8>;:182k06?3;in6sa3426>5<6sg<:;7?mc:m7061290:wc8>7;3a`>{i;<:<6=4>{o423?7em2we?8>7:182k06?3;ij6sa342:>5<6sg<:;7?l0:m706f290:wc8>7;3`5>{i;<:i6=4>{o423?7d:2we?8>l:182k06?3;h?6sa342g>5<6sg<:;7?l4:m706b290:wc8>7;3`1>{i;<:m6=4>{o423?7d>2we?8??:182k06?3;h;6sa3432>5<6sg<:;7?l8:m7075290:wc8>7;3`=>{i;<;86=4={o423?7di2we?8?;:182k06?3;hn6sa3436>5<6sg<:;7?lc:m7071290:wc8>7;3``>{i;<;<6=4>{o423?7dm2we?8?7:182k06?3;hj6sa343:>5<6sg<:;7?k0:m707f290:wc8>7;3g5>{i;<;i6=4>{o423?7c:2we?8?l:182k06?3;o?6sa343g>5<6sg<:;7?k4:m707b290:wc8>7;3g1>{i;<;m6=4>{o423?7c>2we?85<6sg<:;7?k8:m7045290:wc8>7;3g=>{i;<886=4>{o423?7ci2we?8<;:182k06?3;on6sa3406>5<6sg<:;7?kc:m7041290:wc8>7;3g`>{i;<8<6=4>{o423?7cm2we?8<7:182k06?3;oj6sa340:>5<6sg<:;7?j0:m704f290:wc8>7;3f5>{i;<8i6=4>{o423?7b:2we?85<6sg<:;7?j4:m704b290:wc8>7;3f1>{i;<8m6=4>{o423?7b>2we?8=?:182k06?3;n;6sa3412>5<6sg<:;7?j8:m7055290:wc8>7;3f=>{i;<986=4>{o423?7bi2we?8=;:182k06?3;nn6sa3416>5<6sg<:;7?jc:m7051290:wc8>7;3f`>{i;<9<6=4>{o423?7bm2we?8=7:182k06?3;nj6sa341:>5<6sg<:;7?i0:m705f290:wc8>7;3e5>{i;<9i6=4>{o423?7a:2we?8=l:182k06?3;m?6sa341g>5<6sg<:;7?i4:m705b290:wc8>7;3e1>{i;<9m6=4>{o423?7a>2we?8:?:182k06?3;m;6sa3462>5<6sg<:;7?i8:m7025290:wc8>7;3e=>{i;<>86=4>{o423?7ai2we?8:;:182k06?3;mn6sa3466>5<5sg<:;7?ic:m70212909wc8>7;3e`>{i;<><6=4={o423?7am2we?8:7:182k06?3;mj6sa346:>5<6sg<:;77;035>{i;<>i6=4={o423?47:2we?8:l:181k06?38;?6sa346g>5<5sg<:;77;031>{i;<>m6=4>{o423?47>2we?8;?:181k06?38;;6sa3472>5<5sg<:;77;03=>{i;{o423?47i2we?8;;:182k06?38;n6sa3476>5<6sg<:;77;03`>{i;5<6sg<:;7<>0:m703f2908wc8>7;025>{i;{o423?46:2we?8;l:182k06?38:?6sa347g>5<4sg<:;7<>4:m703b290:wc8>7;021>{i;{o423?46>2we?88?:180k06?38:;6sa3442>5<6sg<:;7<>8:m7005290:wc8>7;02=>{i;<<86=4<{o423?46i2we?88;:182k06?38:n6sa3446>5<6sg<:;7<>c:m70012908wc8>7;02`>{i;<<<6=4>{o423?46m2we?887:180k06?38:j6sa344:>5<6sg<:;7<=0:m700f2908wc8>7;015>{i;<{o423?45:2we?88l:180k06?389?6sa344g>5<6sg<:;7<=4:m700b2908wc8>7;011>{i;<2we?89?:182k06?389;6sa3452>5<6sg<:;7<=8:m7015290:wc8>7;01=>{i;<=86=4>{o423?45i2we?89;:182k06?389n6sa3456>5<6sg<:;7<=c:m7011290:wc8>7;01`>{i;<=<6=4>{o423?45m2we?897:182k06?389j6sa345:>5<6sg<:;7<<0:m701f290:wc8>7;005>{i;<=i6=4>{o423?44:2we?89l:182k06?388?6sa345g>5<6sg<:;7<<4:m701b290:wc8>7;001>{i;<=m6=4>{o423?44>2we?86?:182k06?388;6sa34:2>5<6sg<:;7<<8:m70>5290:wc8>7;00=>{i;<286=4>{o423?44i2we?86;:182k06?388n6sa34:6>5<6sg<:;7<1290:wc8>7;00`>{i;<2<6=4>{o423?44m2we?867:182k06?388j6sa34::>5<6sg<:;7<;0:m70>f290:wc8>7;075>{i;<2i6=4>{o423?43:2we?86l:182k06?38??6sa34:g>5<6sg<:;7<;4:m70>b290:wc8>7;071>{i;<2m6=4>{o423?43>2we?87?:182k06?38?;6sa34;2>5<6sg<:;7<;8:m70?5290:wc8>7;07=>{i;<386=4>{o423?43i2we?87;:182k06?38?n6sa34;6>5<6sg<:;7<;c:m70?1290:wc8>7;07`>{i;<3<6=4>{o423?43m2we?877:182k06?38?j6sa34;:>5<6sg<:;7<:0:m70?f290:wc8>7;065>{i;<3i6=4>{o423?42:2we?87l:182k06?38>?6sa34;g>5<6sg<:;7<:4:m70?b290:wc8>7;061>{i;<3m6=4>{o423?42>2we?8o?:182k06?38>;6sa34c2>5<6sg<:;7<:8:m70g5290:wc8>7;06=>{i;{o423?42i2we?8o;:182k06?38>n6sa34c6>5<6sg<:;7<:c:m70g1290:wc8>7;06`>{i;{o423?42m2we?8o7:182k06?38>j6sa34c:>5<6sg<:;7<90:m70gf290:wc8>7;055>{i;{o423?41:2we?8ol:182k06?38=?6sa34cg>5<6sg<:;7<94:m70gb290:wc8>7;051>{i;{o423?41>2we?8l?:182k06?38=;6sa34`2>5<6sg<:;7<98:m70d5290:wc8>7;05=>{i;{o423?41i2we?8l;:182k06?38=n6sa34`6>5<6sg<:;7<9c:m70d1290:wc8>7;05`>{i;{o423?41m2we?8l7:182k06?38=j6sa34`:>5<6sg<:;7<80:m70df290:wc8>7;045>{i;{o423?40:2we?8ll:182k06?385<6sg<:;7<84:m70db290:wc8>7;041>{i;{o423?40>2we?8m?:182k06?38<;6sa34a2>5<6sg<:;7<88:m70e5290:wc8>7;04=>{i;{o423?40i2we?8m;:182k06?385<6sg<:;7<8c:m70e1290:wc8>7;04`>{i;{o423?40m2we?8m7:182k06?385<6sg<:;7<70:m70ef290:wc8>7;0;5>{i;{o423?4?:2we?8ml:182k06?383?6sa34ag>5<6sg<:;7<74:m70eb290:wc8>7;0;1>{i;2we?8j?:182k06?383;6sa34f2>5<6sg<:;7<78:m70b5290:wc8>7;0;=>{i;{o423?4?i2we?8j;:182k06?383n6sa34f6>5<6sg<:;7<7c:m70b12909wc8>7;0;`>{i;5<5sg<:;7<60:m70bf290:wc8>7;0:5>{i;:2we?8jl:181k06?382?6sa34fg>5<5sg<:;7<64:m70bb290:wc8>7;0:1>{i;{o423?4>>2we?8k?:182k06?382;6sa34g2>5<6sg<:;7<68:m70c5290:wc8>7;0:=>{i;{o423?4>i2we?8k;:182k06?382n6sa34g6>5<6sg<:;7<6c:m70c1290:wc8>7;0:`>{i;{o423?4>m2we?8k7:182k06?382j6sa34g:>5<6sg<:;77;0b5>{i;{o423?4f:2we?8kl:182k06?38j?6sa34gg>5<6sg<:;77;0b1>{i;{o423?4f>2we?8h?:182k06?38j;6sa34d2>5<6sg<:;77;0b=>{i;{o423?4fi2we?8h;:182k06?38jn6sa34d6>5<6sg<:;77;0b`>{i;{o423?4fm2we?8h7:182k06?38jj6sa34d:>5<6sg<:;77;0a5>{i;{o423?4e:2we?8hl:182k06?38i?6sa34dg>5<6sg<:;77;0a1>{i;{o423?4e>2we?;>?:182k06?38i;6sa3722>5<6sg<:;77;0a=>{i;?:86=4>{o423?4ei2we?;>;:182k06?38in6sa3726>5<6sg<:;77;0a`>{i;?:<6=4>{o423?4em2we?;>7:182k06?38ij6sa372:>5<6sg<:;77;0`5>{i;?:i6=4>{o423?4d:2we?;>l:182k06?38h?6sa372g>5<6sg<:;77;0`1>{i;?:m6=4>{o423?4d>2we?;??:182k06?38h;6sa3732>5<6sg<:;77;0`=>{i;?;86=4>{o423?4di2we?;?;:182k06?38hn6sa3736>5<6sg<:;77;0``>{i;?;<6=4>{o423?4dm2we?;?7:182k06?38hj6sa373:>5<6sg<:;77;0g5>{i;?;i6=4>{o423?4c:2we?;?l:182k06?38o?6sa373g>5<6sg<:;77;0g1>{i;?;m6=4>{o423?4c>2we?;5<6sg<:;77;0g=>{i;?886=4>{o423?4ci2we?;<;:182k06?38on6sa3706>5<6sg<:;77;0g`>{i;?8<6=4>{o423?4cm2we?;<7:182k06?38oj6sa370:>5<6sg<:;77;0f5>{i;?8i6=4>{o423?4b:2we?;5<6sg<:;77;0f1>{i;?8m6=4>{o423?4b>2we?;=?:182k06?38n;6sa3712>5<6sg<:;77;0f=>{i;?986=4>{o423?4bi2we?;=;:182k06?38nn6sa3716>5<6sg<:;77;0f`>{i;?9<6=4>{o423?4bm2we?;=7:182k06?38nj6sa371:>5<6sg<:;77;0e5>{i;?9i6=4>{o423?4a:2we?;=l:182k06?38m?6sa371g>5<6sg<:;77;0e1>{i;?9m6=4>{o423?4a>2we?;:?:182k06?38m;6sa3762>5<6sg<:;77;0e=>{i;?>86=4>{o423?4ai2we?;:;:182k06?38mn6sa3766>5<6sg<:;77;0e`>{i;?><6=4>{o423?4am2we?;:7:182k06?38mj6sa376:>5<6sg<:;7=?0:m732f290:wc8>7;135>{i;?>i6=4>{o423?57:2we?;:l:182k06?39;?6sa376g>5<6sg<:;7=?4:m732b290:wc8>7;131>{i;?>m6=4>{o423?57>2we?;;?:182k06?39;;6sa3772>5<5sg<:;7=?8:m7335290:wc8>7;13=>{i;??86=4>{o423?57i2we?;;;:182k06?39;n6sa3776>5<6sg<:;7=?c:m7331290:wc8>7;13`>{i;??<6=4>{o423?57m2we?;;7:182k06?39;j6sa377:>5<6sg<:;7=>0:m733f290:wc8>7;125>{i;??i6=4>{o423?56:2we?;;l:182k06?39:?6sa377g>5<6sg<:;7=>4:m733b290:wc8>7;121>{i;??m6=4>{o423?56>2we?;8?:182k06?39:;6sa3742>5<6sg<:;7=>8:m7305290:wc8>7;12=>{i;?<86=4>{o423?56i2we?;8;:182k06?39:n6sa3746>5<6sg<:;7=>c:m7301290:wc8>7;12`>{i;?<<6=4>{o423?56m2we?;87:182k06?39:j6sa374:>5<6sg<:;7==0:m730f290:wc8>7;115>{i;?{o423?55:2we?;8l:182k06?399?6sa374g>5<6sg<:;7==4:m730b290:wc8>7;111>{i;?{o423?55>2we?;9?:182k06?399;6sa3752>5<6sg<:;7==8:m7315290:wc8>7;11=>{i;?=86=4>{o423?55i2we?;9;:182k06?399n6sa3756>5<6sg<:;7==c:m7311290:wc8>7;11`>{i;?=<6=4>{o423?55m2we?;97:182k06?399j6sa375:>5<6sg<:;7=<0:m731f290:wc8>7;105>{i;?=i6=4>{o423?54:2we?;9l:182k06?398?6sa375g>5<6sg<:;7=<4:m731b290:wc8>7;101>{i;?=m6=4>{o423?54>2we?;6?:182k06?398;6sa37:2>5<6sg<:;7=<8:m73>5290:wc8>7;10=>{i;?286=4>{o423?54i2we?;6;:182k06?398n6sa37:6>5<6sg<:;7=1290:wc8>7;10`>{i;?2<6=4>{o423?54m2we?;67:182k06?398j6sa37::>5<6sg<:;7=;0:m73>f290:wc8>7;175>{i;?2i6=4>{o423?53:2we?;6l:182k06?39??6sa37:g>5<6sg<:;7=;4:m73>b2909wc8>7;171>{i;?2m6=4>{o423?53>2we?;7?:182k06?39?;6sa37;2>5<6sg<:;7=;8:m73?5290:wc8>7;17=>{i;?386=4>{o423?53i2we?;7;:182k06?39?n6sa37;6>5<6sg<:;7=;c:m73?1290:wc8>7;17`>{i;?3<6=4>{o423?53m2we?;77:182k06?39?j6sa37;:>5<6sg<:;7=:0:m73?f290:wc8>7;165>{i;?3i6=4>{o423?52:2we?;7l:182k06?39>?6sa37;g>5<6sg<:;7=:4:m73?b290:wc8>7;161>{i;?3m6=4>{o423?52>2we?;o?:182k06?39>;6sa37c2>5<6sg<:;7=:8:m73g5290:wc8>7;16=>{i;?k86=4>{o423?52i2we?;o;:182k06?39>n6sa37c6>5<6sg<:;7=:c:m73g1290:wc8>7;16`>{i;?k<6=4>{o423?52m2we?;o7:182k06?39>j6sa37c:>5<6sg<:;7=90:m73gf290:wc8>7;155>{i;?ki6=4>{o423?51:2we?;ol:182k06?39=?6sa37cg>5<6sg<:;7=94:m73gb290:wc8>7;151>{i;?km6=4>{o423?51>2we?;l?:182k06?39=;6sa37`2>5<6sg<:;7=98:m73d5290:wc8>7;15=>{i;?h86=4>{o423?51i2we?;l;:182k06?39=n6sa37`6>5<6sg<:;7=9c:m73d1290:wc8>7;15`>{i;?h<6=4>{o423?51m2we?;l7:182k06?39=j6sa37`:>5<5sg<:;7=80:m73df2909wc8>7;145>{i;8;:6=4>{o7;a?79=;|l054e=83;pb86j:09m241=;>90qpsr@AAx7d4a2k?m94:8a|BCF~231r<;i7>50;095fe028h=m6st61g94?6=:3;n9:4>c`f8y~07m3:1<7<51d7;>4d3;2wp:=k50;296?7e{|>9o1<7>52;3f1d<6jjn0qv8?e;294?4=9l?i67?kee82f3e50;095aca28h=h6st61g94?6=:3;oin4>b7g8y~07m3:1<7<51d7`>4be?2wp:=k50;296?7cn:0:n;h4}z43a?6=8381=ih>:0`44>{|>9o1<7>52;3f1a<6l9i0qv8?e;294?4=9l?n67?j5g82`4450;095`0728n:96st61g94?6=:3;i4n4>b638y~07m3:1<7<51d42>4b602wp:=k50;296?7b>;0:h{|>9o1<7>52;3f21<6l;;0qv8?e;294?4=9l<>67?j6782`5?50;095g1228h<>6st61g94?6=:3;i;i4>b618y~07m3:1<7<51c5a>4d0<2wp:=k50;296?7b>>0:h=84}z43a?6=8381=h87:0`4b>{|>9o1<7>52;3f2<<6j<30qv8?e;294?4=9l7?j6c82f<750;095`0d28h2>6st61g94?6=:3;n:i4>b818y~07m3:1<7<51d4f>4d><2wp:=k50;296?7b>o0:n4;4}z43a?6=8381=h9?:0`:2>{|>9o1<7>52;3f34<6j=80qv8?e;294?4=9l=967?j7282`2e50;095`1328n2;6st61g94?6=:3;n;84>d868y~07m3:1<7<51d55>4b>92wp:=k50;296?7b?>0:h5k4}z43a?6=8381=h97:0f;f>{|>9o1<7>52;3f3<<6l120qv8?e;294?4=9l=j67?j7c82`=450;095`1d28nd6;8y~07m3:1<7<51d5f>4e?i2wp:=k50;296?7b?o0:o5j4}z43a?6=8381=h6?:0a:5>{|>9o1<7>52;3f<4<6j7?kb`82ef150;095add28kh56st61g94?6=:3;oni4>ab`8y~07m3:1<7<51e`f>4gdl2wp:=k50;296?7cjo0:mnh4}z43a?6=8381=im?:0cg5>{|>9o1<7>52;3gg4<6im90qv8?e;294?4=9mi967?kc282ea150;095a5b28ki>6st61g94?6=:3;o8=4>ac68y~07m3:1<7<51e62>4ge>2wp:=k50;296?7c<;0:mo64}z43a?6=8381=i:<:0cae>{|>9o1<7>52;3g01<6iki0qv8?e;294?4=9m>>67?k4782ef650;095a2028kh>6st61g94?6=:3;hi;4>a9f8y~07m3:1<7<51bg;>4g?n2wp:=k50;296?7dm00:m4?4}z43a?6=8381=nkn:0c:7>{|>9o1<7>52;3`ag<6i0?0qv8?e;294?4=9joh67?lee82e50;095fcb28k2n6st61g94?6=:3;hik4>a8f8y~07m3:1<7<51ed:>4ga82wp:=k50;296?7b8=0:mkm4}z43a?6=8381=h>m:0cea>{|>9o1<7>53;3f4f<6j9:1=o?;;|y54`<7290965<528o;j7?m079~36b290;6?4>e0295g6?3tq=4c693;i5<72;0:hko51`f`?x}18l0;6=4=:0fef?7fll1vw;>j:183>7<6loi1=lk?;|y54`<729096a:x25c=83:1>7?kfg82e`050;095`6728kn46st61g94?6=:3;n<<4>adc8y~07m3:1<7<51d21>4gbk2wp:=k50;296?7b8:0:mhk4}z43a?6=8391=h>::0ce6?7e9l1vw;>j:183>7<6m9<1=lh;;|y54`<7290867?j0882ecg50;095a?f28h9m6st61g94?6=:3;h?i4>be`8y~07m3:1<7<51b1f>4dcl2wp:=k50;296?7d;o0:nih4}z43a?6=8381=n:?:0`f5>{|>9o1<7>52;3`56<6jlk0qv8?e;294?4=9j;?67?l1482f`c50;095f7128hm<6st61g94?6=:3;h=:4>bg08y~07m3:1<7<51b3;>4da<2wp:=k50;296?7d900:nk84}z43a?6=8381=n?n:0`e<>{|>9o1<7>52;3`07<6jon0qv8?e;294?4=9j>867?l4582g5750;095f2228i;?6st61g94?6=:3;h8;4>c178y~07m3:1<7<51b64>4e7?2wp:=k50;296?7d<10:o=74}z43a?6=8381=n:6:0a3f>{|>9o1<7>52;3`36<6k?;0qv8?e;294?4=9j=?67?l7482g3350;095f1128i=;6st61g94?6=:3;h;:4>c7;8y~07m3:1<7<51b5;>4e1j2wp:=k50;296?7d?00:o;j4}z43a?6=8381=n9n:0a5b>{|>9o1<7>52;3gfg<6im30qv8?e;294?4=9m9m67?le682e<`50;095`6f28h;o6st61g94?6=:3;h=o4>bgc8y~07m3:1<7<51b6b>4e7l2wp:=k50;296?7d?k0:o:?4}z43a?6=8381=n6?:0a;5>{|>9o1<7>52;3gf5<6lk;0qv8?d;294?4=9lk:6?m4}z43`?6=8381=ho7:218y~07l3:1<7<51dce>6g50;095`d12=;0qv8?d;294?4=9lho6964}z43`?6=8381=hm;:5d8y~07l3:1<7<51daa>0050;095`b522450;095`cb2>30qv8?d;294?4=9ll>65>4}z43`?6=8381=hhl:958y~07l3:1<7<51g20>=c50;095c6f20?0qv8?d;294?4=9o;:64m4}z43`?6=8381=k?7:`18y~07l3:1<7<51g3e>dg50;095c412k;0qv8?d;294?4=9o8o6o64}z43`?6=8381=k=;:cd8y~07l3:1<7<51g1a>f050;095c252jn0qv8?d;294?4=9o>26i:4}z43`?6=8381=k;?:e`8y~07l3:1<7<51g74>`450;095c3b2l30qv8?d;294?4=9o<>6k>4}z43`?6=8381=k8l:g58y~07l3:1<7<51g50>cc50;095c1f28:>7pu90e83>5<528l3=7??c:x25b=83:1>7?i898256=zs?:o6=4?:382b=`=98k0qv8?d;294?4=9o3=6<<>;|y54a<729096{|>9n1<7>52;3ee1<6:o1vw;>k:183>7<6nhh1=>84}z43`?6=8381=kl=:01g?x}18m0;6=4=:0da=?73<2wp:=j50;296?7ak90:8o5r{72g>5<72;0:jn951408y~07l3:1<7<51gaf>43>3tq=4`c=3;=<6st61f94?6=:3;mhn4>669~36c290;6?4>fd1953c50;095ccf28=>7pu90e83>5<528lm=7?8c:x25b=83:1>7?if982<6=zs?:o6=4?:382bc`=91i0qv8?d;294?4=:9:=6<7<;|y54a<729096?>?d;3:e>{|>9n1<7>52;0351<6i81vw;>k:183>7<588h1=l64}z43`?6=8381>=<=:0ce?x}18m0;6=4=:321=?7e>2wp:=j50;296?47;90:ni5r{72g>5<72;09<>951b68y~07l3:1<7<5211f>4ee3tq=763=3;o>6st61f94?6=:38;8n4>d89~36c290;6?4=04195`650;09653f28o<7pu90e83>5<52;:==7?je:x25b=83:1>7<;|y54a<729096?>8d;03e>{|>9n1<7>52;03<1<5981vw;>k:183>7<581h1><64}z43`?6=8381>=7=:33e?x}18m0;6=4=:32:=?45>2wp:=j50;296?47i909>i5r{72g>5<72;0975e3tq=76e=38?>6st61f94?6=:38;nn4=489~36c290;6?4=0b1960650;0965ef2;?<7pu90e83>5<52;:o=7<:e:x25b=83:1>7jd;04e>{|>9n1<7>52;03b1<5081vw;>k:183>7<58oh1>564}z43`?6=8381><>=:3:e?x}18m0;6=4=:333=?4>>2wp:=j50;296?4699095i5r{72g>5<72;09=<952`68y~07l3:1<7<5203f>7ge3tq=775=38i>6st61f94?6=:38:>n4=b89~36c290;6?4=12196f450;09645f2;ii7pu90e83>5<52;;?=77<>4981`a=zs?:o6=4?:38151`=:l<0qv8?d;294?4=:8?=6?ki;|y54a<729096??:d;0e<>{|>9n1<7>52;0221<4881vw;>k:183>7<59?h1?=64}z43`?6=8381><9=:22e?x}18m0;6=4=:334=?56>2wp:=j50;296?460908=i5r{72g>5<72;09=5953368y~07l3:1<7<520:f>64e3tq=77>=398>6st61f94?6=:389<4450;0967>32=887pu90e83>5<52;83n7:=c:x25b=83:1>7<=938770=zs?:o6=4?:3816{|>9n1<7>52;01e`<3=01vw;>k:183>7<5:k?18;<4}z43`?6=8381>?ll:54a?x}18m0;6=4=:30`7?20<2wp:=j50;296?45kh0?;i5r{72g>5<72;09>i?54948y~07l3:1<7<523f;>1>a3tq=74cn3>246st61f94?6=:389i;4;a09~36c290;6?4=2df90dg50;0967`32=h87pu90e83>5<52;8mn7:mc:x25b=83:1>7<<0387g0=zs?:o6=4?:38175?=7;6f4>{|>9n1<7>52;005`<3m01vw;>k:183>7<5;;?18k<4}z43`?6=8381>>5<72;09?9?55048y~07l3:1<7<5226;>07a3tq=753n3?946st61f94?6=:388994:2e9~36c290;6?4=34:916750;09663c2<9=7pu90e83>5<52;9==7;7<<67867c=zs?:o6=4?:38173g===90qv8?d;294?4=::{|>9n1<7>52;003=<2=81vw;>k:183>7<5;>i198;4}z43`?6=8381>>6>:47b?x}18m0;6=4=:31;1?32m2wp:=j50;296?440h0>:>5r{72g>5<72;09?5k55758y~07l3:1<7<522;0>00d3tq=75>?3?<<6st61f94?6=:3885n4:749~36c290;6?4=3`2912?50;0966g22<=n7pu90e83>5<52;9j57;72:x25b=83:1>7<{|>9n1<7>52;00fc<2111vw;>k:183>7<5;j9194m4}z43`?6=8381>>m8:4c3?x}18m0;6=4=:31`g?3f=2wp:=j50;296?44l90>m45r{72g>5<72;09?i:55`f8y~07l3:1<7<522f:>0d53tq=75cl3?i:6st61f94?6=:388i<4:b`9~36c290;6?4=3d491g`50;0966cf25<52;9ni7;l7:x25b=83:1>7<{|>9n1<7>52;0740<2ll1vw;>k:183>7<5<9319h<4}z43`?6=8381>9>j:4g4?x}18m0;6=4=:3626?3bj2wp:=j50;296?439>0>j=5r{72g>5<72;0980`?3tq=725<3?mh6st61f94?6=:38?>549009~36c290;6?4=43f925050;0961562?:j7pu90e83>5<52;>8:78?f:x25b=83:1>7<;3`8556=zs?:o6=4?:38106`=>820qv8?d;294?4=:=>86;?l;|y54a<729096?:;8;415>{|>9n1<7>52;070f<1:<1vw;>k:183>7<5<<;1:?o4}z43`?6=8381>9;::70f?x}18m0;6=4=:366e?04;2wp:=j50;296?43=l0=?:5r{72g>5<72;098;=562a8y~07l3:1<7<52544>3273tq=721k350;09611>2??97pu90e83>5<52;>7<;83851g=zs?:o6=4?:3810=1=>?:0qv8?d;294?4=:=2h6;8:;|y54a<729096?:61;45e>{|>9n1<7>52;07=3<1>o1vw;>k:183>7<5<0k1::=4}z43`?6=8381>97i:75;?x}18m0;6=4=:36b7?00k2wp:=j50;296?43i10=4<5r{72g>5<72;098lm56978y~07l3:1<7<525`2>3>f3tq=72e=3<3i6st61f94?6=:38?nl49929~36c290;6?4=4cg92<150;0961e42?3h7pu90e83>5<52;>h;78n0:x25b=83:1>7<;cc85e1=zs?:o6=4?:3810a6=>h30qv8?d;294?4=:=n?6;ok;|y54a<729096?:k9;4a6>{|>9n1<7>52;07`a<1j?1vw;>k:183>7<59k9:7`e?x}18m0;6=4=:36ff?0d<2wp:=j50;296?43mo0=o55r{72g>5<72;098k:56bf8y~07l3:1<7<525d;>3b63tq=72al3<<49d`9~36c290;6?4=51492a`50;09606f2?o87pu90e83>5<52;?;j78j8:x25b=83:1>7<:1285af=zs?:o6=4?:38114>=>o;0qv8?d;294?4=:<;h6;h:;|y54a<729096?;=1;4ee>{|>9n1<7>52;0660<1nl1vw;>k:183>7<5=;k1;==4}z43`?6=8381>80<==5r{72g>5<72;099>m57078y~07l3:1<7<52463>27>3tq=733=3=:i6st61f94?6=:38>8448239~36c290;6?4=55g937150;0960352>8i7pu90e83>5<52;?>;79<0:x25b=83:1>7<:5c8471=zs?:o6=4?:381136=?:30qv8?d;294?4=:<{|>9n1<7>52;062a<0k:183>7<5=>81;9l4}z43`?6=8381>899:66e?x}18m0;6=4=:374f?12<2wp:=j50;296?42?o0<955r{72g>5<72;0995:574f8y~07l3:1<7<524:;>2063tq=73?l3==:6st61f94?6=:38>5<486`9~36c290;6?4=584933`50;0960?f2>=87pu90e83>5<52;?2j7988:x25b=83:1>7<:a2843f=zs?:o6=4?:3811d>=?1;0qv8?d;294?4=:{|>9n1<7>52;06f0<00l1vw;>k:183>7<5=k31;4<4}z43`?6=8381>8lj:6;4?x}18m0;6=4=:37`6?1>j2wp:=j50;296?42k>05<72;099nl57`68y~07l3:1<7<524f3>2g>3tq=73c<3=jh6st61f94?6=:38>h448b39~36c290;6?4=5ef93g050;0960c52>hi7pu90e83>5<52;?n:79mf:x25b=83:1>7<:ec84g1=zs?:o6=4?:3811``=?j20qv8?d;294?4=:{|>9n1<7>52;06ba<0l?1vw;>k:183>7<5>9;1;io4}z43`?6=8381>;>9:6fe?x}18m0;6=4=:343e?1b;2wp:=j50;296?418l05<72;09:<=57da8y~07l3:1<7<5273:>2`53tq=706m3=m;6st61f94?6=:38=>948fe9~36c290;6?4=63;9<5450;09634b21:<7pu90e83>5<52;<8?76?c:x25b=83:1>7<9398;54=zs?:o6=4?:38126c=08=0qv8?d;294?4=:?>865?l;|y54a<729096?8;8;:15>{|>9n1<7>52;050ak:183>7<5><814?l4}z43`?6=8381>;;8:913?x}18m0;6=4=:346g?>4=2wp:=j50;296?41>;03?o5r{72g>5<72;09:;958528y~07l3:1<7<5274g>=213tq=700:32?n6st61f94?6=:38=;:47519~36c290;6?4=66a9<0350;0963>721?27pu90e83>5<52;<3976:e:x25b=83:1>7<9888;27=zs?:o6=4?:3812=b=0?<0qv8?d;294?4=:?39658m;|y54a<729096?866;:5b>{|>9n1<7>52;05=dk:183>7<5>0l14:64}z43`?6=8381>;o<:95`?x}18m0;6=4=:34b3?>?82wp:=j50;296?41ij03485r{72g>5<72;09:o>589;8y~07l3:1<7<527`7>=>c3tq=70e1322>6st61f94?6=:38=ni47979~36c290;6?4=6b39<50;0963e1213m7pu90e83>5<52;7<9cg8;e==zs?:o6=4?:3812a5=0hi0qv8?d;294?4=:?n365l>;|y54a<729096?8kc;:a1>{|>9n1<7>52;05a4k:183>7<5>l?14ok4}z43`?6=8381>;kn:9a0?x}18m0;6=4=:34fa?>d?2wp:=j50;296?41n;03oo5r{72g>5<72;09:k958e28y~07l3:1<7<527da>=b33tq=717832o56st61f94?6=:38<<947de9~36c290;6?4=71;9<`450;09626c21o=7pu90e83>5<52;=:>76jb:x25b=83:1>7<8178;ac=zs?:o6=4?:38134d=0o>0qv8?d;294?4=:>;m65h7;|y54a<729096?9=4;:e`>{|>9n1<7>52;046=<>881vw;>k:183>7<5?;n15=84}z43`?6=8381>:=>:82b?x}18m0;6=4=:3502??7n2wp:=j50;296?40;h02=>5r{72g>5<72;09;>h590:8y~07l3:1<7<52660><7d3tq=713?339<6st61f94?6=:38<8n46249~36c290;6?4=7429=7?50;096232208n7pu90e83>5<52;=>577<2:x25b=83:1>7<85d8:72=zs?:o6=4?:381334=1:h0qv8?d;294?4=:><<64:?;|y54a<729096?99b;;70>{|>9n1<7>52;0435<><01vw;>k:183>7<5?>>159j4}z43`?6=8381>:96:871?x}18m0;6=4=:354`??2>2wp:=j50;296?400;029o5r{72g>5<72;09;58594d8y~07l3:1<7<526:a><033tq=71?n33=46st61f94?6=:38<59466e9~36c290;6?4=78:9=2750;0962?d20=>7pu90e83>5<52;=j=778a:x25b=83:1>7<8a48:3`=zs?:o6=4?:3813dg=1190qv8?d;294?4=:>kn6468;|y54a<729096?9m3;;;g>{|>9n1<7>52;04f2<>191vw;>k:183>7<5?ki154;4}z43`?6=8381>:m?:8;:?x}18m0;6=4=:35`1??>m2wp:=j50;296?40k002m?5r{72g>5<72;09;nk59`58y~07l3:1<7<526f1>71c?33i<6st61f94?6=:3850;0962c320ho7pu90e83>5<52;=n577l2:x25b=83:1>7<8ee8:g3=zs?:o6=4?:3813c7=1jk0qv8?d;294?4=:>l364j>;|y54a<729096?9if;;g<>{|>9n1<7>52;0;43<>lo1vw;>k:183>7<509n15h84}z43`?6=8381>5?;:8gg?x}18m0;6=4=:3:2f??a<2wp:=j50;296?4?:;02jo5r{72g>5<72;094?75a108y~07l3:1<7<52913>d6>3tq=7>4?3k:<6st61f94?6=:383?h4n169~36c290;6?4=8579e4c50;096=2d2h8>7pu90e83>5<52;2>?7o=c:x25b=83:1>7<75`8b76=zs?:o6=4?:381<37=i:k0qv8?d;294?4=:1<36l:>;|y54a<729096?69f;c7<>{|>9n1<7>52;0;33k:183>7<50>n1m884}z43`?6=8381>56;:`7g?x}18m0;6=4=:3:;f?g1<2wp:=j50;296?4?1;0j:o5r{72g>5<72;094475a608y~07l3:1<7<529;f>d103tq=7>f:3k50;096=d52h2i7pu90e83>5<52;2i47o61:x25b=83:1>7<7be8b=3=zs?:o6=4?:381{|>9n1<7>52;0;`6k:183>7<50m31mo<4}z43`?6=8381>5ji:``;?x}18m0;6=4=:3:f1?gem2wp:=j50;296?4?m00jo?5r{72g>5<72;094hk5ab58y~07l3:1<7<529d7>dec3tq=7>ai3ko?6st61f94?6=:382<=4nd89~36c290;6?4=9169eab50;096<6f2ho87pu90e83>5<52;3:<7oj9:x25b=83:1>7<6178bac=zs?:o6=4?:381=4d=io>0qv8?d;294?4=:08;6lh6;|y54a<729096?7=6;ceb>{|>9n1<7>52;0:6gk:183>7<51:;1n=o4}z43`?6=8381>4=8:c33?x}18m0;6=4=:3;0f?d6<2wp:=j50;296?4><80i=l5r{72g>5<72;095995b328y~07l3:1<7<5286g>g413tq=7?2;3h9o6st61f94?6=:382944m339~36c290;6?4=94f9f6050;096<042k9h7pu90e83>5<52;3=57l;2:x25b=83:1>7<66g8a0==zs?:o6=4?:381=23=j=o0qv8?d;294?4=:0=i6o;;;|y54a<729096?771;`6e>{|>9n1<7>52;0:<291vw;>k:183>7<511n1n;84}z43`?6=8381>47<:c4`?x}18m0;6=4=:3;:3?d082wp:=j50;296?4>1m0i;;5r{72g>5<72;095l<5b6`8y~07l3:1<7<528c4>g>73tq=7?fk3h396st61f94?6=:382n<4m8`9~36c290;6?4=9c49f=`50;0965<52;3h<7l69:x25b=83:1>7<6c78a=c=zs?:o6=4?:381=fd=jh>0qv8?d;294?4=:0n:6oon;|y54a<729096?7k7;`a4>{|>9n1<7>52;0:`fk:183>7<51l;1noo4}z43`?6=8381>4k8:ca3?x}18m0;6=4=:3;f`?dd>2wp:=j50;296?4>n:0ion5r{72g>5<72;095k75be08y~07l3:1<7<528df>gb03tq=7g7<3hoh6st61f94?6=:38j50;096d712kom7pu90e83>5<52;k:n7li4:x25b=83:1>7;;|y54a<729096?o<1;a3e>{|>9n1<7>52;0b70k:183>7<5i:h1o<:4}z43`?6=8381>l:>:b3b?x}18m0;6=4=:3c72?e6n2wp:=j50;296?4f95r{72g>5<72;09m8>5c3;8y~07l3:1<7<52`76>f4b3tq=7g2i3i8?6st61f94?6=:38j9k4l399~36c290;6?4=a769g6b50;096d0>2j>97pu90e83>5<52;k=i7m;7:x25b=83:1>7{|>9n1<7>52;0b<1vw;>k:183>7<5i081o;l4}z43`?6=8381>l77:b52?x}18m0;6=4=:3c:a?e0?2wp:=j50;296?4fi=0h;i5r{72g>5<72;09mlo5c918y~07l3:1<7<52``3>f>>3tq=7ge<3i3h6st61f94?6=:38jn54l909~36c290;6?4=acg9g<150;096de52j3i7pu90e83>5<52;kh47mn1:x25b=83:1>7{|>9n1<7>52;0ba5k:183>7<5il<1ooh4}z43`?6=8381>lkn:ba0?x}18m0;6=4=:3ce4?ed12wp:=j50;296?4fn=0hoi5r{72g>5<72;09mko5ce18y~07l3:1<7<52`df>fb03tq=7d7<3ioh6st61f94?6=:38i<54le09~36c290;6?4=b1g9g`150;096g752joi7pu90e83>5<52;h:47mi1:x25b=83:1>7?;|y54a<729096?l=c;f31>{|>9n1<7>52;0a74k:183>7<5j:<1h=h4}z43`?6=8381>o=m:e37?x}18m0;6=4=:3`74?b612wp:=j50;296?4e<:0o=n5r{72g>5<72;09n985d0d8y~07l3:1<7<52c6:>a453tq=7d3k3n996st61f94?6=:38i8k4k299~36c290;6?4=b409`7d50;096g322m8n7pu90e83>5<52;h>47j<1:x25b=83:1>7{|>9n1<7>52;0a22k:183>7<5j?k1h9=4}z43`?6=8381>o8k:e65?x}18m0;6=4=:3`44?b312wp:=j50;296?4e?:0o8n5r{72g>5<72;09n:85d5d8y~07l3:1<7<52c5:>a353tq=7d0k3n>96st61f94?6=:38i;k4k599~36c290;6?4=b909`0d50;096g>22m?n7pu90e83>5<52;h347j91:x25b=83:1>7{|>9n1<7>52;0ae6k:183>7<5jh31ihk4}z43`?6=8381>ooi:dd7?x}18m0;6=4=:3`a1?cai2wp:=j50;296?4ejk0m<=5r{72g>5<72;09nn?5f148y~07l3:1<7<52ca5>c6e3tq=7ddk3l:=6st61f94?6=:38ih?4i169~36c290;6?4=be59b4e50;096gbd2o8:7pu90e83>5<52;hn=7h=6:x25b=83:1>7{|>9n1<7>52;0abak:183>7<5k991j964}z43`?6=8381>n>6:g6f?x}18m0;6=4=:3a3b?`2<2wp:=j50;296?4d9<0m9l5r{72g>5<72;09oc013tq=7e5?3l=o6st61f94?6=:38h>i4i739~36c290;6?4=c219b2>50;096f5>2o=n7pu90e83>5<52;i8i7h73:x25b=83:1>7{|>9n1<7>52;0`1fk:183>7<5k?81jl94}z43`?6=8381>n87:gcg?x}18m0;6=4=:3a5a?`e;2wp:=j50;296?4d?=0mn45r{72g>5<72;09o:o5fcd8y~07l3:1<7<52b:3>ce23tq=7e?>3lhn6st61f94?6=:38h4l4icg9~36c290;6?4=c9d9ba250;096f?22onj7pu90e83>5<52;i2n7hj0:x25b=83:1>7{|>9n1<7>52;0`f2k:183>7<5kkn1==>=;|y54a<729096?ml2;3342=zs?:o6=4?:381gf>=99:o7pu90e83>5<52;ihi7??129~36c290;6?4=ce69557>3tq=7eci3;;=k5r{72g>5<72;09oh>51106?x}18m0;6=4=:3af2?77:k1vw;>k:183>7<5kli1===>;|y54a<729096?mi2;3372=zs?:o6=4?:381gc>=999o7pu90e83>5<52;imi7??429~36c290;6?4=d169552>3tq=7b7i3;;8k5r{72g>5<72;09h<>51176?x}18m0;6=4=:3f22?77=k1vw;>k:183>7<5l8i1==8>;|y54a<729096?j=2;3322=zs?:o6=4?:381`7>=995<52;n9i7??729~36c290;6?4=d269551>3tq=7b4i3;;;k5r{72g>5<72;09h9>511:6?x}18m0;6=4=:3f72?770k1vw;>k:183>7<5l=i1==7>;|y54a<729096?j:1;33=3=zs?:o6=4?:381`01=993h7pu90e83>5<52;n>h7??a39~36c290;6?4=d71955g?3tq=7b113;;mh5r{72g>5<72;09h;h511`7?x}18m0;6=4=:3f41?77jh1vw;>k:183>7<5l>h1==m?;|y54a<729096?j70;33g0=zs?:o6=4?:381`=3=99ij7pu90e83>5<52;n3n7??d19~36c290;6?4=d83955b13tq=7b>?3;;hn5r{72g>5<72;09h4j511g1?x}18m0;6=4=:3fb7?77m11vw;>k:183>7<5lh31==kj;|y54a<729096?jnf;33b1=zs?:o6=4?:381`g3=99lj7pu90e83>5<52;nin7?>019~36c290;6?4=db3954613tq=7bd?3;:5<72;09hnj51031?x}18m0;6=4=:3fg7?76911vw;>k:183>7<5lm31=5<52;nnn7?>319~36c290;6?4=dg3954513tq=7ba?3;:?n5r{72g>5<72;09hkj51061?x}18m0;6=4=:3g37?76<11vw;>k:183>7<5m931=<:j;|y54a<729096?k?e;3216=zs?:o6=4?:381a42=98?27pu90e83>5<52;o:m7?>5g9~36c290;6?4=e32954023tq=7c5=3;::l5r{72g>5<72;09i?l51053?x}18m0;6=4=:3g04?76?<1vw;>k:183>7<5m:<1=<9j;|y54a<729096?k<9;325<52;o8j7?>919~36c290;6?4=e50954?43tq=7c3=3;:5;5r{72g>5<72;09i96510;:?x}18m0;6=4=:3g7f?761j1vw;>k:183>7<5m=o1=<7i;|y54a<729096?k:1;32e7=zs?:o6=4?:381a02=98k>7pu90e83>5<52;o>;7?>a99~36c290;6?4=e4c954ge3tq=7c2l3;:mh5r{72g>5<72;09i;>510`2?x}18m0;6=4=:3g57?76j=1vw;>k:183>7<5m?<1=5<52;o=j7?>c19~36c290;6?4=e60954e43tq=7c0=3;:on5r{72g>5<72;09i:o510f2?x}18m0;6=4=:3g;4?76l>1vw;>k:183>7<5m1<1=5<52;o297?>eb9~36c290;6?4=e8c954`63tq=7cf83;:j:5r{72g>5<72;09il8510dg?x}18m0;6=4=:3gbf?758;1vw;>k:183>7<5mk:1=?>8;|y54a<729096?km6;314a=zs?:o6=4?:381agd=9;;97pu90e83>5<52;oh=7?=199~36c290;6?4=eb49577c3tq=7cdj3;9>?5r{72g>5<72;09ii>51304?x}18m0;6=4=:3gg2?74i:1vw;>k:183>7<5mmi1=>o6;|y54a<729096?kj1;30e`=zs?:o6=4?:381a`1=9:h?7pu90e83>5<52;ono7?7ca?3;8o95r{72g>5<72;09ikm512a:?x}18m0;6=4=:3d36?74ko1vw;>k:183>7<5n9=1=>j;;|y54a<729096?h?c;30`<=zs?:o6=4?:381b44=9:nm7pu90e83>5<52;l:47?7`5;3;8j=5r{72g>5<72;09j?9512d7?x}18m0;6=4=:3d1g?74n01vw;>k:183>7<5n:81=>hi;|y54a<729096?h<6;3746=zs?:o6=4?:381b6d=9=:37pu90e83>5<52;l?<7?;0e9~36c290;6?4=f56951763tq=7`313;?=;5r{72g>5<72;09j9h5153`?x}18m0;6=4=:3d60?73:81vw;>k:183>7<5n<31=9<9;|y54a<729096?h:f;376f=zs?:o6=4?:381b32=9=9:7pu90e83>5<52;l=57?;379~36c290;6?4=f7d9515d3tq=7`0<3;?8<5r{72g>5<72;09j:751565?x}18m0;6=4=:3d4a?73k:183>7<5n181=9:i;|y54a<729096?h77;3711=zs?:o6=4?:381b=b=9=?j7pu90e83>5<52;l2>7?;5g9~36c290;6?4=f85951033tq=7`>j3;?:55r{72g>5<72;09jl?5154f?x}18m0;6=4=:3db2?73??1vw;>k:183>7<5nhk1=99k;|y54a<729096?hne;37<1=zs?:o6=4?:381bg2=9=2o7pu90e83>5<52;lim7?;979~36c290;6?4=fb2951?a3tq=7`d>3;?m55r{72g>5<72;09jnl515`3?x}18m0;6=4=:3dg5?73j01vw;>k:183>7<5nm=1=9m=;|y54a<729096?hkc;37gd=zs?:o6=4?:381b`4=9=n87pu90e83>5<52;ln;7?;dc9~36c290;6?4=fdf951c33tq=7`a:3;?in5r{72g>5<72;09jk9515d7?x}18m0;6=4=:3deg?73nj1vw;>k:183>7<489;1=8>;;|y54a<729096>>?7;364a=zs?:o6=4?:38045e=9<;>7pu90e83>5<52:::=7?:1e9~36c290;6?4<004950423tq=666j3;>>i5r{72g>5<72;0851416?x}18m0;6=4=:2211?72;m1vw;>k:183>7<48;h1=8:9;|y54a<729096>><0;360`=zs?:o6=4?:380460=95<52::8o7?:619~36c290;6?4<052950003tq=663>3;>;=5r{72g>5<72;08<9l5145;?x}18m0;6=4=:2264?72091vw;>k:183>7<48>:a;36=5=zs?:o6=4?:38040`=9<337pu90e83>5<52::=87?:a19~36c290;6?4<07;950g?3tq=661m3;>n=5r{72g>5<72;08<:=514`;?x}18m0;6=4=:224=?72k81vw;>k:183>7<48>l1=8mn;|y54a<729096>>75;36`6=zs?:o6=4?:3804=d=95<52::2=7?:e49~36c290;6?4<085950cb3tq=66>l3;>j:5r{72g>5<72;08k:183>7<48hl1=;?=;|y54a<729096>>m5;355g=zs?:o6=4?:3804gd=9?8?7pu90e83>5<52::h=7?92e9~36c290;6?4<0b5953513tq=66dl3;=?k5r{72g>5<72;081vw;>k:183>7<48m=1=;:6;|y54a<729096>>k9;350g=zs?:o6=4?:3804ad=9?>o7pu90e83>5<52::oh7?94g9~36c290;6?4<0ed953363tq=66b93;=9>5r{72g>5<72;081vw;>k:183>7<48l=1=;;6;|y54a<729096>>j9;351g=zs?:o6=4?:3804`d=9??o7pu90e83>5<52::nh7?95g9~36c290;6?4<0dd953063tq=66a93;=:>5r{72g>5<72;08>1vw;>k:183>7<48o=1=;86;|y54a<729096>>i9;352g=zs?:o6=4?:3804cd=9?5<52::mh7?96g9~36c290;6?4<0gd953163tq=67793;=;>5r{72g>5<72;08===51756?x}18m0;6=4=:2331?71?>1vw;>k:183>7<499=1=<6>;|y54c<729096>??8;32<7=zs?:m6=4?:38055?=98287pu90e83>5<52:;:>7?>869~36a290;6?4<101954>?3tq=676<3;:445r{72g>5<72;08=i:183>7<498l1=?kn;|y54c<729096>?=0;31ag=zs?:m6=4?:380577=9;oh7pu90g83>57?=ee826`c=9;om6<;8:016{|>9l1<7>52;1261<6:o80qv8?f;294?4=;88>6<7=>27826c250;097440288m96st61d94?6=:39:>54>2g48y~07n3:1<7<5300:>44a?2wp:=h50;296?56:h0:>k64}z43b?6=8381?<{|>9l1<7>52;126f<6:ok0qv8?f;294?4=;88o6<7=>2d826c`50;09744a289;<6st61d94?6=:39:?=4>3138y~07n3:1<7<53012>457:2wp:=h50;296?56;;0:?==4}z43b?6=8381?<=<:0130>{|>9l1<7>52;1271<6;9?0qv8?f;294?4=;89>6<=?6:x25`=83:1>7=>378275150;097450289;46st61d94?6=:39:?54>31;8y~07n3:1<7<5301:>45512wp:=h50;296?56;h0:??o4}z43b?6=83?1?<=m:0167?74==0:?8;51275?x}18o0;6=4=:230g?74?01vw;>i:183>7<49:n1=>9n;|y54c<729096>?5<52:8:h7?=2e9~36a290;6?4<20g9574b3tq=646n3;9>k5r{72e>5<72;08>?>51313?x}18o0;6=4=:2015?75;81vw;>i:183>2<4:;81=?==:0007?751j0:>4j513;f>44>n2wp:=h50;296?55::0:>>:4}z43b?6=8381??<;:0001>{|>9l1<7>52;1160<6::<0qv8?f;294?4=;;8=6<<<7:x25`=83:1>7==268266>50;09774?288856st61d94?6=:399>44>22c8y~07n3:1<7<5330b>444j2wp:=h50;296?55:k0:>>m4}z43b?6=8381??{|>9l1<7>52;116a<6::o0qv8?f;294?4=;;8n6<<;2:x25`=83:1>7==2g8261550;097757288?86st61d94?6=:399?<4>2578y~07n3:1<7<53311>443>2wp:=h50;296?55;:0:>994}z43b?6=8381??=;:007<>{|>9l1<7>52;1170<6:=30qv8?f;294?4=;;9=6<<;a:x25`=83:1>7==368261d50;09775?288?o6st61d94?6=:399?44>27:8y~07n3:1<7<5331b>44112wp:=h50;296?55;k0:>;o4}z43b?6=8381??=l:005f>{|>9l1<7>52;117a<6:?i0qv8?f;294?4=;;9n6<<9d:x25`=83:1>7==3g8263c50;097727288=j6st61d94?6=:3998<4>2818y~07n3:1<7;53361>44>03;9544>28c957?e3tq=643;3;9n55r{72e>5<72;08>9:513`:?x}18o0;6=4=:2071?75jh1vw;>i:183>7<4:=<1=?lm;|y54c<729096><;7;31ff=zs?:m6=4?:38061>=9;ho7pu90g83>5<52:8?57?=bd9~36a290;6?4<25c957da3tq=643j3;9h55r{72e>5<72;08>9m513f:?x}18o0;6=4=:207`?75lh1vw;>i:183>7<4:=o1=?jm;|y54c<729096><;f;31`f=zs?:m6=4?:380606=9;no7pu90g83>5<52:8>=7?=dd9~36a290;6?4<240957ba3tq=642;3;9i>5r{72e>5<72;08>8:513g4?x}18m0;6=4<:2173?4?2;k0qv8?d;294??22:9?47?;728202g=9=2:6<:7a;37=6<6<0i1=9o::06b`?73j?0:8oh515a4>42c83;?h54>4d3951c>28>m=7?;f882157=9<:j6<;>2;365d<6=;81=8432<3;>9i4>5769500c28?<97?:7e821=3=9<2o6<;65;36=a<6=h?1=8ok:07a1?72jl0:9n9514f3>43c13;>i?4>5d`950`328?mh7?9078225`=9?;36<8=1;356d<6>:91=;=l:e47>a0?2ma1?2m=h6i6?:e:7>a>?2m2h6i7?:e;7>a??2m3h6io?:ec7>ag?2mkh6il?:e`7>ad?2mhh6im?:ea7>ae?2mih6ij?:ef7>ab?2mnh6h=;:eg3>ac32mo36ikl:ed3>a`32ml36ihl:d23>`632l936h>7:d2`>`772l;?6h?7:d3`>`5d2l8;6h<;:d63>`4?2l8h6h=?:d67>`2?2l>h6h;?:d77>`3?2l?h6h8?:d47>`0?2l`1?2l=h6h6?:d:7>`>?2l2h6h7?:d;7>`??2l3h6ho?:dc7>`g?2lkh6hl?:d`7>`d?2lhh6hm?:da7>`e?2lih6

github/VHDL-Pong-master-2/xst/work/sub00/vhpl00.vho Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl01.vho =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: github/VHDL-Pong-master-2/xst/work/sub00/vhpl01.vho =================================================================== --- github/VHDL-Pong-master-2/xst/work/sub00/vhpl01.vho (revision 428) +++ github/VHDL-Pong-master-2/xst/work/sub00/vhpl01.vho (nonexistent)
© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.