OpenCores
URL https://opencores.org/ocsvn/phr/phr/trunk

Subversion Repositories phr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /phr/trunk/doc/armado-placas
    from Rev 435 to Rev 436
    Reverse comparison

Rev 435 → Rev 436

/CIECCA/pedidos/2014-07-17/OOCDLink/BOM/OOCD_placa.xls Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
CIECCA/pedidos/2014-07-17/OOCDLink/BOM/OOCD_placa.xls Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa_Front.pos =================================================================== --- CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa_Front.pos (revision 435) +++ CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa_Front.pos (nonexistent) @@ -1,56 +0,0 @@ -### Module positions - created on jue 17 jul 2014 12:35:12 ART ### -### Printed by Pcbnew version pcbnew (2012-apr-16-27)-stable -## Unit = inches, Angle = deg. -## Side : Front -# Ref Val PosX PosY Rot Side -C1 10n 6.3500 2.0900 270.0 Front -C2 10n 5.3450 2.7850 90.0 Front -C3 100n 6.1450 1.8850 90.0 Front -C4 100n 5.1850 2.6900 0.0 Front -C5 2u2 6.0400 1.8850 90.0 Front -C6 2u2 5.1850 2.6250 0.0 Front -C7 100n 5.4450 2.8550 270.0 Front -C8 100n 5.4350 2.7050 270.0 Front -C9 33n 5.8000 2.6700 270.0 Front -C10 100n 5.3050 2.6350 270.0 Front -C11 100n 5.5350 2.6400 270.0 Front -C12 100n 5.8450 2.1650 0.0 Front -C13 100n 5.9200 2.7000 0.0 Front -C14 47p 6.3750 2.6800 180.0 Front -C15 47p 6.3750 2.5800 180.0 Front -C16 47p 6.3800 2.4800 180.0 Front -C17 47p 6.3800 2.3800 180.0 Front -C18 47p 6.3800 2.2800 180.0 Front -C19 47p 6.3800 2.1800 180.0 Front -C20 100n 6.1150 2.8850 270.0 Front -C21 100n 6.1850 2.8850 270.0 Front -D1 +5V 5.1750 2.4700 180.0 Front -D2 RX 6.0400 2.1300 270.0 Front -D3 TX 6.1450 2.1300 270.0 Front -D4 FT_OK 5.9550 2.9100 0.0 Front -F1 6MHz 5.4700 2.4200 270.0 Front -IC1 FT2232C 5.8100 2.4000 0.0 Front -L1 742792095 6.2450 2.0850 90.0 Front -L2 742792095 5.2250 2.7600 180.0 Front -R1 10K 5.5800 2.7300 0.0 Front -R2 2K2 5.6550 2.6650 0.0 Front -R3 1K 5.1850 2.5600 180.0 Front -R4 1K 5.9600 2.8250 180.0 Front -R5 1K 6.1450 1.9950 270.0 Front -R6 1K 6.0400 1.9950 270.0 Front -R7 27 5.4350 2.1450 90.0 Front -R8 27 5.7800 2.8050 90.0 Front -R9 1K5 5.7100 2.7750 270.0 Front -R10 470 5.4450 2.6050 180.0 Front -R11 10K 6.0850 2.3750 90.0 Front -R12 100K 6.0950 2.4800 270.0 Front -R13 100K 6.1650 2.3750 90.0 Front -R14 100K 6.2350 2.5150 90.0 Front -R15 100K 5.9200 2.6400 0.0 Front -R16 100K 6.1750 2.5150 270.0 Front -R17 100 6.2650 2.2250 180.0 Front -R18 100 6.2650 2.3350 180.0 Front -R19 100 6.2650 2.4300 180.0 Front -U2 SN74AUP1G125 6.2350 2.7150 180.0 Front -U3 SN74AUP1G125 6.0875 2.7150 180.0 Front -## End Index: CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa-NPTH-drl.pho =================================================================== --- CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa-NPTH-drl.pho (revision 435) +++ CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa-NPTH-drl.pho (nonexistent) @@ -1,389 +0,0 @@ -G04 (created by PCBNEW (2012-apr-16-27)-stable) date jue 17 jul 2014 12:35:30 ART* -G01* -G70* -G90* -%MOIN*% -G04 Gerber Fmt 3.4, Leading zero omitted, Abs format* -%FSLAX34Y34*% -G04 APERTURE LIST* -%ADD10C,0.001000*% -%ADD11C,0.015000*% -%ADD12C,0.008000*% -G04 APERTURE END LIST* -G54D10* -G54D11* -X67400Y-30600D02* -X67400Y-18000D01* -X50000Y-30600D02* -X67400Y-30600D01* -X50000Y-18000D02* -X50000Y-30600D01* -X67400Y-18000D02* -X50000Y-18000D01* -G54D10* -X51813Y-18413D02* -X53387Y-19987D01* -X53387Y-18413D02* -X51813Y-19987D01* -X51813Y-28613D02* -X53387Y-30187D01* -X53387Y-28613D02* -X51813Y-30187D01* -X62413Y-18413D02* -X63987Y-19987D01* -X63987Y-18413D02* -X62413Y-19987D01* -X62413Y-28613D02* -X63987Y-30187D01* -X63987Y-28613D02* -X62413Y-30187D01* -G54D12* -X47741Y-33884D02* -X47741Y-33084D01* -X47932Y-33084D01* -X48046Y-33122D01* -X48122Y-33198D01* -X48161Y-33274D01* -X48199Y-33427D01* -X48199Y-33541D01* -X48161Y-33693D01* -X48122Y-33770D01* -X48046Y-33846D01* -X47932Y-33884D01* -X47741Y-33884D01* -X48541Y-33884D02* -X48541Y-33350D01* -X48541Y-33503D02* -X48580Y-33427D01* -X48618Y-33389D01* -X48694Y-33350D01* -X48770Y-33350D01* -X49036Y-33884D02* -X49036Y-33350D01* -X49036Y-33084D02* -X48998Y-33122D01* -X49036Y-33160D01* -X49075Y-33122D01* -X49036Y-33084D01* -X49036Y-33160D01* -X49532Y-33884D02* -X49456Y-33846D01* -X49417Y-33770D01* -X49417Y-33084D01* -X49951Y-33884D02* -X49875Y-33846D01* -X49836Y-33770D01* -X49836Y-33084D01* -X50865Y-33884D02* -X50865Y-33084D01* -X51132Y-33655D01* -X51399Y-33084D01* -X51399Y-33884D01* -X52122Y-33884D02* -X52122Y-33465D01* -X52084Y-33389D01* -X52008Y-33350D01* -X51856Y-33350D01* -X51779Y-33389D01* -X52122Y-33846D02* -X52046Y-33884D01* -X51856Y-33884D01* -X51779Y-33846D01* -X51741Y-33770D01* -X51741Y-33693D01* -X51779Y-33617D01* -X51856Y-33579D01* -X52046Y-33579D01* -X52122Y-33541D01* -X52503Y-33350D02* -X52503Y-34150D01* -X52503Y-33389D02* -X52580Y-33350D01* -X52732Y-33350D01* -X52808Y-33389D01* -X52846Y-33427D01* -X52884Y-33503D01* -X52884Y-33731D01* -X52846Y-33808D01* -X52808Y-33846D01* -X52732Y-33884D01* -X52580Y-33884D01* -X52503Y-33846D01* -X53227Y-33808D02* -X53266Y-33846D01* -X53227Y-33884D01* -X53189Y-33846D01* -X53227Y-33808D01* -X53227Y-33884D01* -X53227Y-33389D02* -X53266Y-33427D01* -X53227Y-33465D01* -X53189Y-33427D01* -X53227Y-33389D01* -X53227Y-33465D01* -X45776Y-34453D02* -X47350Y-36027D01* -X47350Y-34453D02* -X45776Y-36027D01* -X48084Y-35030D02* -X48084Y-35564D01* -X47894Y-34726D02* -X47703Y-35297D01* -X48199Y-35297D01* -X48503Y-35488D02* -X48542Y-35526D01* -X48503Y-35564D01* -X48465Y-35526D01* -X48503Y-35488D01* -X48503Y-35564D01* -X49037Y-34764D02* -X49113Y-34764D01* -X49189Y-34802D01* -X49227Y-34840D01* -X49265Y-34916D01* -X49304Y-35069D01* -X49304Y-35259D01* -X49265Y-35411D01* -X49227Y-35488D01* -X49189Y-35526D01* -X49113Y-35564D01* -X49037Y-35564D01* -X48961Y-35526D01* -X48923Y-35488D01* -X48884Y-35411D01* -X48846Y-35259D01* -X48846Y-35069D01* -X48884Y-34916D01* -X48923Y-34840D01* -X48961Y-34802D01* -X49037Y-34764D01* -X49799Y-34764D02* -X49875Y-34764D01* -X49951Y-34802D01* -X49989Y-34840D01* -X50027Y-34916D01* -X50066Y-35069D01* -X50066Y-35259D01* -X50027Y-35411D01* -X49989Y-35488D01* -X49951Y-35526D01* -X49875Y-35564D01* -X49799Y-35564D01* -X49723Y-35526D01* -X49685Y-35488D01* -X49646Y-35411D01* -X49608Y-35259D01* -X49608Y-35069D01* -X49646Y-34916D01* -X49685Y-34840D01* -X49723Y-34802D01* -X49799Y-34764D01* -X50408Y-35564D02* -X50408Y-35030D01* -X50408Y-35107D02* -X50447Y-35069D01* -X50523Y-35030D01* -X50637Y-35030D01* -X50713Y-35069D01* -X50751Y-35145D01* -X50751Y-35564D01* -X50751Y-35145D02* -X50789Y-35069D01* -X50866Y-35030D01* -X50980Y-35030D01* -X51056Y-35069D01* -X51094Y-35145D01* -X51094Y-35564D01* -X51475Y-35564D02* -X51475Y-35030D01* -X51475Y-35107D02* -X51514Y-35069D01* -X51590Y-35030D01* -X51704Y-35030D01* -X51780Y-35069D01* -X51818Y-35145D01* -X51818Y-35564D01* -X51818Y-35145D02* -X51856Y-35069D01* -X51933Y-35030D01* -X52047Y-35030D01* -X52123Y-35069D01* -X52161Y-35145D01* -X52161Y-35564D01* -X53724Y-34726D02* -X53038Y-35754D01* -X54753Y-34764D02* -X54829Y-34764D01* -X54905Y-34802D01* -X54943Y-34840D01* -X54981Y-34916D01* -X55020Y-35069D01* -X55020Y-35259D01* -X54981Y-35411D01* -X54943Y-35488D01* -X54905Y-35526D01* -X54829Y-35564D01* -X54753Y-35564D01* -X54677Y-35526D01* -X54639Y-35488D01* -X54600Y-35411D01* -X54562Y-35259D01* -X54562Y-35069D01* -X54600Y-34916D01* -X54639Y-34840D01* -X54677Y-34802D01* -X54753Y-34764D01* -X55362Y-35488D02* -X55401Y-35526D01* -X55362Y-35564D01* -X55324Y-35526D01* -X55362Y-35488D01* -X55362Y-35564D01* -X56163Y-35564D02* -X55705Y-35564D01* -X55934Y-35564D02* -X55934Y-34764D01* -X55858Y-34878D01* -X55782Y-34954D01* -X55705Y-34992D01* -X56886Y-34764D02* -X56505Y-34764D01* -X56467Y-35145D01* -X56505Y-35107D01* -X56582Y-35069D01* -X56772Y-35069D01* -X56848Y-35107D01* -X56886Y-35145D01* -X56925Y-35221D01* -X56925Y-35411D01* -X56886Y-35488D01* -X56848Y-35526D01* -X56772Y-35564D01* -X56582Y-35564D01* -X56505Y-35526D01* -X56467Y-35488D01* -X57382Y-35107D02* -X57306Y-35069D01* -X57267Y-35030D01* -X57229Y-34954D01* -X57229Y-34916D01* -X57267Y-34840D01* -X57306Y-34802D01* -X57382Y-34764D01* -X57534Y-34764D01* -X57610Y-34802D01* -X57648Y-34840D01* -X57687Y-34916D01* -X57687Y-34954D01* -X57648Y-35030D01* -X57610Y-35069D01* -X57534Y-35107D01* -X57382Y-35107D01* -X57306Y-35145D01* -X57267Y-35183D01* -X57229Y-35259D01* -X57229Y-35411D01* -X57267Y-35488D01* -X57306Y-35526D01* -X57382Y-35564D01* -X57534Y-35564D01* -X57610Y-35526D01* -X57648Y-35488D01* -X57687Y-35411D01* -X57687Y-35259D01* -X57648Y-35183D01* -X57610Y-35145D01* -X57534Y-35107D01* -X57991Y-34764D02* -X57991Y-34916D01* -X58296Y-34764D02* -X58296Y-34916D01* -X59478Y-35869D02* -X59440Y-35830D01* -X59364Y-35716D01* -X59326Y-35640D01* -X59288Y-35526D01* -X59249Y-35335D01* -X59249Y-35183D01* -X59288Y-34992D01* -X59326Y-34878D01* -X59364Y-34802D01* -X59440Y-34688D01* -X59478Y-34650D01* -X60125Y-35030D02* -X60125Y-35564D01* -X59935Y-34726D02* -X59744Y-35297D01* -X60240Y-35297D01* -X61154Y-35564D02* -X61154Y-34764D01* -X61497Y-35564D02* -X61497Y-35145D01* -X61459Y-35069D01* -X61383Y-35030D01* -X61269Y-35030D01* -X61193Y-35069D01* -X61154Y-35107D01* -X61993Y-35564D02* -X61917Y-35526D01* -X61878Y-35488D01* -X61840Y-35411D01* -X61840Y-35183D01* -X61878Y-35107D01* -X61917Y-35069D01* -X61993Y-35030D01* -X62107Y-35030D01* -X62183Y-35069D01* -X62221Y-35107D01* -X62259Y-35183D01* -X62259Y-35411D01* -X62221Y-35488D01* -X62183Y-35526D01* -X62107Y-35564D01* -X61993Y-35564D01* -X62717Y-35564D02* -X62641Y-35526D01* -X62602Y-35450D01* -X62602Y-34764D01* -X63326Y-35526D02* -X63250Y-35564D01* -X63098Y-35564D01* -X63021Y-35526D01* -X62983Y-35450D01* -X62983Y-35145D01* -X63021Y-35069D01* -X63098Y-35030D01* -X63250Y-35030D01* -X63326Y-35069D01* -X63364Y-35145D01* -X63364Y-35221D01* -X62983Y-35297D01* -X63669Y-35526D02* -X63746Y-35564D01* -X63898Y-35564D01* -X63974Y-35526D01* -X64012Y-35450D01* -X64012Y-35411D01* -X63974Y-35335D01* -X63898Y-35297D01* -X63784Y-35297D01* -X63707Y-35259D01* -X63669Y-35183D01* -X63669Y-35145D01* -X63707Y-35069D01* -X63784Y-35030D01* -X63898Y-35030D01* -X63974Y-35069D01* -X64279Y-35869D02* -X64317Y-35830D01* -X64394Y-35716D01* -X64432Y-35640D01* -X64470Y-35526D01* -X64508Y-35335D01* -X64508Y-35183D01* -X64470Y-34992D01* -X64432Y-34878D01* -X64394Y-34802D01* -X64317Y-34688D01* -X64279Y-34650D01* -M02* Index: CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa.drl =================================================================== --- CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa.drl (revision 435) +++ CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa.drl (nonexistent) @@ -1,91 +0,0 @@ -M48 -;DRILL file {Pcbnew (2012-apr-16-27)-stable} date jue 17 jul 2014 12:35:27 ART -;FORMAT={-:-/ absolute / metric / decimal} -FMAT,2 -METRIC,TZ -T1C0.406 -T2C0.798 -T3C0.914 -T4C1.016 -T5C1.900 -% -G90 -G05 -M71 -T1 -X135.890Y64.135 -X137.033Y70.866 -X139.192Y56.134 -X139.319Y70.612 -X139.573Y74.295 -X142.748Y66.167 -X143.637Y65.151 -X144.272Y54.483 -X144.399Y61.976 -X145.415Y72.644 -X146.558Y73.152 -X147.066Y63.754 -X147.955Y62.992 -X148.082Y58.039 -X148.844Y53.594 -X149.098Y58.039 -X149.352Y60.579 -X149.987Y63.754 -X151.384Y64.643 -X151.384Y70.358 -X152.019Y56.007 -X152.400Y57.531 -X152.400Y69.723 -X152.527Y67.183 -X152.654Y64.389 -X153.162Y66.040 -X154.305Y65.913 -X155.702Y65.151 -X156.083Y56.515 -X156.464Y58.293 -X157.226Y61.976 -X157.988Y71.501 -X159.385Y65.532 -T2 -X134.836Y54.828 -X134.836Y56.424 -X136.035Y54.028 -X136.035Y55.626 -X136.035Y57.224 -T3 -X166.243Y50.292 -X166.243Y52.832 -X166.243Y55.372 -X166.243Y57.912 -X166.243Y60.452 -X166.243Y62.992 -X166.243Y65.532 -X166.243Y68.072 -X166.243Y70.612 -X166.243Y73.152 -X168.783Y50.292 -X168.783Y52.832 -X168.783Y55.372 -X168.783Y57.912 -X168.783Y60.452 -X168.783Y62.992 -X168.783Y65.532 -X168.783Y68.072 -X168.783Y70.612 -X168.783Y73.152 -T4 -X139.573Y48.514 -X139.573Y51.054 -X142.113Y48.514 -X142.113Y51.054 -X144.653Y48.514 -X144.653Y51.054 -X147.193Y48.514 -X147.193Y51.054 -X149.733Y48.514 -X149.733Y51.054 -T5 -X130.937Y51.976 -X130.937Y59.276 -T0 -M30 Index: CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa-drl.pho =================================================================== --- CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa-drl.pho (revision 435) +++ CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa-drl.pho (nonexistent) @@ -1,2431 +0,0 @@ -G04 (created by PCBNEW (2012-apr-16-27)-stable) date jue 17 jul 2014 12:35:28 ART* -G01* -G70* -G90* -%MOIN*% -G04 Gerber Fmt 3.4, Leading zero omitted, Abs format* -%FSLAX34Y34*% -G04 APERTURE LIST* -%ADD10C,0.001000*% -%ADD11C,0.015000*% -%ADD12C,0.008000*% -G04 APERTURE END LIST* -G54D10* -G54D11* -X67400Y-30600D02* -X67400Y-18000D01* -X50000Y-30600D02* -X67400Y-30600D01* -X50000Y-18000D02* -X50000Y-30600D01* -X67400Y-18000D02* -X50000Y-18000D01* -G54D10* -X53420Y-25170D02* -X53580Y-25330D01* -X53580Y-25170D02* -X53420Y-25330D01* -X53870Y-27820D02* -X54030Y-27980D01* -X54030Y-27820D02* -X53870Y-27980D01* -X54720Y-22020D02* -X54880Y-22180D01* -X54880Y-22020D02* -X54720Y-22180D01* -X54770Y-27720D02* -X54930Y-27880D01* -X54930Y-27720D02* -X54770Y-27880D01* -X54870Y-29170D02* -X55030Y-29330D01* -X55030Y-29170D02* -X54870Y-29330D01* -X56120Y-25970D02* -X56280Y-26130D01* -X56280Y-25970D02* -X56120Y-26130D01* -X56470Y-25570D02* -X56630Y-25730D01* -X56630Y-25570D02* -X56470Y-25730D01* -X56720Y-21370D02* -X56880Y-21530D01* -X56880Y-21370D02* -X56720Y-21530D01* -X56770Y-24320D02* -X56930Y-24480D01* -X56930Y-24320D02* -X56770Y-24480D01* -X57170Y-28520D02* -X57330Y-28680D01* -X57330Y-28520D02* -X57170Y-28680D01* -X57620Y-28720D02* -X57780Y-28880D01* -X57780Y-28720D02* -X57620Y-28880D01* -X57820Y-25020D02* -X57980Y-25180D01* -X57980Y-25020D02* -X57820Y-25180D01* -X58170Y-24720D02* -X58330Y-24880D01* -X58330Y-24720D02* -X58170Y-24880D01* -X58220Y-22770D02* -X58380Y-22930D01* -X58380Y-22770D02* -X58220Y-22930D01* -X58520Y-21020D02* -X58680Y-21180D01* -X58680Y-21020D02* -X58520Y-21180D01* -X58620Y-22770D02* -X58780Y-22930D01* -X58780Y-22770D02* -X58620Y-22930D01* -X58720Y-23770D02* -X58880Y-23930D01* -X58880Y-23770D02* -X58720Y-23930D01* -X58970Y-25020D02* -X59130Y-25180D01* -X59130Y-25020D02* -X58970Y-25180D01* -X59520Y-25370D02* -X59680Y-25530D01* -X59680Y-25370D02* -X59520Y-25530D01* -X59520Y-27620D02* -X59680Y-27780D01* -X59680Y-27620D02* -X59520Y-27780D01* -X59770Y-21970D02* -X59930Y-22130D01* -X59930Y-21970D02* -X59770Y-22130D01* -X59920Y-22570D02* -X60080Y-22730D01* -X60080Y-22570D02* -X59920Y-22730D01* -X59920Y-27370D02* -X60080Y-27530D01* -X60080Y-27370D02* -X59920Y-27530D01* -X59970Y-26370D02* -X60130Y-26530D01* -X60130Y-26370D02* -X59970Y-26530D01* -X60020Y-25270D02* -X60180Y-25430D01* -X60180Y-25270D02* -X60020Y-25430D01* -X60220Y-25920D02* -X60380Y-26080D01* -X60380Y-25920D02* -X60220Y-26080D01* -X60670Y-25870D02* -X60830Y-26030D01* -X60830Y-25870D02* -X60670Y-26030D01* -X61220Y-25570D02* -X61380Y-25730D01* -X61380Y-25570D02* -X61220Y-25730D01* -X61370Y-22170D02* -X61530Y-22330D01* -X61530Y-22170D02* -X61370Y-22330D01* -X61520Y-22870D02* -X61680Y-23030D01* -X61680Y-22870D02* -X61520Y-23030D01* -X61820Y-24320D02* -X61980Y-24480D01* -X61980Y-24320D02* -X61820Y-24480D01* -X62120Y-28070D02* -X62280Y-28230D01* -X62280Y-28070D02* -X62120Y-28230D01* -X62670Y-25720D02* -X62830Y-25880D01* -X62830Y-25720D02* -X62670Y-25880D01* -X53242Y-21586D02* -X53239Y-21616D01* -X53230Y-21645D01* -X53215Y-21672D01* -X53196Y-21696D01* -X53172Y-21716D01* -X53145Y-21730D01* -X53116Y-21739D01* -X53086Y-21742D01* -X53056Y-21740D01* -X53027Y-21731D01* -X53000Y-21717D01* -X52976Y-21698D01* -X52956Y-21674D01* -X52941Y-21647D01* -X52932Y-21618D01* -X52929Y-21588D01* -X52931Y-21558D01* -X52940Y-21529D01* -X52954Y-21501D01* -X52973Y-21477D01* -X52996Y-21458D01* -X53023Y-21443D01* -X53052Y-21433D01* -X53082Y-21430D01* -X53112Y-21432D01* -X53141Y-21440D01* -X53169Y-21454D01* -X53193Y-21473D01* -X53213Y-21496D01* -X53228Y-21523D01* -X53238Y-21552D01* -X53241Y-21582D01* -X53242Y-21586D01* -X53242Y-22214D02* -X53239Y-22244D01* -X53230Y-22273D01* -X53215Y-22300D01* -X53196Y-22324D01* -X53172Y-22344D01* -X53145Y-22358D01* -X53116Y-22367D01* -X53086Y-22370D01* -X53056Y-22368D01* -X53027Y-22359D01* -X53000Y-22345D01* -X52976Y-22326D01* -X52956Y-22302D01* -X52941Y-22275D01* -X52932Y-22246D01* -X52929Y-22216D01* -X52931Y-22186D01* -X52940Y-22157D01* -X52954Y-22129D01* -X52973Y-22105D01* -X52996Y-22086D01* -X53023Y-22071D01* -X53052Y-22061D01* -X53082Y-22058D01* -X53112Y-22060D01* -X53141Y-22068D01* -X53169Y-22082D01* -X53193Y-22101D01* -X53213Y-22124D01* -X53228Y-22151D01* -X53238Y-22180D01* -X53241Y-22210D01* -X53242Y-22214D01* -X53714Y-21271D02* -X53711Y-21301D01* -X53702Y-21330D01* -X53687Y-21357D01* -X53668Y-21381D01* -X53644Y-21401D01* -X53617Y-21415D01* -X53588Y-21424D01* -X53558Y-21427D01* -X53528Y-21425D01* -X53499Y-21416D01* -X53472Y-21402D01* -X53448Y-21383D01* -X53428Y-21359D01* -X53413Y-21332D01* -X53404Y-21303D01* -X53401Y-21273D01* -X53403Y-21243D01* -X53412Y-21214D01* -X53426Y-21186D01* -X53445Y-21162D01* -X53468Y-21143D01* -X53495Y-21128D01* -X53524Y-21118D01* -X53554Y-21115D01* -X53584Y-21117D01* -X53613Y-21125D01* -X53641Y-21139D01* -X53665Y-21158D01* -X53685Y-21181D01* -X53700Y-21208D01* -X53710Y-21237D01* -X53713Y-21267D01* -X53714Y-21271D01* -X53714Y-21900D02* -X53711Y-21930D01* -X53702Y-21959D01* -X53687Y-21986D01* -X53668Y-22010D01* -X53644Y-22030D01* -X53617Y-22044D01* -X53588Y-22053D01* -X53558Y-22056D01* -X53528Y-22054D01* -X53499Y-22045D01* -X53472Y-22031D01* -X53448Y-22012D01* -X53428Y-21988D01* -X53413Y-21961D01* -X53404Y-21932D01* -X53401Y-21902D01* -X53403Y-21872D01* -X53412Y-21843D01* -X53426Y-21815D01* -X53445Y-21791D01* -X53468Y-21772D01* -X53495Y-21757D01* -X53524Y-21747D01* -X53554Y-21744D01* -X53584Y-21746D01* -X53613Y-21754D01* -X53641Y-21768D01* -X53665Y-21787D01* -X53685Y-21810D01* -X53700Y-21837D01* -X53710Y-21866D01* -X53713Y-21896D01* -X53714Y-21900D01* -X53714Y-22529D02* -X53711Y-22559D01* -X53702Y-22588D01* -X53687Y-22615D01* -X53668Y-22639D01* -X53644Y-22659D01* -X53617Y-22673D01* -X53588Y-22682D01* -X53558Y-22685D01* -X53528Y-22683D01* -X53499Y-22674D01* -X53472Y-22660D01* -X53448Y-22641D01* -X53428Y-22617D01* -X53413Y-22590D01* -X53404Y-22561D01* -X53401Y-22531D01* -X53403Y-22501D01* -X53412Y-22472D01* -X53426Y-22444D01* -X53445Y-22420D01* -X53468Y-22401D01* -X53495Y-22386D01* -X53524Y-22376D01* -X53554Y-22373D01* -X53584Y-22375D01* -X53613Y-22383D01* -X53641Y-22397D01* -X53665Y-22416D01* -X53685Y-22439D01* -X53700Y-22466D01* -X53710Y-22495D01* -X53713Y-22525D01* -X53714Y-22529D01* -X65450Y-19620D02* -X65450Y-19980D01* -X65630Y-19800D02* -X65270Y-19800D01* -X65450Y-20620D02* -X65450Y-20980D01* -X65630Y-20800D02* -X65270Y-20800D01* -X65450Y-21620D02* -X65450Y-21980D01* -X65630Y-21800D02* -X65270Y-21800D01* -X65450Y-22620D02* -X65450Y-22980D01* -X65630Y-22800D02* -X65270Y-22800D01* -X65450Y-23620D02* -X65450Y-23980D01* -X65630Y-23800D02* -X65270Y-23800D01* -X65450Y-24620D02* -X65450Y-24980D01* -X65630Y-24800D02* -X65270Y-24800D01* -X65450Y-25620D02* -X65450Y-25980D01* -X65630Y-25800D02* -X65270Y-25800D01* -X65450Y-26620D02* -X65450Y-26980D01* -X65630Y-26800D02* -X65270Y-26800D01* -X65450Y-27620D02* -X65450Y-27980D01* -X65630Y-27800D02* -X65270Y-27800D01* -X65450Y-28620D02* -X65450Y-28980D01* -X65630Y-28800D02* -X65270Y-28800D01* -X66450Y-19620D02* -X66450Y-19980D01* -X66630Y-19800D02* -X66270Y-19800D01* -X66450Y-20620D02* -X66450Y-20980D01* -X66630Y-20800D02* -X66270Y-20800D01* -X66450Y-21620D02* -X66450Y-21980D01* -X66630Y-21800D02* -X66270Y-21800D01* -X66450Y-22620D02* -X66450Y-22980D01* -X66630Y-22800D02* -X66270Y-22800D01* -X66450Y-23620D02* -X66450Y-23980D01* -X66630Y-23800D02* -X66270Y-23800D01* -X66450Y-24620D02* -X66450Y-24980D01* -X66630Y-24800D02* -X66270Y-24800D01* -X66450Y-25620D02* -X66450Y-25980D01* -X66630Y-25800D02* -X66270Y-25800D01* -X66450Y-26620D02* -X66450Y-26980D01* -X66630Y-26800D02* -X66270Y-26800D01* -X66450Y-27620D02* -X66450Y-27980D01* -X66630Y-27800D02* -X66270Y-27800D01* -X66450Y-28620D02* -X66450Y-28980D01* -X66630Y-28800D02* -X66270Y-28800D01* -X55150Y-19100D02* -X55146Y-19138D01* -X55134Y-19176D01* -X55116Y-19210D01* -X55091Y-19240D01* -X55061Y-19265D01* -X55027Y-19284D01* -X54990Y-19295D01* -X54951Y-19299D01* -X54913Y-19296D01* -X54876Y-19285D01* -X54841Y-19267D01* -X54811Y-19242D01* -X54785Y-19212D01* -X54767Y-19178D01* -X54755Y-19141D01* -X54751Y-19102D01* -X54754Y-19064D01* -X54765Y-19027D01* -X54782Y-18992D01* -X54807Y-18962D01* -X54836Y-18936D01* -X54870Y-18917D01* -X54908Y-18905D01* -X54946Y-18901D01* -X54984Y-18904D01* -X55022Y-18914D01* -X55057Y-18932D01* -X55087Y-18956D01* -X55113Y-18985D01* -X55132Y-19019D01* -X55145Y-19056D01* -X55149Y-19095D01* -X55150Y-19100D01* -X54750Y-18900D02* -X55150Y-19300D01* -X55150Y-18900D02* -X54750Y-19300D01* -X55150Y-20100D02* -X55146Y-20138D01* -X55134Y-20176D01* -X55116Y-20210D01* -X55091Y-20240D01* -X55061Y-20265D01* -X55027Y-20284D01* -X54990Y-20295D01* -X54951Y-20299D01* -X54913Y-20296D01* -X54876Y-20285D01* -X54841Y-20267D01* -X54811Y-20242D01* -X54785Y-20212D01* -X54767Y-20178D01* -X54755Y-20141D01* -X54751Y-20102D01* -X54754Y-20064D01* -X54765Y-20027D01* -X54782Y-19992D01* -X54807Y-19962D01* -X54836Y-19936D01* -X54870Y-19917D01* -X54908Y-19905D01* -X54946Y-19901D01* -X54984Y-19904D01* -X55022Y-19914D01* -X55057Y-19932D01* -X55087Y-19956D01* -X55113Y-19985D01* -X55132Y-20019D01* -X55145Y-20056D01* -X55149Y-20095D01* -X55150Y-20100D01* -X54750Y-19900D02* -X55150Y-20300D01* -X55150Y-19900D02* -X54750Y-20300D01* -X56150Y-19100D02* -X56146Y-19138D01* -X56134Y-19176D01* -X56116Y-19210D01* -X56091Y-19240D01* -X56061Y-19265D01* -X56027Y-19284D01* -X55990Y-19295D01* -X55951Y-19299D01* -X55913Y-19296D01* -X55876Y-19285D01* -X55841Y-19267D01* -X55811Y-19242D01* -X55785Y-19212D01* -X55767Y-19178D01* -X55755Y-19141D01* -X55751Y-19102D01* -X55754Y-19064D01* -X55765Y-19027D01* -X55782Y-18992D01* -X55807Y-18962D01* -X55836Y-18936D01* -X55870Y-18917D01* -X55908Y-18905D01* -X55946Y-18901D01* -X55984Y-18904D01* -X56022Y-18914D01* -X56057Y-18932D01* -X56087Y-18956D01* -X56113Y-18985D01* -X56132Y-19019D01* -X56145Y-19056D01* -X56149Y-19095D01* -X56150Y-19100D01* -X55750Y-18900D02* -X56150Y-19300D01* -X56150Y-18900D02* -X55750Y-19300D01* -X56150Y-20100D02* -X56146Y-20138D01* -X56134Y-20176D01* -X56116Y-20210D01* -X56091Y-20240D01* -X56061Y-20265D01* -X56027Y-20284D01* -X55990Y-20295D01* -X55951Y-20299D01* -X55913Y-20296D01* -X55876Y-20285D01* -X55841Y-20267D01* -X55811Y-20242D01* -X55785Y-20212D01* -X55767Y-20178D01* -X55755Y-20141D01* -X55751Y-20102D01* -X55754Y-20064D01* -X55765Y-20027D01* -X55782Y-19992D01* -X55807Y-19962D01* -X55836Y-19936D01* -X55870Y-19917D01* -X55908Y-19905D01* -X55946Y-19901D01* -X55984Y-19904D01* -X56022Y-19914D01* -X56057Y-19932D01* -X56087Y-19956D01* -X56113Y-19985D01* -X56132Y-20019D01* -X56145Y-20056D01* -X56149Y-20095D01* -X56150Y-20100D01* -X55750Y-19900D02* -X56150Y-20300D01* -X56150Y-19900D02* -X55750Y-20300D01* -X57150Y-19100D02* -X57146Y-19138D01* -X57134Y-19176D01* -X57116Y-19210D01* -X57091Y-19240D01* -X57061Y-19265D01* -X57027Y-19284D01* -X56990Y-19295D01* -X56951Y-19299D01* -X56913Y-19296D01* -X56876Y-19285D01* -X56841Y-19267D01* -X56811Y-19242D01* -X56785Y-19212D01* -X56767Y-19178D01* -X56755Y-19141D01* -X56751Y-19102D01* -X56754Y-19064D01* -X56765Y-19027D01* -X56782Y-18992D01* -X56807Y-18962D01* -X56836Y-18936D01* -X56870Y-18917D01* -X56908Y-18905D01* -X56946Y-18901D01* -X56984Y-18904D01* -X57022Y-18914D01* -X57057Y-18932D01* -X57087Y-18956D01* -X57113Y-18985D01* -X57132Y-19019D01* -X57145Y-19056D01* -X57149Y-19095D01* -X57150Y-19100D01* -X56750Y-18900D02* -X57150Y-19300D01* -X57150Y-18900D02* -X56750Y-19300D01* -X57150Y-20100D02* -X57146Y-20138D01* -X57134Y-20176D01* -X57116Y-20210D01* -X57091Y-20240D01* -X57061Y-20265D01* -X57027Y-20284D01* -X56990Y-20295D01* -X56951Y-20299D01* -X56913Y-20296D01* -X56876Y-20285D01* -X56841Y-20267D01* -X56811Y-20242D01* -X56785Y-20212D01* -X56767Y-20178D01* -X56755Y-20141D01* -X56751Y-20102D01* -X56754Y-20064D01* -X56765Y-20027D01* -X56782Y-19992D01* -X56807Y-19962D01* -X56836Y-19936D01* -X56870Y-19917D01* -X56908Y-19905D01* -X56946Y-19901D01* -X56984Y-19904D01* -X57022Y-19914D01* -X57057Y-19932D01* -X57087Y-19956D01* -X57113Y-19985D01* -X57132Y-20019D01* -X57145Y-20056D01* -X57149Y-20095D01* -X57150Y-20100D01* -X56750Y-19900D02* -X57150Y-20300D01* -X57150Y-19900D02* -X56750Y-20300D01* -X58150Y-19100D02* -X58146Y-19138D01* -X58134Y-19176D01* -X58116Y-19210D01* -X58091Y-19240D01* -X58061Y-19265D01* -X58027Y-19284D01* -X57990Y-19295D01* -X57951Y-19299D01* -X57913Y-19296D01* -X57876Y-19285D01* -X57841Y-19267D01* -X57811Y-19242D01* -X57785Y-19212D01* -X57767Y-19178D01* -X57755Y-19141D01* -X57751Y-19102D01* -X57754Y-19064D01* -X57765Y-19027D01* -X57782Y-18992D01* -X57807Y-18962D01* -X57836Y-18936D01* -X57870Y-18917D01* -X57908Y-18905D01* -X57946Y-18901D01* -X57984Y-18904D01* -X58022Y-18914D01* -X58057Y-18932D01* -X58087Y-18956D01* -X58113Y-18985D01* -X58132Y-19019D01* -X58145Y-19056D01* -X58149Y-19095D01* -X58150Y-19100D01* -X57750Y-18900D02* -X58150Y-19300D01* -X58150Y-18900D02* -X57750Y-19300D01* -X58150Y-20100D02* -X58146Y-20138D01* -X58134Y-20176D01* -X58116Y-20210D01* -X58091Y-20240D01* -X58061Y-20265D01* -X58027Y-20284D01* -X57990Y-20295D01* -X57951Y-20299D01* -X57913Y-20296D01* -X57876Y-20285D01* -X57841Y-20267D01* -X57811Y-20242D01* -X57785Y-20212D01* -X57767Y-20178D01* -X57755Y-20141D01* -X57751Y-20102D01* -X57754Y-20064D01* -X57765Y-20027D01* -X57782Y-19992D01* -X57807Y-19962D01* -X57836Y-19936D01* -X57870Y-19917D01* -X57908Y-19905D01* -X57946Y-19901D01* -X57984Y-19904D01* -X58022Y-19914D01* -X58057Y-19932D01* -X58087Y-19956D01* -X58113Y-19985D01* -X58132Y-20019D01* -X58145Y-20056D01* -X58149Y-20095D01* -X58150Y-20100D01* -X57750Y-19900D02* -X58150Y-20300D01* -X58150Y-19900D02* -X57750Y-20300D01* -X59150Y-19100D02* -X59146Y-19138D01* -X59134Y-19176D01* -X59116Y-19210D01* -X59091Y-19240D01* -X59061Y-19265D01* -X59027Y-19284D01* -X58990Y-19295D01* -X58951Y-19299D01* -X58913Y-19296D01* -X58876Y-19285D01* -X58841Y-19267D01* -X58811Y-19242D01* -X58785Y-19212D01* -X58767Y-19178D01* -X58755Y-19141D01* -X58751Y-19102D01* -X58754Y-19064D01* -X58765Y-19027D01* -X58782Y-18992D01* -X58807Y-18962D01* -X58836Y-18936D01* -X58870Y-18917D01* -X58908Y-18905D01* -X58946Y-18901D01* -X58984Y-18904D01* -X59022Y-18914D01* -X59057Y-18932D01* -X59087Y-18956D01* -X59113Y-18985D01* -X59132Y-19019D01* -X59145Y-19056D01* -X59149Y-19095D01* -X59150Y-19100D01* -X58750Y-18900D02* -X59150Y-19300D01* -X59150Y-18900D02* -X58750Y-19300D01* -X59150Y-20100D02* -X59146Y-20138D01* -X59134Y-20176D01* -X59116Y-20210D01* -X59091Y-20240D01* -X59061Y-20265D01* -X59027Y-20284D01* -X58990Y-20295D01* -X58951Y-20299D01* -X58913Y-20296D01* -X58876Y-20285D01* -X58841Y-20267D01* -X58811Y-20242D01* -X58785Y-20212D01* -X58767Y-20178D01* -X58755Y-20141D01* -X58751Y-20102D01* -X58754Y-20064D01* -X58765Y-20027D01* -X58782Y-19992D01* -X58807Y-19962D01* -X58836Y-19936D01* -X58870Y-19917D01* -X58908Y-19905D01* -X58946Y-19901D01* -X58984Y-19904D01* -X59022Y-19914D01* -X59057Y-19932D01* -X59087Y-19956D01* -X59113Y-19985D01* -X59132Y-20019D01* -X59145Y-20056D01* -X59149Y-20095D01* -X59150Y-20100D01* -X58750Y-19900D02* -X59150Y-20300D01* -X59150Y-19900D02* -X58750Y-20300D01* -X51924Y-20463D02* -X51916Y-20535D01* -X51895Y-20605D01* -X51861Y-20669D01* -X51815Y-20726D01* -X51759Y-20773D01* -X51694Y-20807D01* -X51625Y-20829D01* -X51552Y-20836D01* -X51480Y-20830D01* -X51410Y-20809D01* -X51346Y-20775D01* -X51289Y-20730D01* -X51242Y-20674D01* -X51207Y-20610D01* -X51185Y-20540D01* -X51177Y-20468D01* -X51183Y-20396D01* -X51203Y-20326D01* -X51236Y-20261D01* -X51281Y-20204D01* -X51337Y-20156D01* -X51401Y-20121D01* -X51470Y-20098D01* -X51543Y-20090D01* -X51614Y-20095D01* -X51685Y-20115D01* -X51750Y-20148D01* -X51807Y-20193D01* -X51855Y-20248D01* -X51891Y-20311D01* -X51914Y-20381D01* -X51923Y-20453D01* -X51924Y-20463D01* -X51176Y-20463D02* -X51924Y-20463D01* -X51924Y-23337D02* -X51916Y-23409D01* -X51895Y-23479D01* -X51861Y-23543D01* -X51815Y-23600D01* -X51759Y-23647D01* -X51694Y-23681D01* -X51625Y-23703D01* -X51552Y-23710D01* -X51480Y-23704D01* -X51410Y-23683D01* -X51346Y-23649D01* -X51289Y-23604D01* -X51242Y-23548D01* -X51207Y-23484D01* -X51185Y-23414D01* -X51177Y-23342D01* -X51183Y-23270D01* -X51203Y-23200D01* -X51236Y-23135D01* -X51281Y-23078D01* -X51337Y-23030D01* -X51401Y-22995D01* -X51470Y-22972D01* -X51543Y-22964D01* -X51614Y-22969D01* -X51685Y-22989D01* -X51750Y-23022D01* -X51807Y-23067D01* -X51855Y-23122D01* -X51891Y-23185D01* -X51914Y-23255D01* -X51923Y-23327D01* -X51924Y-23337D01* -X51176Y-23337D02* -X51924Y-23337D01* -G54D12* -X47741Y-33884D02* -X47741Y-33084D01* -X47932Y-33084D01* -X48046Y-33122D01* -X48122Y-33198D01* -X48161Y-33274D01* -X48199Y-33427D01* -X48199Y-33541D01* -X48161Y-33693D01* -X48122Y-33770D01* -X48046Y-33846D01* -X47932Y-33884D01* -X47741Y-33884D01* -X48541Y-33884D02* -X48541Y-33350D01* -X48541Y-33503D02* -X48580Y-33427D01* -X48618Y-33389D01* -X48694Y-33350D01* -X48770Y-33350D01* -X49036Y-33884D02* -X49036Y-33350D01* -X49036Y-33084D02* -X48998Y-33122D01* -X49036Y-33160D01* -X49075Y-33122D01* -X49036Y-33084D01* -X49036Y-33160D01* -X49532Y-33884D02* -X49456Y-33846D01* -X49417Y-33770D01* -X49417Y-33084D01* -X49951Y-33884D02* -X49875Y-33846D01* -X49836Y-33770D01* -X49836Y-33084D01* -X50865Y-33884D02* -X50865Y-33084D01* -X51132Y-33655D01* -X51399Y-33084D01* -X51399Y-33884D01* -X52122Y-33884D02* -X52122Y-33465D01* -X52084Y-33389D01* -X52008Y-33350D01* -X51856Y-33350D01* -X51779Y-33389D01* -X52122Y-33846D02* -X52046Y-33884D01* -X51856Y-33884D01* -X51779Y-33846D01* -X51741Y-33770D01* -X51741Y-33693D01* -X51779Y-33617D01* -X51856Y-33579D01* -X52046Y-33579D01* -X52122Y-33541D01* -X52503Y-33350D02* -X52503Y-34150D01* -X52503Y-33389D02* -X52580Y-33350D01* -X52732Y-33350D01* -X52808Y-33389D01* -X52846Y-33427D01* -X52884Y-33503D01* -X52884Y-33731D01* -X52846Y-33808D01* -X52808Y-33846D01* -X52732Y-33884D01* -X52580Y-33884D01* -X52503Y-33846D01* -X53227Y-33808D02* -X53266Y-33846D01* -X53227Y-33884D01* -X53189Y-33846D01* -X53227Y-33808D01* -X53227Y-33884D01* -X53227Y-33389D02* -X53266Y-33427D01* -X53227Y-33465D01* -X53189Y-33427D01* -X53227Y-33389D01* -X53227Y-33465D01* -X47191Y-35160D02* -X47351Y-35320D01* -X47351Y-35160D02* -X47191Y-35320D01* -X47894Y-34764D02* -X47970Y-34764D01* -X48046Y-34802D01* -X48084Y-34840D01* -X48122Y-34916D01* -X48161Y-35069D01* -X48161Y-35259D01* -X48122Y-35411D01* -X48084Y-35488D01* -X48046Y-35526D01* -X47970Y-35564D01* -X47894Y-35564D01* -X47818Y-35526D01* -X47780Y-35488D01* -X47741Y-35411D01* -X47703Y-35259D01* -X47703Y-35069D01* -X47741Y-34916D01* -X47780Y-34840D01* -X47818Y-34802D01* -X47894Y-34764D01* -X48503Y-35488D02* -X48542Y-35526D01* -X48503Y-35564D01* -X48465Y-35526D01* -X48503Y-35488D01* -X48503Y-35564D01* -X49227Y-35030D02* -X49227Y-35564D01* -X49037Y-34726D02* -X48846Y-35297D01* -X49342Y-35297D01* -X50066Y-35564D02* -X49608Y-35564D01* -X49837Y-35564D02* -X49837Y-34764D01* -X49761Y-34878D01* -X49685Y-34954D01* -X49608Y-34992D01* -X50408Y-35564D02* -X50408Y-35030D01* -X50408Y-35107D02* -X50447Y-35069D01* -X50523Y-35030D01* -X50637Y-35030D01* -X50713Y-35069D01* -X50751Y-35145D01* -X50751Y-35564D01* -X50751Y-35145D02* -X50789Y-35069D01* -X50866Y-35030D01* -X50980Y-35030D01* -X51056Y-35069D01* -X51094Y-35145D01* -X51094Y-35564D01* -X51475Y-35564D02* -X51475Y-35030D01* -X51475Y-35107D02* -X51514Y-35069D01* -X51590Y-35030D01* -X51704Y-35030D01* -X51780Y-35069D01* -X51818Y-35145D01* -X51818Y-35564D01* -X51818Y-35145D02* -X51856Y-35069D01* -X51933Y-35030D01* -X52047Y-35030D01* -X52123Y-35069D01* -X52161Y-35145D01* -X52161Y-35564D01* -X53724Y-34726D02* -X53038Y-35754D01* -X54753Y-34764D02* -X54829Y-34764D01* -X54905Y-34802D01* -X54943Y-34840D01* -X54981Y-34916D01* -X55020Y-35069D01* -X55020Y-35259D01* -X54981Y-35411D01* -X54943Y-35488D01* -X54905Y-35526D01* -X54829Y-35564D01* -X54753Y-35564D01* -X54677Y-35526D01* -X54639Y-35488D01* -X54600Y-35411D01* -X54562Y-35259D01* -X54562Y-35069D01* -X54600Y-34916D01* -X54639Y-34840D01* -X54677Y-34802D01* -X54753Y-34764D01* -X55362Y-35488D02* -X55401Y-35526D01* -X55362Y-35564D01* -X55324Y-35526D01* -X55362Y-35488D01* -X55362Y-35564D01* -X55896Y-34764D02* -X55972Y-34764D01* -X56048Y-34802D01* -X56086Y-34840D01* -X56124Y-34916D01* -X56163Y-35069D01* -X56163Y-35259D01* -X56124Y-35411D01* -X56086Y-35488D01* -X56048Y-35526D01* -X55972Y-35564D01* -X55896Y-35564D01* -X55820Y-35526D01* -X55782Y-35488D01* -X55743Y-35411D01* -X55705Y-35259D01* -X55705Y-35069D01* -X55743Y-34916D01* -X55782Y-34840D01* -X55820Y-34802D01* -X55896Y-34764D01* -X56925Y-35564D02* -X56467Y-35564D01* -X56696Y-35564D02* -X56696Y-34764D01* -X56620Y-34878D01* -X56544Y-34954D01* -X56467Y-34992D01* -X57610Y-34764D02* -X57458Y-34764D01* -X57382Y-34802D01* -X57344Y-34840D01* -X57267Y-34954D01* -X57229Y-35107D01* -X57229Y-35411D01* -X57267Y-35488D01* -X57306Y-35526D01* -X57382Y-35564D01* -X57534Y-35564D01* -X57610Y-35526D01* -X57648Y-35488D01* -X57687Y-35411D01* -X57687Y-35221D01* -X57648Y-35145D01* -X57610Y-35107D01* -X57534Y-35069D01* -X57382Y-35069D01* -X57306Y-35107D01* -X57267Y-35145D01* -X57229Y-35221D01* -X57991Y-34764D02* -X57991Y-34916D01* -X58296Y-34764D02* -X58296Y-34916D01* -X59478Y-35869D02* -X59440Y-35830D01* -X59364Y-35716D01* -X59326Y-35640D01* -X59288Y-35526D01* -X59249Y-35335D01* -X59249Y-35183D01* -X59288Y-34992D01* -X59326Y-34878D01* -X59364Y-34802D01* -X59440Y-34688D01* -X59478Y-34650D01* -X59706Y-34764D02* -X60202Y-34764D01* -X59935Y-35069D01* -X60049Y-35069D01* -X60125Y-35107D01* -X60163Y-35145D01* -X60202Y-35221D01* -X60202Y-35411D01* -X60163Y-35488D01* -X60125Y-35526D01* -X60049Y-35564D01* -X59821Y-35564D01* -X59744Y-35526D01* -X59706Y-35488D01* -X60468Y-34764D02* -X60964Y-34764D01* -X60697Y-35069D01* -X60811Y-35069D01* -X60887Y-35107D01* -X60925Y-35145D01* -X60964Y-35221D01* -X60964Y-35411D01* -X60925Y-35488D01* -X60887Y-35526D01* -X60811Y-35564D01* -X60583Y-35564D01* -X60506Y-35526D01* -X60468Y-35488D01* -X61916Y-35564D02* -X61916Y-34764D01* -X62259Y-35564D02* -X62259Y-35145D01* -X62221Y-35069D01* -X62145Y-35030D01* -X62031Y-35030D01* -X61955Y-35069D01* -X61916Y-35107D01* -X62755Y-35564D02* -X62679Y-35526D01* -X62640Y-35488D01* -X62602Y-35411D01* -X62602Y-35183D01* -X62640Y-35107D01* -X62679Y-35069D01* -X62755Y-35030D01* -X62869Y-35030D01* -X62945Y-35069D01* -X62983Y-35107D01* -X63021Y-35183D01* -X63021Y-35411D01* -X62983Y-35488D01* -X62945Y-35526D01* -X62869Y-35564D01* -X62755Y-35564D01* -X63479Y-35564D02* -X63403Y-35526D01* -X63364Y-35450D01* -X63364Y-34764D01* -X64088Y-35526D02* -X64012Y-35564D01* -X63860Y-35564D01* -X63783Y-35526D01* -X63745Y-35450D01* -X63745Y-35145D01* -X63783Y-35069D01* -X63860Y-35030D01* -X64012Y-35030D01* -X64088Y-35069D01* -X64126Y-35145D01* -X64126Y-35221D01* -X63745Y-35297D01* -X64431Y-35526D02* -X64508Y-35564D01* -X64660Y-35564D01* -X64736Y-35526D01* -X64774Y-35450D01* -X64774Y-35411D01* -X64736Y-35335D01* -X64660Y-35297D01* -X64546Y-35297D01* -X64469Y-35259D01* -X64431Y-35183D01* -X64431Y-35145D01* -X64469Y-35069D01* -X64546Y-35030D01* -X64660Y-35030D01* -X64736Y-35069D01* -X65041Y-35869D02* -X65079Y-35830D01* -X65156Y-35716D01* -X65194Y-35640D01* -X65232Y-35526D01* -X65270Y-35335D01* -X65270Y-35183D01* -X65232Y-34992D01* -X65194Y-34878D01* -X65156Y-34802D01* -X65079Y-34688D01* -X65041Y-34650D01* -G54D10* -X47351Y-36296D02* -X47348Y-36326D01* -X47339Y-36355D01* -X47324Y-36382D01* -X47305Y-36406D01* -X47281Y-36426D01* -X47254Y-36440D01* -X47225Y-36449D01* -X47195Y-36452D01* -X47165Y-36450D01* -X47136Y-36441D01* -X47109Y-36427D01* -X47085Y-36408D01* -X47065Y-36384D01* -X47050Y-36357D01* -X47041Y-36328D01* -X47038Y-36298D01* -X47040Y-36268D01* -X47049Y-36239D01* -X47063Y-36211D01* -X47082Y-36187D01* -X47105Y-36168D01* -X47132Y-36153D01* -X47161Y-36143D01* -X47191Y-36140D01* -X47221Y-36142D01* -X47250Y-36150D01* -X47278Y-36164D01* -X47302Y-36183D01* -X47322Y-36206D01* -X47337Y-36233D01* -X47347Y-36262D01* -X47350Y-36292D01* -X47351Y-36296D01* -G54D12* -X47894Y-35820D02* -X47970Y-35820D01* -X48046Y-35858D01* -X48084Y-35896D01* -X48122Y-35972D01* -X48161Y-36125D01* -X48161Y-36315D01* -X48122Y-36467D01* -X48084Y-36544D01* -X48046Y-36582D01* -X47970Y-36620D01* -X47894Y-36620D01* -X47818Y-36582D01* -X47780Y-36544D01* -X47741Y-36467D01* -X47703Y-36315D01* -X47703Y-36125D01* -X47741Y-35972D01* -X47780Y-35896D01* -X47818Y-35858D01* -X47894Y-35820D01* -X48503Y-36544D02* -X48542Y-36582D01* -X48503Y-36620D01* -X48465Y-36582D01* -X48503Y-36544D01* -X48503Y-36620D01* -X48999Y-36163D02* -X48923Y-36125D01* -X48884Y-36086D01* -X48846Y-36010D01* -X48846Y-35972D01* -X48884Y-35896D01* -X48923Y-35858D01* -X48999Y-35820D01* -X49151Y-35820D01* -X49227Y-35858D01* -X49265Y-35896D01* -X49304Y-35972D01* -X49304Y-36010D01* -X49265Y-36086D01* -X49227Y-36125D01* -X49151Y-36163D01* -X48999Y-36163D01* -X48923Y-36201D01* -X48884Y-36239D01* -X48846Y-36315D01* -X48846Y-36467D01* -X48884Y-36544D01* -X48923Y-36582D01* -X48999Y-36620D01* -X49151Y-36620D01* -X49227Y-36582D01* -X49265Y-36544D01* -X49304Y-36467D01* -X49304Y-36315D01* -X49265Y-36239D01* -X49227Y-36201D01* -X49151Y-36163D01* -X49799Y-35820D02* -X49875Y-35820D01* -X49951Y-35858D01* -X49989Y-35896D01* -X50027Y-35972D01* -X50066Y-36125D01* -X50066Y-36315D01* -X50027Y-36467D01* -X49989Y-36544D01* -X49951Y-36582D01* -X49875Y-36620D01* -X49799Y-36620D01* -X49723Y-36582D01* -X49685Y-36544D01* -X49646Y-36467D01* -X49608Y-36315D01* -X49608Y-36125D01* -X49646Y-35972D01* -X49685Y-35896D01* -X49723Y-35858D01* -X49799Y-35820D01* -X50408Y-36620D02* -X50408Y-36086D01* -X50408Y-36163D02* -X50447Y-36125D01* -X50523Y-36086D01* -X50637Y-36086D01* -X50713Y-36125D01* -X50751Y-36201D01* -X50751Y-36620D01* -X50751Y-36201D02* -X50789Y-36125D01* -X50866Y-36086D01* -X50980Y-36086D01* -X51056Y-36125D01* -X51094Y-36201D01* -X51094Y-36620D01* -X51475Y-36620D02* -X51475Y-36086D01* -X51475Y-36163D02* -X51514Y-36125D01* -X51590Y-36086D01* -X51704Y-36086D01* -X51780Y-36125D01* -X51818Y-36201D01* -X51818Y-36620D01* -X51818Y-36201D02* -X51856Y-36125D01* -X51933Y-36086D01* -X52047Y-36086D01* -X52123Y-36125D01* -X52161Y-36201D01* -X52161Y-36620D01* -X53724Y-35782D02* -X53038Y-36810D01* -X54753Y-35820D02* -X54829Y-35820D01* -X54905Y-35858D01* -X54943Y-35896D01* -X54981Y-35972D01* -X55020Y-36125D01* -X55020Y-36315D01* -X54981Y-36467D01* -X54943Y-36544D01* -X54905Y-36582D01* -X54829Y-36620D01* -X54753Y-36620D01* -X54677Y-36582D01* -X54639Y-36544D01* -X54600Y-36467D01* -X54562Y-36315D01* -X54562Y-36125D01* -X54600Y-35972D01* -X54639Y-35896D01* -X54677Y-35858D01* -X54753Y-35820D01* -X55362Y-36544D02* -X55401Y-36582D01* -X55362Y-36620D01* -X55324Y-36582D01* -X55362Y-36544D01* -X55362Y-36620D01* -X55896Y-35820D02* -X55972Y-35820D01* -X56048Y-35858D01* -X56086Y-35896D01* -X56124Y-35972D01* -X56163Y-36125D01* -X56163Y-36315D01* -X56124Y-36467D01* -X56086Y-36544D01* -X56048Y-36582D01* -X55972Y-36620D01* -X55896Y-36620D01* -X55820Y-36582D01* -X55782Y-36544D01* -X55743Y-36467D01* -X55705Y-36315D01* -X55705Y-36125D01* -X55743Y-35972D01* -X55782Y-35896D01* -X55820Y-35858D01* -X55896Y-35820D01* -X56429Y-35820D02* -X56925Y-35820D01* -X56658Y-36125D01* -X56772Y-36125D01* -X56848Y-36163D01* -X56886Y-36201D01* -X56925Y-36277D01* -X56925Y-36467D01* -X56886Y-36544D01* -X56848Y-36582D01* -X56772Y-36620D01* -X56544Y-36620D01* -X56467Y-36582D01* -X56429Y-36544D01* -X57687Y-36620D02* -X57229Y-36620D01* -X57458Y-36620D02* -X57458Y-35820D01* -X57382Y-35934D01* -X57306Y-36010D01* -X57229Y-36048D01* -X57991Y-35820D02* -X57991Y-35972D01* -X58296Y-35820D02* -X58296Y-35972D01* -X59478Y-36925D02* -X59440Y-36886D01* -X59364Y-36772D01* -X59326Y-36696D01* -X59288Y-36582D01* -X59249Y-36391D01* -X59249Y-36239D01* -X59288Y-36048D01* -X59326Y-35934D01* -X59364Y-35858D01* -X59440Y-35744D01* -X59478Y-35706D01* -X60163Y-35820D02* -X59782Y-35820D01* -X59744Y-36201D01* -X59782Y-36163D01* -X59859Y-36125D01* -X60049Y-36125D01* -X60125Y-36163D01* -X60163Y-36201D01* -X60202Y-36277D01* -X60202Y-36467D01* -X60163Y-36544D01* -X60125Y-36582D01* -X60049Y-36620D01* -X59859Y-36620D01* -X59782Y-36582D01* -X59744Y-36544D01* -X61154Y-36620D02* -X61154Y-35820D01* -X61497Y-36620D02* -X61497Y-36201D01* -X61459Y-36125D01* -X61383Y-36086D01* -X61269Y-36086D01* -X61193Y-36125D01* -X61154Y-36163D01* -X61993Y-36620D02* -X61917Y-36582D01* -X61878Y-36544D01* -X61840Y-36467D01* -X61840Y-36239D01* -X61878Y-36163D01* -X61917Y-36125D01* -X61993Y-36086D01* -X62107Y-36086D01* -X62183Y-36125D01* -X62221Y-36163D01* -X62259Y-36239D01* -X62259Y-36467D01* -X62221Y-36544D01* -X62183Y-36582D01* -X62107Y-36620D01* -X61993Y-36620D01* -X62717Y-36620D02* -X62641Y-36582D01* -X62602Y-36506D01* -X62602Y-35820D01* -X63326Y-36582D02* -X63250Y-36620D01* -X63098Y-36620D01* -X63021Y-36582D01* -X62983Y-36506D01* -X62983Y-36201D01* -X63021Y-36125D01* -X63098Y-36086D01* -X63250Y-36086D01* -X63326Y-36125D01* -X63364Y-36201D01* -X63364Y-36277D01* -X62983Y-36353D01* -X63669Y-36582D02* -X63746Y-36620D01* -X63898Y-36620D01* -X63974Y-36582D01* -X64012Y-36506D01* -X64012Y-36467D01* -X63974Y-36391D01* -X63898Y-36353D01* -X63784Y-36353D01* -X63707Y-36315D01* -X63669Y-36239D01* -X63669Y-36201D01* -X63707Y-36125D01* -X63784Y-36086D01* -X63898Y-36086D01* -X63974Y-36125D01* -X64279Y-36925D02* -X64317Y-36886D01* -X64394Y-36772D01* -X64432Y-36696D01* -X64470Y-36582D01* -X64508Y-36391D01* -X64508Y-36239D01* -X64470Y-36048D01* -X64432Y-35934D01* -X64394Y-35858D01* -X64317Y-35744D01* -X64279Y-35706D01* -X47171Y-37172D02* -X47171Y-37532D01* -X47351Y-37352D02* -X46991Y-37352D01* -X47894Y-36876D02* -X47970Y-36876D01* -X48046Y-36914D01* -X48084Y-36952D01* -X48122Y-37028D01* -X48161Y-37181D01* -X48161Y-37371D01* -X48122Y-37523D01* -X48084Y-37600D01* -X48046Y-37638D01* -X47970Y-37676D01* -X47894Y-37676D01* -X47818Y-37638D01* -X47780Y-37600D01* -X47741Y-37523D01* -X47703Y-37371D01* -X47703Y-37181D01* -X47741Y-37028D01* -X47780Y-36952D01* -X47818Y-36914D01* -X47894Y-36876D01* -X48503Y-37600D02* -X48542Y-37638D01* -X48503Y-37676D01* -X48465Y-37638D01* -X48503Y-37600D01* -X48503Y-37676D01* -X48923Y-37676D02* -X49075Y-37676D01* -X49151Y-37638D01* -X49189Y-37600D01* -X49265Y-37485D01* -X49304Y-37333D01* -X49304Y-37028D01* -X49265Y-36952D01* -X49227Y-36914D01* -X49151Y-36876D01* -X48999Y-36876D01* -X48923Y-36914D01* -X48884Y-36952D01* -X48846Y-37028D01* -X48846Y-37219D01* -X48884Y-37295D01* -X48923Y-37333D01* -X48999Y-37371D01* -X49151Y-37371D01* -X49227Y-37333D01* -X49265Y-37295D01* -X49304Y-37219D01* -X50066Y-37676D02* -X49608Y-37676D01* -X49837Y-37676D02* -X49837Y-36876D01* -X49761Y-36990D01* -X49685Y-37066D01* -X49608Y-37104D01* -X50408Y-37676D02* -X50408Y-37142D01* -X50408Y-37219D02* -X50447Y-37181D01* -X50523Y-37142D01* -X50637Y-37142D01* -X50713Y-37181D01* -X50751Y-37257D01* -X50751Y-37676D01* -X50751Y-37257D02* -X50789Y-37181D01* -X50866Y-37142D01* -X50980Y-37142D01* -X51056Y-37181D01* -X51094Y-37257D01* -X51094Y-37676D01* -X51475Y-37676D02* -X51475Y-37142D01* -X51475Y-37219D02* -X51514Y-37181D01* -X51590Y-37142D01* -X51704Y-37142D01* -X51780Y-37181D01* -X51818Y-37257D01* -X51818Y-37676D01* -X51818Y-37257D02* -X51856Y-37181D01* -X51933Y-37142D01* -X52047Y-37142D01* -X52123Y-37181D01* -X52161Y-37257D01* -X52161Y-37676D01* -X53724Y-36838D02* -X53038Y-37866D01* -X54753Y-36876D02* -X54829Y-36876D01* -X54905Y-36914D01* -X54943Y-36952D01* -X54981Y-37028D01* -X55020Y-37181D01* -X55020Y-37371D01* -X54981Y-37523D01* -X54943Y-37600D01* -X54905Y-37638D01* -X54829Y-37676D01* -X54753Y-37676D01* -X54677Y-37638D01* -X54639Y-37600D01* -X54600Y-37523D01* -X54562Y-37371D01* -X54562Y-37181D01* -X54600Y-37028D01* -X54639Y-36952D01* -X54677Y-36914D01* -X54753Y-36876D01* -X55362Y-37600D02* -X55401Y-37638D01* -X55362Y-37676D01* -X55324Y-37638D01* -X55362Y-37600D01* -X55362Y-37676D01* -X55896Y-36876D02* -X55972Y-36876D01* -X56048Y-36914D01* -X56086Y-36952D01* -X56124Y-37028D01* -X56163Y-37181D01* -X56163Y-37371D01* -X56124Y-37523D01* -X56086Y-37600D01* -X56048Y-37638D01* -X55972Y-37676D01* -X55896Y-37676D01* -X55820Y-37638D01* -X55782Y-37600D01* -X55743Y-37523D01* -X55705Y-37371D01* -X55705Y-37181D01* -X55743Y-37028D01* -X55782Y-36952D01* -X55820Y-36914D01* -X55896Y-36876D01* -X56429Y-36876D02* -X56925Y-36876D01* -X56658Y-37181D01* -X56772Y-37181D01* -X56848Y-37219D01* -X56886Y-37257D01* -X56925Y-37333D01* -X56925Y-37523D01* -X56886Y-37600D01* -X56848Y-37638D01* -X56772Y-37676D01* -X56544Y-37676D01* -X56467Y-37638D01* -X56429Y-37600D01* -X57610Y-36876D02* -X57458Y-36876D01* -X57382Y-36914D01* -X57344Y-36952D01* -X57267Y-37066D01* -X57229Y-37219D01* -X57229Y-37523D01* -X57267Y-37600D01* -X57306Y-37638D01* -X57382Y-37676D01* -X57534Y-37676D01* -X57610Y-37638D01* -X57648Y-37600D01* -X57687Y-37523D01* -X57687Y-37333D01* -X57648Y-37257D01* -X57610Y-37219D01* -X57534Y-37181D01* -X57382Y-37181D01* -X57306Y-37219D01* -X57267Y-37257D01* -X57229Y-37333D01* -X57991Y-36876D02* -X57991Y-37028D01* -X58296Y-36876D02* -X58296Y-37028D01* -X59478Y-37981D02* -X59440Y-37942D01* -X59364Y-37828D01* -X59326Y-37752D01* -X59288Y-37638D01* -X59249Y-37447D01* -X59249Y-37295D01* -X59288Y-37104D01* -X59326Y-36990D01* -X59364Y-36914D01* -X59440Y-36800D01* -X59478Y-36762D01* -X59744Y-36952D02* -X59782Y-36914D01* -X59859Y-36876D01* -X60049Y-36876D01* -X60125Y-36914D01* -X60163Y-36952D01* -X60202Y-37028D01* -X60202Y-37104D01* -X60163Y-37219D01* -X59706Y-37676D01* -X60202Y-37676D01* -X60697Y-36876D02* -X60773Y-36876D01* -X60849Y-36914D01* -X60887Y-36952D01* -X60925Y-37028D01* -X60964Y-37181D01* -X60964Y-37371D01* -X60925Y-37523D01* -X60887Y-37600D01* -X60849Y-37638D01* -X60773Y-37676D01* -X60697Y-37676D01* -X60621Y-37638D01* -X60583Y-37600D01* -X60544Y-37523D01* -X60506Y-37371D01* -X60506Y-37181D01* -X60544Y-37028D01* -X60583Y-36952D01* -X60621Y-36914D01* -X60697Y-36876D01* -X61916Y-37676D02* -X61916Y-36876D01* -X62259Y-37676D02* -X62259Y-37257D01* -X62221Y-37181D01* -X62145Y-37142D01* -X62031Y-37142D01* -X61955Y-37181D01* -X61916Y-37219D01* -X62755Y-37676D02* -X62679Y-37638D01* -X62640Y-37600D01* -X62602Y-37523D01* -X62602Y-37295D01* -X62640Y-37219D01* -X62679Y-37181D01* -X62755Y-37142D01* -X62869Y-37142D01* -X62945Y-37181D01* -X62983Y-37219D01* -X63021Y-37295D01* -X63021Y-37523D01* -X62983Y-37600D01* -X62945Y-37638D01* -X62869Y-37676D01* -X62755Y-37676D01* -X63479Y-37676D02* -X63403Y-37638D01* -X63364Y-37562D01* -X63364Y-36876D01* -X64088Y-37638D02* -X64012Y-37676D01* -X63860Y-37676D01* -X63783Y-37638D01* -X63745Y-37562D01* -X63745Y-37257D01* -X63783Y-37181D01* -X63860Y-37142D01* -X64012Y-37142D01* -X64088Y-37181D01* -X64126Y-37257D01* -X64126Y-37333D01* -X63745Y-37409D01* -X64431Y-37638D02* -X64508Y-37676D01* -X64660Y-37676D01* -X64736Y-37638D01* -X64774Y-37562D01* -X64774Y-37523D01* -X64736Y-37447D01* -X64660Y-37409D01* -X64546Y-37409D01* -X64469Y-37371D01* -X64431Y-37295D01* -X64431Y-37257D01* -X64469Y-37181D01* -X64546Y-37142D01* -X64660Y-37142D01* -X64736Y-37181D01* -X65041Y-37981D02* -X65079Y-37942D01* -X65156Y-37828D01* -X65194Y-37752D01* -X65232Y-37638D01* -X65270Y-37447D01* -X65270Y-37295D01* -X65232Y-37104D01* -X65194Y-36990D01* -X65156Y-36914D01* -X65079Y-36800D01* -X65041Y-36762D01* -G54D10* -X47351Y-38408D02* -X47347Y-38446D01* -X47335Y-38484D01* -X47317Y-38518D01* -X47292Y-38548D01* -X47262Y-38573D01* -X47228Y-38592D01* -X47191Y-38603D01* -X47152Y-38607D01* -X47114Y-38604D01* -X47077Y-38593D01* -X47042Y-38575D01* -X47012Y-38550D01* -X46986Y-38520D01* -X46968Y-38486D01* -X46956Y-38449D01* -X46952Y-38410D01* -X46955Y-38372D01* -X46966Y-38335D01* -X46983Y-38300D01* -X47008Y-38270D01* -X47037Y-38244D01* -X47071Y-38225D01* -X47109Y-38213D01* -X47147Y-38209D01* -X47185Y-38212D01* -X47223Y-38222D01* -X47258Y-38240D01* -X47288Y-38264D01* -X47314Y-38293D01* -X47333Y-38327D01* -X47346Y-38364D01* -X47350Y-38403D01* -X47351Y-38408D01* -X46951Y-38208D02* -X47351Y-38608D01* -X47351Y-38208D02* -X46951Y-38608D01* -G54D12* -X48161Y-38732D02* -X47703Y-38732D01* -X47932Y-38732D02* -X47932Y-37932D01* -X47856Y-38046D01* -X47780Y-38122D01* -X47703Y-38160D01* -X48503Y-38656D02* -X48542Y-38694D01* -X48503Y-38732D01* -X48465Y-38694D01* -X48503Y-38656D01* -X48503Y-38732D01* -X49037Y-37932D02* -X49113Y-37932D01* -X49189Y-37970D01* -X49227Y-38008D01* -X49265Y-38084D01* -X49304Y-38237D01* -X49304Y-38427D01* -X49265Y-38579D01* -X49227Y-38656D01* -X49189Y-38694D01* -X49113Y-38732D01* -X49037Y-38732D01* -X48961Y-38694D01* -X48923Y-38656D01* -X48884Y-38579D01* -X48846Y-38427D01* -X48846Y-38237D01* -X48884Y-38084D01* -X48923Y-38008D01* -X48961Y-37970D01* -X49037Y-37932D01* -X49608Y-38008D02* -X49646Y-37970D01* -X49723Y-37932D01* -X49913Y-37932D01* -X49989Y-37970D01* -X50027Y-38008D01* -X50066Y-38084D01* -X50066Y-38160D01* -X50027Y-38275D01* -X49570Y-38732D01* -X50066Y-38732D01* -X50408Y-38732D02* -X50408Y-38198D01* -X50408Y-38275D02* -X50447Y-38237D01* -X50523Y-38198D01* -X50637Y-38198D01* -X50713Y-38237D01* -X50751Y-38313D01* -X50751Y-38732D01* -X50751Y-38313D02* -X50789Y-38237D01* -X50866Y-38198D01* -X50980Y-38198D01* -X51056Y-38237D01* -X51094Y-38313D01* -X51094Y-38732D01* -X51475Y-38732D02* -X51475Y-38198D01* -X51475Y-38275D02* -X51514Y-38237D01* -X51590Y-38198D01* -X51704Y-38198D01* -X51780Y-38237D01* -X51818Y-38313D01* -X51818Y-38732D01* -X51818Y-38313D02* -X51856Y-38237D01* -X51933Y-38198D01* -X52047Y-38198D01* -X52123Y-38237D01* -X52161Y-38313D01* -X52161Y-38732D01* -X53724Y-37894D02* -X53038Y-38922D01* -X54753Y-37932D02* -X54829Y-37932D01* -X54905Y-37970D01* -X54943Y-38008D01* -X54981Y-38084D01* -X55020Y-38237D01* -X55020Y-38427D01* -X54981Y-38579D01* -X54943Y-38656D01* -X54905Y-38694D01* -X54829Y-38732D01* -X54753Y-38732D01* -X54677Y-38694D01* -X54639Y-38656D01* -X54600Y-38579D01* -X54562Y-38427D01* -X54562Y-38237D01* -X54600Y-38084D01* -X54639Y-38008D01* -X54677Y-37970D01* -X54753Y-37932D01* -X55362Y-38656D02* -X55401Y-38694D01* -X55362Y-38732D01* -X55324Y-38694D01* -X55362Y-38656D01* -X55362Y-38732D01* -X55896Y-37932D02* -X55972Y-37932D01* -X56048Y-37970D01* -X56086Y-38008D01* -X56124Y-38084D01* -X56163Y-38237D01* -X56163Y-38427D01* -X56124Y-38579D01* -X56086Y-38656D01* -X56048Y-38694D01* -X55972Y-38732D01* -X55896Y-38732D01* -X55820Y-38694D01* -X55782Y-38656D01* -X55743Y-38579D01* -X55705Y-38427D01* -X55705Y-38237D01* -X55743Y-38084D01* -X55782Y-38008D01* -X55820Y-37970D01* -X55896Y-37932D01* -X56848Y-38198D02* -X56848Y-38732D01* -X56658Y-37894D02* -X56467Y-38465D01* -X56963Y-38465D01* -X57420Y-37932D02* -X57496Y-37932D01* -X57572Y-37970D01* -X57610Y-38008D01* -X57648Y-38084D01* -X57687Y-38237D01* -X57687Y-38427D01* -X57648Y-38579D01* -X57610Y-38656D01* -X57572Y-38694D01* -X57496Y-38732D01* -X57420Y-38732D01* -X57344Y-38694D01* -X57306Y-38656D01* -X57267Y-38579D01* -X57229Y-38427D01* -X57229Y-38237D01* -X57267Y-38084D01* -X57306Y-38008D01* -X57344Y-37970D01* -X57420Y-37932D01* -X57991Y-37932D02* -X57991Y-38084D01* -X58296Y-37932D02* -X58296Y-38084D01* -X59478Y-39037D02* -X59440Y-38998D01* -X59364Y-38884D01* -X59326Y-38808D01* -X59288Y-38694D01* -X59249Y-38503D01* -X59249Y-38351D01* -X59288Y-38160D01* -X59326Y-38046D01* -X59364Y-37970D01* -X59440Y-37856D01* -X59478Y-37818D01* -X60202Y-38732D02* -X59744Y-38732D01* -X59973Y-38732D02* -X59973Y-37932D01* -X59897Y-38046D01* -X59821Y-38122D01* -X59744Y-38160D01* -X60697Y-37932D02* -X60773Y-37932D01* -X60849Y-37970D01* -X60887Y-38008D01* -X60925Y-38084D01* -X60964Y-38237D01* -X60964Y-38427D01* -X60925Y-38579D01* -X60887Y-38656D01* -X60849Y-38694D01* -X60773Y-38732D01* -X60697Y-38732D01* -X60621Y-38694D01* -X60583Y-38656D01* -X60544Y-38579D01* -X60506Y-38427D01* -X60506Y-38237D01* -X60544Y-38084D01* -X60583Y-38008D01* -X60621Y-37970D01* -X60697Y-37932D01* -X61916Y-38732D02* -X61916Y-37932D01* -X62259Y-38732D02* -X62259Y-38313D01* -X62221Y-38237D01* -X62145Y-38198D01* -X62031Y-38198D01* -X61955Y-38237D01* -X61916Y-38275D01* -X62755Y-38732D02* -X62679Y-38694D01* -X62640Y-38656D01* -X62602Y-38579D01* -X62602Y-38351D01* -X62640Y-38275D01* -X62679Y-38237D01* -X62755Y-38198D01* -X62869Y-38198D01* -X62945Y-38237D01* -X62983Y-38275D01* -X63021Y-38351D01* -X63021Y-38579D01* -X62983Y-38656D01* -X62945Y-38694D01* -X62869Y-38732D01* -X62755Y-38732D01* -X63479Y-38732D02* -X63403Y-38694D01* -X63364Y-38618D01* -X63364Y-37932D01* -X64088Y-38694D02* -X64012Y-38732D01* -X63860Y-38732D01* -X63783Y-38694D01* -X63745Y-38618D01* -X63745Y-38313D01* -X63783Y-38237D01* -X63860Y-38198D01* -X64012Y-38198D01* -X64088Y-38237D01* -X64126Y-38313D01* -X64126Y-38389D01* -X63745Y-38465D01* -X64431Y-38694D02* -X64508Y-38732D01* -X64660Y-38732D01* -X64736Y-38694D01* -X64774Y-38618D01* -X64774Y-38579D01* -X64736Y-38503D01* -X64660Y-38465D01* -X64546Y-38465D01* -X64469Y-38427D01* -X64431Y-38351D01* -X64431Y-38313D01* -X64469Y-38237D01* -X64546Y-38198D01* -X64660Y-38198D01* -X64736Y-38237D01* -X65041Y-39037D02* -X65079Y-38998D01* -X65156Y-38884D01* -X65194Y-38808D01* -X65232Y-38694D01* -X65270Y-38503D01* -X65270Y-38351D01* -X65232Y-38160D01* -X65194Y-38046D01* -X65156Y-37970D01* -X65079Y-37856D01* -X65041Y-37818D01* -G54D10* -X47351Y-39464D02* -X47343Y-39536D01* -X47322Y-39606D01* -X47288Y-39670D01* -X47242Y-39727D01* -X47186Y-39774D01* -X47121Y-39808D01* -X47052Y-39830D01* -X46979Y-39837D01* -X46907Y-39831D01* -X46837Y-39810D01* -X46773Y-39776D01* -X46716Y-39731D01* -X46669Y-39675D01* -X46634Y-39611D01* -X46612Y-39541D01* -X46604Y-39469D01* -X46610Y-39397D01* -X46630Y-39327D01* -X46663Y-39262D01* -X46708Y-39205D01* -X46764Y-39157D01* -X46828Y-39122D01* -X46897Y-39099D01* -X46970Y-39091D01* -X47041Y-39096D01* -X47112Y-39116D01* -X47177Y-39149D01* -X47234Y-39194D01* -X47282Y-39249D01* -X47318Y-39312D01* -X47341Y-39382D01* -X47350Y-39454D01* -X47351Y-39464D01* -X46603Y-39464D02* -X47351Y-39464D01* -G54D12* -X48161Y-39788D02* -X47703Y-39788D01* -X47932Y-39788D02* -X47932Y-38988D01* -X47856Y-39102D01* -X47780Y-39178D01* -X47703Y-39216D01* -X48503Y-39712D02* -X48542Y-39750D01* -X48503Y-39788D01* -X48465Y-39750D01* -X48503Y-39712D01* -X48503Y-39788D01* -X48923Y-39788D02* -X49075Y-39788D01* -X49151Y-39750D01* -X49189Y-39712D01* -X49265Y-39597D01* -X49304Y-39445D01* -X49304Y-39140D01* -X49265Y-39064D01* -X49227Y-39026D01* -X49151Y-38988D01* -X48999Y-38988D01* -X48923Y-39026D01* -X48884Y-39064D01* -X48846Y-39140D01* -X48846Y-39331D01* -X48884Y-39407D01* -X48923Y-39445D01* -X48999Y-39483D01* -X49151Y-39483D01* -X49227Y-39445D01* -X49265Y-39407D01* -X49304Y-39331D01* -X49799Y-38988D02* -X49875Y-38988D01* -X49951Y-39026D01* -X49989Y-39064D01* -X50027Y-39140D01* -X50066Y-39293D01* -X50066Y-39483D01* -X50027Y-39635D01* -X49989Y-39712D01* -X49951Y-39750D01* -X49875Y-39788D01* -X49799Y-39788D01* -X49723Y-39750D01* -X49685Y-39712D01* -X49646Y-39635D01* -X49608Y-39483D01* -X49608Y-39293D01* -X49646Y-39140D01* -X49685Y-39064D01* -X49723Y-39026D01* -X49799Y-38988D01* -X50408Y-39788D02* -X50408Y-39254D01* -X50408Y-39331D02* -X50447Y-39293D01* -X50523Y-39254D01* -X50637Y-39254D01* -X50713Y-39293D01* -X50751Y-39369D01* -X50751Y-39788D01* -X50751Y-39369D02* -X50789Y-39293D01* -X50866Y-39254D01* -X50980Y-39254D01* -X51056Y-39293D01* -X51094Y-39369D01* -X51094Y-39788D01* -X51475Y-39788D02* -X51475Y-39254D01* -X51475Y-39331D02* -X51514Y-39293D01* -X51590Y-39254D01* -X51704Y-39254D01* -X51780Y-39293D01* -X51818Y-39369D01* -X51818Y-39788D01* -X51818Y-39369D02* -X51856Y-39293D01* -X51933Y-39254D01* -X52047Y-39254D01* -X52123Y-39293D01* -X52161Y-39369D01* -X52161Y-39788D01* -X53724Y-38950D02* -X53038Y-39978D01* -X54753Y-38988D02* -X54829Y-38988D01* -X54905Y-39026D01* -X54943Y-39064D01* -X54981Y-39140D01* -X55020Y-39293D01* -X55020Y-39483D01* -X54981Y-39635D01* -X54943Y-39712D01* -X54905Y-39750D01* -X54829Y-39788D01* -X54753Y-39788D01* -X54677Y-39750D01* -X54639Y-39712D01* -X54600Y-39635D01* -X54562Y-39483D01* -X54562Y-39293D01* -X54600Y-39140D01* -X54639Y-39064D01* -X54677Y-39026D01* -X54753Y-38988D01* -X55362Y-39712D02* -X55401Y-39750D01* -X55362Y-39788D01* -X55324Y-39750D01* -X55362Y-39712D01* -X55362Y-39788D01* -X55896Y-38988D02* -X55972Y-38988D01* -X56048Y-39026D01* -X56086Y-39064D01* -X56124Y-39140D01* -X56163Y-39293D01* -X56163Y-39483D01* -X56124Y-39635D01* -X56086Y-39712D01* -X56048Y-39750D01* -X55972Y-39788D01* -X55896Y-39788D01* -X55820Y-39750D01* -X55782Y-39712D01* -X55743Y-39635D01* -X55705Y-39483D01* -X55705Y-39293D01* -X55743Y-39140D01* -X55782Y-39064D01* -X55820Y-39026D01* -X55896Y-38988D01* -X56429Y-38988D02* -X56963Y-38988D01* -X56620Y-39788D01* -X57648Y-38988D02* -X57267Y-38988D01* -X57229Y-39369D01* -X57267Y-39331D01* -X57344Y-39293D01* -X57534Y-39293D01* -X57610Y-39331D01* -X57648Y-39369D01* -X57687Y-39445D01* -X57687Y-39635D01* -X57648Y-39712D01* -X57610Y-39750D01* -X57534Y-39788D01* -X57344Y-39788D01* -X57267Y-39750D01* -X57229Y-39712D01* -X57991Y-38988D02* -X57991Y-39140D01* -X58296Y-38988D02* -X58296Y-39140D01* -X59478Y-40093D02* -X59440Y-40054D01* -X59364Y-39940D01* -X59326Y-39864D01* -X59288Y-39750D01* -X59249Y-39559D01* -X59249Y-39407D01* -X59288Y-39216D01* -X59326Y-39102D01* -X59364Y-39026D01* -X59440Y-38912D01* -X59478Y-38874D01* -X59744Y-39064D02* -X59782Y-39026D01* -X59859Y-38988D01* -X60049Y-38988D01* -X60125Y-39026D01* -X60163Y-39064D01* -X60202Y-39140D01* -X60202Y-39216D01* -X60163Y-39331D01* -X59706Y-39788D01* -X60202Y-39788D01* -X61154Y-39788D02* -X61154Y-38988D01* -X61497Y-39788D02* -X61497Y-39369D01* -X61459Y-39293D01* -X61383Y-39254D01* -X61269Y-39254D01* -X61193Y-39293D01* -X61154Y-39331D01* -X61993Y-39788D02* -X61917Y-39750D01* -X61878Y-39712D01* -X61840Y-39635D01* -X61840Y-39407D01* -X61878Y-39331D01* -X61917Y-39293D01* -X61993Y-39254D01* -X62107Y-39254D01* -X62183Y-39293D01* -X62221Y-39331D01* -X62259Y-39407D01* -X62259Y-39635D01* -X62221Y-39712D01* -X62183Y-39750D01* -X62107Y-39788D01* -X61993Y-39788D01* -X62717Y-39788D02* -X62641Y-39750D01* -X62602Y-39674D01* -X62602Y-38988D01* -X63326Y-39750D02* -X63250Y-39788D01* -X63098Y-39788D01* -X63021Y-39750D01* -X62983Y-39674D01* -X62983Y-39369D01* -X63021Y-39293D01* -X63098Y-39254D01* -X63250Y-39254D01* -X63326Y-39293D01* -X63364Y-39369D01* -X63364Y-39445D01* -X62983Y-39521D01* -X63669Y-39750D02* -X63746Y-39788D01* -X63898Y-39788D01* -X63974Y-39750D01* -X64012Y-39674D01* -X64012Y-39635D01* -X63974Y-39559D01* -X63898Y-39521D01* -X63784Y-39521D01* -X63707Y-39483D01* -X63669Y-39407D01* -X63669Y-39369D01* -X63707Y-39293D01* -X63784Y-39254D01* -X63898Y-39254D01* -X63974Y-39293D01* -X64279Y-40093D02* -X64317Y-40054D01* -X64394Y-39940D01* -X64432Y-39864D01* -X64470Y-39750D01* -X64508Y-39559D01* -X64508Y-39407D01* -X64470Y-39216D01* -X64432Y-39102D01* -X64394Y-39026D01* -X64317Y-38912D01* -X64279Y-38874D01* -M02* Index: CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa-NPTH.drl =================================================================== --- CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa-NPTH.drl (revision 435) +++ CIECCA/pedidos/2014-07-17/OOCDLink/fabrication/OOCD_placa-NPTH.drl (nonexistent) @@ -1,17 +0,0 @@ -M48 -;DRILL file {Pcbnew (2012-apr-16-27)-stable} date jue 17 jul 2014 12:35:29 ART -;FORMAT={-:-/ absolute / metric / decimal} -FMAT,2 -METRIC,TZ -T1C4.001 -% -G90 -G05 -M71 -T1 -X133.604Y48.768 -X133.604Y74.676 -X160.528Y48.768 -X160.528Y74.676 -T0 -M30

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.