OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /plasma
    from Rev 375 to Rev 376
    Reverse comparison

Rev 375 → Rev 376

/trunk/vhdl/reg_bank.vhd
254,7 → 254,7
-- maximum clock speed is cut in half (12.5 MHz instead of 25 MHz).
 
clk_delayed <= not clk; --Could be delayed by 1/4 clock cycle instead
dpram_bypass: process(clk, addr_write, reg_dest_new)
dpram_bypass: process(clk, addr_write, reg_dest_new, write_enable)
begin
if rising_edge(clk) and write_enable = '1' then
addr_reg <= addr_write;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.