OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /plasma
    from Rev 392 to Rev 393
    Reverse comparison

Rev 392 → Rev 393

/trunk/kernel/rtos.c
1230,8 → 1230,9
/******************************************/
void OS_Init(uint32 *heapStorage, uint32 bytes)
{
int i;
OS_AsmInterruptInit(); //Patch interrupt vector
int i;
if((int)OS_Init > 0x10000000) //Running from DDR?
OS_AsmInterruptInit(); //Patch interrupt vector
OS_InterruptMaskClear(0xffffffff); //Disable interrupts
HeapArray[0] = OS_HeapCreate("Default", heapStorage, bytes);
HeapArray[1] = HeapArray[0];

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.