OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pltbutils/tags/alpha0001/sim/example_sim
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/run/run_tc1.bat
0,0 → 1,?rev2len?
vsim -do ../bin_modelsim/tc1.do
/run/run_tc1_bugfixed.do
0,0 → 1,?rev2len?
do ../bin/tc1.do -GG_DISABLE_BUGS=1
/run/run_tc1.do
0,0 → 1,?rev2len?
do ../bin/tc1.do
/bin/comp.do
0,0 → 1,14
# comp.do
# ModelSim do-script for compiling design and testbench
vlib work
vcom -novopt -work work \
../../../example/vhdl/dut_example.vhd \
../../../src/vhdl/txt_util.vhd \
../../../src/vhdl/pltbutils_type_pkg.vhd \
../../../src/vhdl/pltbutils_func_pkg.vhd \
../../../src/vhdl/pltbutils_comp.vhd \
../../../src/vhdl/pltbutils_comp_pkg.vhd \
../../../example/vhdl/tc_example.vhd \
$1 \
../../../example/vhdl/tb_example.vhd
/bin/wave.do
0,0 → 1,47
onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider {Simulation info}
add wave -noupdate /tb_example/info
add wave -noupdate /tb_example/test_num
add wave -noupdate /tb_example/test_name
add wave -noupdate /tb_example/checks
add wave -noupdate /tb_example/errors
add wave -noupdate /tb_example/stop_sim
add wave -noupdate -divider Tb
add wave -noupdate /tb_example/clk
add wave -noupdate /tb_example/rst
add wave -noupdate /tb_example/carry_in
add wave -noupdate /tb_example/x
add wave -noupdate /tb_example/y
add wave -noupdate /tb_example/sum
add wave -noupdate /tb_example/carry_out
add wave -noupdate -divider DUT
add wave -noupdate /tb_example/dut0/clk_i
add wave -noupdate /tb_example/dut0/rst_i
add wave -noupdate /tb_example/dut0/carry_i
add wave -noupdate /tb_example/dut0/x_i
add wave -noupdate /tb_example/dut0/y_i
add wave -noupdate /tb_example/dut0/sum_o
add wave -noupdate /tb_example/dut0/carry_o
add wave -noupdate /tb_example/dut0/x
add wave -noupdate /tb_example/dut0/y
add wave -noupdate /tb_example/dut0/c
add wave -noupdate /tb_example/dut0/sum
add wave -noupdate -divider End
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {0 ps} 0}
configure wave -namecolwidth 133
configure wave -valuecolwidth 40
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {999992571 ps} {1000000391 ps}
/bin/tc1.do
0,0 → 1,15
# tc1.do
# ModelSim do script for compiling and running simulation
 
set vsim_arg ""
if {$argc >= 1} {
set vsim_arg $1
}
 
do comp.do ../../../example/vhdl/tc1.vhd
vsim -l ../log/tc1.log $vsim_arg tb_example
#do log.do
do ../bin/wave.do
run 1 ms
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.