OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pltbutils/trunk
    from Rev 39 to Rev 40
    Reverse comparison

Rev 39 → Rev 40

/example/vhdl/tc_example.vhd
48,6 → 48,7
----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.pltbutils_func_pkg.all;
 
entity tc_example is
generic (
55,6 → 56,7
G_DISABLE_BUGS : integer range 0 to 1 := 0
);
port (
pltbs : out pltbs_t;
clk : in std_logic;
rst : out std_logic;
carry_in : out std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.