OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pltbutils/trunk
    from Rev 44 to Rev 45
    Reverse comparison

Rev 44 → Rev 45

/sim/bench_sim/bin/comp.do
3,7 → 3,6
vlib work
vcom -novopt -work work \
../../../src/vhdl/txt_util.vhd \
../../../src/vhdl/pltbutils_type_pkg.vhd \
../../../src/vhdl/pltbutils_user_cfg_pkg.vhd \
../../../src/vhdl/pltbutils_func_pkg.vhd \
../../../src/vhdl/pltbutils_comp.vhd \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.