OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /potato/branches
    from Rev 38 to Rev 39
    Reverse comparison

Rev 38 → Rev 39

/cache-playground/src/pp_memory.vhd
110,7 → 110,7
if exception_in = '1' then
exception_context_out.status <= (
pim => exception_context_in.status.im,
im => exception_context_in.status.im,
im => (others => '0'),
pei => exception_context_in.status.ei,
ei => '0'
);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.