OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /potato/branches
    from Rev 41 to Rev 42
    Reverse comparison

Rev 41 → Rev 42

/cache-playground/src/pp_execute.vhd
197,9 → 197,9
jump_target_out <= jump_target;
 
evec_out <= evec_forwarded;
exception_taken <= decode_exception or to_std_logic(exception_cause /= CSR_CAUSE_NONE) or irq_asserted;
exception_taken <= (decode_exception or to_std_logic(exception_cause /= CSR_CAUSE_NONE) or irq_asserted) and not stall;
 
irq_asserted <= (not stall) and to_std_logic(exception_context_forwarded.status.ei = '1' and
irq_asserted <= to_std_logic(exception_context_forwarded.status.ei = '1' and
(irq and exception_context_forwarded.status.im) /= x"00");
 
rs1_data <= rs1_data_in;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.