URL
https://opencores.org/ocsvn/potato/potato/trunk
Subversion Repositories potato
Compare Revisions
- This comparison shows the changes necessary to convert path
/potato/branches
- from Rev 42 to Rev 43
- ↔ Reverse comparison
Rev 42 → Rev 43
/cache-playground/src/pp_fetch.vhd
40,23 → 40,17
end entity pp_fetch; |
|
architecture behaviour of pp_fetch is |
--signal pc, pc_next : std_logic_vector(31 downto 0); |
--signal acknowledge, ready : std_logic; |
|
signal pc : std_logic_vector(31 downto 0); |
signal pc_next : std_logic_vector(31 downto 0); |
|
signal pc : std_logic_vector(31 downto 0); |
signal pc_next : std_logic_vector(31 downto 0); |
signal cancel_fetch : std_logic; |
begin |
|
imem_address <= pc_next; |
imem_address <= pc_next when cancel_fetch = '0' else pc; |
|
instruction_data <= imem_data_in; |
instruction_ready <= imem_ack and (not stall) and (not cancel_fetch); |
instruction_address <= pc; |
|
--imem_req <= '1' when cancel_fetch = '0' and |
|
imem_req <= '1'; |
|
set_pc: process(clk) |
81,9 → 75,7
|
calc_next_pc: process(reset, stall, branch, exception, imem_ack, branch_target, evec, pc, cancel_fetch) |
begin |
if reset = '1' then |
pc_next <= RESET_ADDRESS; |
elsif exception = '1' then |
if exception = '1' then |
pc_next <= evec; |
elsif branch = '1' then |
pc_next <= branch_target; |