OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /potato/branches
    from Rev 54 to Rev 55
    Reverse comparison

Rev 54 → Rev 55

/new-privileged-isa/src/pp_potato.vhd
15,8 → 15,9
RESET_ADDRESS : std_logic_vector(31 downto 0) := x"00000200" --! Address of the first instruction to execute.
);
port(
clk : in std_logic;
reset : in std_logic;
clk : in std_logic;
timer_clk : in std_logic;
reset : in std_logic;
 
-- Interrupts:
irq : in std_logic_vector(7 downto 0);
68,7 → 69,7
) port map(
clk => clk,
reset => reset,
timer_clk => clk,
timer_clk => timer_clk,
imem_address => imem_address,
imem_data_in => imem_data,
imem_req => imem_req,
/new-privileged-isa/testbenches/tb_soc.vhd
103,6 → 103,7
) port map(
clk => clk,
reset => processor_reset,
timer_clk => timer_clk,
irq => irq,
fromhost_data => fromhost_data,
fromhost_updated => fromhost_updated,
/new-privileged-isa/example/toplevel.vhd
131,6 → 131,7
processor: entity work.pp_potato
port map(
clk => system_clk,
timer_clk => timer_clk,
reset => reset,
irq => irq,
fromhost_data => (others => '0'),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.