OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /potato/trunk/example
    from Rev 12 to Rev 8
    Reverse comparison

Rev 12 → Rev 8

/tb_toplevel.vhd File deleted
/tb_imem_wrapper.vhd File deleted
/README
6,12 → 6,11
## Quick Start
 
In order to use the design, first import all source files from the folders
`src/`, `soc/` and `example/` into your project. Make sure the testbench files
(the files starting with "tb_") is added as simulation-only files.
`src/`, `soc/` and `example/` into your project.
 
### Clocking
 
Add a clock generator using the Clocking Wizard. To seamlessly integrate
Then add a clock generator using the Clocking Wizard. To seamlessly integrate
it into the design, name it "clock_generator". Choose the following options:
 
* Frequency Synthesis
27,7 → 26,7
Choose "Single Port ROM" as memory type, name it "instruction_rom" and set
port A width to 32 bits and port A depth to 2048. Initialize it with your
application binary and, optionally, fill the remaining memory locations with
0x00000013 (no-operation opcode).
0x00000013.
 
### Test it!
 
/imem_wrapper.vhd
1,6 → 1,6
-- The Potato Processor - A simple processor for FPGAs
-- Practical Test Application for the Potato Processor
-- (c) Kristian Klomsten Skordal 2015 <kristian.skordal@wafflemail.net>
-- Report bugs and issues on <http://opencores.org/project,potato,bugtracker>
-- Report bugs and issues on <https://github.com/skordal/potato-test/issues>
 
library ieee;
use ieee.std_logic_1164.all;
/toplevel.vhd
1,6 → 1,6
-- Practical Test Application for the Potato Processor
-- (c) Kristian Klomsten Skordal 2015 <kristian.skordal@wafflemail.net>
-- Report bugs and issues on <http://opencores.org/project,potato,bugtracker>
-- Report bugs and issues on <https://github.com/skordal/potato-test/issues>
 
library ieee;
use ieee.std_logic_1164.all;
/nexys4_constraints.xdc
1,8 → 1,8
# The Potato Processor - A simple processor for FPGAs
# (c) Kristian Klomsten Skordal 2014 <kristian.skordal@wafflemail.net>
# Report bugs and issues on <http://opencores.org/project,potato,bugtracker>
# Practical Test Application for the Potato Processor
# (c) Kristian Klomsten Skordal 2015 <kristian.skordal@wafflemail.net>
# Report bugs and issues on <https://github.com/skordal/potato-test/issues>
 
# Set operating conditions to improve temperature estimation:
# Operating conditions:
set_operating_conditions -airflow 0
set_operating_conditions -heatsink low
 
91,4 → 91,4
set_property PACKAGE_PIN R2 [get_ports {leds[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[14]}]
set_property PACKAGE_PIN P2 [get_ports {leds[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {leds[15]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.