OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /potato/trunk
    from Rev 24 to Rev 26
    Reverse comparison

Rev 24 → Rev 26

/src/pp_execute.vhd
199,7 → 199,7
evec_out <= evec_forwarded;
exception_taken <= decode_exception or to_std_logic(exception_cause /= CSR_CAUSE_NONE) or irq_asserted;
 
irq_asserted <= to_std_logic(exception_context_forwarded.status.ei = '1' and
irq_asserted <= (not stall) and to_std_logic(exception_context_forwarded.status.ei = '1' and
(irq and exception_context_forwarded.status.im) /= x"00");
 
rs1_data <= rs1_data_in;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.