OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /potato/trunk
    from Rev 28 to Rev 34
    Reverse comparison

Rev 28 → Rev 34

/src/pp_core.vhd
169,9 → 169,9
stall_mem <= to_std_logic(memop_is_load(mem_mem_op) and dmem_read_ack = '0')
or to_std_logic(mem_mem_op = MEMOP_TYPE_STORE and dmem_write_ack = '0');
 
flush_if <= branch_taken or exception_taken;
flush_id <= branch_taken or exception_taken;
flush_ex <= branch_taken or exception_taken;
flush_if <= (branch_taken or exception_taken) and not stall_if;
flush_id <= (branch_taken or exception_taken) and not stall_id;
flush_ex <= (branch_taken or exception_taken) and not stall_ex;
 
------- Control and status module -------
csr_unit: entity work.pp_csr_unit
/.
. Property changes : Added: svn:mergeinfo ## -0,0 +0,1 ## Merged /potato/branches/cache-playground:r31

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.