OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /potato/trunk
    from Rev 34 to Rev 35
    Reverse comparison

Rev 34 → Rev 35

/src/pp_execute.vhd
190,9 → 190,9
badvaddr => exception_vaddr
) when exception_taken = '1' else exception_context_forwarded;
 
do_jump <= to_std_logic(branch = BRANCH_JUMP or branch = BRANCH_JUMP_INDIRECT)
do_jump <= (to_std_logic(branch = BRANCH_JUMP or branch = BRANCH_JUMP_INDIRECT)
or (to_std_logic(branch = BRANCH_CONDITIONAL) and branch_condition)
or to_std_logic(branch = BRANCH_SRET);
or to_std_logic(branch = BRANCH_SRET)) and not stall;
jump_out <= do_jump;
jump_target_out <= jump_target;
 
/.
. Property changes : Modified: svn:mergeinfo ## -0,0 +0,1 ## Merged /potato/branches/cache-playground:r32

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.