OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /potato/trunk
    from Rev 63 to Rev 64
    Reverse comparison

Rev 63 → Rev 64

/soc/pp_soc_7seg.vhd
59,7 → 59,7
 
-- Connect display outputs:
seg7_cathode <= output_array(active_display) when CATHODE_ENABLE_VALUE = '0' else not output_array(active_display);
seg7_anode <= anodes;
seg7_anode <= anodes and not ctrl_enable when ANODE_ENABLE_VALUE = '1' else anodes and ctrl_enable;
 
-- Create one decoder for each display:
generate_decoders: for i in 0 to NUM_DISPLAYS - 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.