OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /potato
    from Rev 35 to Rev 36
    Reverse comparison

Rev 35 → Rev 36

/trunk/src/pp_core.vhd
72,6 → 72,7
-- CSR read port signals:
signal csr_read_data : std_logic_vector(31 downto 0);
signal csr_read_writeable : boolean;
signal csr_read_address, csr_read_address_p : csr_address;
 
-- Status register outputs:
signal status : csr_status_register;
186,7 → 187,7
fromhost_updated => fromhost_write_en,
tohost_data => tohost_data,
tohost_updated => tohost_write_en,
read_address => id_csr_address,
read_address => csr_read_address,
read_data_out => csr_read_data,
read_writeable => csr_read_writeable,
write_address => wb_csr_address,
198,6 → 199,15
evec_out => evec
);
 
csr_read_address <= id_csr_address when stall_ex = '0' else csr_read_address_p;
 
store_previous_csr_addr: process(clk, stall_ex)
begin
if rising_edge(clk) and stall_ex = '0' then
csr_read_address_p <= id_csr_address;
end if;
end process store_previous_csr_addr;
 
------- Register file -------
regfile: entity work.pp_register_file
port map(
305,7 → 315,7
funct3_in => id_funct3,
pc_in => id_pc,
pc_out => ex_pc,
csr_addr_in => id_csr_address,
csr_addr_in => csr_read_address,
csr_addr_out => ex_csr_address,
csr_write_in => id_csr_write,
csr_write_out => ex_csr_write,
trunk Property changes : Modified: svn:mergeinfo ## -0,0 +0,1 ## Merged /potato/branches/cache-playground:r33

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.