OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /present/trunk/Decode/syn
    from Rev 4 to Rev 6
    Reverse comparison

Rev 4 → Rev 6

/XC3ES500/PresentFullDecoder.ut
0,0 → 1,22
-w
-g DebugBitstream:No
-g Binary:no
-g CRC:Enable
-g ConfigRate:1
-g ProgPin:PullUp
-g DonePin:PullUp
-g TckPin:PullUp
-g TdiPin:PullUp
-g TdoPin:PullUp
-g TmsPin:PullUp
-g UnusedPin:PullDown
-g UserID:0xFFFFFFFF
-g DCMShutdown:Disable
-g StartUpClk:CClk
-g DONE_cycle:4
-g GTS_cycle:5
-g GWE_cycle:6
-g LCK_cycle:NoWait
-g Security:None
-g DonePipe:No
-g DriveDone:No
XC3ES500/log Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: XC3ES500/PresentFullDecoder.prj =================================================================== --- XC3ES500/PresentFullDecoder.prj (nonexistent) +++ XC3ES500/PresentFullDecoder.prj (revision 6) @@ -0,0 +1,16 @@ +vhdl work "../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../rtl/vhdl/slayer.vhd" +vhdl work "../../rtl/vhdl/kody.vhd" +vhdl work "../../rtl/vhdl/Reg.vhd" +vhdl work "../../rtl/vhdl/PresentStateMachine.vhd" +vhdl work "../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl work "../../rtl/vhdl/pLayer_inv.vhd" +vhdl work "../../rtl/vhdl/keyupd_inv.vhd" +vhdl work "../../rtl/vhdl/keyupd.vhd" +vhdl work "../../rtl/vhdl/counter_inv.vhd" +vhdl work "../../rtl/vhdl/counter.vhd" +vhdl work "../../rtl/vhdl/AsyncMux.vhd" +vhdl work "../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl work "../../rtl/vhdl/PresentDec.vhd" +vhdl work "../../rtl/vhdl/FullDecoderSM.vhd" +vhdl work "../../rtl/vhdl/PresentFullDecoder.vhd" Index: XC3ES500/PresentFullDecoder.xst =================================================================== --- XC3ES500/PresentFullDecoder.xst (nonexistent) +++ XC3ES500/PresentFullDecoder.xst (revision 6) @@ -0,0 +1,56 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn PresentFullDecoder.prj +-ifmt mixed +-ofn PresentFullDecoder +-ofmt NGC +-p xc3s500e-5-fg320 +-top PresentFullDecoder +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy Soft +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-verilog2001 YES +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-mux_style Auto +-decoder_extract YES +-priority_extract Yes +-shreg_extract YES +-shift_extract YES +-xor_collapse YES +-rom_style Auto +-auto_bram_packing NO +-mux_extract Yes +-resource_sharing YES +-async_to_sync NO +-mult_style Auto +-iobuf YES +-max_fanout 100000 +-bufg 24 +-register_duplication YES +-register_balancing No +-slice_packing YES +-optimize_primitives NO +-use_clock_enable Yes +-use_sync_set Yes +-use_sync_reset Yes +-iob Auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 Index: XC3ES500/out =================================================================== --- XC3ES500/out (nonexistent) +++ XC3ES500/out (revision 6)
XC3ES500/out Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: XC3ES500/Makefile =================================================================== --- XC3ES500/Makefile (nonexistent) +++ XC3ES500/Makefile (revision 6) @@ -0,0 +1,51 @@ +PROJECT=present-decode + +RM=rm -rf + +PLATFORM=xc3s500e-fg320-5 + +XILINX_DIR="D:/Programy/Xilinx/14.2/ISE_DS/ISE/bin/nt64/" +XST_DIR=$(XILINX_DIR)"xst.exe" +NGDBUILD_DIR=$(XILINX_DIR)"ngdbuild.exe" +MAP=$(XILINX_DIR)"map.exe" +PAR=$(XILINX_DIR)"par.exe" +TRCE=$(XILINX_DIR)"trce.exe" +BITGEN=$(XILINX_DIR)"bitgen.exe" + +clean: clean_postgen + $(RM) "./out/"*.* + $(RM) "./log/"*.* + +clean_postgen: + $(RM) "./_xmsgs" + $(RM) "./_ngo" + $(RM) "./xlnx_auto_0_xdb" + $(RM) "./xst" + $(RM) *_vhdl.prj *.bgn *.bld *.csv *.drc *.lso *.map *.mrp *.ncd *.ngc *.ngd *.ngm *.ngr *.pad *.par *.pcf *.ptwx *.syr *.twr *.twx *.unroutes *.xpi *.xwbt + +synthesize: clean + mkdir "./xst" + mkdir "./xst/projnav.tmp" + $(XST_DIR) -intstyle ise -ifn "./PresentFullDecoder.xst" -ofn "./PresentFullDecoder.syr" + +translate: synthesize + $(NGDBUILD_DIR) -intstyle ise -dd _ngo -nt timestamp -i -p $(PLATFORM) "PresentFullDecoder.ngc" PresentFullDecoder.ngd + +map: translate + $(MAP) -intstyle ise -p $(PLATFORM) -cm area -ir off -pr off -c 100 -o PresentFullDecoder_map.ncd PresentFullDecoder.ngd PresentFullDecoder.pcf + +par: map + $(PAR) -w -intstyle ise -pl std -rl std -t 1 PresentFullDecoder_map.ncd PresentFullDecoder.ncd PresentFullDecoder.pcf + +trce: par + $(TRCE) -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml PresentFullDecoder.twx PresentFullDecoder.ncd -o PresentFullDecoder.twr PresentFullDecoder.pcf + +bitgen: par + $(BITGEN) -intstyle ise -f PresentFullDecoder.ut PresentFullDecoder.ncd + +postgen: + mv *.bit ./out + mv *.xrpt ./log + mv *.txt ./log + mv *.xml ./log + mv *.html ./log \ No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.