OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /present/trunk/DecodeTesting/sim
    from Rev 4 to Rev 7
    Reverse comparison

Rev 4 → Rev 7

/rtl_sim/bin/test/key.txt
0,0 → 1,100
00000000
 
 
 
 
 
 
 
 
1
00000000
 
 
 
 
 
 
 
 
1
00000000
 
 
 
 
 
 
 
 
1
00000000
 
 
 
 
 
 
 
 
1
00000000
 
 
 
 
 
 
 
 
1
00000000
 
 
 
 
 
 
 
 
1
00000000
 
 
 
 
 
 
 
 
1
00000000
 
 
 
 
 
 
 
 
1
00000000
 
 
 
 
 
 
 
 
1
00000000
 
 
 
 
 
 
 
 
1
/rtl_sim/bin/test/data.txt
0,0 → 1,80
01111011
1
1
 
1
1
1
1
 
1
01000001
1
 
 
 
 
 
1
 
1
01101000
 
 
 
1
 
1
1
 
 
00101111
1
1
1
1
 
1
 
 
 
11000111
1
1
1
 
 
 
1
1
 
11111111
1
1
1
1
1
1
1
1
1
00010010
 
1
 
 
1
 
 
 
1
10100001
1
 
 
 
 
1
 
1
 
/rtl_sim/bin/test/key2.txt
0,0 → 1,100
11111111
1
1
1
1
1
1
1
1
1
11111111
1
1
1
1
1
1
1
1
1
11111111
1
1
1
1
1
1
1
1
1
11111111
1
1
1
1
1
1
1
1
1
11111111
1
1
1
1
1
1
1
1
1
11111111
1
1
1
1
1
1
1
1
1
11111111
1
1
1
1
1
1
1
1
1
11111111
1
1
1
1
1
1
1
1
1
11111111
1
1
1
1
1
1
1
1
1
11111111
1
1
1
1
1
1
1
1
1
/rtl_sim/bin/test/data2.txt
0,0 → 1,80
11010010
 
1
 
 
1
 
1
1
1
00010000
 
 
 
 
1
 
 
 
 
00110010
 
1
 
 
1
1
 
 
 
00100001
1
 
 
 
 
1
 
 
1
11010011
1
1
 
 
1
 
1
1
 
11011100
 
 
1
1
1
 
1
1
 
00110011
1
1
 
 
1
1
 
 
1
00110011
1
1
 
 
1
1
 
 
1
rtl_sim/bin/test Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: rtl_sim/bin/PresentKeyGenTB_stx_beh.prj =================================================================== --- rtl_sim/bin/PresentKeyGenTB_stx_beh.prj (nonexistent) +++ rtl_sim/bin/PresentKeyGenTB_stx_beh.prj (revision 7) @@ -0,0 +1,9 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer.vhd" +vhdl isim_temp "../../../rtl/vhdl/kody.vhd" +vhdl isim_temp "../../../rtl/vhdl/Reg.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter.vhd" +vhdl isim_temp "../../../rtl/vhdl/AsyncMux.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl isim_temp "../../../bench/vhdl/PresentKeyGenTB.vhd" Index: rtl_sim/bin/PresentKeyGenTB_beh.prj =================================================================== --- rtl_sim/bin/PresentKeyGenTB_beh.prj (nonexistent) +++ rtl_sim/bin/PresentKeyGenTB_beh.prj (revision 7) @@ -0,0 +1,9 @@ +vhdl work "../../../rtl/vhdl/slayer.vhd" +vhdl work "../../../rtl/vhdl/kody.vhd" +vhdl work "../../../rtl/vhdl/Reg.vhd" +vhdl work "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl work "../../../rtl/vhdl/keyupd.vhd" +vhdl work "../../../rtl/vhdl/counter.vhd" +vhdl work "../../../rtl/vhdl/AsyncMux.vhd" +vhdl work "../../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl work "../../../bench/vhdl/PresentKeyGenTB.vhd" Index: rtl_sim/bin/PresentKeyGenTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rtl_sim/bin/PresentKeyGenTB_isim_beh.wdb =================================================================== --- rtl_sim/bin/PresentKeyGenTB_isim_beh.wdb (nonexistent) +++ rtl_sim/bin/PresentKeyGenTB_isim_beh.wdb (revision 7)
rtl_sim/bin/PresentKeyGenTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rtl_sim/bin/PresentFullDecoderTB_stx_beh.prj =================================================================== --- rtl_sim/bin/PresentFullDecoderTB_stx_beh.prj (nonexistent) +++ rtl_sim/bin/PresentFullDecoderTB_stx_beh.prj (revision 7) @@ -0,0 +1,17 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/slayer.vhd" +vhdl isim_temp "../../../rtl/vhdl/kody.vhd" +vhdl isim_temp "../../../rtl/vhdl/Reg.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter.vhd" +vhdl isim_temp "../../../rtl/vhdl/AsyncMux.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDec.vhd" +vhdl isim_temp "../../../rtl/vhdl/FullDecoderSM.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentFullDecoder.vhd" +vhdl isim_temp "../../../bench/vhdl/PresentFullDecoderTB.vhd" Index: rtl_sim/bin/PresentFullDecoderTB_beh.prj =================================================================== --- rtl_sim/bin/PresentFullDecoderTB_beh.prj (nonexistent) +++ rtl_sim/bin/PresentFullDecoderTB_beh.prj (revision 7) @@ -0,0 +1,17 @@ +vhdl work "../../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../../rtl/vhdl/slayer.vhd" +vhdl work "../../../rtl/vhdl/kody.vhd" +vhdl work "../../../rtl/vhdl/Reg.vhd" +vhdl work "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl work "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl work "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd.vhd" +vhdl work "../../../rtl/vhdl/counter_inv.vhd" +vhdl work "../../../rtl/vhdl/counter.vhd" +vhdl work "../../../rtl/vhdl/AsyncMux.vhd" +vhdl work "../../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl work "../../../rtl/vhdl/PresentDec.vhd" +vhdl work "../../../rtl/vhdl/FullDecoderSM.vhd" +vhdl work "../../../rtl/vhdl/PresentFullDecoder.vhd" +vhdl work "../../../bench/vhdl/PresentFullDecoderTB.vhd" Index: rtl_sim/bin/PresentDecTB_stx_beh.prj =================================================================== --- rtl_sim/bin/PresentDecTB_stx_beh.prj (nonexistent) +++ rtl_sim/bin/PresentDecTB_stx_beh.prj (revision 7) @@ -0,0 +1,10 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/kody.vhd" +vhdl isim_temp "../../../rtl/vhdl/Reg.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/AsyncMux.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDec.vhd" +vhdl isim_temp "../../../bench/vhdl/PresentDecTB.vhd" Index: rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb =================================================================== --- rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb (nonexistent) +++ rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb (revision 7)
rtl_sim/bin/PresentFullDecoderTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rtl_sim/bin/PresentDecTB_beh.prj =================================================================== --- rtl_sim/bin/PresentDecTB_beh.prj (nonexistent) +++ rtl_sim/bin/PresentDecTB_beh.prj (revision 7) @@ -0,0 +1,10 @@ +vhdl work "../../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../../rtl/vhdl/kody.vhd" +vhdl work "../../../rtl/vhdl/Reg.vhd" +vhdl work "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl work "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl work "../../../rtl/vhdl/counter_inv.vhd" +vhdl work "../../../rtl/vhdl/AsyncMux.vhd" +vhdl work "../../../rtl/vhdl/PresentDec.vhd" +vhdl work "../../../bench/vhdl/PresentDecTB.vhd" Index: rtl_sim/bin/PresentDecTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rtl_sim/bin/PresentDecTB_isim_beh.wdb =================================================================== --- rtl_sim/bin/PresentDecTB_isim_beh.wdb (nonexistent) +++ rtl_sim/bin/PresentDecTB_isim_beh.wdb (revision 7)
rtl_sim/bin/PresentDecTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rtl_sim/bin/PresentDecodeCommTB_stx_beh.prj =================================================================== --- rtl_sim/bin/PresentDecodeCommTB_stx_beh.prj (nonexistent) +++ rtl_sim/bin/PresentDecodeCommTB_stx_beh.prj (revision 7) @@ -0,0 +1,22 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/slayer.vhd" +vhdl isim_temp "../../../rtl/vhdl/kody.vhd" +vhdl isim_temp "../../../rtl/vhdl/Reg.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl isim_temp "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/counter.vhd" +vhdl isim_temp "../../../rtl/vhdl/AsyncMux.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDec.vhd" +vhdl isim_temp "../../../rtl/vhdl/FullDecoderSM.vhd" +vhdl isim_temp "../../../rtl/vhdl/ShiftReg.vhd" +vhdl isim_temp "../../../rtl/vhdl/RS232RefComp.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentFullDecoder.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDecodeCommSM.vhd" +vhdl isim_temp "../../../rtl/vhdl/PresentDecodeComm.vhd" +vhdl isim_temp "../../../bench/vhdl/txt_util.vhd" +vhdl isim_temp "../../../bench/vhdl/PresentDecodeCommTB.vhd" Index: rtl_sim/bin/PresentDecodeCommTB_beh.prj =================================================================== --- rtl_sim/bin/PresentDecodeCommTB_beh.prj (nonexistent) +++ rtl_sim/bin/PresentDecodeCommTB_beh.prj (revision 7) @@ -0,0 +1,22 @@ +vhdl work "../../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../../rtl/vhdl/slayer.vhd" +vhdl work "../../../rtl/vhdl/kody.vhd" +vhdl work "../../../rtl/vhdl/Reg.vhd" +vhdl work "../../../rtl/vhdl/PresentStateMachine.vhd" +vhdl work "../../../rtl/vhdl/PresentDecStateMachine.vhd" +vhdl work "../../../rtl/vhdl/pLayer_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd.vhd" +vhdl work "../../../rtl/vhdl/counter_inv.vhd" +vhdl work "../../../rtl/vhdl/counter.vhd" +vhdl work "../../../rtl/vhdl/AsyncMux.vhd" +vhdl work "../../../rtl/vhdl/PresentEncKeyGen.vhd" +vhdl work "../../../rtl/vhdl/PresentDec.vhd" +vhdl work "../../../rtl/vhdl/FullDecoderSM.vhd" +vhdl work "../../../rtl/vhdl/ShiftReg.vhd" +vhdl work "../../../rtl/vhdl/RS232RefComp.vhd" +vhdl work "../../../rtl/vhdl/PresentFullDecoder.vhd" +vhdl work "../../../rtl/vhdl/PresentDecodeCommSM.vhd" +vhdl work "../../../rtl/vhdl/PresentDecodeComm.vhd" +vhdl work "../../../bench/vhdl/txt_util.vhd" +vhdl work "../../../bench/vhdl/PresentDecodeCommTB.vhd" Index: rtl_sim/bin/PresentDecodeCommTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rtl_sim/bin/PresentDecodeCommTB_isim_beh.wdb =================================================================== --- rtl_sim/bin/PresentDecodeCommTB_isim_beh.wdb (nonexistent) +++ rtl_sim/bin/PresentDecodeCommTB_isim_beh.wdb (revision 7)
rtl_sim/bin/PresentDecodeCommTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rtl_sim/bin/isim.cmd =================================================================== --- rtl_sim/bin/isim.cmd (nonexistent) +++ rtl_sim/bin/isim.cmd (revision 7) @@ -0,0 +1,3 @@ +onerror {resume} +wave add / +run 1000 ns; Index: rtl_sim/bin/ShiftRegTB_stx_beh.prj =================================================================== --- rtl_sim/bin/ShiftRegTB_stx_beh.prj (nonexistent) +++ rtl_sim/bin/ShiftRegTB_stx_beh.prj (revision 7) @@ -0,0 +1,2 @@ +vhdl isim_temp "../../../rtl/vhdl/ShiftReg.vhd" +vhdl isim_temp "../../../bench/vhdl/ShiftRegTB.vhd" Index: rtl_sim/bin/sLayer_invTB_stx_beh.prj =================================================================== --- rtl_sim/bin/sLayer_invTB_stx_beh.prj (nonexistent) +++ rtl_sim/bin/sLayer_invTB_stx_beh.prj (revision 7) @@ -0,0 +1,2 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer_inv.vhd" +vhdl isim_temp "../../../bench/vhdl/sLayer_invTB.vhd" Index: rtl_sim/bin/ShiftRegTB_beh.prj =================================================================== --- rtl_sim/bin/ShiftRegTB_beh.prj (nonexistent) +++ rtl_sim/bin/ShiftRegTB_beh.prj (revision 7) @@ -0,0 +1,2 @@ +vhdl work "../../../rtl/vhdl/ShiftReg.vhd" +vhdl work "../../../bench/vhdl/ShiftRegTB.vhd" Index: rtl_sim/bin/keyupd_invTB_stx_beh.prj =================================================================== --- rtl_sim/bin/keyupd_invTB_stx_beh.prj (nonexistent) +++ rtl_sim/bin/keyupd_invTB_stx_beh.prj (revision 7) @@ -0,0 +1,3 @@ +vhdl isim_temp "../../../rtl/vhdl/slayer_inv.vhd" +vhdl isim_temp "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl isim_temp "../../../bench/vhdl/keyupd_invTB.vhd" Index: rtl_sim/bin/sLayer_invTB_beh.prj =================================================================== --- rtl_sim/bin/sLayer_invTB_beh.prj (nonexistent) +++ rtl_sim/bin/sLayer_invTB_beh.prj (revision 7) @@ -0,0 +1,2 @@ +vhdl work "../../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../../bench/vhdl/sLayer_invTB.vhd" Index: rtl_sim/bin/sLayer_invTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rtl_sim/bin/sLayer_invTB_isim_beh.wdb =================================================================== --- rtl_sim/bin/sLayer_invTB_isim_beh.wdb (nonexistent) +++ rtl_sim/bin/sLayer_invTB_isim_beh.wdb (revision 7)
rtl_sim/bin/sLayer_invTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rtl_sim/bin/ShiftRegTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rtl_sim/bin/ShiftRegTB_isim_beh.wdb =================================================================== --- rtl_sim/bin/ShiftRegTB_isim_beh.wdb (nonexistent) +++ rtl_sim/bin/ShiftRegTB_isim_beh.wdb (revision 7)
rtl_sim/bin/ShiftRegTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rtl_sim/bin/keyupd_invTB_beh.prj =================================================================== --- rtl_sim/bin/keyupd_invTB_beh.prj (nonexistent) +++ rtl_sim/bin/keyupd_invTB_beh.prj (revision 7) @@ -0,0 +1,3 @@ +vhdl work "../../../rtl/vhdl/slayer_inv.vhd" +vhdl work "../../../rtl/vhdl/keyupd_inv.vhd" +vhdl work "../../../bench/vhdl/keyupd_invTB.vhd" Index: rtl_sim/bin/Makefile =================================================================== --- rtl_sim/bin/Makefile (nonexistent) +++ rtl_sim/bin/Makefile (revision 7) @@ -0,0 +1,73 @@ +PROJECT=present-pure-testing + +RM=rm -rf + +PLATFORM=xc3s500e-fg320-5 + +XILINX_DIR="D:/Programy/Xilinx/14.2/ISE_DS/ISE/bin/nt64/" +FUSE=$(XILINX_DIR)"fuse.exe" +VHPCOMP=$(XILINX_DIR)"vhpcomp.exe" + +clean: + $(RM) ./isim + $(RM) ./isim.wdb + $(RM) *.log + $(RM) *.xmsgs + $(RM) ./fuseRelaunch.cmd + $(RM) *.exe + +exports: + export DISPLAY=:0 + export XILINX=D:/Programy/Xilinx/14.2/ISE_DS/ISE + export SYSOP=nt64 + export PATH=${XILINX}/bin/${SYSOP} + export LD_LIBRARY_PATH=${XILINX}/lib/${SYSOP} + +PresentDecodeCommTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./PresentDecodeCommTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o PresentDecodeCommTB_isim_beh.exe -prj ./PresentDecodeCommTB_beh.prj work.PresentDecodeCommTB + +run_PresentDecodeCommTB: exports PresentDecodeCommTB + "./PresentDecodeCommTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "PresentDecodeCommTB_isim_beh.wdb" + +PresentDecTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./PresentDecTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o PresentDecTB_isim_beh.exe -prj ./PresentDecTB_beh.prj work.PresentDecTB + +run_PresentDecTB: exports PresentDecTB + "./PresentDecTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "PresentDecTB_isim_beh.wdb" + +keyupd_invTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./keyupd_invTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o keyupd_invTB_isim_beh.exe -prj ./keyupd_invTB_beh.prj work.keyupd_invTB + +run_keyupd_invTB: exports keyupd_invTB + "./keyupd_invTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "keyupd_invTB_isim_beh.wdb" + +ShiftRegTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./ShiftRegTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o ShiftRegTB_isim_beh.exe -prj ./ShiftRegTB_beh.prj work.ShiftRegTB + +run_ShiftRegTB: exports ShiftRegTB + "./ShiftRegTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "ShiftRegTB_isim_beh.wdb" + +sLayer_invTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./sLayer_invTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o sLayer_invTB_isim_beh.exe -prj ./sLayer_invTB_beh.prj work.sLayer_invTB + +run_sLayer_invTB: exports sLayer_invTB + "./sLayer_invTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "sLayer_invTB_isim_beh.wdb" + +PresentFullDecoderTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./PresentFullDecoderTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o PresentFullDecoderTB_isim_beh.exe -prj ./PresentFullDecoderTB_beh.prj work.PresentFullDecoderTB + +run_PresentFullDecoderTB: exports PresentFullDecoderTB + "./PresentFullDecoderTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "PresentFullDecoderTB_isim_beh.wdb" + +PresentKeyGenTB: + $(VHPCOMP) -work isim_temp -intstyle ise -prj ./PresentKeyGenTB_stx_beh.prj + $(FUSE) -intstyle ise -incremental -o PresentKeyGenTB_isim_beh.exe -prj ./PresentKeyGenTB_beh.prj work.PresentKeyGenTB + +run_PresentKeyGenTB: exports PresentKeyGenTB + "./PresentKeyGenTB_isim_beh.exe" -intstyle ise -gui -tclbatch isim.cmd -wdb "PresentKeyGenTB_isim_beh.wdb" \ No newline at end of file Index: rtl_sim/bin/keyupd_invTB_isim_beh.wdb =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rtl_sim/bin/keyupd_invTB_isim_beh.wdb =================================================================== --- rtl_sim/bin/keyupd_invTB_isim_beh.wdb (nonexistent) +++ rtl_sim/bin/keyupd_invTB_isim_beh.wdb (revision 7)
rtl_sim/bin/keyupd_invTB_isim_beh.wdb Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: rtl_sim/bin =================================================================== --- rtl_sim/bin (nonexistent) +++ rtl_sim/bin (revision 7)
rtl_sim/bin Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property Index: rtl_sim =================================================================== --- rtl_sim (nonexistent) +++ rtl_sim (revision 7)
rtl_sim Property changes : Added: bugtraq:number ## -0,0 +1 ## +true \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.