OpenCores
URL https://opencores.org/ocsvn/pss/pss/trunk

Subversion Repositories pss

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pss
    from Rev 4 to Rev 5
    Reverse comparison

Rev 4 → Rev 5

/trunk/pss/hdl/pss_soc_top.v
4,7 → 4,7
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9
Version 0.99
 
The FreeBSD license
73,20 → 73,21
#(
.CPU_PRESENT(1),
.CPU_RESET_DEFAULT(0),
.A31_DEFAULTS(1),
.MEM_DATA("print_your_full_hex_filename"),
.A31_DEFAULT(1),
.MEM_DATA("D:/Research/Computer_Science/Projects/Practice/zpu/pss/pss/SW/onboard/Heartbeat/Heartbeat.hex"),
.MEM_SIZE_KB(8)
)
pss
PSS
(
.clk_i(clk_i),
.arst_i(rst_i),
.srst_i(0),
.srst_o(sync_reset),
 
.rx_i(rx_i),
.tx_o(tx_o),
 
.INT_i(interrupts),
.INT_bi(interrupts),
 
.xport_req_o(uc_bus_enb),
.xport_ack_i(1'b1),
/trunk/pss/hdl/pss/udm/uart_rx.v
4,7 → 4,7
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9
Version 0.99
 
The FreeBSD license
/trunk/pss/hdl/pss/udm/udm.v
4,7 → 4,7
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9
Version 0.99
 
The FreeBSD license
/trunk/pss/hdl/pss/udm/uart_tx.v
4,7 → 4,7
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9
Version 0.99
 
The FreeBSD license
/trunk/pss/hdl/pss/udm/udm_controller.v
4,7 → 4,7
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9
Version 0.99
 
The FreeBSD license
/trunk/pss/hdl/pss/zpu_uc/zpu_core/zpu_core_stub.v
0,0 → 1,35
module zpu_core_stub
(
input clk,
input sreset,
input enable,
output cpu_present,
output [31:0] pc_bo,
 
output mem_req,
output mem_we,
input mem_ack,
input [31:0] mem_read,
output [31:0] mem_write,
output [31:0] out_mem_addr,
output [3:0] mem_writeMask,
 
input interrupt,
output interrupt_ack,
output break_o,
output [63:0] zpu_status
);
 
assign cpu_present = 1'b0;
assign pc_bo = 32'h0;
assign mem_req = 1'b0;
assign mem_we = 1'bx;
assign mem_write = 32'hx;
assign out_mem_addr = 32'hx;
assign mem_writeMask = 4'h0;
 
assign interrupt_ack = 1'b0;
assign break_o = 1'b0;
assign zpu_status = 64'h0;
 
endmodule
/trunk/pss/hdl/pss/zpu_uc/zpu_uc.v
4,7 → 4,7
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9
Version 0.9.0
 
The FreeBSD license
38,14 → 38,20
#(
parameter CPU_PRESENT = 1,
parameter CPU_RESET_DEFAULT = 1,
parameter A31_DEFAULTS = 1,
parameter A31_DEFAULT = 1,
parameter MEM_DATA = "data.bin",
parameter MEM_SIZE_KB = 1
)
(
input clk_i, rst_i,
input [3:0] INT_i,
input clk_i,
 
input arst_i,
output srst_o,
input srst_i,
output ext_rst_o,
 
input [3:0] INT_bi,
 
// Expansion bus
output xport_req_o,
input xport_ack_i,
65,7 → 71,10
output [31:0] dbg_data_bo
);
 
// ZPU system bus
wire app_reset;
assign app_reset = srst_i | srst_o;
 
// CPU system bus
wire cpu_bus_enb;
wire cpu_bus_we;
wire cpu_bus_ack;
85,7 → 94,7
wire [31:0] ram1_bus_rddata;
wire [31:0] ram1_bus_wrdata;
 
// ZPU control
// CPU control
wire cpu_present;
wire [63:0] zpu_status;
wire cpu_break;
96,22 → 105,6
wire cpu_reset;
wire cpu_enb;
 
// INTC programming interface
wire intc_ie;
wire intc_ie_we;
wire intc_ie_data;
wire [7:0] intc_mask;
wire [7:0] intc_pending;
wire intc_clr_cmd;
wire [7:0] intc_clr_code;
 
// interrupts
wire [3:0] INT;
wire bus_error_int;
wire trap_int;
wire sgi_int;
wire dma_int;
 
generate
if (CPU_PRESENT == 1)
 
123,7 → 116,7
zpu_core
(
.clk(clk_i),
.sreset(rst_i | cpu_reset),
.sreset(app_reset | cpu_reset),
.enable(cpu_enb),
.cpu_present(cpu_present),
.pc_bo(cpu_pc),
147,7 → 140,7
zpu_core_stub zpu_core
(
.clk(clk_i),
.sreset(rst_i | cpu_reset),
.sreset(app_reset | cpu_reset),
.enable(cpu_enb),
.cpu_present(cpu_present),
.pc_bo(cpu_pc),
168,48 → 161,26
endgenerate
 
edge_detector edge_det0
( .clk_i(clk_i), .rst_i(rst_i), .in(INT_i[0]), .out(INT[0]) );
 
edge_detector edge_det1
( .clk_i(clk_i), .rst_i(rst_i), .in(INT_i[1]), .out(INT[1]) );
 
edge_detector edge_det2
( .clk_i(clk_i), .rst_i(rst_i), .in(INT_i[2]), .out(INT[2]) );
 
edge_detector edge_det3
( .clk_i(clk_i), .rst_i(rst_i), .in(INT_i[3]), .out(INT[3]) );
 
// Interrupt controller
int_controller int_controller
(
.clk_i(clk_i),
.rst_i(rst_i),
.interrupt_bi({INT, dma_int, sgi_int, trap_int, bus_error_int}),
 
.ie_o(intc_ie),
.ie_we_i(intc_ie_we),
.ie_data_i(intc_ie_data),
.mask_bi(intc_mask),
.pending_bo(intc_pending),
.clr_cmd_i(intc_clr_cmd),
.clr_code_bi(intc_clr_code),
 
.cpu_req_o(cpu_interrupt),
.cpu_ack_i(cpu_interrupt_ack)
);
 
ZPU_uC_SystemController
PSS_MotherBlock
#(
.A31_DEFAULTS(A31_DEFAULTS),
.A31_DEFAULT(A31_DEFAULT),
.CPU_RESET_DEFAULT(CPU_RESET_DEFAULT),
.MEM_SIZE_KB(MEM_SIZE_KB)
)
SystemController
MotherBlock
(
.clk_i(clk_i),
.rst_i(rst_i),
.arst_i(arst_i),
.srst_o(srst_o),
.srst_i(srst_i),
.ext_rst_o(ext_rst_o),
 
.INT_bi(INT_bi),
.cpu_ireq_o(cpu_interrupt),
.cpu_iack_i(cpu_interrupt_ack),
 
//// Masters ////
// Debug bus //
.dbg_enb_i(dbg_enb_i),
251,25 → 222,11
.xport_resp_i(xport_resp_i),
.xport_rdata_bi(xport_rdata_bi),
 
// INTC bus //
.intc_ie_i(intc_ie),
.intc_ie_we_o(intc_ie_we),
.intc_ie_data_o(intc_ie_data),
.intc_mask_bo(intc_mask),
.intc_pending_bi(intc_pending),
.intc_clr_cmd_o(intc_clr_cmd),
.intc_clr_code_bo(intc_clr_code),
 
.cpu_present(cpu_present),
.cpu_present_i(cpu_present),
.cpu_pc_bi(cpu_pc),
.cpu_break_i(cpu_break),
.cpu_reset_o(cpu_reset),
.cpu_enb_o(cpu_enb),
 
.bus_error_int_o(bus_error_int),
.trap_int_o(trap_int),
.dma_int_o(dma_int),
.sgi_int_o(sgi_int)
.cpu_enb_o(cpu_enb)
);
 
ram_dual
/trunk/pss/hdl/pss/zpu_uc/motherblock/pss_busbridge.v
0,0 → 1,173
/*
PSS
 
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9.0
 
The FreeBSD license
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions
are met:
1. Redistributions of source code must retain the above copyright
notice, this list of conditions and the following disclaimer.
2. Redistributions in binary form must reproduce the above
copyright notice, this list of conditions and the following
disclaimer in the documentation and/or other materials
provided with the distribution.
THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
PSS PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
 
 
module PSS_BusBridge
(
input clk_i, rst_i,
 
input xport_busy_i,
output xport_busy_o,
 
input a31_i,
 
//// Masters ////
// Debug bus //
input bus_enb_i,
input bus_we_i,
input [31:0] bus_addr_bi,
input [31:0] bus_wdata_bi,
input [3:0] bus_writemask_bi,
output reg bus_ack_o,
output reg [31:0] bus_rdata_bo,
 
// Expansion bus //
output reg xport_req_o,
input xport_ack_i,
input xport_err_i,
output reg xport_we_o,
output reg [31:0] xport_addr_bo,
output reg [31:0] xport_wdata_bo,
output reg [3:0] xport_writemask_bo,
input xport_resp_i,
input [31:0] xport_rdata_bi
);
 
localparam ST_IDLE = 2'h0;
localparam ST_WR_WAIT_ACK = 2'h1;
localparam ST_RD_WAIT_ACK = 2'h2;
localparam ST_RD_WAIT_RESP = 2'h3;
 
reg [1:0] bb_state;
 
assign xport_busy_o = bus_enb_i;
 
assign rdata_bo = xport_rdata_bi;
 
always @(posedge clk_i)
begin
if (rst_i)
begin
bb_state <= ST_IDLE;
 
bus_ack_o <= 1'b0;
bus_rdata_bo <= 32'hx;
 
xport_req_o <= 1'b0;
xport_we_o <= 1'b0;
xport_addr_bo <= 32'hx;
xport_wdata_bo <= 32'hx;
xport_writemask_bo <= 4'hx;
end
else
begin
bus_ack_o <= 1'b0;
bus_rdata_bo <= 32'hx;
 
case (bb_state)
 
ST_IDLE:
begin
if ((bus_enb_i == 1'b1) && (bus_ack_o == 1'b0))
begin
if (bus_we_i == 1'b1)
begin
xport_req_o <= 1'b1;
xport_we_o <= 1'b1;
xport_addr_bo <= bus_addr_bi;
xport_wdata_bo <= bus_wdata_bi;
xport_writemask_bo <= bus_writemask_bi;
bb_state <= ST_WR_WAIT_ACK;
end
else
begin
xport_req_o <= 1'b1;
xport_we_o <= 1'b0;
xport_addr_bo <= bus_addr_bi;
xport_wdata_bo <= bus_wdata_bi;
xport_writemask_bo <= bus_writemask_bi;
bb_state <= ST_RD_WAIT_ACK;
end
end
end
 
ST_WR_WAIT_ACK:
begin
if (xport_ack_i == 1'b1)
begin
xport_req_o <= 1'b0;
xport_we_o <= 1'b0;
xport_addr_bo <= 32'hx;
xport_wdata_bo <= 32'hx;
xport_writemask_bo <= 4'hx;
 
bus_ack_o <= 1'b1;
 
bb_state <= ST_IDLE;
end
end
 
ST_RD_WAIT_ACK:
begin
if (xport_ack_i == 1'b1)
begin
xport_req_o <= 1'b0;
xport_we_o <= 1'b0;
xport_addr_bo <= 32'hx;
xport_wdata_bo <= 32'hx;
xport_writemask_bo <= 4'hx;
 
bb_state <= ST_RD_WAIT_RESP;
end
end
 
ST_RD_WAIT_RESP:
begin
if (xport_resp_i == 1'b1)
begin
bus_ack_o <= 1'b1;
bus_rdata_bo <= xport_rdata_bi;
 
bb_state <= ST_IDLE;
end
end
 
endcase
 
end
end
 
endmodule
/trunk/pss/hdl/pss/zpu_uc/motherblock/pss_motherblock.v
0,0 → 1,448
/*
PSS
 
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9.0
 
The FreeBSD license
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions
are met:
1. Redistributions of source code must retain the above copyright
notice, this list of conditions and the following disclaimer.
2. Redistributions in binary form must reproduce the above
copyright notice, this list of conditions and the following
disclaimer in the documentation and/or other materials
provided with the distribution.
THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
PSS PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
 
 
module PSS_MotherBlock
#(
parameter A31_DEFAULT = 1,
parameter CPU_RESET_DEFAULT = 1,
parameter MEM_SIZE_KB = 1
)
(
input clk_i,
 
input arst_i,
output srst_o,
input srst_i,
output ext_rst_o,
 
input [3:0] INT_bi,
output cpu_ireq_o,
input cpu_iack_i,
 
//// Masters ////
// Debug bus //
input dbg_enb_i,
input dbg_we_i,
input [31:0] dbg_addr_bi,
input [31:0] dbg_wdata_bi,
output dbg_ack_o,
output [31:0] dbg_rdata_bo,
 
// ZPU bus //
input cpu_enb_i,
input cpu_we_i,
input [31:0] cpu_wdata_bi,
input [31:0] cpu_addr_bi,
input [3:0] cpu_writemask_bi,
output cpu_ack_o,
output [31:0] cpu_rdata_bo,
 
//// Slaves ////
// RAM0 bus //
output [31:0] ram0_addr_bo,
output ram0_we_o,
output [31:0] ram0_wdata_bo,
input [31:0] ram0_rdata_bi,
 
// RAM1 bus //
output [31:0] ram1_addr_bo,
output ram1_we_o,
output [31:0] ram1_wdata_bo,
input [31:0] ram1_rdata_bi,
 
// Expansion bus //
output reg xport_req_o,
input xport_ack_i,
input xport_err_i,
output reg xport_we_o,
output reg [31:0] xport_addr_bo,
output reg [31:0] xport_wdata_bo,
input xport_resp_i,
input [31:0] xport_rdata_bi,
 
input cpu_present_i,
input [31:0] cpu_pc_bi,
input cpu_break_i,
output cpu_reset_o,
output cpu_enb_o
);
 
wire app_reset;
assign app_reset = srst_i | srst_o;
 
pss_reset_cntrl reset_cntrl
(
.clk_i(clk_i),
.arst_i(arst_i),
.srst_o(srst_o)
);
 
// interrupts
wire [3:0] INT;
wire bus_error_int;
wire trap_int;
wire sgi_int;
wire dma_int;
 
wire bus_error;
wire [31:0] bus_error_addr;
 
assign bus_error_int = bus_error;
 
// INTC programming interface
wire intc_ie;
wire intc_ie_we;
wire intc_ie_data;
wire [7:0] intc_mask;
wire [7:0] intc_pending;
wire intc_clr_cmd;
wire [7:0] intc_clr_code;
 
pss_edge_detector edge_det0
( .clk_i(clk_i), .rst_i(rst_i), .in(INT_bi[0]), .out(INT[0]) );
 
pss_edge_detector edge_det1
( .clk_i(clk_i), .rst_i(rst_i), .in(INT_bi[1]), .out(INT[1]) );
 
pss_edge_detector edge_det2
( .clk_i(clk_i), .rst_i(rst_i), .in(INT_bi[2]), .out(INT[2]) );
 
pss_edge_detector edge_det3
( .clk_i(clk_i), .rst_i(rst_i), .in(INT_bi[3]), .out(INT[3]) );
 
// Interrupt controller
pss_int_controller int_controller
(
.clk_i(clk_i),
.rst_i(rst_i),
.interrupt_bi({INT, dma_int, sgi_int, trap_int, bus_error_int}),
 
.ie_o(intc_ie),
.ie_we_i(intc_ie_we),
.ie_data_i(intc_ie_data),
.mask_bi(intc_mask),
.pending_bo(intc_pending),
.clr_cmd_i(intc_clr_cmd),
.clr_code_bi(intc_clr_code),
 
.cpu_req_o(cpu_ireq_o),
.cpu_ack_i(cpu_iack_i)
);
 
assign cpu_enb_o = 1'b1;
 
reg trap_enable;
reg [31:0] trap_addr;
 
wire dma_req, dma_cmd, dma_autoinc;
wire [31:0] dma_sourceaddr;
wire [31:0] dma_destaddr;
wire [31:0] dma_size;
 
wire a31;
wire dma_works, bb_works;
 
////////
wire sfr_enb;
wire sfr_we;
wire [31:0] sfr_wdata;
wire [31:0] sfr_addr;
wire [3:0] sfr_writemask;
wire sfr_ack;
wire [31:0] sfr_rdata;
 
wire ext_enb;
wire ext_we;
wire [31:0] ext_wdata;
wire [31:0] ext_addr;
wire [3:0] ext_writemask;
wire ext_ack;
wire [31:0] ext_rdata;
 
// RAM0 control //
wire ram0_enb;
reg ram0_ack, ram0_ack_rd;
 
always @(posedge clk_i)
begin
if (app_reset == 1'b1) ram0_ack_rd <= 1'b0;
else if ((ram0_enb == 1'b1) && (ram0_we_o == 1'b0) && (ram0_ack_rd == 1'b0)) ram0_ack_rd <= 1'b1;
else ram0_ack_rd <= 1'b0;
end
 
always @*
begin
if ((ram0_enb == 1'b1) && (ram0_we_o == 1'b1)) ram0_ack = 1'b1;
else ram0_ack = ram0_ack_rd;
end
 
 
PSS_IC
#(
.MEM_SIZE_KB(MEM_SIZE_KB)
)
IC_fabric
(
.clk_i(clk_i),
.rst_i(app_reset),
 
//// Masters ////
.m0_enb_i(dbg_enb_i),
.m0_we_i(dbg_we_i),
.m0_addr_bi(dbg_addr_bi),
.m0_wdata_bi(dbg_wdata_bi),
.m0_writemask_bi(dbg_writemask_bi),
.m0_ack_o(dbg_ack_o),
.m0_rdata_bo(dbg_rdata_bo),
 
.m1_enb_i(cpu_enb_i),
.m1_we_i(cpu_we_i),
.m1_addr_bi(cpu_addr_bi),
.m1_wdata_bi(cpu_wdata_bi),
.m1_writemask_bi(cpu_writemask_bi),
.m1_ack_o(cpu_ack_o),
.m1_rdata_bo(cpu_rdata_bo),
 
//// Slaves ////
.s0_enb_o(ram0_enb),
.s0_we_o(ram0_we_o),
.s0_addr_bo(ram0_addr_bo),
.s0_wdata_bo(ram0_wdata_bo),
.s0_writemask_bo(ram0_writemask_bo),
.s0_ack_i(ram0_ack),
.s0_rdata_bi(ram0_rdata_bi),
 
.s1_enb_o(sfr_enb),
.s1_we_o(sfr_we),
.s1_addr_bo(sfr_addr),
.s1_wdata_bo(sfr_wdata),
.s1_writemask_bo(sfr_writemask),
.s1_ack_i(sfr_ack),
.s1_rdata_bi(sfr_rdata),
 
.s2_enb_o(ext_enb),
.s2_we_o(ext_we),
.s2_addr_bo(ext_addr),
.s2_wdata_bo(ext_wdata),
.s2_writemask_bo(ext_writemask),
.s2_ack_i(ext_ack),
.s2_rdata_bi(ext_rdata),
 
.error_o(bus_error),
.error_addr_bo(bus_error_addr)
);
 
 
PSS_SFR
#(
.CPU_RESET_DEFAULT(CPU_RESET_DEFAULT),
.A31_DEFAULT(A31_DEFAULT),
.MEM_SIZE_KB(MEM_SIZE_KB)
)
SFR
(
.clk_i(clk_i),
.rst_i(app_reset),
 
.bus_enb_i(sfr_enb),
.bus_we_i(sfr_we),
.bus_wdata_bi(sfr_wdata),
.bus_addr_bi(sfr_addr),
.bus_writemask_bi(sfr_writemask),
.bus_ack_o(sfr_ack),
.bus_rdata_bo(sfr_rdata),
 
.cpu_present_i(cpu_present_i),
.cpu_break_i(cpu_break_i),
.cpu_pc_bi(cpu_pc_bi),
 
.trap_cpu_enb_i(cpu_enb_i),
.trap_cpu_addr_bi(cpu_addr_bi),
 
.cpu_reset_o(cpu_reset_o),
.a31_o(a31),
 
.bus_error_i(bus_error),
.bus_error_addr_bi(bus_error_addr),
 
.sgi_int_o(sgi_int),
.trap_int_o(trap_int),
 
.intc_ie_i(intc_ie),
.intc_pending_bi(intc_pending),
.intc_ie_we_o(intc_ie_we),
.intc_ie_data_o(intc_ie_data),
.intc_mask_bo(intc_mask),
.intc_clr_cmd_o(intc_clr_cmd),
.intc_clr_code_bo(intc_clr_code),
 
.dma_req_o(dma_req),
.dma_cmd_o(dma_cmd),
.dma_autoinc_o(dma_autoinc),
.dma_size_bo(dma_size),
.dma_sourceaddr_bo(dma_sourceaddr),
.dma_destaddr_bo(dma_destaddr)
);
 
 
wire bb_xport_req;
reg bb_xport_ack;
reg bb_xport_err;
wire bb_xport_we;
wire [31:0] bb_xport_addr;
wire [31:0] bb_xport_wdata;
reg bb_xport_resp;
reg [31:0] bb_xport_rdata;
 
wire dma_xport_req;
reg dma_xport_ack;
reg dma_xport_err;
wire dma_xport_we;
wire [31:0] dma_xport_addr;
wire [31:0] dma_xport_wdata;
reg dma_xport_resp;
reg [31:0] dma_xport_rdata;
 
 
PSS_DMA DMA
(
.clk_i(clk_i),
.rst_i(app_reset),
 
.xport_busy_i(bb_works),
.xport_busy_o(dma_works),
 
.dma_int_o(dma_int),
 
.dma_req_i(dma_req),
.dma_cmd_i(dma_cmd),
.dma_autoinc_i(dma_autoinc),
.dma_size_bi(REG_DMA_SIZE),
.dma_sourceaddr_bi(REG_DMA_SOURCEADDR),
.dma_destaddr_bi(REG_DMA_DESTADDR),
 
.ram_addr_bo(ram1_addr_bo),
.ram_we_o(ram1_we_o),
.ram_wdata_bo(ram1_wdata_bo),
.ram_rdata_bi(ram1_rdata_bi),
 
.xport_req_o(dma_xport_req),
.xport_ack_i(dma_xport_ack),
.xport_err_i(dma_xport_err),
.xport_we_o(dma_xport_we),
.xport_addr_bo(dma_xport_addr),
.xport_wdata_bo(dma_xport_wdata),
.xport_resp_i(dma_xport_resp),
.xport_rdata_bi(dma_xport_rdata)
);
 
 
PSS_BusBridge BusBridge
(
.clk_i(clk_i),
.rst_i(app_reset),
 
.xport_busy_i(dma_works),
.xport_busy_o(bb_works),
 
.a31_i(a31),
 
.bus_enb_i(ext_enb),
.bus_we_i(ext_we),
.bus_addr_bi(ext_addr),
.bus_wdata_bi(ext_wdata),
.bus_writemask_bi(ext_writemask),
.bus_ack_o(ext_ack),
.bus_rdata_bo(ext_rdata),
 
// Expansion bus //
.xport_req_o(bb_xport_req),
.xport_ack_i(bb_xport_ack),
.xport_err_i(bb_xport_err),
.xport_we_o(bb_xport_we),
.xport_addr_bo(bb_xport_addr),
.xport_wdata_bo(bb_xport_wdata),
.xport_resp_i(bb_xport_resp),
.xport_rdata_bi(bb_xport_rdata)
);
 
// bus switch
always @*
begin
 
bb_xport_ack = 1'b0;
bb_xport_err = 1'b0;
bb_xport_resp = 1'b0;
bb_xport_rdata = 32'bx;
 
dma_xport_ack = 1'b0;
dma_xport_err = 1'b0;
dma_xport_resp = 1'b0;
dma_xport_rdata = 32'bx;
 
if (dma_works == 1'b0) // switch to bus bridge
begin
bb_xport_ack = xport_ack_i;
bb_xport_err = xport_err_i;
bb_xport_resp = xport_resp_i;
bb_xport_rdata = xport_rdata_bi;
 
xport_req_o = bb_xport_req;
xport_we_o = bb_xport_we;
xport_addr_bo = bb_xport_addr;
xport_wdata_bo = bb_xport_wdata;
 
end
 
else // switch to dma
begin
dma_xport_ack = xport_ack_i;
dma_xport_err = xport_err_i;
dma_xport_resp = xport_resp_i;
dma_xport_rdata = xport_rdata_bi;
 
xport_req_o = dma_xport_req;
xport_we_o = dma_xport_we;
xport_addr_bo = dma_xport_addr;
xport_wdata_bo = dma_xport_wdata;
 
end
 
end
 
endmodule
/trunk/pss/hdl/pss/zpu_uc/motherblock/pss_sfr.v
0,0 → 1,232
module PSS_SFR
#(
parameter CPU_RESET_DEFAULT = 1,
parameter A31_DEFAULT = 1,
parameter MEM_SIZE_KB = 1
)
(
input clk_i, rst_i,
 
// bus controls
input bus_enb_i,
input bus_we_i,
input [31:0] bus_wdata_bi,
input [31:0] bus_addr_bi,
input [3:0] bus_writemask_bi,
output reg bus_ack_o,
output reg [31:0] bus_rdata_bo,
 
// special function signals
input cpu_present_i,
input cpu_break_i,
input [31:0] cpu_pc_bi,
input trap_cpu_enb_i,
input [31:0] trap_cpu_addr_bi,
 
output reg cpu_reset_o,
output cpu_enb_o,
output reg a31_o,
 
input bus_error_i,
input [31:0] bus_error_addr_bi,
 
// interrupts
output reg bus_error_int_o,
output reg sgi_int_o,
output reg trap_int_o,
 
// interrupt controller signals
input intc_ie_i,
input [7:0] intc_pending_bi,
output reg intc_ie_we_o,
output reg intc_ie_data_o,
output reg [7:0] intc_mask_bo,
output reg intc_clr_cmd_o,
output reg [7:0] intc_clr_code_bo,
 
// DMA controls
output reg dma_req_o,
output reg dma_cmd_o,
output reg dma_autoinc_o,
output reg [31:0] dma_size_bo,
output reg [31:0] dma_sourceaddr_bo,
output reg [31:0] dma_destaddr_bo
);
 
//// System registers ////
localparam REG_CPU_CONTROL_ADDR = 8'h00;
localparam REG_CPU_PC_ADDR = 8'h04;
localparam REG_A31 = 8'h08;
 
localparam REG_INTC_CONTROL_ADDR = 8'h10;
localparam REG_INTC_MASK_ADDR = 8'h14;
localparam REG_INTC_REQ_ADDR = 8'h18;
localparam REG_MEM_SIZE_KB = 8'h1C;
 
localparam REG_DMA_CONTROL_ADDR = 8'h20;
localparam REG_DMA_SOURCEADDR_ADDR = 8'h24;
localparam REG_DMA_DESTADDR_ADDR = 8'h28;
localparam REG_DMA_SIZE_ADDR = 8'h2C;
 
localparam REG_SGI_ADDR = 8'h30;
 
localparam REG_BUS_ERROR_ADDR_ADDR = 8'h38;
localparam REG_BUS_ERROR_PC_ADDR = 8'h3C;
 
localparam REG_TRAP_CONTROL_ADDR = 8'h40;
localparam REG_TRAP_ADDR_ADDR = 8'h44;
 
assign cpu_enb_o = 1'b1;
 
reg trap_enable;
reg [31:0] trap_addr;
 
reg [31:0] bus_error_pc;
reg [31:0] bus_error_addr;
 
reg bus_ack_rd;
always @(posedge clk_i)
begin
if (rst_i) bus_ack_rd <= 1'b0;
else if ((bus_enb_i == 1'b1) && (bus_we_i == 1'b0) && (bus_ack_rd == 1'b0)) bus_ack_rd <= 1'b1;
else bus_ack_rd <= 1'b0;
end
 
always @*
begin
if ((bus_enb_i == 1'b1) && (bus_we_i == 1'b1)) bus_ack_o = 1'b1;
else bus_ack_o = bus_ack_rd;
end
 
always @(posedge clk_i)
begin
if (rst_i)
begin
 
cpu_reset_o <= CPU_RESET_DEFAULT;
a31_o <= A31_DEFAULT;
 
trap_enable <= 1'b0;
trap_addr <= 32'h0;
 
sgi_int_o <= 1'b0;
 
dma_req_o <= 1'b0;
dma_cmd_o <= 1'b0;
dma_autoinc_o <= 1'b0;
 
intc_ie_we_o <= 1'b0;
intc_ie_data_o <= 1'bx;
intc_mask_bo <= 8'h0;
intc_clr_cmd_o <= 1'b0;
intc_clr_code_bo <= 8'hx;
 
dma_sourceaddr_bo <= 32'h0;
dma_destaddr_bo <= 32'h0;
dma_size_bo <= 32'h0;
end
else
begin
 
sgi_int_o <= 1'b0;
 
intc_ie_we_o <= 1'b0;
intc_clr_cmd_o <= 1'b0;
bus_rdata_bo <= 32'hx;
 
dma_req_o <= 1'b0;
 
if (bus_enb_i == 1'b1)
begin
if (bus_we_i == 1'b0)
case (bus_addr_bi[7:0])
REG_CPU_CONTROL_ADDR: bus_rdata_bo <= {cpu_present_i, 29'h0, cpu_break_i, cpu_reset_o};
REG_CPU_PC_ADDR: bus_rdata_bo <= cpu_pc_bi;
REG_A31: bus_rdata_bo <= {31'h0, a31_o};
 
REG_INTC_CONTROL_ADDR: bus_rdata_bo <= {31'h0, intc_ie_i};
REG_INTC_MASK_ADDR: bus_rdata_bo <= {24'h0, intc_mask_bo};
REG_INTC_REQ_ADDR: bus_rdata_bo <= {24'h0, intc_pending_bi};
REG_MEM_SIZE_KB: bus_rdata_bo <= MEM_SIZE_KB;
 
REG_DMA_SOURCEADDR_ADDR: bus_rdata_bo <= dma_sourceaddr_bo;
REG_DMA_DESTADDR_ADDR: bus_rdata_bo <= dma_destaddr_bo;
REG_DMA_SIZE_ADDR: bus_rdata_bo <= dma_size_bo;
 
REG_TRAP_CONTROL_ADDR: bus_rdata_bo <= {31'h0, trap_enable};
REG_TRAP_ADDR_ADDR: bus_rdata_bo <= trap_addr;
 
REG_BUS_ERROR_ADDR_ADDR: bus_rdata_bo <= bus_error_addr;
REG_BUS_ERROR_PC_ADDR: bus_rdata_bo <= bus_error_pc;
endcase
else
case (bus_addr_bi[7:0])
REG_CPU_CONTROL_ADDR: cpu_reset_o <= bus_wdata_bi[0];
REG_A31: a31_o <= bus_wdata_bi[0];
 
REG_INTC_CONTROL_ADDR: begin intc_ie_we_o <= 1'b1; intc_ie_data_o <= bus_wdata_bi[0]; end
REG_INTC_MASK_ADDR: intc_mask_bo <= bus_wdata_bi[7:0];
REG_INTC_REQ_ADDR: begin intc_clr_cmd_o <= 1'b1; intc_clr_code_bo <= bus_wdata_bi[7:0]; end
 
REG_DMA_CONTROL_ADDR: begin dma_req_o <= 1'b1; dma_cmd_o <= bus_wdata_bi[1]; dma_autoinc_o <= bus_wdata_bi[2]; end
REG_DMA_SOURCEADDR_ADDR: begin
if (bus_wdata_bi[31] == 1'b1)
dma_sourceaddr_bo <= {a31_o, bus_wdata_bi[30:0]};
else
dma_sourceaddr_bo <= bus_wdata_bi;
end
REG_DMA_DESTADDR_ADDR: begin
if (bus_wdata_bi[31] == 1'b1)
dma_destaddr_bo <= {a31_o, bus_wdata_bi[30:0]};
else
dma_destaddr_bo <= bus_wdata_bi;
end
REG_DMA_SIZE_ADDR: dma_size_bo <= bus_wdata_bi;
REG_SGI_ADDR: sgi_int_o <= 1'b1;
 
REG_TRAP_CONTROL_ADDR: trap_enable <= bus_wdata_bi[0];
REG_TRAP_ADDR_ADDR: trap_addr <= bus_wdata_bi;
endcase
end
end
end
 
// trap logic
always @(posedge clk_i)
begin
if (rst_i)
trap_int_o <= 1'b0;
else
begin
trap_int_o <= 1'b0;
if (trap_enable == 1'b1)
if (trap_cpu_enb_i == 1'b1)
if (trap_cpu_addr_bi == trap_addr)
trap_int_o <= 1'b1;
end
end
 
always @(posedge clk_i)
begin
if (rst_i)
begin
bus_error_int_o <= 1'b0;
bus_error_addr <= 32'h0;
bus_error_pc <= 32'h0;
end
else
begin
bus_error_int_o <= 1'b0;
if (bus_error_i == 1'b1)
begin
bus_error_int_o <= 1'b1;
bus_error_addr <= bus_error_addr_bi;
bus_error_pc <= cpu_pc_bi;
end
end
end
 
endmodule
/trunk/pss/hdl/pss/zpu_uc/motherblock/pss_ic.v
0,0 → 1,441
/*
PSS
 
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9
 
The FreeBSD license
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions
are met:
1. Redistributions of source code must retain the above copyright
notice, this list of conditions and the following disclaimer.
2. Redistributions in binary form must reproduce the above
copyright notice, this list of conditions and the following
disclaimer in the documentation and/or other materials
provided with the distribution.
THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
PSS PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
 
 
module PSS_IC
#(
parameter MEM_SIZE_KB = 1
)
(
input clk_i,
input rst_i,
 
//// Masters ////
input m0_enb_i,
input m0_we_i,
input [31:0] m0_addr_bi,
input [31:0] m0_wdata_bi,
input [3:0] m0_writemask_bi,
output reg m0_ack_o,
output reg [31:0] m0_rdata_bo,
 
input m1_enb_i,
input m1_we_i,
input [31:0] m1_addr_bi,
input [31:0] m1_wdata_bi,
input [3:0] m1_writemask_bi,
output reg m1_ack_o,
output reg [31:0] m1_rdata_bo,
 
//// Slaves ////
output reg s0_enb_o,
output reg s0_we_o,
output reg [31:0] s0_addr_bo,
output reg [31:0] s0_wdata_bo,
output reg [3:0] s0_writemask_bo,
input s0_ack_i,
input [31:0] s0_rdata_bi,
 
output reg s1_enb_o,
output reg s1_we_o,
output reg [31:0] s1_addr_bo,
output reg [31:0] s1_wdata_bo,
output reg [3:0] s1_writemask_bo,
input s1_ack_i,
input [31:0] s1_rdata_bi,
 
output reg s2_enb_o,
output reg s2_we_o,
output reg [31:0] s2_addr_bo,
output reg [31:0] s2_wdata_bo,
output reg [3:0] s2_writemask_bo,
input s2_ack_i,
input [31:0] s2_rdata_bi,
 
output reg error_o,
output reg [31:0] error_addr_bo
);
 
reg m0_s0_enb, m0_s1_enb, m0_s2_enb, m0_err_enb;
reg m1_s0_enb, m1_s1_enb, m1_s2_enb, m1_err_enb;
 
always @*
begin
m0_s0_enb = 1'b0;
m0_s1_enb = 1'b0;
m0_s2_enb = 1'b0;
m0_err_enb = 1'b0;
 
if (m0_enb_i == 1'b1)
begin
if (m0_addr_bi[31:10] < MEM_SIZE_KB)
m0_s0_enb = 1'b1;
else if (m0_addr_bi[31:16] == 16'h4000)
m0_s1_enb = 1'b1;
else if (m0_addr_bi[31] == 1'b1)
m0_s2_enb = 1'b1;
else m0_err_enb = 1'b1;
end
end
 
always @*
begin
m1_s0_enb = 1'b0;
m1_s1_enb = 1'b0;
m1_s2_enb = 1'b0;
m1_err_enb = 1'b0;
 
if (m1_enb_i == 1'b1)
begin
if (m1_addr_bi[31:10] < MEM_SIZE_KB)
m1_s0_enb = 1'b1;
else if (m1_addr_bi[31:16] == 16'h4000)
m1_s1_enb = 1'b1;
else if (m1_addr_bi[31] == 1'b1)
m1_s2_enb = 1'b1;
else m1_err_enb = 1'b1;
end
end
 
reg s0_pending, s1_pending, s2_pending;
reg s0_curmaster, s1_curmaster, s2_curmaster;
 
// Transaction drivers
always @(posedge clk_i)
begin
if (rst_i)
begin
s0_pending <= 1'b0;
s0_curmaster <= 1'bx;
end
else
begin
if ((s0_pending == 1'b0) && (s0_ack_i == 1'b0))
begin
if (m0_s0_enb == 1'b1)
begin
s0_pending <= 1'b1;
s0_curmaster <= 1'b0;
end
else if (m1_s0_enb == 1'b1)
begin
s0_pending <= 1'b1;
s0_curmaster <= 1'b1;
end
end
else
begin
if (s0_ack_i == 1'b1)
begin
s0_pending <= 1'b0;
s0_curmaster <= 1'bx;
end
end
end
end
 
always @(posedge clk_i)
begin
if (rst_i)
begin
s1_pending <= 1'b0;
s1_curmaster <= 1'bx;
end
else
begin
if ((s1_pending == 1'b0) && (s1_ack_i == 1'b0))
begin
if (m0_s1_enb == 1'b1)
begin
s1_pending <= 1'b1;
s1_curmaster <= 1'b0;
end
else if (m1_s1_enb == 1'b1)
begin
s1_pending <= 1'b1;
s1_curmaster <= 1'b1;
end
end
else
begin
if (s1_ack_i == 1'b1)
begin
s1_pending <= 1'b0;
s1_curmaster <= 1'bx;
end
end
end
end
 
always @(posedge clk_i)
begin
if (rst_i)
begin
s2_pending <= 1'b0;
s2_curmaster <= 1'bx;
end
else
begin
if ((s2_pending == 1'b0) && (s2_ack_i == 1'b0))
begin
if (m0_s2_enb == 1'b1)
begin
s2_pending <= 1'b1;
s2_curmaster <= 1'b0;
end
else if (m1_s2_enb == 1'b1)
begin
s2_pending <= 1'b1;
s2_curmaster <= 1'b1;
end
end
else
begin
if (s2_ack_i == 1'b1)
begin
s2_pending <= 1'b0;
s2_curmaster <= 1'bx;
end
end
end
end
 
// Slave drivers
always @*
begin
s0_enb_o = 1'b0;
s0_we_o = 1'b0;
s0_addr_bo = 32'hx;
s0_wdata_bo = 32'hx;
s0_writemask_bo = 4'hx;
if (s0_pending == 1'b1)
begin
if (s0_curmaster == 1'b0)
begin
s0_enb_o = m0_enb_i;
s0_we_o = m0_we_i;
s0_addr_bo = m0_addr_bi;
s0_wdata_bo = m0_wdata_bi;
s0_writemask_bo = m0_writemask_bi;
end
else
begin
s0_enb_o = m1_enb_i;
s0_we_o = m1_we_i;
s0_addr_bo = m1_addr_bi;
s0_wdata_bo = m1_wdata_bi;
s0_writemask_bo = m1_writemask_bi;
end
end
else if (m0_s0_enb == 1'b1)
begin
s0_enb_o = m0_enb_i;
s0_we_o = m0_we_i;
s0_addr_bo = m0_addr_bi;
s0_wdata_bo = m0_wdata_bi;
s0_writemask_bo = m0_writemask_bi;
end
else if (m1_s0_enb == 1'b1)
begin
s0_enb_o = m1_enb_i;
s0_we_o = m1_we_i;
s0_addr_bo = m1_addr_bi;
s0_wdata_bo = m1_wdata_bi;
s0_writemask_bo = m1_writemask_bi;
end
end
 
always @*
begin
s1_enb_o = 1'b0;
s1_we_o = 1'b0;
s1_addr_bo = 32'hx;
s1_wdata_bo = 32'hx;
s1_writemask_bo = 4'hx;
if (s1_pending == 1'b1)
begin
if (s1_curmaster == 1'b0)
begin
s1_enb_o = m0_enb_i;
s1_we_o = m0_we_i;
s1_addr_bo = m0_addr_bi;
s1_wdata_bo = m0_wdata_bi;
s1_writemask_bo = m0_writemask_bi;
end
else
begin
s1_enb_o = m1_enb_i;
s1_we_o = m1_we_i;
s1_addr_bo = m1_addr_bi;
s1_wdata_bo = m1_wdata_bi;
s1_writemask_bo = m1_writemask_bi;
end
end
else if (m0_s1_enb == 1'b1)
begin
s1_enb_o = m0_enb_i;
s1_we_o = m0_we_i;
s1_addr_bo = m0_addr_bi;
s1_wdata_bo = m0_wdata_bi;
s1_writemask_bo = m0_writemask_bi;
end
else if (m1_s1_enb == 1'b1)
begin
s1_enb_o = m1_enb_i;
s1_we_o = m1_we_i;
s1_addr_bo = m1_addr_bi;
s1_wdata_bo = m1_wdata_bi;
s1_writemask_bo = m1_writemask_bi;
end
end
 
always @*
begin
s2_enb_o = 1'b0;
s2_we_o = 1'b0;
s2_addr_bo = 32'hx;
s2_wdata_bo = 32'hx;
s2_writemask_bo = 4'hx;
if (s2_pending == 1'b1)
begin
if (s2_curmaster == 1'b0)
begin
s2_enb_o = m0_enb_i;
s2_we_o = m0_we_i;
s2_addr_bo = m0_addr_bi;
s2_wdata_bo = m0_wdata_bi;
s2_writemask_bo = m0_writemask_bi;
end
else
begin
s2_enb_o = m1_enb_i;
s2_we_o = m1_we_i;
s2_addr_bo = m1_addr_bi;
s2_wdata_bo = m1_wdata_bi;
s2_writemask_bo = m1_writemask_bi;
end
end
else if (m0_s2_enb == 1'b1)
begin
s2_enb_o = m0_enb_i;
s2_we_o = m0_we_i;
s2_addr_bo = m0_addr_bi;
s2_wdata_bo = m0_wdata_bi;
s2_writemask_bo = m0_writemask_bi;
end
else if (m1_s2_enb == 1'b1)
begin
s2_enb_o = m1_enb_i;
s2_we_o = m1_we_i;
s2_addr_bo = m1_addr_bi;
s2_wdata_bo = m1_wdata_bi;
s2_writemask_bo = m1_writemask_bi;
end
end
 
// Master drivers
always @*
begin
m0_ack_o = 1'b0;
m0_rdata_bo = 32'hx;
if ((s0_pending == 1'b1) && (s0_curmaster == 1'b0))
begin
m0_ack_o = s0_ack_i;
m0_rdata_bo = s0_rdata_bi;
end
else if ((s1_pending == 1'b1) && (s1_curmaster == 1'b0))
begin
m0_ack_o = s1_ack_i;
m0_rdata_bo = s1_rdata_bi;
end
else if ((s2_pending == 1'b1) && (s2_curmaster == 1'b0))
begin
m0_ack_o = s2_ack_i;
m0_rdata_bo = s2_rdata_bi;
end
else if (m0_s0_enb == 1'b1)
begin
m0_ack_o = s0_ack_i;
m0_rdata_bo = s0_rdata_bi;
end
else if (m0_s1_enb == 1'b1)
begin
m0_ack_o = s1_ack_i;
m0_rdata_bo = s1_rdata_bi;
end
else if (m0_s2_enb == 1'b1)
begin
m0_ack_o = s2_ack_i;
m0_rdata_bo = s2_rdata_bi;
end
end
 
always @*
begin
m1_ack_o = 1'b0;
m1_rdata_bo = 32'hx;
if ((s0_pending == 1'b1) && (s0_curmaster == 1'b1))
begin
m1_ack_o = s0_ack_i;
m1_rdata_bo = s0_rdata_bi;
end
else if ((s1_pending == 1'b1) && (s1_curmaster == 1'b1))
begin
m1_ack_o = s1_ack_i;
m1_rdata_bo = s1_rdata_bi;
end
else if ((s2_pending == 1'b1) && (s2_curmaster == 1'b1))
begin
m1_ack_o = s2_ack_i;
m1_rdata_bo = s2_rdata_bi;
end
else if (m1_s0_enb == 1'b1)
begin
m1_ack_o = s0_ack_i;
m1_rdata_bo = s0_rdata_bi;
end
else if (m1_s1_enb == 1'b1)
begin
m1_ack_o = s1_ack_i;
m1_rdata_bo = s1_rdata_bi;
end
else if (m1_s2_enb == 1'b1)
begin
m1_ack_o = s2_ack_i;
m1_rdata_bo = s2_rdata_bi;
end
end
 
endmodule
/trunk/pss/hdl/pss/zpu_uc/motherblock/pss_int_controller.v
0,0 → 1,109
/*
PSS
 
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.99
 
The FreeBSD license
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions
are met:
1. Redistributions of source code must retain the above copyright
notice, this list of conditions and the following disclaimer.
2. Redistributions in binary form must reproduce the above
copyright notice, this list of conditions and the following
disclaimer in the documentation and/or other materials
provided with the distribution.
THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
PSS PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
 
 
module pss_int_controller
(
input clk_i, rst_i,
 
input [7:0] interrupt_bi,
 
// control interface
output ie_o,
input ie_we_i,
input ie_data_i,
input [7:0] mask_bi,
output [7:0] pending_bo,
input clr_cmd_i,
input [7:0] clr_code_bi,
 
// cpu interface
output reg cpu_req_o,
input cpu_ack_i
);
 
reg [7:0] int_req, int_req_next;
reg IE;
 
wire [7:0] interrupt_masked;
assign interrupt_masked = interrupt_bi & mask_bi;
assign pending_bo = int_req;
assign ie_o = IE;
 
 
always @*
begin
// default
int_req_next = int_req;
// deasserting from cpu
if (clr_cmd_i == 1'b1) int_req_next = int_req_next & ~clr_code_bi;
// asserting from external interrupts
int_req_next = int_req_next | interrupt_masked;
end
 
always @(posedge clk_i)
begin
if (rst_i)
int_req <= 8'h0;
else
int_req <= int_req_next;
end
 
 
always @(posedge clk_i)
begin
if (rst_i)
begin
IE <= 1'b0;
cpu_req_o <= 1'b0;
end
else
begin
if (ie_we_i == 1'b1)
IE <= ie_data_i;
 
if ((IE == 1'b1) && (int_req != 8'h0))
begin
cpu_req_o <= 1'b1;
IE <= 1'b0;
end
else if (cpu_ack_i == 1'b1)
cpu_req_o <= 1'b0;
 
end
end
endmodule
/trunk/pss/hdl/pss/zpu_uc/motherblock/pss_edge_detector.v
0,0 → 1,77
/*
PSS
 
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.99
 
The FreeBSD license
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions
are met:
1. Redistributions of source code must retain the above copyright
notice, this list of conditions and the following disclaimer.
2. Redistributions in binary form must reproduce the above
copyright notice, this list of conditions and the following
disclaimer in the documentation and/or other materials
provided with the distribution.
THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
PSS PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
 
 
module pss_edge_detector
(
input clk_i, rst_i,
 
input in,
output reg out
);
 
reg edge_inv;
reg inbuf0, inbuf1, inbuf2;
 
always @(posedge in, posedge rst_i)
begin
if (rst_i)
edge_inv <= 1'b0;
else
edge_inv <= ~edge_inv;
end
 
always @(posedge clk_i)
begin
if (rst_i)
begin
inbuf0 <= 1'b0;
inbuf1 <= 1'b0;
inbuf2 <= 1'b0;
out <= 1'b0;
end
else
begin
inbuf0 <= edge_inv;
inbuf1 <= inbuf0;
inbuf2 <= inbuf1;
if (inbuf1 != inbuf2)
out <= 1'b1;
else
out <= 1'b0;
end
end
 
endmodule
/trunk/pss/hdl/pss/zpu_uc/motherblock/pss_dma.v
0,0 → 1,246
/*
PSS
 
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9
 
The FreeBSD license
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions
are met:
1. Redistributions of source code must retain the above copyright
notice, this list of conditions and the following disclaimer.
2. Redistributions in binary form must reproduce the above
copyright notice, this list of conditions and the following
disclaimer in the documentation and/or other materials
provided with the distribution.
THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
PSS PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
 
 
module PSS_DMA
(
input clk_i, rst_i,
 
input xport_busy_i,
output xport_busy_o,
 
output reg dma_int_o,
 
input dma_req_i,
input dma_cmd_i,
input dma_autoinc_i,
input [31:0] dma_size_bi,
input [31:0] dma_sourceaddr_bi,
input [31:0] dma_destaddr_bi,
 
// RAM 1 interface
output reg [31:0] ram_addr_bo,
output reg ram_we_o,
output reg [31:0] ram_wdata_bo,
input [31:0] ram_rdata_bi,
 
// Expansion bus //
output reg xport_req_o,
input xport_ack_i,
input xport_err_i,
output reg xport_we_o,
output reg [31:0] xport_addr_bo,
output reg [31:0] xport_wdata_bo,
input xport_resp_i,
input [31:0] xport_rdata_bi
);
 
localparam DMA_IDLE = 3'h0;
localparam DMA_WAIT = 3'h1;
localparam DMA_PRESTART = 3'h2;
localparam DMA_WORK = 3'h3;
localparam DMA_LOAD = 3'h4;
localparam DMA_STORE = 3'h5;
 
reg [2:0] DMA_state;
 
reg [31:0] dma_sourceaddr;
reg [31:0] dma_destaddr;
reg [31:0] dma_size, dma_size_aux;
 
assign xport_busy_o = ((DMA_state == DMA_IDLE) || (DMA_state == DMA_WAIT)) ? 1'b0 : 1'b1;
 
// DMA data logic
reg [31:0] ram1_addr, ram1_addr_shadow;
 
always @*
begin
if (DMA_state != DMA_LOAD)
ram_addr_bo = ram1_addr;
else if (xport_ack_i == 1'b1)
ram_addr_bo = ram1_addr;
else
ram_addr_bo = ram1_addr_shadow;
end
 
always @(posedge clk_i)
begin
if (rst_i)
ram1_addr_shadow <= 32'h0;
else if (xport_ack_i == 1'b1)
ram1_addr_shadow <= ram1_addr;
end
 
always @*
begin
xport_req_o = 1'b0;
xport_we_o = 1'b0;
xport_addr_bo = 32'hx;
xport_wdata_bo = 32'hx;
 
ram1_addr = dma_sourceaddr;
ram_we_o = 1'b0;
ram_wdata_bo = 32'hx;
 
if (DMA_state == DMA_LOAD)
begin
if (dma_size != 32'h0)
begin
xport_req_o = 1'b1;
xport_we_o = 1'b0;
xport_addr_bo = dma_sourceaddr;
xport_wdata_bo = 32'hx;
end
 
if (dma_size_aux != 32'h0)
begin
ram1_addr = dma_destaddr;
ram_we_o = xport_resp_i;
ram_wdata_bo = xport_rdata_bi;
end
end
 
else if (DMA_state == DMA_STORE)
begin
if (dma_size != 32'h0)
begin
xport_req_o = 1'b1;
xport_we_o = 1'b1;
xport_addr_bo = dma_destaddr;
xport_wdata_bo = ram_rdata_bi;
end
 
end
 
end
 
// DMA control logic
always @(posedge clk_i)
begin
if (rst_i)
begin
DMA_state <= DMA_IDLE;
dma_sourceaddr <= 32'h0;
dma_destaddr <= 32'h0;
dma_size <= 32'h0;
dma_int_o <= 1'b0;
end
else
begin
 
dma_int_o <= 1'b0;
 
case (DMA_state)
DMA_IDLE:
begin
if (dma_req_i)
begin
dma_size <= dma_size_bi;
dma_size_aux <= dma_size_bi;
dma_sourceaddr <= dma_sourceaddr_bi;
dma_destaddr <= dma_destaddr_bi;
 
DMA_state <= DMA_WAIT;
end
end
DMA_WAIT:
begin
if (!xport_busy_i) // no pending requests
if (dma_cmd_i)
begin
dma_sourceaddr <= dma_sourceaddr + 32'h4;
DMA_state <= DMA_STORE;
end
else
DMA_state <= DMA_LOAD;
end
DMA_STORE:
begin
if (xport_ack_i == 1'b1)
if (dma_size == 32'h4)
begin
DMA_state <= DMA_IDLE;
dma_int_o <= 1'b1;
end
else
begin
dma_size <= dma_size - 32'h4;
dma_sourceaddr <= dma_sourceaddr + 32'h4;
if (dma_autoinc_i == 1'b1) dma_destaddr <= dma_destaddr + 32'h4;
end
end
DMA_LOAD:
begin
if ( (xport_ack_i == 1'b1) && (dma_size != 32'h0) )
begin
if (dma_autoinc_i == 1'b1) dma_sourceaddr <= dma_sourceaddr + 32'h4;
dma_size <= dma_size - 32'h4;
end
 
if ( (xport_resp_i == 1'b1) && (dma_size_aux != 32'h0) )
begin
dma_destaddr <= dma_destaddr + 32'h4;
dma_size_aux <= dma_size_aux - 32'h4;
end
 
if ( (dma_size == 32'h0) && (dma_size_aux == 32'h0) )
begin
DMA_state <= DMA_IDLE;
dma_int_o <= 1'b1;
end
 
end
default:
DMA_state <= DMA_IDLE;
 
endcase
end
end
 
endmodule
/trunk/pss/hdl/pss/zpu_uc/motherblock/pss_reset_cntrl.v
0,0 → 1,60
/*
PSS
 
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.99
 
The FreeBSD license
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions
are met:
1. Redistributions of source code must retain the above copyright
notice, this list of conditions and the following disclaimer.
2. Redistributions in binary form must reproduce the above
copyright notice, this list of conditions and the following
disclaimer in the documentation and/or other materials
provided with the distribution.
THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
PSS PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
 
 
module pss_reset_cntrl
(
input clk_i, arst_i,
output reg srst_o
);
 
reg [15:0] reset_counter;
 
always @(posedge clk_i, posedge arst_i)
begin
if (arst_i)
begin
reset_counter <= 16'h0;
srst_o <= 1'b1;
end
else
begin
reset_counter <= {1'b1, reset_counter[15:1]};
if (reset_counter == 16'hFFFF) srst_o <= 1'b0;
end
end
 
 
endmodule
/trunk/pss/hdl/pss/pss.v
4,7 → 4,7
Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
All rights reserved.
 
Version 0.9
Version 0.99
 
The FreeBSD license
38,18 → 38,19
#(
parameter CPU_PRESENT = 1,
parameter CPU_RESET_DEFAULT = 1,
parameter A31_DEFAULTS = 1,
parameter A31_DEFAULT = 1,
parameter MEM_SIZE_KB = 1,
parameter MEM_DATA = ""
)
(
input clk_i, arst_i,
output srst_o,
input clk_i,
input arst_i, srst_i,
output srst_o, ext_rst_o,
 
input rx_i,
input rx_i,
output tx_o,
 
input [3:0] INT_i,
input [3:0] INT_bi,
 
// Expansion bus
output xport_req_o,
62,16 → 63,9
input [31:0] xport_rdata_bi
);
 
wire sync_rst, udm_rst;
as_reset_cntrl as_reset_cntrl
(
.clk_i(clk_i),
.rst_i(arst_i),
.rst_o(sync_rst)
);
wire zpu_uc_srst, udm_rst;
assign zpu_uc_srst = srst_i | udm_rst;
 
assign srst_o = sync_rst | udm_rst;
 
wire dbg_bus_enb;
wire dbg_bus_we;
wire [31:0] dbg_bus_addr;
82,7 → 76,7
udm udm
(
.clk_i(clk_i),
.rst_i(sync_rst),
.rst_i(srst_o),
 
.rx_i(rx_i),
.tx_o(tx_o),
101,7 → 95,7
#(
.CPU_PRESENT(CPU_PRESENT),
.CPU_RESET_DEFAULT(CPU_RESET_DEFAULT),
.A31_DEFAULTS(A31_DEFAULTS),
.A31_DEFAULT(A31_DEFAULT),
.MEM_DATA(MEM_DATA),
.MEM_SIZE_KB(MEM_SIZE_KB)
)
108,9 → 102,15
ZPU_uC
(
.clk_i(clk_i),
.rst_i(srst_o),
.INT_i(INT_i),
 
.arst_i(arst_i),
.srst_o(srst_o),
 
.srst_i(zpu_uc_srst),
.ext_rst_o(ext_rst_o),
 
.INT_bi(INT_bi),
 
// Expansion bus
.xport_req_o(xport_req_o),
.xport_ack_i(xport_ack_i),
/trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.c
0,0 → 1,18
#define IO_GPR (*(volatile unsigned int *)(0x8A000000))
 
 
int main()
{
int counter;
int i;
 
counter = 0;
 
while(1)
{
counter++;
IO_GPR = counter;
 
for (i = 0; i < 100000; i++) {}
}
}
/trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.hex
0,0 → 1,776
0b0b0b0b
82700b0b
0b90fc0c
3a0b0b0b
8ec30400
00000000
00000000
00000000
80088408
88080b0b
0b8f832d
880c840c
800c0400
00000000
00000000
00000000
71fd0608
72830609
81058205
832b2a83
ffff0652
04000000
00000000
00000000
71fd0608
83ffff73
83060981
05820583
2b2b0906
7383ffff
0b0b0b0b
83a70400
72098105
72057373
09060906
73097306
070a8106
53510400
00000000
00000000
72722473
732e0753
51040000
00000000
00000000
00000000
00000000
00000000
71737109
71068106
30720a10
0a720a10
0a31050a
81065151
53510400
00000000
72722673
732e0753
51040000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
0b0b0b88
c3040000
00000000
00000000
00000000
00000000
00000000
00000000
720a722b
0a535104
00000000
00000000
00000000
00000000
00000000
00000000
72729f06
0981050b
0b0b88a6
05040000
00000000
00000000
00000000
00000000
72722aff
739f062a
0974090a
8106ff05
06075351
04000000
00000000
00000000
71715351
020d0406
73830609
81058205
832b0b2b
0772fc06
0c515104
00000000
72098105
72050970
81050906
0a810653
51040000
00000000
00000000
00000000
72098105
72050970
81050906
0a098106
53510400
00000000
00000000
00000000
71098105
52040000
00000000
00000000
00000000
00000000
00000000
00000000
72720981
05055351
04000000
00000000
00000000
00000000
00000000
00000000
72097206
73730906
07535104
00000000
00000000
00000000
00000000
00000000
71fc0608
72830609
81058305
1010102a
81ff0652
04000000
00000000
00000000
71fc0608
0b0b0b90
e8738306
10100508
060b0b0b
88a90400
00000000
00000000
80088408
88087575
0b0b0b8a
c72d5050
80085688
0c840c80
0c510400
00000000
80088408
88087575
0b0b0b8b
f92d5050
80085688
0c840c80
0c510400
00000000
72097081
0509060a
8106ff05
70547106
73097274
05ff0506
07515151
04000000
72097081
0509060a
098106ff
05705471
06730972
7405ff05
06075151
51040000
05ff0504
00000000
00000000
00000000
00000000
00000000
00000000
00000000
810b0b0b
0b90f80c
51040000
00000000
00000000
00000000
00000000
00000000
71810552
04000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
02840572
10100552
04000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
717105ff
05715351
020d0400
00000000
00000000
00000000
00000000
00000000
81f33f88
a33f0410
10101010
10101010
10101010
10101010
10101010
10101010
10101010
10105351
047381ff
06738306
09810583
05101010
2b0772fc
060c5151
043c0472
72807281
06ff0509
72060571
1052720a
100a5372
ed385151
53510490
f808802e
a13890fc
08822eb9
38838080
0b0b0b0b
98a00c82
a0800b98
a40c8290
800b98a8
0c04f880
8080a40b
0b0b0b98
a00cf880
8082800b
98a40cf8
80808480
0b98a80c
0480c0a8
808c0b0b
0b0b98a0
0c80c0a8
80940b98
a40c0b0b
0b90d40b
98a80c04
ff3d0d98
ac335170
a3389184
08700852
5270802e
92388412
91840c70
2d918408
70085252
70f03881
0b98ac34
833d0d04
04803d0d
0b0b0b98
9c08802e
8e380b0b
0b0b800b
802e0981
06853882
3d0d040b
0b0b989c
510b0b0b
f5e23f82
3d0d0404
ff3d0d80
52811270
80d10a0c
52868d9f
51ce1151
807124ed
38ce1151
708025f1
38e3398c
08028c0c
f93d0d80
0b8c08fc
050c8c08
88050880
25ab388c
08880508
308c0888
050c800b
8c08f405
0c8c08fc
05088838
810b8c08
f4050c8c
08f40508
8c08fc05
0c8c088c
05088025
ab388c08
8c050830
8c088c05
0c800b8c
08f0050c
8c08fc05
08883881
0b8c08f0
050c8c08
f005088c
08fc050c
80538c08
8c050852
8c088805
085181a7
3f800870
8c08f805
0c548c08
fc050880
2e8c388c
08f80508
308c08f8
050c8c08
f8050870
800c5489
3d0d8c0c
048c0802
8c0cfb3d
0d800b8c
08fc050c
8c088805
08802593
388c0888
0508308c
0888050c
810b8c08
fc050c8c
088c0508
80258c38
8c088c05
08308c08
8c050c81
538c088c
0508528c
08880508
51ad3f80
08708c08
f8050c54
8c08fc05
08802e8c
388c08f8
0508308c
08f8050c
8c08f805
0870800c
54873d0d
8c0c048c
08028c0c
fd3d0d81
0b8c08fc
050c800b
8c08f805
0c8c088c
05088c08
88050827
ac388c08
fc050880
2ea33880
0b8c088c
05082499
388c088c
0508108c
088c050c
8c08fc05
08108c08
fc050cc9
398c08fc
0508802e
80c9388c
088c0508
8c088805
0826a138
8c088805
088c088c
0508318c
0888050c
8c08f805
088c08fc
0508078c
08f8050c
8c08fc05
08812a8c
08fc050c
8c088c05
08812a8c
088c050c
ffaf398c
08900508
802e8f38
8c088805
08708c08
f4050c51
8d398c08
f8050870
8c08f405
0c518c08
f4050880
0c853d0d
8c0c04fd
3d0d800b
90fc0854
5472812e
98387398
b00cfa87
3ff9a53f
91885281
51fbc13f
8008519e
3f7298b0
0cf9f03f
f98e3f91
88528151
fbaa3f80
0851873f
00ff3900
ff39f73d
0d7b918c
0882c811
085a545a
77802e80
d9388188
18841908
ff058171
2b595559
80742480
e9388074
24b53873
822b7811
88055656
81801908
77065372
802eb538
78167008
53537951
74085372
2dff14fc
17fc1779
812c5a57
57547380
25d63877
085877ff
ad38918c
0853bc13
08a53879
51ff853f
74085372
2dff14fc
17fc1779
812c5a57
57547380
25ffa938
d2398057
ff943972
51bc1308
53722d79
51fed93f
ff3d0d98
900bfc05
70085252
70ff2e91
38702dfc
12700852
5270ff2e
098106f1
38833d0d
0404f8f4
3f040000
00000040
64756d6d
792e6578
65000000
43000000
00ffffff
ff00ffff
ffff00ff
ffffff00
00000000
00000000
00000000
00000c18
00000858
00000890
00000000
00000af8
00000b54
00000bb0
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000864
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000001
330eabcd
1234e66d
deec0005
000b0000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
00000000
ffffffff
00000000
ffffffff
00000000
00000000
/trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.elf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.elf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.bin =================================================================== --- trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.bin (nonexistent) +++ trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.bin (revision 5)
trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/pss/SW/onboard/Heartbeatdelay/build.sh =================================================================== --- trunk/pss/SW/onboard/Heartbeatdelay/build.sh (nonexistent) +++ trunk/pss/SW/onboard/Heartbeatdelay/build.sh (revision 5) @@ -0,0 +1,4 @@ +zpu-elf-gcc -O3 -phi `pwd`/heartbeatdelay.c -o heartbeatdelay.elf -Wl,--relax -Wl,--gc-sections -g +zpu-elf-objdump --disassemble-all >heartbeatdelay.dis heartbeatdelay.elf +zpu-elf-objcopy -O binary heartbeatdelay.elf heartbeatdelay.bin +hexdump -v -e '4/1 "%02x"' -e '"\n"' heartbeatdelay.bin > heartbeatdelay.hex Index: trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.dis =================================================================== --- trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.dis (nonexistent) +++ trunk/pss/SW/onboard/Heartbeatdelay/heartbeatdelay.dis (revision 5) @@ -0,0 +1,23117 @@ + +heartbeatdelay.elf: file format elf32-zpu + +Disassembly of section .fixed_vectors: + +00000000 <_start>: + 0: 0b nop + 1: 0b nop + 2: 0b nop + 3: 0b nop + 4: 82 im 2 + 5: 70 loadsp 0 + 6: 0b nop + 7: 0b nop + 8: 0b nop + 9: 90 im 16 + a: fc im -4 + b: 0c store + c: 3a config + d: 0b nop + e: 0b nop + f: 0b nop + 10: 8e im 14 + 11: c3 im -61 + 12: 04 poppc + ... + +00000020 <_zpu_interrupt_vector>: + 20: 80 im 0 + 21: 08 load + 22: 84 im 4 + 23: 08 load + 24: 88 im 8 + 25: 08 load + 26: 0b nop + 27: 0b nop + 28: 0b nop + 29: 8f im 15 + 2a: 83 im 3 + 2b: 2d call + 2c: 88 im 8 + 2d: 0c store + 2e: 84 im 4 + 2f: 0c store + 30: 80 im 0 + 31: 0c store + 32: 04 poppc + ... + +00000040 <_loadh>: + 40: 71 loadsp 4 + 41: fd im -3 + 42: 06 and + 43: 08 load + 44: 72 loadsp 8 + 45: 83 im 3 + 46: 06 and + 47: 09 not + 48: 81 im 1 + 49: 05 add + 4a: 82 im 2 + 4b: 05 add + 4c: 83 im 3 + 4d: 2b ashiftleft + 4e: 2a lshiftright + 4f: 83 im 3 + 50: ff im -1 + 51: ff im -1 + 52: 06 and + 53: 52 storesp 8 + 54: 04 poppc + ... + +00000060 <_storeh>: + 60: 71 loadsp 4 + 61: fd im -3 + 62: 06 and + 63: 08 load + 64: 83 im 3 + 65: ff im -1 + 66: ff im -1 + 67: 73 loadsp 12 + 68: 83 im 3 + 69: 06 and + 6a: 09 not + 6b: 81 im 1 + 6c: 05 add + 6d: 82 im 2 + 6e: 05 add + 6f: 83 im 3 + 70: 2b ashiftleft + 71: 2b ashiftleft + 72: 09 not + 73: 06 and + 74: 73 loadsp 12 + 75: 83 im 3 + 76: ff im -1 + 77: ff im -1 + 78: 0b nop + 79: 0b nop + 7a: 0b nop + 7b: 0b nop + 7c: 83 im 3 + 7d: a7 im 39 + 7e: 04 poppc + ... + +00000080 <_lessthan>: + 80: 72 loadsp 8 + 81: 09 not + 82: 81 im 1 + 83: 05 add + 84: 72 loadsp 8 + 85: 05 add + 86: 73 loadsp 12 + 87: 73 loadsp 12 + 88: 09 not + 89: 06 and + 8a: 09 not + 8b: 06 and + 8c: 73 loadsp 12 + 8d: 09 not + 8e: 73 loadsp 12 + 8f: 06 and + 90: 07 or + 91: 0a flip + 92: 81 im 1 + 93: 06 and + 94: 53 storesp 12 + 95: 51 storesp 4 + 96: 04 poppc + ... + +000000a0 <_lessthanorequal>: + a0: 72 loadsp 8 + a1: 72 loadsp 8 + a2: 24 lessthan + a3: 73 loadsp 12 + a4: 73 loadsp 12 + a5: 2e eq + a6: 07 or + a7: 53 storesp 12 + a8: 51 storesp 4 + a9: 04 poppc + ... + +000000c0 <_ulessthan>: + c0: 71 loadsp 4 + c1: 73 loadsp 12 + c2: 71 loadsp 4 + c3: 09 not + c4: 71 loadsp 4 + c5: 06 and + c6: 81 im 1 + c7: 06 and + c8: 30 neg + c9: 72 loadsp 8 + ca: 0a flip + cb: 10 addsp 0 + cc: 0a flip + cd: 72 loadsp 8 + ce: 0a flip + cf: 10 addsp 0 + d0: 0a flip + d1: 31 sub + d2: 05 add + d3: 0a flip + d4: 81 im 1 + d5: 06 and + d6: 51 storesp 4 + d7: 51 storesp 4 + d8: 53 storesp 12 + d9: 51 storesp 4 + da: 04 poppc + db: 00 breakpoint + dc: 00 breakpoint + dd: 00 breakpoint + ... + +000000e0 <_ulessthanorequal>: + e0: 72 loadsp 8 + e1: 72 loadsp 8 + e2: 26 ulessthan + e3: 73 loadsp 12 + e4: 73 loadsp 12 + e5: 2e eq + e6: 07 or + e7: 53 storesp 12 + e8: 51 storesp 4 + e9: 04 poppc + ... + +00000100 <_swap>: + ... + +00000120 <_slowmult>: + 120: 0b nop + 121: 0b nop + 122: 0b nop + 123: 88 im 8 + 124: c3 im -61 + 125: 04 poppc + ... + +00000140 <_lshiftright>: + 140: 72 loadsp 8 + 141: 0a flip + 142: 72 loadsp 8 + 143: 2b ashiftleft + 144: 0a flip + 145: 53 storesp 12 + 146: 51 storesp 4 + 147: 04 poppc + ... + +00000160 <_ashiftleft>: + 160: 72 loadsp 8 + 161: 72 loadsp 8 + 162: 9f im 31 + 163: 06 and + 164: 09 not + 165: 81 im 1 + 166: 05 add + 167: 0b nop + 168: 0b nop + 169: 0b nop + 16a: 88 im 8 + 16b: a6 im 38 + 16c: 05 add + 16d: 04 poppc + ... + +00000180 <_ashiftright>: + 180: 72 loadsp 8 + 181: 72 loadsp 8 + 182: 2a lshiftright + 183: ff im -1 + 184: 73 loadsp 12 + 185: 9f im 31 + 186: 06 and + 187: 2a lshiftright + 188: 09 not + 189: 74 loadsp 16 + 18a: 09 not + 18b: 0a flip + 18c: 81 im 1 + 18d: 06 and + 18e: ff im -1 + 18f: 05 add + 190: 06 and + 191: 07 or + 192: 53 storesp 12 + 193: 51 storesp 4 + 194: 04 poppc + ... + +000001a0 <_call>: + 1a0: 71 loadsp 4 + 1a1: 71 loadsp 4 + 1a2: 53 storesp 12 + 1a3: 51 storesp 4 + 1a4: 02 pushsp + 1a5: 0d popsp + 1a6: 04 poppc + +000001a7 <_storehtail>: + 1a7: 06 and + 1a8: 73 loadsp 12 + 1a9: 83 im 3 + 1aa: 06 and + 1ab: 09 not + 1ac: 81 im 1 + 1ad: 05 add + 1ae: 82 im 2 + 1af: 05 add + 1b0: 83 im 3 + 1b1: 2b ashiftleft + 1b2: 0b nop + 1b3: 2b ashiftleft + 1b4: 07 or + 1b5: 72 loadsp 8 + 1b6: fc im -4 + 1b7: 06 and + 1b8: 0c store + 1b9: 51 storesp 4 + 1ba: 51 storesp 4 + 1bb: 04 poppc + 1bc: 00 breakpoint + 1bd: 00 breakpoint + ... + +000001c0 <_eq>: + 1c0: 72 loadsp 8 + 1c1: 09 not + 1c2: 81 im 1 + 1c3: 05 add + 1c4: 72 loadsp 8 + 1c5: 05 add + 1c6: 09 not + 1c7: 70 loadsp 0 + 1c8: 81 im 1 + 1c9: 05 add + 1ca: 09 not + 1cb: 06 and + 1cc: 0a flip + 1cd: 81 im 1 + 1ce: 06 and + 1cf: 53 storesp 12 + 1d0: 51 storesp 4 + 1d1: 04 poppc + ... + +000001e0 <_neq>: + 1e0: 72 loadsp 8 + 1e1: 09 not + 1e2: 81 im 1 + 1e3: 05 add + 1e4: 72 loadsp 8 + 1e5: 05 add + 1e6: 09 not + 1e7: 70 loadsp 0 + 1e8: 81 im 1 + 1e9: 05 add + 1ea: 09 not + 1eb: 06 and + 1ec: 0a flip + 1ed: 09 not + 1ee: 81 im 1 + 1ef: 06 and + 1f0: 53 storesp 12 + 1f1: 51 storesp 4 + 1f2: 04 poppc + ... + +00000200 <_neg>: + 200: 71 loadsp 4 + 201: 09 not + 202: 81 im 1 + 203: 05 add + 204: 52 storesp 8 + 205: 04 poppc + ... + +00000220 <_sub>: + 220: 72 loadsp 8 + 221: 72 loadsp 8 + 222: 09 not + 223: 81 im 1 + 224: 05 add + 225: 05 add + 226: 53 storesp 12 + 227: 51 storesp 4 + 228: 04 poppc + ... + +00000240 <_xor>: + 240: 72 loadsp 8 + 241: 09 not + 242: 72 loadsp 8 + 243: 06 and + 244: 73 loadsp 12 + 245: 73 loadsp 12 + 246: 09 not + 247: 06 and + 248: 07 or + 249: 53 storesp 12 + 24a: 51 storesp 4 + 24b: 04 poppc + ... + +00000260 <_loadb>: + 260: 71 loadsp 4 + 261: fc im -4 + 262: 06 and + 263: 08 load + 264: 72 loadsp 8 + 265: 83 im 3 + 266: 06 and + 267: 09 not + 268: 81 im 1 + 269: 05 add + 26a: 83 im 3 + 26b: 05 add + 26c: 10 addsp 0 + 26d: 10 addsp 0 + 26e: 10 addsp 0 + 26f: 2a lshiftright + 270: 81 im 1 + 271: ff im -1 + 272: 06 and + 273: 52 storesp 8 + 274: 04 poppc + ... + +00000280 <_storeb>: + 280: 71 loadsp 4 + 281: fc im -4 + 282: 06 and + 283: 08 load + 284: 0b nop + 285: 0b nop + 286: 0b nop + 287: 90 im 16 + 288: e8 im -24 + 289: 73 loadsp 12 + 28a: 83 im 3 + 28b: 06 and + 28c: 10 addsp 0 + 28d: 10 addsp 0 + 28e: 05 add + 28f: 08 load + 290: 06 and + 291: 0b nop + 292: 0b nop + 293: 0b nop + 294: 88 im 8 + 295: a9 im 41 + 296: 04 poppc + ... + +000002a0 <_div>: + 2a0: 80 im 0 + 2a1: 08 load + 2a2: 84 im 4 + 2a3: 08 load + 2a4: 88 im 8 + 2a5: 08 load + 2a6: 75 loadsp 20 + 2a7: 75 loadsp 20 + 2a8: 0b nop + 2a9: 0b nop + 2aa: 0b nop + 2ab: 8a im 10 + 2ac: c7 im -57 + 2ad: 2d call + 2ae: 50 storesp 0 + 2af: 50 storesp 0 + 2b0: 80 im 0 + 2b1: 08 load + 2b2: 56 storesp 24 + 2b3: 88 im 8 + 2b4: 0c store + 2b5: 84 im 4 + 2b6: 0c store + 2b7: 80 im 0 + 2b8: 0c store + 2b9: 51 storesp 4 + 2ba: 04 poppc + 2bb: 00 breakpoint + 2bc: 00 breakpoint + 2bd: 00 breakpoint + ... + +000002c0 <_mod>: + 2c0: 80 im 0 + 2c1: 08 load + 2c2: 84 im 4 + 2c3: 08 load + 2c4: 88 im 8 + 2c5: 08 load + 2c6: 75 loadsp 20 + 2c7: 75 loadsp 20 + 2c8: 0b nop + 2c9: 0b nop + 2ca: 0b nop + 2cb: 8b im 11 + 2cc: f9 im -7 + 2cd: 2d call + 2ce: 50 storesp 0 + 2cf: 50 storesp 0 + 2d0: 80 im 0 + 2d1: 08 load + 2d2: 56 storesp 24 + 2d3: 88 im 8 + 2d4: 0c store + 2d5: 84 im 4 + 2d6: 0c store + 2d7: 80 im 0 + 2d8: 0c store + 2d9: 51 storesp 4 + 2da: 04 poppc + 2db: 00 breakpoint + 2dc: 00 breakpoint + 2dd: 00 breakpoint + ... + +000002e0 <_eqbranch>: + 2e0: 72 loadsp 8 + 2e1: 09 not + 2e2: 70 loadsp 0 + 2e3: 81 im 1 + 2e4: 05 add + 2e5: 09 not + 2e6: 06 and + 2e7: 0a flip + 2e8: 81 im 1 + 2e9: 06 and + 2ea: ff im -1 + 2eb: 05 add + 2ec: 70 loadsp 0 + 2ed: 54 storesp 16 + 2ee: 71 loadsp 4 + 2ef: 06 and + 2f0: 73 loadsp 12 + 2f1: 09 not + 2f2: 72 loadsp 8 + 2f3: 74 loadsp 16 + 2f4: 05 add + 2f5: ff im -1 + 2f6: 05 add + 2f7: 06 and + 2f8: 07 or + 2f9: 51 storesp 4 + 2fa: 51 storesp 4 + 2fb: 51 storesp 4 + 2fc: 04 poppc + 2fd: 00 breakpoint + ... + +00000300 <_neqbranch>: + 300: 72 loadsp 8 + 301: 09 not + 302: 70 loadsp 0 + 303: 81 im 1 + 304: 05 add + 305: 09 not + 306: 06 and + 307: 0a flip + 308: 09 not + 309: 81 im 1 + 30a: 06 and + 30b: ff im -1 + 30c: 05 add + 30d: 70 loadsp 0 + 30e: 54 storesp 16 + 30f: 71 loadsp 4 + 310: 06 and + 311: 73 loadsp 12 + 312: 09 not + 313: 72 loadsp 8 + 314: 74 loadsp 16 + 315: 05 add + 316: ff im -1 + 317: 05 add + 318: 06 and + 319: 07 or + 31a: 51 storesp 4 + 31b: 51 storesp 4 + 31c: 51 storesp 4 + 31d: 04 poppc + ... + +00000320 <_poppcrel>: + 320: 05 add + 321: ff im -1 + 322: 05 add + 323: 04 poppc + ... + +00000340 <_config>: + 340: 81 im 1 + 341: 0b nop + 342: 0b nop + 343: 0b nop + 344: 0b nop + 345: 90 im 16 + 346: f8 im -8 + 347: 0c store + 348: 51 storesp 4 + 349: 04 poppc + ... + +00000360 <_pushpc>: + 360: 71 loadsp 4 + 361: 81 im 1 + 362: 05 add + 363: 52 storesp 8 + 364: 04 poppc + ... + +00000380 <_syscall_emulate>: + ... + +000003a0 <_pushspadd>: + 3a0: 02 pushsp + 3a1: 84 im 4 + 3a2: 05 add + 3a3: 72 loadsp 8 + 3a4: 10 addsp 0 + 3a5: 10 addsp 0 + 3a6: 05 add + 3a7: 52 storesp 8 + 3a8: 04 poppc + ... + +000003c0 <_halfmult>: + ... + +000003e0 <_callpcrel>: + 3e0: 71 loadsp 4 + 3e1: 71 loadsp 4 + 3e2: 05 add + 3e3: ff im -1 + 3e4: 05 add + 3e5: 71 loadsp 4 + 3e6: 53 storesp 12 + 3e7: 51 storesp 4 + 3e8: 02 pushsp + 3e9: 0d popsp + 3ea: 04 poppc + ... +Disassembly of section .init: + +00000400 <_init>: + 400: 81 im 1 + 401: f3 im -13 + 402: 3f callpcrel + 403: 88 im 8 + 404: a3 im 35 + 405: 3f callpcrel + 406: 04 poppc +Disassembly of section .text: + +00000407 <_ashiftleftBegin>: + 407: 10 addsp 0 + 408: 10 addsp 0 + 409: 10 addsp 0 + 40a: 10 addsp 0 + 40b: 10 addsp 0 + 40c: 10 addsp 0 + 40d: 10 addsp 0 + 40e: 10 addsp 0 + 40f: 10 addsp 0 + 410: 10 addsp 0 + 411: 10 addsp 0 + 412: 10 addsp 0 + 413: 10 addsp 0 + 414: 10 addsp 0 + 415: 10 addsp 0 + 416: 10 addsp 0 + 417: 10 addsp 0 + 418: 10 addsp 0 + 419: 10 addsp 0 + 41a: 10 addsp 0 + 41b: 10 addsp 0 + 41c: 10 addsp 0 + 41d: 10 addsp 0 + 41e: 10 addsp 0 + 41f: 10 addsp 0 + 420: 10 addsp 0 + 421: 10 addsp 0 + 422: 10 addsp 0 + 423: 10 addsp 0 + 424: 10 addsp 0 + 425: 10 addsp 0 + +00000426 <_ashiftleftEnd>: + 426: 53 storesp 12 + 427: 51 storesp 4 + 428: 04 poppc + +00000429 <_storebtail>: + 429: 73 loadsp 12 + 42a: 81 im 1 + 42b: ff im -1 + 42c: 06 and + 42d: 73 loadsp 12 + 42e: 83 im 3 + 42f: 06 and + 430: 09 not + 431: 81 im 1 + 432: 05 add + 433: 83 im 3 + 434: 05 add + 435: 10 addsp 0 + 436: 10 addsp 0 + 437: 10 addsp 0 + 438: 2b ashiftleft + 439: 07 or + 43a: 72 loadsp 8 + 43b: fc im -4 + 43c: 06 and + 43d: 0c store + 43e: 51 storesp 4 + 43f: 51 storesp 4 + 440: 04 poppc + +00000441 <_syscall>: + 441: 3c syscall + 442: 04 poppc + +00000443 <_slowmultImpl>: + 443: 72 loadsp 8 + 444: 72 loadsp 8 + 445: 80 im 0 + 446: 72 loadsp 8 + 447: 81 im 1 + 448: 06 and + 449: ff im -1 + 44a: 05 add + 44b: 09 not + 44c: 72 loadsp 8 + 44d: 06 and + 44e: 05 add + 44f: 71 loadsp 4 + 450: 10 addsp 0 + 451: 52 storesp 8 + 452: 72 loadsp 8 + 453: 0a flip + 454: 10 addsp 0 + 455: 0a flip + 456: 53 storesp 12 + 457: 72 loadsp 8 + 458: ed im -19 + 459: 38 neqbranch + 45a: 51 storesp 4 + 45b: 51 storesp 4 + 45c: 53 storesp 12 + 45d: 51 storesp 4 + 45e: 04 poppc + +0000045f <_initIO>: + 45f: 90 im 16 + 460: f8 im -8 + 461: 08 load + 462: 80 im 0 + 463: 2e eq + 464: a1 im 33 + 465: 38 neqbranch + +00000466 <.LSM10>: + 466: 90 im 16 + 467: fc im -4 + 468: 08 load + 469: 82 im 2 + 46a: 2e eq + 46b: b9 im 57 + 46c: 38 neqbranch + +0000046d <.LSM11>: + 46d: 83 im 3 + 46e: 80 im 0 + 46f: 80 im 0 + 470: 0b nop + 471: 0b nop + 472: 0b nop + 473: 0b nop + 474: 98 im 24 + 475: a0 im 32 + 476: 0c store + +00000477 <.LSM12>: + 477: 82 im 2 + 478: a0 im 32 + 479: 80 im 0 + 47a: 0b nop + 47b: 98 im 24 + 47c: a4 im 36 + 47d: 0c store + +0000047e <.LSM13>: + 47e: 82 im 2 + 47f: 90 im 16 + 480: 80 im 0 + 481: 0b nop + 482: 98 im 24 + 483: a8 im 40 + 484: 0c store + +00000485 <.LSM14>: + 485: 04 poppc + +00000486 <.L11>: + 486: f8 im -8 + 487: 80 im 0 + 488: 80 im 0 + 489: 80 im 0 + 48a: a4 im 36 + 48b: 0b nop + 48c: 0b nop + 48d: 0b nop + 48e: 0b nop + 48f: 98 im 24 + 490: a0 im 32 + 491: 0c store + +00000492 <.LSM16>: + 492: f8 im -8 + 493: 80 im 0 + 494: 80 im 0 + 495: 82 im 2 + 496: 80 im 0 + 497: 0b nop + 498: 98 im 24 + 499: a4 im 36 + 49a: 0c store + +0000049b <.LSM17>: + 49b: f8 im -8 + 49c: 80 im 0 + 49d: 80 im 0 + 49e: 84 im 4 + 49f: 80 im 0 + 4a0: 0b nop + 4a1: 98 im 24 + 4a2: a8 im 40 + 4a3: 0c store + +000004a4 <.LSM18>: + 4a4: 04 poppc + +000004a5 <.L16>: + 4a5: 80 im 0 + 4a6: c0 im -64 + 4a7: a8 im 40 + 4a8: 80 im 0 + 4a9: 8c im 12 + 4aa: 0b nop + 4ab: 0b nop + 4ac: 0b nop + 4ad: 0b nop + 4ae: 98 im 24 + 4af: a0 im 32 + 4b0: 0c store + +000004b1 <.LSM20>: + 4b1: 80 im 0 + 4b2: c0 im -64 + 4b3: a8 im 40 + 4b4: 80 im 0 + 4b5: 94 im 20 + 4b6: 0b nop + 4b7: 98 im 24 + 4b8: a4 im 36 + 4b9: 0c store + +000004ba <.LSM21>: + 4ba: 0b nop + 4bb: 0b nop + 4bc: 0b nop + 4bd: 90 im 16 + 4be: d4 im -44 + 4bf: 0b nop + 4c0: 98 im 24 + 4c1: a8 im 40 + 4c2: 0c store + +000004c3 <.LSM22>: + 4c3: 04 poppc + +000004c4 <__do_global_dtors_aux>: + 4c4: ff im -1 + 4c5: 3d pushspadd + 4c6: 0d popsp + 4c7: 98 im 24 + 4c8: ac im 44 + 4c9: 33 loadb + 4ca: 51 storesp 4 + 4cb: 70 loadsp 0 + 4cc: a3 im 35 + 4cd: 38 neqbranch + 4ce: 91 im 17 + 4cf: 84 im 4 + 4d0: 08 load + 4d1: 70 loadsp 0 + 4d2: 08 load + 4d3: 52 storesp 8 + 4d4: 52 storesp 8 + 4d5: 70 loadsp 0 + 4d6: 80 im 0 + 4d7: 2e eq + 4d8: 92 im 18 + 4d9: 38 neqbranch + +000004da <.L10>: + 4da: 84 im 4 + 4db: 12 addsp 8 + 4dc: 91 im 17 + 4dd: 84 im 4 + 4de: 0c store + 4df: 70 loadsp 0 + 4e0: 2d call + 4e1: 91 im 17 + 4e2: 84 im 4 + 4e3: 08 load + 4e4: 70 loadsp 0 + 4e5: 08 load + 4e6: 52 storesp 8 + 4e7: 52 storesp 8 + 4e8: 70 loadsp 0 + 4e9: f0 im -16 + 4ea: 38 neqbranch + +000004eb <.L7>: + 4eb: 81 im 1 + 4ec: 0b nop + 4ed: 98 im 24 + 4ee: ac im 44 + 4ef: 34 storeb + +000004f0 <.L1>: + 4f0: 83 im 3 + 4f1: 3d pushspadd + 4f2: 0d popsp + 4f3: 04 poppc + +000004f4 : + 4f4: 04 poppc + +000004f5 : + 4f5: 80 im 0 + 4f6: 3d pushspadd + 4f7: 0d popsp + 4f8: 0b nop + 4f9: 0b nop + 4fa: 0b nop + 4fb: 98 im 24 + 4fc: 9c im 28 + 4fd: 08 load + 4fe: 80 im 0 + 4ff: 2e eq + 500: 8e im 14 + 501: 38 neqbranch + 502: 0b nop + 503: 0b nop + 504: 0b nop + 505: 0b nop + 506: 80 im 0 + 507: 0b nop + 508: 80 im 0 + 509: 2e eq + 50a: 09 not + 50b: 81 im 1 + 50c: 06 and + 50d: 85 im 5 + 50e: 38 neqbranch + +0000050f <.L12>: + 50f: 82 im 2 + 510: 3d pushspadd + 511: 0d popsp + 512: 04 poppc + +00000513 <.L15>: + 513: 0b nop + 514: 0b nop + 515: 0b nop + 516: 98 im 24 + 517: 9c im 28 + 518: 51 storesp 4 + 519: 0b nop + 51a: 0b nop + 51b: 0b nop + 51c: f5 im -11 + 51d: e2 im -30 + 51e: 3f callpcrel + 51f: 82 im 2 + 520: 3d pushspadd + 521: 0d popsp + 522: 04 poppc + +00000523 : + 523: 04 poppc + +00000524
: + 524: ff im -1 + 525: 3d pushspadd + 526: 0d popsp + +00000527 <.LM2>: + 527: 80 im 0 + 528: 52 storesp 8 + +00000529 <.L2>: + 529: 81 im 1 + 52a: 12 addsp 8 + +0000052b <.LM4>: + 52b: 70 loadsp 0 + 52c: 80 im 0 + 52d: d1 im -47 + 52e: 0a flip + 52f: 0c store + 530: 52 storesp 8 + 531: 86 im 6 + 532: 8d im 13 + 533: 9f im 31 + 534: 51 storesp 4 + +00000535 <.L7>: + 535: ce im -50 + 536: 11 addsp 4 + 537: 51 storesp 4 + 538: 80 im 0 + 539: 71 loadsp 4 + 53a: 24 lessthan + 53b: ed im -19 + 53c: 38 neqbranch + 53d: ce im -50 + 53e: 11 addsp 4 + 53f: 51 storesp 4 + 540: 70 loadsp 0 + 541: 80 im 0 + 542: 25 lessthanorequal + 543: f1 im -15 + 544: 38 neqbranch + 545: e3 im -29 + 546: 39 poppcrel + +00000547 <__divsi3>: + 547: 8c im 12 + 548: 08 load + 549: 02 pushsp + 54a: 8c im 12 + 54b: 0c store + 54c: f9 im -7 + 54d: 3d pushspadd + 54e: 0d popsp + 54f: 80 im 0 + 550: 0b nop + 551: 8c im 12 + 552: 08 load + 553: fc im -4 + 554: 05 add + 555: 0c store + 556: 8c im 12 + 557: 08 load + 558: 88 im 8 + 559: 05 add + 55a: 08 load + 55b: 80 im 0 + 55c: 25 lessthanorequal + 55d: ab im 43 + 55e: 38 neqbranch + 55f: 8c im 12 + 560: 08 load + 561: 88 im 8 + 562: 05 add + 563: 08 load + 564: 30 neg + 565: 8c im 12 + 566: 08 load + 567: 88 im 8 + 568: 05 add + 569: 0c store + 56a: 80 im 0 + 56b: 0b nop + 56c: 8c im 12 + 56d: 08 load + 56e: f4 im -12 + 56f: 05 add + 570: 0c store + 571: 8c im 12 + 572: 08 load + 573: fc im -4 + 574: 05 add + 575: 08 load + 576: 88 im 8 + 577: 38 neqbranch + 578: 81 im 1 + 579: 0b nop + 57a: 8c im 12 + 57b: 08 load + 57c: f4 im -12 + 57d: 05 add + 57e: 0c store + +0000057f <.L3>: + 57f: 8c im 12 + 580: 08 load + 581: f4 im -12 + 582: 05 add + 583: 08 load + 584: 8c im 12 + 585: 08 load + 586: fc im -4 + 587: 05 add + 588: 0c store + +00000589 <.L2>: + 589: 8c im 12 + 58a: 08 load + 58b: 8c im 12 + 58c: 05 add + 58d: 08 load + 58e: 80 im 0 + 58f: 25 lessthanorequal + 590: ab im 43 + 591: 38 neqbranch + 592: 8c im 12 + 593: 08 load + 594: 8c im 12 + 595: 05 add + 596: 08 load + 597: 30 neg + 598: 8c im 12 + 599: 08 load + 59a: 8c im 12 + 59b: 05 add + 59c: 0c store + 59d: 80 im 0 + 59e: 0b nop + 59f: 8c im 12 + 5a0: 08 load + 5a1: f0 im -16 + 5a2: 05 add + 5a3: 0c store + 5a4: 8c im 12 + 5a5: 08 load + 5a6: fc im -4 + 5a7: 05 add + 5a8: 08 load + 5a9: 88 im 8 + 5aa: 38 neqbranch + 5ab: 81 im 1 + 5ac: 0b nop + 5ad: 8c im 12 + 5ae: 08 load + 5af: f0 im -16 + 5b0: 05 add + 5b1: 0c store + +000005b2 <.L5>: + 5b2: 8c im 12 + 5b3: 08 load + 5b4: f0 im -16 + 5b5: 05 add + 5b6: 08 load + 5b7: 8c im 12 + 5b8: 08 load + 5b9: fc im -4 + 5ba: 05 add + 5bb: 0c store + +000005bc <.L4>: + 5bc: 80 im 0 + 5bd: 53 storesp 12 + 5be: 8c im 12 + 5bf: 08 load + 5c0: 8c im 12 + 5c1: 05 add + 5c2: 08 load + 5c3: 52 storesp 8 + 5c4: 8c im 12 + 5c5: 08 load + 5c6: 88 im 8 + 5c7: 05 add + 5c8: 08 load + 5c9: 51 storesp 4 + 5ca: 81 im 1 + 5cb: a7 im 39 + 5cc: 3f callpcrel + 5cd: 80 im 0 + 5ce: 08 load + 5cf: 70 loadsp 0 + 5d0: 8c im 12 + 5d1: 08 load + 5d2: f8 im -8 + 5d3: 05 add + 5d4: 0c store + 5d5: 54 storesp 16 + 5d6: 8c im 12 + 5d7: 08 load + 5d8: fc im -4 + 5d9: 05 add + 5da: 08 load + 5db: 80 im 0 + 5dc: 2e eq + 5dd: 8c im 12 + 5de: 38 neqbranch + 5df: 8c im 12 + 5e0: 08 load + 5e1: f8 im -8 + 5e2: 05 add + 5e3: 08 load + 5e4: 30 neg + 5e5: 8c im 12 + 5e6: 08 load + 5e7: f8 im -8 + 5e8: 05 add + 5e9: 0c store + +000005ea <.L6>: + 5ea: 8c im 12 + 5eb: 08 load + 5ec: f8 im -8 + 5ed: 05 add + 5ee: 08 load + 5ef: 70 loadsp 0 + 5f0: 80 im 0 + 5f1: 0c store + 5f2: 54 storesp 16 + 5f3: 89 im 9 + 5f4: 3d pushspadd + 5f5: 0d popsp + 5f6: 8c im 12 + 5f7: 0c store + 5f8: 04 poppc + +000005f9 <__modsi3>: + 5f9: 8c im 12 + 5fa: 08 load + 5fb: 02 pushsp + 5fc: 8c im 12 + 5fd: 0c store + 5fe: fb im -5 + 5ff: 3d pushspadd + 600: 0d popsp + 601: 80 im 0 + 602: 0b nop + 603: 8c im 12 + 604: 08 load + 605: fc im -4 + 606: 05 add + 607: 0c store + 608: 8c im 12 + 609: 08 load + 60a: 88 im 8 + 60b: 05 add + 60c: 08 load + 60d: 80 im 0 + 60e: 25 lessthanorequal + 60f: 93 im 19 + 610: 38 neqbranch + 611: 8c im 12 + 612: 08 load + 613: 88 im 8 + 614: 05 add + 615: 08 load + 616: 30 neg + 617: 8c im 12 + 618: 08 load + 619: 88 im 8 + 61a: 05 add + 61b: 0c store + 61c: 81 im 1 + 61d: 0b nop + 61e: 8c im 12 + 61f: 08 load + 620: fc im -4 + 621: 05 add + 622: 0c store + +00000623 <.L8>: + 623: 8c im 12 + 624: 08 load + 625: 8c im 12 + 626: 05 add + 627: 08 load + 628: 80 im 0 + 629: 25 lessthanorequal + 62a: 8c im 12 + 62b: 38 neqbranch + 62c: 8c im 12 + 62d: 08 load + 62e: 8c im 12 + 62f: 05 add + 630: 08 load + 631: 30 neg + 632: 8c im 12 + 633: 08 load + 634: 8c im 12 + 635: 05 add + 636: 0c store + +00000637 <.L9>: + 637: 81 im 1 + 638: 53 storesp 12 + 639: 8c im 12 + 63a: 08 load + 63b: 8c im 12 + 63c: 05 add + 63d: 08 load + 63e: 52 storesp 8 + 63f: 8c im 12 + 640: 08 load + 641: 88 im 8 + 642: 05 add + 643: 08 load + 644: 51 storesp 4 + 645: ad im 45 + 646: 3f callpcrel + 647: 80 im 0 + 648: 08 load + 649: 70 loadsp 0 + 64a: 8c im 12 + 64b: 08 load + 64c: f8 im -8 + 64d: 05 add + 64e: 0c store + 64f: 54 storesp 16 + 650: 8c im 12 + 651: 08 load + 652: fc im -4 + 653: 05 add + 654: 08 load + 655: 80 im 0 + 656: 2e eq + 657: 8c im 12 + 658: 38 neqbranch + 659: 8c im 12 + 65a: 08 load + 65b: f8 im -8 + 65c: 05 add + 65d: 08 load + 65e: 30 neg + 65f: 8c im 12 + 660: 08 load + 661: f8 im -8 + 662: 05 add + 663: 0c store + +00000664 <.L10>: + 664: 8c im 12 + 665: 08 load + 666: f8 im -8 + 667: 05 add + 668: 08 load + 669: 70 loadsp 0 + 66a: 80 im 0 + 66b: 0c store + 66c: 54 storesp 16 + 66d: 87 im 7 + 66e: 3d pushspadd + 66f: 0d popsp + 670: 8c im 12 + 671: 0c store + 672: 04 poppc + +00000673 : + 673: 8c im 12 + 674: 08 load + 675: 02 pushsp + 676: 8c im 12 + 677: 0c store + 678: fd im -3 + 679: 3d pushspadd + 67a: 0d popsp + 67b: 81 im 1 + 67c: 0b nop + 67d: 8c im 12 + 67e: 08 load + 67f: fc im -4 + 680: 05 add + 681: 0c store + 682: 80 im 0 + 683: 0b nop + 684: 8c im 12 + 685: 08 load + 686: f8 im -8 + 687: 05 add + 688: 0c store + +00000689 <.L2>: + 689: 8c im 12 + 68a: 08 load + 68b: 8c im 12 + 68c: 05 add + 68d: 08 load + 68e: 8c im 12 + 68f: 08 load + 690: 88 im 8 + 691: 05 add + 692: 08 load + 693: 27 ulessthanorequal + 694: ac im 44 + 695: 38 neqbranch + 696: 8c im 12 + 697: 08 load + 698: fc im -4 + 699: 05 add + 69a: 08 load + 69b: 80 im 0 + 69c: 2e eq + 69d: a3 im 35 + 69e: 38 neqbranch + 69f: 80 im 0 + 6a0: 0b nop + 6a1: 8c im 12 + 6a2: 08 load + 6a3: 8c im 12 + 6a4: 05 add + 6a5: 08 load + 6a6: 24 lessthan + 6a7: 99 im 25 + 6a8: 38 neqbranch + 6a9: 8c im 12 + 6aa: 08 load + 6ab: 8c im 12 + 6ac: 05 add + 6ad: 08 load + 6ae: 10 addsp 0 + 6af: 8c im 12 + 6b0: 08 load + 6b1: 8c im 12 + 6b2: 05 add + 6b3: 0c store + 6b4: 8c im 12 + 6b5: 08 load + 6b6: fc im -4 + 6b7: 05 add + 6b8: 08 load + 6b9: 10 addsp 0 + 6ba: 8c im 12 + 6bb: 08 load + 6bc: fc im -4 + 6bd: 05 add + 6be: 0c store + 6bf: c9 im -55 + 6c0: 39 poppcrel + +000006c1 <.L4>: + 6c1: 8c im 12 + 6c2: 08 load + 6c3: fc im -4 + 6c4: 05 add + 6c5: 08 load + 6c6: 80 im 0 + 6c7: 2e eq + 6c8: 80 im 0 + 6c9: c9 im -55 + 6ca: 38 neqbranch + 6cb: 8c im 12 + 6cc: 08 load + 6cd: 8c im 12 + 6ce: 05 add + 6cf: 08 load + 6d0: 8c im 12 + 6d1: 08 load + 6d2: 88 im 8 + 6d3: 05 add + 6d4: 08 load + 6d5: 26 ulessthan + 6d6: a1 im 33 + 6d7: 38 neqbranch + 6d8: 8c im 12 + 6d9: 08 load + 6da: 88 im 8 + 6db: 05 add + 6dc: 08 load + 6dd: 8c im 12 + 6de: 08 load + 6df: 8c im 12 + 6e0: 05 add + 6e1: 08 load + 6e2: 31 sub + 6e3: 8c im 12 + 6e4: 08 load + 6e5: 88 im 8 + 6e6: 05 add + 6e7: 0c store + 6e8: 8c im 12 + 6e9: 08 load + 6ea: f8 im -8 + 6eb: 05 add + 6ec: 08 load + 6ed: 8c im 12 + 6ee: 08 load + 6ef: fc im -4 + 6f0: 05 add + 6f1: 08 load + 6f2: 07 or + 6f3: 8c im 12 + 6f4: 08 load + 6f5: f8 im -8 + 6f6: 05 add + 6f7: 0c store + +000006f8 <.L6>: + 6f8: 8c im 12 + 6f9: 08 load + 6fa: fc im -4 + 6fb: 05 add + 6fc: 08 load + 6fd: 81 im 1 + 6fe: 2a lshiftright + 6ff: 8c im 12 + 700: 08 load + 701: fc im -4 + 702: 05 add + 703: 0c store + 704: 8c im 12 + 705: 08 load + 706: 8c im 12 + 707: 05 add + 708: 08 load + 709: 81 im 1 + 70a: 2a lshiftright + 70b: 8c im 12 + 70c: 08 load + 70d: 8c im 12 + 70e: 05 add + 70f: 0c store + 710: ff im -1 + 711: af im 47 + 712: 39 poppcrel + +00000713 <.L5>: + 713: 8c im 12 + 714: 08 load + 715: 90 im 16 + 716: 05 add + 717: 08 load + 718: 80 im 0 + 719: 2e eq + 71a: 8f im 15 + 71b: 38 neqbranch + 71c: 8c im 12 + 71d: 08 load + 71e: 88 im 8 + 71f: 05 add + 720: 08 load + 721: 70 loadsp 0 + 722: 8c im 12 + 723: 08 load + 724: f4 im -12 + 725: 05 add + 726: 0c store + 727: 51 storesp 4 + 728: 8d im 13 + 729: 39 poppcrel + +0000072a <.L7>: + 72a: 8c im 12 + 72b: 08 load + 72c: f8 im -8 + 72d: 05 add + 72e: 08 load + 72f: 70 loadsp 0 + 730: 8c im 12 + 731: 08 load + 732: f4 im -12 + 733: 05 add + 734: 0c store + 735: 51 storesp 4 + +00000736 <.L1>: + 736: 8c im 12 + 737: 08 load + 738: f4 im -12 + 739: 05 add + 73a: 08 load + 73b: 80 im 0 + 73c: 0c store + 73d: 85 im 5 + 73e: 3d pushspadd + 73f: 0d popsp + 740: 8c im 12 + 741: 0c store + 742: 04 poppc + +00000743 <_premain>: + 743: fd im -3 + 744: 3d pushspadd + 745: 0d popsp + +00000746 <.LSM1>: + 746: 80 im 0 + 747: 0b nop + 748: 90 im 16 + 749: fc im -4 + 74a: 08 load + 74b: 54 storesp 16 + 74c: 54 storesp 16 + 74d: 72 loadsp 8 + 74e: 81 im 1 + 74f: 2e eq + 750: 98 im 24 + 751: 38 neqbranch + 752: 73 loadsp 12 + 753: 98 im 24 + 754: b0 im 48 + 755: 0c store + +00000756 <.LSM2>: + 756: fa im -6 + 757: 87 im 7 + 758: 3f callpcrel + +00000759 <.LSM3>: + 759: f9 im -7 + 75a: a5 im 37 + 75b: 3f callpcrel + +0000075c <.LSM4>: + 75c: 91 im 17 + 75d: 88 im 8 + 75e: 52 storesp 8 + 75f: 81 im 1 + 760: 51 storesp 4 + 761: fb im -5 + 762: c1 im -63 + 763: 3f callpcrel + +00000764 <.LSM5>: + 764: 80 im 0 + 765: 08 load + 766: 51 storesp 4 + 767: 9e im 30 + 768: 3f callpcrel + +00000769 <.L6>: + 769: 72 loadsp 8 + 76a: 98 im 24 + 76b: b0 im 48 + 76c: 0c store + +0000076d <.LSM7>: + 76d: f9 im -7 + 76e: f0 im -16 + 76f: 3f callpcrel + +00000770 <.LSM8>: + 770: f9 im -7 + 771: 8e im 14 + 772: 3f callpcrel + +00000773 <.LSM9>: + 773: 91 im 17 + 774: 88 im 8 + 775: 52 storesp 8 + 776: 81 im 1 + 777: 51 storesp 4 + 778: fb im -5 + 779: aa im 42 + 77a: 3f callpcrel + +0000077b <.LSM10>: + 77b: 80 im 0 + 77c: 08 load + 77d: 51 storesp 4 + 77e: 87 im 7 + 77f: 3f callpcrel + +00000780 <_exit>: + ... + +00000781 <.L8>: + 781: ff im -1 + 782: 39 poppcrel + +00000783 <_zpu_interrupt>: + ... + +00000784 <.L12>: + 784: ff im -1 + 785: 39 poppcrel + +00000786 : + 786: f7 im -9 + 787: 3d pushspadd + 788: 0d popsp + 789: 7b loadsp 44 + +0000078a <.LM2>: + 78a: 91 im 17 + 78b: 8c im 12 + 78c: 08 load + 78d: 82 im 2 + 78e: c8 im -56 + 78f: 11 addsp 4 + 790: 08 load + 791: 5a storesp 40 + 792: 54 storesp 16 + 793: 5a storesp 40 + +00000794 <.LM3>: + 794: 77 loadsp 28 + 795: 80 im 0 + 796: 2e eq + 797: 80 im 0 + 798: d9 im -39 + 799: 38 neqbranch + +0000079a <.L12>: + 79a: 81 im 1 + 79b: 88 im 8 + 79c: 18 addsp 32 + +0000079d <.LM5>: + 79d: 84 im 4 + 79e: 19 addsp 36 + 79f: 08 load + 7a0: ff im -1 + 7a1: 05 add + 7a2: 81 im 1 + 7a3: 71 loadsp 4 + 7a4: 2b ashiftleft + 7a5: 59 storesp 36 + 7a6: 55 storesp 20 + 7a7: 59 storesp 36 + 7a8: 80 im 0 + 7a9: 74 loadsp 16 + 7aa: 24 lessthan + 7ab: 80 im 0 + 7ac: e9 im -23 + 7ad: 38 neqbranch + +000007ae <.L5>: + 7ae: 80 im 0 + 7af: 74 loadsp 16 + 7b0: 24 lessthan + 7b1: b5 im 53 + 7b2: 38 neqbranch + 7b3: 73 loadsp 12 + 7b4: 82 im 2 + 7b5: 2b ashiftleft + 7b6: 78 loadsp 32 + 7b7: 11 addsp 4 + 7b8: 88 im 8 + 7b9: 05 add + 7ba: 56 storesp 24 + 7bb: 56 storesp 24 + +000007bc <.L11>: + 7bc: 81 im 1 + 7bd: 80 im 0 + 7be: 19 addsp 36 + 7bf: 08 load + 7c0: 77 loadsp 28 + 7c1: 06 and + 7c2: 53 storesp 12 + 7c3: 72 loadsp 8 + 7c4: 80 im 0 + 7c5: 2e eq + 7c6: b5 im 53 + 7c7: 38 neqbranch + +000007c8 <.LM7>: + 7c8: 78 loadsp 32 + 7c9: 16 addsp 24 + 7ca: 70 loadsp 0 + 7cb: 08 load + 7cc: 53 storesp 12 + 7cd: 53 storesp 12 + 7ce: 79 loadsp 36 + 7cf: 51 storesp 4 + 7d0: 74 loadsp 16 + 7d1: 08 load + 7d2: 53 storesp 12 + 7d3: 72 loadsp 8 + 7d4: 2d call + +000007d5 <.LM8>: + 7d5: ff im -1 + 7d6: 14 addsp 16 + 7d7: fc im -4 + 7d8: 17 addsp 28 + 7d9: fc im -4 + 7da: 17 addsp 28 + 7db: 79 loadsp 36 + 7dc: 81 im 1 + 7dd: 2c ashiftright + 7de: 5a storesp 40 + 7df: 57 storesp 28 + 7e0: 57 storesp 28 + 7e1: 54 storesp 16 + 7e2: 73 loadsp 12 + 7e3: 80 im 0 + 7e4: 25 lessthanorequal + 7e5: d6 im -42 + 7e6: 38 neqbranch + +000007e7 <.L17>: + 7e7: 77 loadsp 28 + 7e8: 08 load + 7e9: 58 storesp 32 + 7ea: 77 loadsp 28 + 7eb: ff im -1 + 7ec: ad im 45 + 7ed: 38 neqbranch + 7ee: 91 im 17 + 7ef: 8c im 12 + 7f0: 08 load + 7f1: 53 storesp 12 + +000007f2 <.L15>: + 7f2: bc im 60 + 7f3: 13 addsp 12 + 7f4: 08 load + 7f5: a5 im 37 + 7f6: 38 neqbranch + +000007f7 <.LM11>: + 7f7: 79 loadsp 36 + 7f8: 51 storesp 4 + 7f9: ff im -1 + 7fa: 85 im 5 + 7fb: 3f callpcrel + +000007fc <.L9>: + 7fc: 74 loadsp 16 + 7fd: 08 load + 7fe: 53 storesp 12 + 7ff: 72 loadsp 8 + 800: 2d call + +00000801 <.LM13>: + 801: ff im -1 + 802: 14 addsp 16 + 803: fc im -4 + 804: 17 addsp 28 + 805: fc im -4 + 806: 17 addsp 28 + 807: 79 loadsp 36 + 808: 81 im 1 + 809: 2c ashiftright + 80a: 5a storesp 40 + 80b: 57 storesp 28 + 80c: 57 storesp 28 + 80d: 54 storesp 16 + 80e: 73 loadsp 12 + 80f: 80 im 0 + 810: 25 lessthanorequal + 811: ff im -1 + 812: a9 im 41 + 813: 38 neqbranch + 814: d2 im -46 + 815: 39 poppcrel + +00000816 <.L19>: + 816: 80 im 0 + 817: 57 storesp 28 + 818: ff im -1 + 819: 94 im 20 + 81a: 39 poppcrel + +0000081b <.L20>: + 81b: 72 loadsp 8 + 81c: 51 storesp 4 + 81d: bc im 60 + 81e: 13 addsp 12 + 81f: 08 load + 820: 53 storesp 12 + 821: 72 loadsp 8 + 822: 2d call + +00000823 <.LM15>: + 823: 79 loadsp 36 + 824: 51 storesp 4 + 825: fe im -2 + 826: d9 im -39 + 827: 3f callpcrel + +00000828 <__do_global_ctors_aux>: + 828: ff im -1 + 829: 3d pushspadd + 82a: 0d popsp + 82b: 98 im 24 + 82c: 90 im 16 + 82d: 0b nop + 82e: fc im -4 + 82f: 05 add + 830: 70 loadsp 0 + 831: 08 load + 832: 52 storesp 8 + 833: 52 storesp 8 + 834: 70 loadsp 0 + 835: ff im -1 + 836: 2e eq + 837: 91 im 17 + 838: 38 neqbranch + +00000839 <.L10>: + 839: 70 loadsp 0 + 83a: 2d call + 83b: fc im -4 + 83c: 12 addsp 8 + 83d: 70 loadsp 0 + 83e: 08 load + 83f: 52 storesp 8 + 840: 52 storesp 8 + 841: 70 loadsp 0 + 842: ff im -1 + 843: 2e eq + 844: 09 not + 845: 81 im 1 + 846: 06 and + 847: f1 im -15 + 848: 38 neqbranch + +00000849 <.L7>: + 849: 83 im 3 + 84a: 3d pushspadd + 84b: 0d popsp + 84c: 04 poppc + +0000084d : + 84d: 04 poppc +Disassembly of section .fini: + +0000084e <_fini>: + 84e: f8 im -8 + 84f: f4 im -12 + 850: 3f callpcrel + 851: 04 poppc +Disassembly of section .rodata: + +00000854 : + 854: 00 breakpoint + 855: 00 breakpoint + 856: 00 breakpoint + 857: 40 storesp 64 + 858: 64 loadsp 80 + 859: 75 loadsp 20 + 85a: 6d loadsp 116 + 85b: 6d loadsp 116 + 85c: 79 loadsp 36 + 85d: 2e eq + 85e: 65 loadsp 84 + 85f: 78 loadsp 32 + 860: 65 loadsp 84 + 861: 00 breakpoint + 862: 00 breakpoint + 863: 00 breakpoint + 864: 43 storesp 76 + ... +Disassembly of section .data: + +00000868 <__data_start>: + 868: 00 breakpoint + 869: ff im -1 + 86a: ff im -1 + 86b: ff im -1 + 86c: ff im -1 + 86d: 00 breakpoint + 86e: ff im -1 + 86f: ff im -1 + 870: ff im -1 + 871: ff im -1 + 872: 00 breakpoint + 873: ff im -1 + 874: ff im -1 + 875: ff im -1 + 876: ff im -1 + ... + +00000878 <_hardware>: + 878: 00 breakpoint + 879: 00 breakpoint + ... + +0000087c <_cpu_config>: + 87c: 00 breakpoint + 87d: 00 breakpoint + ... + +00000880 <__dso_handle>: + 880: 00 breakpoint + 881: 00 breakpoint + ... + +00000884 : + 884: 00 breakpoint + 885: 00 breakpoint + 886: 0c store + 887: 18 addsp 32 + +00000888 : + 888: 00 breakpoint + 889: 00 breakpoint + 88a: 08 load + 88b: 58 storesp 32 + +0000088c <_impure_ptr>: + 88c: 00 breakpoint + 88d: 00 breakpoint + 88e: 08 load + 88f: 90 im 16 + +00000890 : + 890: 00 breakpoint + 891: 00 breakpoint + 892: 00 breakpoint + 893: 00 breakpoint + 894: 00 breakpoint + 895: 00 breakpoint + 896: 0a flip + 897: f8 im -8 + 898: 00 breakpoint + 899: 00 breakpoint + 89a: 0b nop + 89b: 54 storesp 16 + 89c: 00 breakpoint + 89d: 00 breakpoint + 89e: 0b nop + 89f: b0 im 48 + ... + 8c4: 00 breakpoint + 8c5: 00 breakpoint + 8c6: 08 load + 8c7: 64 loadsp 80 + ... + 938: 00 breakpoint + 939: 00 breakpoint + 93a: 00 breakpoint + 93b: 01 .byte 1 + 93c: 33 loadb + 93d: 0e .byte 14 + 93e: ab im 43 + 93f: cd im -51 + 940: 12 addsp 8 + 941: 34 storeb + 942: e6 im -26 + 943: 6d loadsp 116 + 944: de im -34 + 945: ec im -20 + 946: 00 breakpoint + 947: 05 add + 948: 00 breakpoint + 949: 0b nop + ... +Disassembly of section .ctors: + +00000c0c <___ctors>: + c0c: ff im -1 + c0d: ff im -1 + c0e: ff im -1 + c0f: ff im -1 + +00000c10 <__CTOR_END__>: + c10: 00 breakpoint + c11: 00 breakpoint + ... +Disassembly of section .dtors: + +00000c14 <___dtors>: + c14: ff im -1 + c15: ff im -1 + c16: ff im -1 + c17: ff im -1 + +00000c18 <__DTOR_END__>: + c18: 00 breakpoint + c19: 00 breakpoint + ... +Disassembly of section .jcr: + +00000c1c <__JCR_END__>: + c1c: 00 breakpoint + c1d: 00 breakpoint + ... +Disassembly of section .bss: + +00000c20 : + c20: 00 breakpoint + c21: 00 breakpoint + ... + +00000c24 : + c24: 00 breakpoint + c25: 00 breakpoint + ... + +00000c28 : + c28: 00 breakpoint + c29: 00 breakpoint + ... + +00000c2c : + c2c: 00 breakpoint + c2d: 00 breakpoint + ... + +00000c30 <_use_syscall>: + c30: 00 breakpoint + c31: 00 breakpoint + ... +Disassembly of section .debug_aranges: + +00000000 <.debug_aranges>: + 0: 00 breakpoint + 1: 00 breakpoint + 2: 00 breakpoint + 3: 3c syscall + 4: 00 breakpoint + 5: 02 pushsp + 6: 00 breakpoint + 7: 00 breakpoint + 8: 00 breakpoint + 9: 00 breakpoint + a: 04 poppc + ... + 1f: 29 mult + 20: 00 breakpoint + 21: 00 breakpoint + 22: 00 breakpoint + 23: 00 breakpoint + 24: 00 breakpoint + 25: 00 breakpoint + 26: 00 breakpoint + 27: 25 lessthanorequal + 28: 00 breakpoint + 29: 00 breakpoint + 2a: 04 poppc + 2b: 5f storesp 60 + 2c: 00 breakpoint + 2d: 00 breakpoint + 2e: 00 breakpoint + 2f: 85 im 5 + 30: 00 breakpoint + 31: 00 breakpoint + 32: 00 breakpoint + 33: 00 breakpoint + 34: 00 breakpoint + 35: 00 breakpoint + 36: 00 breakpoint + 37: 6c loadsp 112 + ... + 40: 00 breakpoint + 41: 00 breakpoint + 42: 00 breakpoint + 43: 1c addsp 48 + 44: 00 breakpoint + 45: 02 pushsp + 46: 00 breakpoint + 47: 00 breakpoint + 48: 01 .byte 1 + 49: 75 loadsp 20 + 4a: 04 poppc + 4b: 00 breakpoint + 4c: 00 breakpoint + 4d: 00 breakpoint + 4e: 00 breakpoint + 4f: 00 breakpoint + 50: 00 breakpoint + 51: 00 breakpoint + 52: 05 add + 53: 24 lessthan + 54: 00 breakpoint + 55: 00 breakpoint + 56: 00 breakpoint + 57: 2f neq + ... + 60: 00 breakpoint + 61: 00 breakpoint + 62: 00 breakpoint + 63: 1c addsp 48 + 64: 00 breakpoint + 65: 02 pushsp + 66: 00 breakpoint + 67: 00 breakpoint + 68: 01 .byte 1 + 69: d2 im -46 + 6a: 04 poppc + ... + 77: b8 im 56 + ... + 80: 00 breakpoint + 81: 00 breakpoint + 82: 00 breakpoint + 83: 94 im 20 + 84: 00 breakpoint + 85: 02 pushsp + 86: 00 breakpoint + 87: 00 breakpoint + 88: 02 pushsp + 89: d1 im -47 + 8a: 04 poppc + ... + 97: 00 breakpoint + 98: 00 breakpoint + 99: 00 breakpoint + 9a: 07 or + 9b: 43 storesp 76 + 9c: 00 breakpoint + 9d: 00 breakpoint + 9e: 00 breakpoint + 9f: 6a loadsp 104 + a0: 00 breakpoint + a1: 00 breakpoint + a2: 07 or + a3: 80 im 0 + a4: 00 breakpoint + a5: 00 breakpoint + a6: 00 breakpoint + a7: 07 or + a8: 00 breakpoint + a9: 00 breakpoint + aa: 07 or + ab: 83 im 3 + ac: 00 breakpoint + ad: 00 breakpoint + ae: 00 breakpoint + af: 07 or + b0: 00 breakpoint + b1: 00 breakpoint + b2: 00 breakpoint + b3: 00 breakpoint + b4: 00 breakpoint + b5: 00 breakpoint + b6: 00 breakpoint + b7: 98 im 24 + b8: 00 breakpoint + b9: 00 breakpoint + ba: 00 breakpoint + bb: 00 breakpoint + bc: 00 breakpoint + bd: 00 breakpoint + be: 00 breakpoint + bf: b4 im 52 + c0: 00 breakpoint + c1: 00 breakpoint + c2: 00 breakpoint + c3: 00 breakpoint + c4: 00 breakpoint + c5: 00 breakpoint + c6: 00 breakpoint + c7: 5a storesp 40 + c8: 00 breakpoint + c9: 00 breakpoint + ca: 00 breakpoint + cb: 00 breakpoint + cc: 00 breakpoint + cd: 00 breakpoint + ce: 00 breakpoint + cf: 3d pushspadd + d0: 00 breakpoint + d1: 00 breakpoint + d2: 00 breakpoint + d3: 00 breakpoint + d4: 00 breakpoint + d5: 00 breakpoint + d6: 00 breakpoint + d7: 05 add + d8: 00 breakpoint + d9: 00 breakpoint + da: 00 breakpoint + db: 00 breakpoint + dc: 00 breakpoint + dd: 00 breakpoint + de: 00 breakpoint + df: 56 storesp 24 + e0: 00 breakpoint + e1: 00 breakpoint + e2: 00 breakpoint + e3: 00 breakpoint + e4: 00 breakpoint + e5: 00 breakpoint + e6: 00 breakpoint + e7: 4b storesp 108 + e8: 00 breakpoint + e9: 00 breakpoint + ea: 00 breakpoint + eb: 00 breakpoint + ec: 00 breakpoint + ed: 00 breakpoint + ee: 00 breakpoint + ef: 3d pushspadd + f0: 00 breakpoint + f1: 00 breakpoint + f2: 00 breakpoint + f3: 00 breakpoint + f4: 00 breakpoint + f5: 00 breakpoint + f6: 00 breakpoint + f7: 95 im 21 + f8: 00 breakpoint + f9: 00 breakpoint + fa: 00 breakpoint + fb: 00 breakpoint + fc: 00 breakpoint + fd: 00 breakpoint + fe: 00 breakpoint + ff: 58 storesp 32 + 100: 00 breakpoint + 101: 00 breakpoint + 102: 00 breakpoint + 103: 00 breakpoint + 104: 00 breakpoint + 105: 00 breakpoint + 106: 00 breakpoint + 107: 66 loadsp 88 + 108: 00 breakpoint + 109: 00 breakpoint + 10a: 00 breakpoint + 10b: 00 breakpoint + 10c: 00 breakpoint + 10d: 00 breakpoint + 10e: 00 breakpoint + 10f: 3c syscall + ... + 118: 00 breakpoint + 119: 00 breakpoint + 11a: 00 breakpoint + 11b: 1c addsp 48 + 11c: 00 breakpoint + 11d: 02 pushsp + 11e: 00 breakpoint + 11f: 00 breakpoint + 120: 0b nop + 121: b9 im 57 + 122: 04 poppc + ... + 12f: 09 not + ... + 138: 00 breakpoint + 139: 00 breakpoint + 13a: 00 breakpoint + 13b: 1c addsp 48 + 13c: 00 breakpoint + 13d: 02 pushsp + 13e: 00 breakpoint + 13f: 00 breakpoint + 140: 14 addsp 16 + 141: a2 im 34 + 142: 04 poppc + 143: 00 breakpoint + 144: 00 breakpoint + 145: 00 breakpoint + 146: 00 breakpoint + 147: 00 breakpoint + 148: 00 breakpoint + 149: 00 breakpoint + 14a: 07 or + 14b: 86 im 6 + 14c: 00 breakpoint + 14d: 00 breakpoint + 14e: 00 breakpoint + 14f: d1 im -47 + ... + 158: 00 breakpoint + 159: 00 breakpoint + 15a: 00 breakpoint + 15b: 1c addsp 48 + 15c: 00 breakpoint + 15d: 02 pushsp + 15e: 00 breakpoint + 15f: 00 breakpoint + 160: 26 ulessthan + 161: a7 im 39 + 162: 04 poppc + ... + 16f: b2 im 50 + ... + 178: 00 breakpoint + 179: 00 breakpoint + 17a: 00 breakpoint + 17b: 1c addsp 48 + 17c: 00 breakpoint + 17d: 02 pushsp + 17e: 00 breakpoint + 17f: 00 breakpoint + 180: 27 ulessthanorequal + 181: ab im 43 + 182: 04 poppc + ... + 18f: 73 loadsp 12 + ... +Disassembly of section .debug_pubnames: + +00000000 <.debug_pubnames>: + 0: 00 breakpoint + 1: 00 breakpoint + 2: 00 breakpoint + 3: 49 storesp 100 + 4: 00 breakpoint + 5: 02 pushsp + 6: 00 breakpoint + 7: 00 breakpoint + 8: 00 breakpoint + 9: 00 breakpoint + a: 00 breakpoint + b: 00 breakpoint + c: 01 .byte 1 + d: 75 loadsp 20 + e: 00 breakpoint + f: 00 breakpoint + 10: 00 breakpoint + 11: 6a loadsp 104 + 12: 69 loadsp 100 + 13: 6e loadsp 120 + 14: 62 loadsp 72 + 15: 79 loadsp 36 + 16: 74 loadsp 16 + 17: 65 loadsp 84 + 18: 00 breakpoint + 19: 00 breakpoint + 1a: 00 breakpoint + 1b: 00 breakpoint + 1c: 93 im 19 + 1d: 6f loadsp 124 + 1e: 75 loadsp 20 + 1f: 74 loadsp 16 + 20: 62 loadsp 72 + 21: 79 loadsp 36 + 22: 74 loadsp 16 + 23: 65 loadsp 84 + 24: 00 breakpoint + 25: 00 breakpoint + 26: 00 breakpoint + 27: 00 breakpoint + 28: b8 im 56 + 29: 5f storesp 60 + 2a: 69 loadsp 100 + 2b: 6e loadsp 120 + 2c: 69 loadsp 100 + 2d: 74 loadsp 16 + 2e: 49 storesp 100 + 2f: 4f storesp 124 + 30: 00 breakpoint + 31: 00 breakpoint + 32: 00 breakpoint + 33: 00 breakpoint + 34: cc im -52 + 35: 5f storesp 60 + 36: 72 loadsp 8 + 37: 65 loadsp 84 + 38: 61 loadsp 68 + 39: 64 loadsp 80 + 3a: 43 storesp 76 + 3b: 79 loadsp 36 + 3c: 63 loadsp 76 + 3d: 6c loadsp 112 + 3e: 65 loadsp 84 + 3f: 73 loadsp 12 + 40: 00 breakpoint + 41: 00 breakpoint + 42: 00 breakpoint + 43: 01 .byte 1 + 44: 4c storesp 112 + 45: 4d storesp 116 + 46: 48 storesp 96 + 47: 5a storesp 40 + ... + 50: 17 addsp 28 + 51: 00 breakpoint + 52: 02 pushsp + 53: 00 breakpoint + 54: 00 breakpoint + 55: 01 .byte 1 + 56: 75 loadsp 20 + 57: 00 breakpoint + 58: 00 breakpoint + 59: 00 breakpoint + 5a: 5d storesp 52 + 5b: 00 breakpoint + 5c: 00 breakpoint + 5d: 00 breakpoint + 5e: 21 .byte 33 + 5f: 6d loadsp 116 + 60: 61 loadsp 68 + 61: 69 loadsp 100 + 62: 6e loadsp 120 + ... + 6b: 19 addsp 36 + 6c: 00 breakpoint + 6d: 02 pushsp + 6e: 00 breakpoint + 6f: 00 breakpoint + 70: 01 .byte 1 + 71: d2 im -46 + 72: 00 breakpoint + 73: 00 breakpoint + 74: 00 breakpoint + 75: ff im -1 + 76: 00 breakpoint + 77: 00 breakpoint + 78: 00 breakpoint + 79: 45 storesp 84 + 7a: 6d loadsp 116 + 7b: 65 loadsp 84 + 7c: 6d loadsp 116 + 7d: 63 loadsp 76 + 7e: 70 loadsp 0 + 7f: 79 loadsp 36 + ... + 88: b0 im 48 + 89: 00 breakpoint + 8a: 02 pushsp + 8b: 00 breakpoint + 8c: 00 breakpoint + 8d: 02 pushsp + 8e: d1 im -47 + 8f: 00 breakpoint + 90: 00 breakpoint + 91: 08 load + 92: e8 im -24 + 93: 00 breakpoint + 94: 00 breakpoint + 95: 02 pushsp + 96: f3 im -13 + 97: 5f storesp 60 + 98: 70 loadsp 0 + 99: 72 loadsp 8 + 9a: 65 loadsp 84 + 9b: 6d loadsp 116 + 9c: 61 loadsp 68 + 9d: 69 loadsp 100 + 9e: 6e loadsp 120 + 9f: 00 breakpoint + a0: 00 breakpoint + a1: 00 breakpoint + a2: 03 .byte 3 + a3: 24 lessthan + a4: 5f storesp 60 + a5: 65 loadsp 84 + a6: 78 loadsp 32 + a7: 69 loadsp 100 + a8: 74 loadsp 16 + a9: 00 breakpoint + aa: 00 breakpoint + ab: 00 breakpoint + ac: 03 .byte 3 + ad: 4b storesp 108 + ae: 5f storesp 60 + af: 7a loadsp 40 + b0: 70 loadsp 0 + b1: 75 loadsp 20 + b2: 5f storesp 60 + b3: 69 loadsp 100 + b4: 6e loadsp 120 + b5: 74 loadsp 16 + b6: 65 loadsp 84 + b7: 72 loadsp 8 + b8: 72 loadsp 8 + b9: 75 loadsp 20 + ba: 70 loadsp 0 + bb: 74 loadsp 16 + bc: 00 breakpoint + bd: 00 breakpoint + be: 00 breakpoint + bf: 03 .byte 3 + c0: 5f storesp 60 + c1: 77 loadsp 28 + c2: 72 loadsp 8 + c3: 69 loadsp 100 + c4: 74 loadsp 16 + c5: 65 loadsp 84 + c6: 00 breakpoint + c7: 00 breakpoint + c8: 00 breakpoint + c9: 04 poppc + ca: 11 addsp 4 + cb: 72 loadsp 8 + cc: 65 loadsp 84 + cd: 61 loadsp 68 + ce: 64 loadsp 80 + cf: 00 breakpoint + d0: 00 breakpoint + d1: 00 breakpoint + d2: 04 poppc + d3: eb im -21 + d4: 6f loadsp 124 + d5: 70 loadsp 0 + d6: 65 loadsp 84 + d7: 6e loadsp 120 + d8: 00 breakpoint + d9: 00 breakpoint + da: 00 breakpoint + db: 05 add + dc: 69 loadsp 100 + dd: 63 loadsp 76 + de: 6c loadsp 112 + df: 6f loadsp 124 + e0: 73 loadsp 12 + e1: 65 loadsp 84 + e2: 00 breakpoint + e3: 00 breakpoint + e4: 00 breakpoint + e5: 05 add + e6: b6 im 54 + e7: 66 loadsp 88 + e8: 74 loadsp 16 + e9: 72 loadsp 8 + ea: 75 loadsp 20 + eb: 6e loadsp 120 + ec: 63 loadsp 76 + ed: 61 loadsp 68 + ee: 74 loadsp 16 + ef: 65 loadsp 84 + f0: 00 breakpoint + f1: 00 breakpoint + f2: 00 breakpoint + f3: 05 add + f4: ef im -17 + f5: 75 loadsp 20 + f6: 6e loadsp 120 + f7: 6c loadsp 112 + f8: 69 loadsp 100 + f9: 6e loadsp 120 + fa: 6b loadsp 108 + fb: 00 breakpoint + fc: 00 breakpoint + fd: 00 breakpoint + fe: 06 and + ff: 50 storesp 0 + 100: 6c loadsp 112 + 101: 73 loadsp 12 + 102: 65 loadsp 84 + 103: 65 loadsp 84 + 104: 6b loadsp 108 + 105: 00 breakpoint + 106: 00 breakpoint + 107: 00 breakpoint + 108: 07 or + 109: 63 loadsp 76 + 10a: 66 loadsp 88 + 10b: 73 loadsp 12 + 10c: 74 loadsp 16 + 10d: 61 loadsp 68 + 10e: 74 loadsp 16 + 10f: 00 breakpoint + 110: 00 breakpoint + 111: 00 breakpoint + 112: 07 or + 113: d2 im -46 + 114: 73 loadsp 12 + 115: 74 loadsp 16 + 116: 61 loadsp 68 + 117: 74 loadsp 16 + 118: 00 breakpoint + 119: 00 breakpoint + 11a: 00 breakpoint + 11b: 08 load + 11c: 56 storesp 24 + 11d: 69 loadsp 100 + 11e: 73 loadsp 12 + 11f: 61 loadsp 68 + 120: 74 loadsp 16 + 121: 74 loadsp 16 + 122: 79 loadsp 36 + 123: 00 breakpoint + 124: 00 breakpoint + 125: 00 breakpoint + 126: 08 load + 127: b4 im 52 + 128: 5f storesp 60 + 129: 75 loadsp 20 + 12a: 73 loadsp 12 + 12b: 65 loadsp 84 + 12c: 5f storesp 60 + 12d: 73 loadsp 12 + 12e: 79 loadsp 36 + 12f: 73 loadsp 12 + 130: 63 loadsp 76 + 131: 61 loadsp 68 + 132: 6c loadsp 112 + 133: 6c loadsp 112 + ... + 13c: 1a addsp 40 + 13d: 00 breakpoint + 13e: 02 pushsp + 13f: 00 breakpoint + 140: 00 breakpoint + 141: 0b nop + 142: b9 im 57 + 143: 00 breakpoint + 144: 00 breakpoint + 145: 08 load + 146: e9 im -23 + 147: 00 breakpoint + 148: 00 breakpoint + 149: 08 load + 14a: bc im 60 + 14b: 5f storesp 60 + 14c: 5f storesp 60 + 14d: 65 loadsp 84 + 14e: 72 loadsp 8 + 14f: 72 loadsp 8 + 150: 6e loadsp 120 + 151: 6f loadsp 124 + ... + 15a: 17 addsp 28 + 15b: 00 breakpoint + 15c: 02 pushsp + 15d: 00 breakpoint + 15e: 00 breakpoint + 15f: 14 addsp 16 + 160: a2 im 34 + 161: 00 breakpoint + 162: 00 breakpoint + 163: 09 not + 164: 25 lessthanorequal + 165: 00 breakpoint + 166: 00 breakpoint + 167: 08 load + 168: bc im 60 + 169: 65 loadsp 84 + 16a: 78 loadsp 32 + 16b: 69 loadsp 100 + 16c: 74 loadsp 16 + ... + 175: 1e addsp 56 + 176: 00 breakpoint + 177: 02 pushsp + 178: 00 breakpoint + 179: 00 breakpoint + 17a: 1d addsp 52 + 17b: c7 im -57 + 17c: 00 breakpoint + 17d: 00 breakpoint + 17e: 08 load + 17f: e0 im -32 + 180: 00 breakpoint + 181: 00 breakpoint + 182: 08 load + 183: bc im 60 + 184: 5f storesp 60 + 185: 69 loadsp 100 + 186: 6d loadsp 116 + 187: 70 loadsp 0 + 188: 75 loadsp 20 + 189: 72 loadsp 8 + 18a: 65 loadsp 84 + 18b: 5f storesp 60 + 18c: 70 loadsp 0 + 18d: 74 loadsp 16 + 18e: 72 loadsp 8 + ... + 197: 19 addsp 36 + 198: 00 breakpoint + 199: 02 pushsp + 19a: 00 breakpoint + 19b: 00 breakpoint + 19c: 26 ulessthan + 19d: a7 im 39 + 19e: 00 breakpoint + 19f: 00 breakpoint + 1a0: 01 .byte 1 + 1a1: 04 poppc + 1a2: 00 breakpoint + 1a3: 00 breakpoint + 1a4: 00 breakpoint + 1a5: 85 im 5 + 1a6: 6d loadsp 116 + 1a7: 65 loadsp 84 + 1a8: 6d loadsp 116 + 1a9: 73 loadsp 12 + 1aa: 65 loadsp 84 + 1ab: 74 loadsp 16 + ... + 1b4: 19 addsp 36 + 1b5: 00 breakpoint + 1b6: 02 pushsp + 1b7: 00 breakpoint + 1b8: 00 breakpoint + 1b9: 27 ulessthanorequal + 1ba: ab im 43 + 1bb: 00 breakpoint + 1bc: 00 breakpoint + 1bd: 00 breakpoint + 1be: d3 im -45 + 1bf: 00 breakpoint + 1c0: 00 breakpoint + 1c1: 00 breakpoint + 1c2: 88 im 8 + 1c3: 73 loadsp 12 + 1c4: 74 loadsp 16 + 1c5: 72 loadsp 8 + 1c6: 6c loadsp 112 + 1c7: 65 loadsp 84 + 1c8: 6e loadsp 120 + 1c9: 00 breakpoint + 1ca: 00 breakpoint + 1cb: 00 breakpoint + ... +Disassembly of section .debug_info: + +00000000 <.Ldebug_info0>: + 0: 00 breakpoint + 1: 00 breakpoint + 2: 01 .byte 1 + 3: 71 loadsp 4 + 4: 00 breakpoint + 5: 02 pushsp + 6: 00 breakpoint + 7: 00 breakpoint + 8: 00 breakpoint + 9: 00 breakpoint + a: 04 poppc + b: 01 .byte 1 + c: 00 breakpoint + d: 00 breakpoint + e: 00 breakpoint + f: 00 breakpoint + 10: 00 breakpoint + 11: 00 breakpoint + 12: 00 breakpoint + 13: 5e storesp 56 + 14: 01 .byte 1 + 15: 00 breakpoint + 16: 00 breakpoint + 17: 00 breakpoint + 18: 74 loadsp 16 + 19: 00 breakpoint + 1a: 00 breakpoint + 1b: 00 breakpoint + 1c: be im 62 + 1d: 02 pushsp + 1e: 00 breakpoint + 1f: 00 breakpoint + 20: 00 breakpoint + 21: 11 addsp 4 + 22: 04 poppc + 23: 07 or + 24: 02 pushsp + 25: 00 breakpoint + 26: 00 breakpoint + 27: 00 breakpoint + 28: 28 swap + 29: 04 poppc + 2a: 05 add + 2b: 02 pushsp + 2c: 00 breakpoint + 2d: 00 breakpoint + 2e: 00 breakpoint + 2f: 23 storeh + 30: 08 load + 31: 05 add + 32: 03 .byte 3 + 33: 69 loadsp 100 + 34: 6e loadsp 120 + 35: 74 loadsp 16 + 36: 00 breakpoint + 37: 04 poppc + 38: 05 add + 39: 02 pushsp + 3a: 00 breakpoint + 3b: 00 breakpoint + 3c: 00 breakpoint + 3d: 16 addsp 24 + 3e: 04 poppc + 3f: 07 or + 40: 02 pushsp + 41: 00 breakpoint + 42: 00 breakpoint + 43: 00 breakpoint + 44: 11 addsp 4 + 45: 04 poppc + 46: 07 or + 47: 02 pushsp + 48: 00 breakpoint + 49: 00 breakpoint + 4a: 00 breakpoint + 4b: 9a im 26 + 4c: 01 .byte 1 + 4d: 08 load + 4e: 02 pushsp + 4f: 00 breakpoint + 50: 00 breakpoint + 51: 00 breakpoint + 52: a8 im 40 + 53: 02 pushsp + 54: 05 add + 55: 02 pushsp + 56: 00 breakpoint + 57: 00 breakpoint + 58: 00 breakpoint + 59: a3 im 35 + 5a: 01 .byte 1 + 5b: 08 load + 5c: 02 pushsp + 5d: 00 breakpoint + 5e: 00 breakpoint + 5f: 00 breakpoint + 60: 4b storesp 108 + 61: 02 pushsp + 62: 07 or + 63: 02 pushsp + 64: 00 breakpoint + 65: 00 breakpoint + 66: 00 breakpoint + 67: 0c store + 68: 08 load + 69: 07 or + 6a: 04 poppc + 6b: 00 breakpoint + 6c: 00 breakpoint + 6d: 00 breakpoint + 6e: 93 im 19 + 6f: 01 .byte 1 + 70: 00 breakpoint + 71: 00 breakpoint + 72: 00 breakpoint + 73: b2 im 50 + 74: 0b nop + 75: 18 addsp 32 + 76: 00 breakpoint + 77: 00 breakpoint + 78: 00 breakpoint + 79: 32 xor + ... + 82: 02 pushsp + 83: 90 im 16 + 84: 20 .byte 32 + 85: 05 add + 86: 76 loadsp 24 + 87: 61 loadsp 68 + 88: 6c loadsp 112 + 89: 00 breakpoint + 8a: 0b nop + 8b: 19 addsp 36 + 8c: 00 breakpoint + 8d: 00 breakpoint + 8e: 00 breakpoint + 8f: 32 xor + 90: 01 .byte 1 + 91: 59 storesp 36 + 92: 00 breakpoint + 93: 06 and + 94: 00 breakpoint + 95: 00 breakpoint + 96: 00 breakpoint + 97: b8 im 56 + 98: 01 .byte 1 + 99: 00 breakpoint + 9a: 00 breakpoint + 9b: 00 breakpoint + 9c: 37 eqbranch + 9d: 0b nop + 9e: 2c ashiftright + 9f: 01 .byte 1 + ... + a8: 02 pushsp + a9: 90 im 16 + aa: 20 .byte 32 + ab: 07 or + ac: 63 loadsp 76 + ad: 00 breakpoint + ae: 0b nop + af: 2b ashiftleft + b0: 00 breakpoint + b1: 00 breakpoint + b2: 00 breakpoint + b3: 32 xor + b4: 02 pushsp + b5: 91 im 17 + b6: 0c store + b7: 00 breakpoint + b8: 08 load + b9: 01 .byte 1 + ba: 00 breakpoint + bb: 00 breakpoint + bc: 00 breakpoint + bd: fd im -3 + be: 0b nop + bf: 35 div + c0: 01 .byte 1 + c1: 00 breakpoint + c2: 00 breakpoint + c3: 04 poppc + c4: 5f storesp 60 + c5: 00 breakpoint + c6: 00 breakpoint + c7: 04 poppc + c8: c4 im -60 + c9: 02 pushsp + ca: 90 im 16 + cb: 20 .byte 32 + cc: 04 poppc + cd: 00 breakpoint + ce: 00 breakpoint + cf: 01 .byte 1 + d0: 05 add + d1: 01 .byte 1 + d2: 00 breakpoint + d3: 00 breakpoint + d4: 00 breakpoint + d5: 3f callpcrel + d6: 0b nop + d7: 50 storesp 0 + d8: 00 breakpoint + d9: 00 breakpoint + da: 00 breakpoint + db: 2b ashiftleft + ... + e4: 02 pushsp + e5: 90 im 16 + e6: 20 .byte 32 + e7: 09 not + e8: 00 breakpoint + e9: 00 breakpoint + ea: 01 .byte 1 + eb: 05 add + ec: 0b nop + ed: 51 storesp 4 + ee: 00 breakpoint + ef: 00 breakpoint + f0: 00 breakpoint + f1: 2b ashiftleft + f2: 06 and + f3: 5c storesp 48 + f4: 93 im 19 + f5: 04 poppc + f6: 5d storesp 52 + f7: 93 im 19 + f8: 04 poppc + f9: 05 add + fa: 69 loadsp 100 + fb: 00 breakpoint + fc: 0b nop + fd: 52 storesp 8 + fe: 00 breakpoint + ff: 00 breakpoint + 100: 00 breakpoint + 101: 39 poppcrel + 102: 01 .byte 1 + 103: 5e storesp 56 + 104: 00 breakpoint + 105: 0a flip + 106: 00 breakpoint + 107: 00 breakpoint + 108: 00 breakpoint + 109: 6a loadsp 104 + 10a: 0b nop + 10b: 06 and + 10c: 00 breakpoint + 10d: 00 breakpoint + 10e: 00 breakpoint + 10f: 32 xor + 110: 01 .byte 1 + 111: 01 .byte 1 + 112: 0a flip + 113: 00 breakpoint + 114: 00 breakpoint + 115: 00 breakpoint + 116: 00 breakpoint + 117: 0b nop + 118: 0b nop + 119: 00 breakpoint + 11a: 00 breakpoint + 11b: 00 breakpoint + 11c: 32 xor + 11d: 01 .byte 1 + 11e: 01 .byte 1 + 11f: 09 not + 120: 00 breakpoint + 121: 00 breakpoint + 122: 00 breakpoint + 123: b9 im 57 + 124: 0b nop + 125: 0c store + 126: 00 breakpoint + 127: 00 breakpoint + 128: 01 .byte 1 + 129: 30 neg + 12a: 05 add + 12b: 03 .byte 3 + 12c: 00 breakpoint + 12d: 00 breakpoint + 12e: 0c store + 12f: 20 .byte 32 + 130: 0b nop + 131: 04 poppc + 132: 00 breakpoint + 133: 00 breakpoint + 134: 01 .byte 1 + 135: 36 mod + 136: 0c store + 137: 00 breakpoint + 138: 00 breakpoint + 139: 00 breakpoint + 13a: 32 xor + 13b: 09 not + 13c: 00 breakpoint + 13d: 00 breakpoint + 13e: 00 breakpoint + 13f: 31 sub + 140: 0b nop + 141: 0d popsp + 142: 00 breakpoint + 143: 00 breakpoint + 144: 01 .byte 1 + 145: 30 neg + 146: 05 add + 147: 03 .byte 3 + 148: 00 breakpoint + 149: 00 breakpoint + 14a: 0c store + 14b: 24 lessthan + 14c: 0d popsp + 14d: 4d storesp 116 + 14e: 48 storesp 96 + 14f: 5a storesp 40 + 150: 00 breakpoint + 151: 0b nop + 152: 0e .byte 14 + 153: 00 breakpoint + 154: 00 breakpoint + 155: 01 .byte 1 + 156: 30 neg + 157: 01 .byte 1 + 158: 05 add + 159: 03 .byte 3 + 15a: 00 breakpoint + 15b: 00 breakpoint + 15c: 0c store + 15d: 28 swap + 15e: 05 add + 15f: 6d loadsp 116 + 160: 68 loadsp 96 + 161: 7a loadsp 40 + 162: 00 breakpoint + 163: 0b nop + 164: 32 xor + 165: 00 breakpoint + 166: 00 breakpoint + 167: 01 .byte 1 + 168: 6f loadsp 124 + 169: 05 add + 16a: 03 .byte 3 + 16b: 00 breakpoint + 16c: 00 breakpoint + 16d: 08 load + 16e: 54 storesp 16 + 16f: 0e .byte 14 + 170: 00 breakpoint + 171: 00 breakpoint + 172: 00 breakpoint + 173: 32 xor + ... + +00000175 <.Ldebug_info0>: + 175: 00 breakpoint + 176: 00 breakpoint + 177: 00 breakpoint + 178: 59 storesp 36 + 179: 00 breakpoint + 17a: 02 pushsp + 17b: 00 breakpoint + 17c: 00 breakpoint + 17d: 00 breakpoint + 17e: cf im -49 + 17f: 04 poppc + 180: 01 .byte 1 + 181: 00 breakpoint + 182: 00 breakpoint + 183: 02 pushsp + 184: 87 im 7 + 185: 00 breakpoint + 186: 00 breakpoint + 187: 05 add + 188: 47 storesp 92 + 189: 00 breakpoint + 18a: 00 breakpoint + 18b: 05 add + 18c: 24 lessthan + 18d: 00 breakpoint + 18e: 00 breakpoint + 18f: 00 breakpoint + 190: 5e storesp 56 + 191: 01 .byte 1 + 192: 00 breakpoint + 193: 00 breakpoint + 194: 01 .byte 1 + 195: 13 addsp 12 + 196: 02 pushsp + 197: 00 breakpoint + 198: 00 breakpoint + 199: 00 breakpoint + 19a: 55 storesp 20 + 19b: 01 .byte 1 + 19c: 00 breakpoint + 19d: 00 breakpoint + 19e: 02 pushsp + 19f: 77 loadsp 28 + 1a0: 01 .byte 1 + 1a1: 05 add + 1a2: 00 breakpoint + 1a3: 00 breakpoint + 1a4: 00 breakpoint + 1a5: 55 storesp 20 + 1a6: 00 breakpoint + 1a7: 00 breakpoint + 1a8: 05 add + 1a9: 24 lessthan + 1aa: 00 breakpoint + 1ab: 00 breakpoint + 1ac: 05 add + 1ad: 47 storesp 92 + 1ae: 02 pushsp + 1af: 90 im 16 + 1b0: 20 .byte 32 + 1b1: 03 .byte 3 + 1b2: 00 breakpoint + 1b3: 00 breakpoint + 1b4: 01 .byte 1 + 1b5: 0b nop + 1b6: 01 .byte 1 + 1b7: 06 and + 1b8: 00 breakpoint + 1b9: 00 breakpoint + 1ba: 00 breakpoint + 1bb: 55 storesp 20 + 1bc: 01 .byte 1 + 1bd: 59 storesp 36 + 1be: 04 poppc + 1bf: 69 loadsp 100 + 1c0: 00 breakpoint + 1c1: 01 .byte 1 + 1c2: 07 or + 1c3: 00 breakpoint + 1c4: 00 breakpoint + 1c5: 00 breakpoint + 1c6: 55 storesp 20 + 1c7: 01 .byte 1 + 1c8: 58 storesp 32 + 1c9: 00 breakpoint + 1ca: 05 add + 1cb: 69 loadsp 100 + 1cc: 6e loadsp 120 + 1cd: 74 loadsp 16 + 1ce: 00 breakpoint + 1cf: 04 poppc + 1d0: 05 add + ... + +000001d2 <.Ldebug_info0>: + 1d2: 00 breakpoint + 1d3: 00 breakpoint + 1d4: 00 breakpoint + 1d5: fb im -5 + 1d6: 00 breakpoint + 1d7: 02 pushsp + 1d8: 00 breakpoint + 1d9: 00 breakpoint + 1da: 01 .byte 1 + 1db: 21 .byte 33 + 1dc: 04 poppc + 1dd: 01 .byte 1 + 1de: 00 breakpoint + 1df: 00 breakpoint + 1e0: 03 .byte 3 + 1e1: 5f storesp 60 + ... + 1ea: 00 breakpoint + 1eb: 00 breakpoint + 1ec: 00 breakpoint + 1ed: 5e storesp 56 + 1ee: 01 .byte 1 + 1ef: 00 breakpoint + 1f0: 00 breakpoint + 1f1: 01 .byte 1 + 1f2: eb im -21 + 1f3: 00 breakpoint + 1f4: 00 breakpoint + 1f5: 01 .byte 1 + 1f6: 95 im 21 + 1f7: 02 pushsp + 1f8: 00 breakpoint + 1f9: 00 breakpoint + 1fa: 00 breakpoint + 1fb: 28 swap + 1fc: 04 poppc + 1fd: 05 add + 1fe: 03 .byte 3 + 1ff: 00 breakpoint + 200: 00 breakpoint + 201: 01 .byte 1 + 202: 8e im 14 + 203: 01 .byte 1 + 204: d5 im -43 + 205: 00 breakpoint + 206: 00 breakpoint + 207: 00 breakpoint + 208: 37 eqbranch + 209: 02 pushsp + 20a: 00 breakpoint + 20b: 00 breakpoint + 20c: 00 breakpoint + 20d: 11 addsp 4 + 20e: 04 poppc + 20f: 07 or + 210: 04 poppc + 211: 69 loadsp 100 + 212: 6e loadsp 120 + 213: 74 loadsp 16 + 214: 00 breakpoint + 215: 04 poppc + 216: 05 add + 217: 05 add + 218: 00 breakpoint + 219: 00 breakpoint + 21a: 00 breakpoint + 21b: cc im -52 + 21c: 01 .byte 1 + 21d: 00 breakpoint + 21e: 00 breakpoint + 21f: 02 pushsp + 220: 1f addsp 60 + 221: 02 pushsp + 222: 39 poppcrel + 223: 01 .byte 1 + 224: 00 breakpoint + 225: 00 breakpoint + 226: 00 breakpoint + 227: cc im -52 + ... + 230: 02 pushsp + 231: 90 im 16 + 232: 20 .byte 32 + 233: 06 and + 234: 00 breakpoint + 235: 00 breakpoint + 236: 02 pushsp + 237: 1a addsp 40 + 238: 02 pushsp + 239: 35 div + 23a: 00 breakpoint + 23b: 00 breakpoint + 23c: 00 breakpoint + 23d: cc im -52 + 23e: 01 .byte 1 + 23f: 5c storesp 48 + 240: 06 and + 241: 00 breakpoint + 242: 00 breakpoint + 243: 01 .byte 1 + 244: e6 im -26 + 245: 02 pushsp + 246: 35 div + 247: 00 breakpoint + 248: 00 breakpoint + 249: 00 breakpoint + 24a: ce im -50 + 24b: 02 pushsp + 24c: 91 im 17 + 24d: 1c addsp 48 + 24e: 06 and + 24f: 00 breakpoint + 250: 00 breakpoint + 251: 02 pushsp + 252: 26 ulessthan + 253: 02 pushsp + 254: 35 div + 255: 00 breakpoint + 256: 00 breakpoint + 257: 00 breakpoint + 258: 2c ashiftright + 259: 02 pushsp + 25a: 91 im 17 + 25b: 20 .byte 32 + 25c: 07 or + 25d: 64 loadsp 80 + 25e: 73 loadsp 12 + 25f: 74 loadsp 16 + 260: 00 breakpoint + 261: 02 pushsp + 262: 47 storesp 92 + 263: 00 breakpoint + 264: 00 breakpoint + 265: 00 breakpoint + 266: d5 im -43 + 267: 01 .byte 1 + 268: 5b storesp 44 + 269: 07 or + 26a: 73 loadsp 12 + 26b: 72 loadsp 8 + 26c: 63 loadsp 76 + 26d: 00 breakpoint + 26e: 02 pushsp + 26f: 48 storesp 96 + 270: 00 breakpoint + 271: 00 breakpoint + 272: 00 breakpoint + 273: e2 im -30 + 274: 01 .byte 1 + 275: 5a storesp 40 + 276: 08 load + 277: 00 breakpoint + 278: 00 breakpoint + 279: 01 .byte 1 + 27a: 82 im 2 + 27b: 02 pushsp + 27c: 49 storesp 100 + 27d: 00 breakpoint + 27e: 00 breakpoint + 27f: 00 breakpoint + 280: ed im -19 + 281: 01 .byte 1 + 282: 58 storesp 32 + 283: 08 load + 284: 00 breakpoint + 285: 00 breakpoint + 286: 01 .byte 1 + 287: da im -38 + 288: 02 pushsp + 289: 4a storesp 104 + 28a: 00 breakpoint + 28b: 00 breakpoint + 28c: 00 breakpoint + 28d: f3 im -13 + 28e: 01 .byte 1 + 28f: 5a storesp 40 + 290: 07 or + 291: 6c loadsp 112 + 292: 65 loadsp 84 + 293: 6e loadsp 120 + 294: 00 breakpoint + 295: 02 pushsp + 296: 4b storesp 108 + 297: 00 breakpoint + 298: 00 breakpoint + 299: 00 breakpoint + 29a: 3e halfmult + 29b: 01 .byte 1 + 29c: 59 storesp 36 + 29d: 00 breakpoint + 29e: 09 not + 29f: 04 poppc + 2a0: 0a flip + 2a1: 04 poppc + 2a2: 00 breakpoint + 2a3: 00 breakpoint + 2a4: 00 breakpoint + 2a5: d4 im -44 + 2a6: 0b nop + 2a7: 0a flip + 2a8: 04 poppc + 2a9: 00 breakpoint + 2aa: 00 breakpoint + 2ab: 00 breakpoint + 2ac: db im -37 + 2ad: 02 pushsp + 2ae: 00 breakpoint + 2af: 00 breakpoint + 2b0: 00 breakpoint + 2b1: a3 im 35 + 2b2: 01 .byte 1 + 2b3: 08 load + 2b4: 0a flip + 2b5: 04 poppc + 2b6: 00 breakpoint + 2b7: 00 breakpoint + 2b8: 00 breakpoint + 2b9: e8 im -24 + 2ba: 0c store + 2bb: 00 breakpoint + 2bc: 00 breakpoint + 2bd: 00 breakpoint + 2be: db im -37 + 2bf: 0a flip + 2c0: 04 poppc + 2c1: 00 breakpoint + 2c2: 00 breakpoint + 2c3: 00 breakpoint + 2c4: 25 lessthanorequal + 2c5: 0a flip + 2c6: 04 poppc + 2c7: 00 breakpoint + 2c8: 00 breakpoint + 2c9: 00 breakpoint + 2ca: f9 im -7 + 2cb: 0c store + 2cc: 00 breakpoint + 2cd: 00 breakpoint + 2ce: 00 breakpoint + 2cf: 25 lessthanorequal + ... + +000002d1 <.Ldebug_info0>: + 2d1: 00 breakpoint + 2d2: 00 breakpoint + 2d3: 08 load + 2d4: e4 im -28 + 2d5: 00 breakpoint + 2d6: 02 pushsp + 2d7: 00 breakpoint + 2d8: 00 breakpoint + 2d9: 01 .byte 1 + 2da: ba im 58 + 2db: 04 poppc + 2dc: 01 .byte 1 + 2dd: 00 breakpoint + 2de: 00 breakpoint + 2df: 04 poppc + 2e0: 9d im 29 + 2e1: 00 breakpoint + 2e2: 00 breakpoint + 2e3: 00 breakpoint + 2e4: 00 breakpoint + 2e5: 00 breakpoint + 2e6: 00 breakpoint + 2e7: 00 breakpoint + 2e8: 5e storesp 56 + 2e9: 01 .byte 1 + 2ea: 00 breakpoint + 2eb: 00 breakpoint + 2ec: 02 pushsp + 2ed: ef im -17 + 2ee: 00 breakpoint + 2ef: 00 breakpoint + 2f0: 00 breakpoint + 2f1: be im 62 + 2f2: 02 pushsp + 2f3: 00 breakpoint + 2f4: 00 breakpoint + 2f5: 00 breakpoint + 2f6: 11 addsp 4 + 2f7: 04 poppc + 2f8: 07 or + 2f9: 02 pushsp + 2fa: 00 breakpoint + 2fb: 00 breakpoint + 2fc: 00 breakpoint + 2fd: 28 swap + 2fe: 04 poppc + 2ff: 05 add + 300: 02 pushsp + 301: 00 breakpoint + 302: 00 breakpoint + 303: 00 breakpoint + 304: 23 storeh + 305: 08 load + 306: 05 add + 307: 03 .byte 3 + 308: 69 loadsp 100 + 309: 6e loadsp 120 + 30a: 74 loadsp 16 + 30b: 00 breakpoint + 30c: 04 poppc + 30d: 05 add + 30e: 02 pushsp + 30f: 00 breakpoint + 310: 00 breakpoint + 311: 00 breakpoint + 312: 16 addsp 24 + 313: 04 poppc + 314: 07 or + 315: 02 pushsp + 316: 00 breakpoint + 317: 00 breakpoint + 318: 00 breakpoint + 319: 11 addsp 4 + 31a: 04 poppc + 31b: 07 or + 31c: 02 pushsp + 31d: 00 breakpoint + 31e: 00 breakpoint + 31f: 00 breakpoint + 320: 9a im 26 + 321: 01 .byte 1 + 322: 08 load + 323: 04 poppc + 324: 04 poppc + 325: 02 pushsp + 326: 00 breakpoint + 327: 00 breakpoint + 328: 00 breakpoint + 329: a8 im 40 + 32a: 02 pushsp + 32b: 05 add + 32c: 05 add + 32d: 04 poppc + 32e: 00 breakpoint + 32f: 00 breakpoint + 330: 00 breakpoint + 331: 61 loadsp 68 + 332: 02 pushsp + 333: 00 breakpoint + 334: 00 breakpoint + 335: 00 breakpoint + 336: a3 im 35 + 337: 01 .byte 1 + 338: 08 load + 339: 05 add + 33a: 04 poppc + 33b: 00 breakpoint + 33c: 00 breakpoint + 33d: 00 breakpoint + 33e: 6e loadsp 120 + 33f: 06 and + 340: 00 breakpoint + 341: 00 breakpoint + 342: 00 breakpoint + 343: 61 loadsp 68 + 344: 02 pushsp + 345: 00 breakpoint + 346: 00 breakpoint + 347: 00 breakpoint + 348: 4b storesp 108 + 349: 02 pushsp + 34a: 07 or + 34b: 02 pushsp + 34c: 00 breakpoint + 34d: 00 breakpoint + 34e: 00 breakpoint + 34f: 0c store + 350: 08 load + 351: 07 or + 352: 07 or + 353: 00 breakpoint + 354: 00 breakpoint + 355: 00 breakpoint + 356: 91 im 17 + 357: 00 breakpoint + 358: 00 breakpoint + 359: 00 breakpoint + 35a: 61 loadsp 68 + 35b: 08 load + 35c: 00 breakpoint + 35d: 00 breakpoint + 35e: 00 breakpoint + 35f: 44 storesp 80 + 360: 07 or + 361: 00 breakpoint + 362: 09 not + 363: 00 breakpoint + 364: 00 breakpoint + 365: 03 .byte 3 + 366: 64 loadsp 80 + 367: 05 add + 368: 5f storesp 60 + 369: 00 breakpoint + 36a: 00 breakpoint + 36b: 00 breakpoint + 36c: 28 swap + 36d: 09 not + 36e: 00 breakpoint + 36f: 00 breakpoint + 370: 03 .byte 3 + 371: e4 im -28 + 372: 05 add + 373: 79 loadsp 36 + 374: 00 breakpoint + 375: 00 breakpoint + 376: 00 breakpoint + 377: 73 loadsp 12 + 378: 09 not + 379: 00 breakpoint + 37a: 00 breakpoint + 37b: 03 .byte 3 + 37c: 41 storesp 68 + 37d: 05 add + 37e: 9b im 27 + 37f: 00 breakpoint + 380: 00 breakpoint + 381: 00 breakpoint + 382: 54 storesp 16 + 383: 09 not + 384: 00 breakpoint + 385: 00 breakpoint + 386: 02 pushsp + 387: e9 im -23 + 388: 05 add + 389: a0 im 32 + 38a: 00 breakpoint + 38b: 00 breakpoint + 38c: 00 breakpoint + 38d: 28 swap + 38e: 09 not + 38f: 00 breakpoint + 390: 00 breakpoint + 391: 02 pushsp + 392: d1 im -47 + 393: 05 add + 394: a2 im 34 + 395: 00 breakpoint + 396: 00 breakpoint + 397: 00 breakpoint + 398: 73 loadsp 12 + 399: 09 not + 39a: 00 breakpoint + 39b: 00 breakpoint + 39c: 02 pushsp + 39d: b0 im 48 + 39e: 05 add + 39f: a3 im 35 + 3a0: 00 breakpoint + 3a1: 00 breakpoint + 3a2: 00 breakpoint + 3a3: 73 loadsp 12 + 3a4: 09 not + 3a5: 00 breakpoint + 3a6: 00 breakpoint + 3a7: 02 pushsp + 3a8: a9 im 41 + 3a9: 05 add + 3aa: b8 im 56 + 3ab: 00 breakpoint + 3ac: 00 breakpoint + 3ad: 00 breakpoint + 3ae: 3d pushspadd + 3af: 09 not + 3b0: 00 breakpoint + 3b1: 00 breakpoint + 3b2: 03 .byte 3 + 3b3: 7e loadsp 56 + 3b4: 05 add + 3b5: bd im 61 + 3b6: 00 breakpoint + 3b7: 00 breakpoint + 3b8: 00 breakpoint + 3b9: 73 loadsp 12 + 3ba: 0a flip + 3bb: 00 breakpoint + 3bc: 00 breakpoint + 3bd: 01 .byte 1 + 3be: e4 im -28 + 3bf: 00 breakpoint + 3c0: 00 breakpoint + 3c1: 03 .byte 3 + 3c2: ca im -54 + 3c3: 3c syscall + 3c4: 0a flip + 3c5: 1a addsp 40 + 3c6: 0b nop + 3c7: 00 breakpoint + 3c8: 00 breakpoint + 3c9: 02 pushsp + 3ca: 94 im 20 + 3cb: 0a flip + 3cc: 1b addsp 44 + 3cd: 00 breakpoint + 3ce: 00 breakpoint + 3cf: 00 breakpoint + 3d0: a7 im 39 + 3d1: 02 pushsp + 3d2: 23 storeh + 3d3: 00 breakpoint + 3d4: 0b nop + 3d5: 00 breakpoint + 3d6: 00 breakpoint + 3d7: 02 pushsp + 3d8: 8c im 12 + 3d9: 0a flip + 3da: 1c addsp 48 + 3db: 00 breakpoint + 3dc: 00 breakpoint + 3dd: 00 breakpoint + 3de: 9c im 28 + 3df: 02 pushsp + 3e0: 23 storeh + 3e1: 02 pushsp + 3e2: 0b nop + 3e3: 00 breakpoint + 3e4: 00 breakpoint + 3e5: 02 pushsp + 3e6: 6b loadsp 108 + 3e7: 0a flip + 3e8: 1d addsp 52 + 3e9: 00 breakpoint + 3ea: 00 breakpoint + 3eb: 00 breakpoint + 3ec: d3 im -45 + 3ed: 02 pushsp + 3ee: 23 storeh + 3ef: 04 poppc + 3f0: 0b nop + 3f1: 00 breakpoint + 3f2: 00 breakpoint + 3f3: 03 .byte 3 + 3f4: db im -37 + 3f5: 0a flip + 3f6: 1e addsp 56 + 3f7: 00 breakpoint + 3f8: 00 breakpoint + 3f9: 00 breakpoint + 3fa: de im -34 + 3fb: 02 pushsp + 3fc: 23 storeh + 3fd: 08 load + 3fe: 0b nop + 3ff: 00 breakpoint + 400: 00 breakpoint + 401: 03 .byte 3 + 402: 4f storesp 124 + 403: 0a flip + 404: 1f addsp 60 + 405: 00 breakpoint + 406: 00 breakpoint + 407: 00 breakpoint + 408: bd im 61 + 409: 02 pushsp + 40a: 23 storeh + 40b: 0a flip + 40c: 0b nop + 40d: 00 breakpoint + 40e: 00 breakpoint + 40f: 03 .byte 3 + 410: a3 im 35 + 411: 0a flip + 412: 20 .byte 32 + 413: 00 breakpoint + 414: 00 breakpoint + 415: 00 breakpoint + 416: c8 im -56 + 417: 02 pushsp + 418: 23 storeh + 419: 0c store + 41a: 0b nop + 41b: 00 breakpoint + 41c: 00 breakpoint + 41d: 03 .byte 3 + 41e: 76 loadsp 24 + 41f: 0a flip + 420: 21 .byte 33 + 421: 00 breakpoint + 422: 00 breakpoint + 423: 00 breakpoint + 424: a7 im 39 + 425: 02 pushsp + 426: 23 storeh + 427: 0e .byte 14 + 428: 0b nop + 429: 00 breakpoint + 42a: 00 breakpoint + 42b: 03 .byte 3 + 42c: 18 addsp 32 + 42d: 0a flip + 42e: 22 loadh + 42f: 00 breakpoint + 430: 00 breakpoint + 431: 00 breakpoint + 432: b2 im 50 + 433: 02 pushsp + 434: 23 storeh + 435: 10 addsp 0 + 436: 0b nop + 437: 00 breakpoint + 438: 00 breakpoint + 439: 03 .byte 3 + 43a: 2d call + 43b: 0a flip + 43c: 29 mult + 43d: 00 breakpoint + 43e: 00 breakpoint + 43f: 00 breakpoint + 440: 91 im 17 + 441: 02 pushsp + 442: 23 storeh + 443: 14 addsp 16 + 444: 0b nop + 445: 00 breakpoint + 446: 00 breakpoint + 447: 02 pushsp + 448: b6 im 54 + 449: 0a flip + 44a: 2a lshiftright + 44b: 00 breakpoint + 44c: 00 breakpoint + 44d: 00 breakpoint + 44e: 28 swap + 44f: 02 pushsp + 450: 23 storeh + 451: 18 addsp 32 + 452: 0b nop + 453: 00 breakpoint + 454: 00 breakpoint + 455: 02 pushsp + 456: 4c storesp 112 + 457: 0a flip + 458: 2b ashiftleft + 459: 00 breakpoint + 45a: 00 breakpoint + 45b: 00 breakpoint + 45c: 91 im 17 + 45d: 02 pushsp + 45e: 23 storeh + 45f: 1c addsp 48 + 460: 0b nop + 461: 00 breakpoint + 462: 00 breakpoint + 463: 02 pushsp + 464: c0 im -64 + 465: 0a flip + 466: 2c ashiftright + 467: 00 breakpoint + 468: 00 breakpoint + 469: 00 breakpoint + 46a: 28 swap + 46b: 02 pushsp + 46c: 23 storeh + 46d: 20 .byte 32 + 46e: 0b nop + 46f: 00 breakpoint + 470: 00 breakpoint + 471: 02 pushsp + 472: 56 storesp 24 + 473: 0a flip + 474: 2d call + 475: 00 breakpoint + 476: 00 breakpoint + 477: 00 breakpoint + 478: 91 im 17 + 479: 02 pushsp + 47a: 23 storeh + 47b: 24 lessthan + 47c: 0b nop + 47d: 00 breakpoint + 47e: 00 breakpoint + 47f: 02 pushsp + 480: 9b im 27 + 481: 0a flip + 482: 2e eq + 483: 00 breakpoint + 484: 00 breakpoint + 485: 00 breakpoint + 486: 28 swap + 487: 02 pushsp + 488: 23 storeh + 489: 28 swap + 48a: 0b nop + 48b: 00 breakpoint + 48c: 00 breakpoint + 48d: 02 pushsp + 48e: de im -34 + 48f: 0a flip + 490: 2f neq + 491: 00 breakpoint + 492: 00 breakpoint + 493: 00 breakpoint + 494: 28 swap + 495: 02 pushsp + 496: 23 storeh + 497: 2c ashiftright + 498: 0b nop + 499: 00 breakpoint + 49a: 00 breakpoint + 49b: 03 .byte 3 + 49c: 37 eqbranch + 49d: 0a flip + 49e: 30 neg + 49f: 00 breakpoint + 4a0: 00 breakpoint + 4a1: 00 breakpoint + 4a2: 28 swap + 4a3: 02 pushsp + 4a4: 23 storeh + 4a5: 30 neg + 4a6: 0b nop + 4a7: 00 breakpoint + 4a8: 00 breakpoint + 4a9: 03 .byte 3 + 4aa: 56 storesp 24 + 4ab: 0a flip + 4ac: 31 sub + 4ad: 00 breakpoint + 4ae: 00 breakpoint + 4af: 01 .byte 1 + 4b0: e4 im -28 + 4b1: 02 pushsp + 4b2: 23 storeh + 4b3: 34 storeb + 4b4: 00 breakpoint + 4b5: 07 or + 4b6: 00 breakpoint + 4b7: 00 breakpoint + 4b8: 01 .byte 1 + 4b9: f4 im -12 + 4ba: 00 breakpoint + 4bb: 00 breakpoint + 4bc: 00 breakpoint + 4bd: 28 swap + 4be: 08 load + 4bf: 00 breakpoint + 4c0: 00 breakpoint + 4c1: 00 breakpoint + 4c2: 44 storesp 80 + 4c3: 01 .byte 1 + 4c4: 00 breakpoint + 4c5: 07 or + 4c6: 00 breakpoint + 4c7: 00 breakpoint + 4c8: 02 pushsp + 4c9: 04 poppc + 4ca: 00 breakpoint + 4cb: 00 breakpoint + 4cc: 00 breakpoint + 4cd: 61 loadsp 68 + 4ce: 08 load + 4cf: 00 breakpoint + 4d0: 00 breakpoint + 4d1: 00 breakpoint + 4d2: 44 storesp 80 + 4d3: 03 .byte 3 + 4d4: 00 breakpoint + 4d5: 09 not + 4d6: 00 breakpoint + 4d7: 00 breakpoint + 4d8: 03 .byte 3 + 4d9: cf im -49 + 4da: 0b nop + 4db: 73 loadsp 12 + 4dc: 00 breakpoint + 4dd: 00 breakpoint + 4de: 01 .byte 1 + 4df: f4 im -12 + 4e0: 09 not + 4e1: 00 breakpoint + 4e2: 00 breakpoint + 4e3: 02 pushsp + 4e4: a5 im 37 + 4e5: 0b nop + 4e6: 74 loadsp 16 + 4e7: 00 breakpoint + 4e8: 00 breakpoint + 4e9: 01 .byte 1 + 4ea: f4 im -12 + 4eb: 09 not + 4ec: 00 breakpoint + 4ed: 00 breakpoint + 4ee: 03 .byte 3 + 4ef: 60 loadsp 64 + 4f0: 0b nop + 4f1: 75 loadsp 20 + 4f2: 00 breakpoint + 4f3: 00 breakpoint + 4f4: 01 .byte 1 + 4f5: f4 im -12 + 4f6: 09 not + 4f7: 00 breakpoint + 4f8: 00 breakpoint + 4f9: 02 pushsp + 4fa: 3f callpcrel + 4fb: 0b nop + 4fc: 77 loadsp 28 + 4fd: 00 breakpoint + 4fe: 00 breakpoint + 4ff: 00 breakpoint + 500: 81 im 1 + 501: 0a flip + 502: 00 breakpoint + 503: 00 breakpoint + 504: 02 pushsp + 505: f3 im -13 + 506: 00 breakpoint + 507: 00 breakpoint + 508: 03 .byte 3 + 509: b1 im 49 + 50a: 40 storesp 64 + 50b: 0b nop + 50c: 7d loadsp 52 + 50d: 0b nop + 50e: 00 breakpoint + 50f: 00 breakpoint + 510: 02 pushsp + 511: 93 im 19 + 512: 0b nop + 513: 7e loadsp 56 + 514: 00 breakpoint + 515: 00 breakpoint + 516: 02 pushsp + 517: 04 poppc + 518: 02 pushsp + 519: 23 storeh + 51a: 00 breakpoint + 51b: 0b nop + 51c: 00 breakpoint + 51d: 00 breakpoint + 51e: 02 pushsp + 51f: 8b im 11 + 520: 0b nop + 521: 7f loadsp 60 + 522: 00 breakpoint + 523: 00 breakpoint + 524: 02 pushsp + 525: 04 poppc + 526: 02 pushsp + 527: 23 storeh + 528: 04 poppc + 529: 0b nop + 52a: 00 breakpoint + 52b: 00 breakpoint + 52c: 02 pushsp + 52d: 6a loadsp 104 + 52e: 0b nop + 52f: 80 im 0 + 530: 00 breakpoint + 531: 00 breakpoint + 532: 02 pushsp + 533: 0f .byte 15 + 534: 02 pushsp + 535: 23 storeh + 536: 08 load + 537: 0b nop + 538: 00 breakpoint + 539: 00 breakpoint + 53a: 03 .byte 3 + 53b: da im -38 + 53c: 0b nop + 53d: 81 im 1 + 53e: 00 breakpoint + 53f: 00 breakpoint + 540: 02 pushsp + 541: 04 poppc + 542: 02 pushsp + 543: 23 storeh + 544: 0c store + 545: 0b nop + 546: 00 breakpoint + 547: 00 breakpoint + 548: 03 .byte 3 + 549: 4e storesp 120 + 54a: 0b nop + 54b: 82 im 2 + 54c: 00 breakpoint + 54d: 00 breakpoint + 54e: 02 pushsp + 54f: 04 poppc + 550: 02 pushsp + 551: 23 storeh + 552: 10 addsp 0 + 553: 0b nop + 554: 00 breakpoint + 555: 00 breakpoint + 556: 03 .byte 3 + 557: a2 im 34 + 558: 0b nop + 559: 83 im 3 + 55a: 00 breakpoint + 55b: 00 breakpoint + 55c: 02 pushsp + 55d: 04 poppc + 55e: 02 pushsp + 55f: 23 storeh + 560: 14 addsp 16 + 561: 0b nop + 562: 00 breakpoint + 563: 00 breakpoint + 564: 03 .byte 3 + 565: 75 loadsp 20 + 566: 0b nop + 567: 84 im 4 + 568: 00 breakpoint + 569: 00 breakpoint + 56a: 02 pushsp + 56b: 04 poppc + 56c: 02 pushsp + 56d: 23 storeh + 56e: 18 addsp 32 + 56f: 0b nop + 570: 00 breakpoint + 571: 00 breakpoint + 572: 03 .byte 3 + 573: 17 addsp 28 + 574: 0b nop + 575: 85 im 5 + 576: 00 breakpoint + 577: 00 breakpoint + 578: 02 pushsp + 579: 25 lessthanorequal + 57a: 02 pushsp + 57b: 23 storeh + 57c: 1c addsp 48 + 57d: 0b nop + 57e: 00 breakpoint + 57f: 00 breakpoint + 580: 02 pushsp + 581: dd im -35 + 582: 0b nop + 583: 86 im 6 + 584: 00 breakpoint + 585: 00 breakpoint + 586: 02 pushsp + 587: 25 lessthanorequal + 588: 02 pushsp + 589: 23 storeh + 58a: 24 lessthan + 58b: 0b nop + 58c: 00 breakpoint + 58d: 00 breakpoint + 58e: 03 .byte 3 + 58f: 36 mod + 590: 0b nop + 591: 87 im 7 + 592: 00 breakpoint + 593: 00 breakpoint + 594: 02 pushsp + 595: 25 lessthanorequal + 596: 02 pushsp + 597: 23 storeh + 598: 2c ashiftright + 599: 0b nop + 59a: 00 breakpoint + 59b: 00 breakpoint + 59c: 03 .byte 3 + 59d: 2c ashiftright + 59e: 0b nop + 59f: 88 im 8 + 5a0: 00 breakpoint + 5a1: 00 breakpoint + 5a2: 02 pushsp + 5a3: 1a addsp 40 + 5a4: 02 pushsp + 5a5: 23 storeh + 5a6: 34 storeb + 5a7: 0b nop + 5a8: 00 breakpoint + 5a9: 00 breakpoint + 5aa: 02 pushsp + 5ab: 4b storesp 108 + 5ac: 0b nop + 5ad: 89 im 9 + 5ae: 00 breakpoint + 5af: 00 breakpoint + 5b0: 02 pushsp + 5b1: 1a addsp 40 + 5b2: 02 pushsp + 5b3: 23 storeh + 5b4: 38 neqbranch + 5b5: 0b nop + 5b6: 00 breakpoint + 5b7: 00 breakpoint + 5b8: 02 pushsp + 5b9: 55 storesp 20 + 5ba: 0b nop + 5bb: 8a im 10 + 5bc: 00 breakpoint + 5bd: 00 breakpoint + 5be: 02 pushsp + 5bf: 1a addsp 40 + 5c0: 02 pushsp + 5c1: 23 storeh + 5c2: 3c syscall + 5c3: 00 breakpoint + 5c4: 0c store + 5c5: 00 breakpoint + 5c6: 00 breakpoint + 5c7: 03 .byte 3 + 5c8: 24 lessthan + 5c9: 01 .byte 1 + 5ca: 00 breakpoint + 5cb: 00 breakpoint + 5cc: 02 pushsp + 5cd: 73 loadsp 12 + 5ce: 0c store + 5cf: 24 lessthan + 5d0: 00 breakpoint + 5d1: 00 breakpoint + 5d2: 07 or + 5d3: 43 storesp 76 + 5d4: 00 breakpoint + 5d5: 00 breakpoint + 5d6: 07 or + 5d7: 80 im 0 + 5d8: 02 pushsp + 5d9: 90 im 16 + 5da: 20 .byte 32 + 5db: 0d popsp + 5dc: 74 loadsp 16 + 5dd: 00 breakpoint + 5de: 0c store + 5df: 25 lessthanorequal + 5e0: 00 breakpoint + 5e1: 00 breakpoint + 5e2: 00 breakpoint + 5e3: 36 mod + 5e4: 0e .byte 14 + 5e5: 01 .byte 1 + 5e6: 00 breakpoint + 5e7: 00 breakpoint + 5e8: 02 pushsp + 5e9: 65 loadsp 84 + 5ea: 0d popsp + 5eb: 00 breakpoint + 5ec: 01 .byte 1 + 5ed: 01 .byte 1 + 5ee: 0f .byte 15 + 5ef: 00 breakpoint + 5f0: 00 breakpoint + 5f1: 00 breakpoint + 5f2: 36 mod + 5f3: 00 breakpoint + 5f4: 00 breakpoint + 5f5: 10 addsp 0 + 5f6: 00 breakpoint + 5f7: 00 breakpoint + 5f8: 03 .byte 3 + 5f9: 4b storesp 108 + 5fa: 01 .byte 1 + 5fb: 00 breakpoint + 5fc: 00 breakpoint + 5fd: 02 pushsp + 5fe: 64 loadsp 80 + 5ff: 0c store + 600: 35 div + 601: 01 .byte 1 + 602: 00 breakpoint + 603: 00 breakpoint + 604: 07 or + 605: 80 im 0 + 606: 00 breakpoint + 607: 00 breakpoint + 608: 07 or + 609: 83 im 3 + 60a: 02 pushsp + 60b: 90 im 16 + 60c: 20 .byte 32 + 60d: 11 addsp 4 + 60e: 00 breakpoint + 60f: 00 breakpoint + 610: 03 .byte 3 + 611: aa im 42 + 612: 0c store + 613: 34 storeb + 614: 00 breakpoint + 615: 00 breakpoint + 616: 00 breakpoint + 617: 36 mod + 618: 02 pushsp + 619: 91 im 17 + 61a: 04 poppc + 61b: 00 breakpoint + 61c: 12 addsp 8 + 61d: 01 .byte 1 + 61e: 00 breakpoint + 61f: 00 breakpoint + 620: 02 pushsp + 621: 7c loadsp 48 + 622: 0c store + 623: 3c syscall + 624: 01 .byte 1 + 625: 00 breakpoint + 626: 00 breakpoint + 627: 07 or + 628: 83 im 3 + 629: 00 breakpoint + 62a: 00 breakpoint + 62b: 07 or + 62c: 86 im 6 + 62d: 02 pushsp + 62e: 90 im 16 + 62f: 20 .byte 32 + 630: 13 addsp 12 + 631: 00 breakpoint + 632: 00 breakpoint + 633: 04 poppc + 634: 11 addsp 4 + 635: 01 .byte 1 + 636: 00 breakpoint + 637: 00 breakpoint + 638: 04 poppc + 639: 72 loadsp 8 + 63a: 0c store + 63b: 48 storesp 96 + 63c: 01 .byte 1 + 63d: 00 breakpoint + 63e: 00 breakpoint + 63f: 00 breakpoint + 640: 36 mod + ... + 649: 02 pushsp + 64a: 90 im 16 + 64b: 20 .byte 32 + 64c: 14 addsp 16 + 64d: 66 loadsp 88 + 64e: 64 loadsp 80 + 64f: 00 breakpoint + 650: 0c store + 651: 44 storesp 80 + 652: 00 breakpoint + 653: 00 breakpoint + 654: 00 breakpoint + 655: 36 mod + 656: 02 pushsp + 657: 91 im 17 + 658: 34 storeb + 659: 14 addsp 16 + 65a: 62 loadsp 72 + 65b: 75 loadsp 20 + 65c: 66 loadsp 88 + 65d: 00 breakpoint + 65e: 0c store + 65f: 44 storesp 80 + 660: 00 breakpoint + 661: 00 breakpoint + 662: 00 breakpoint + 663: 5b storesp 44 + 664: 01 .byte 1 + 665: 5d storesp 52 + 666: 11 addsp 4 + 667: 00 breakpoint + 668: 00 breakpoint + 669: 03 .byte 3 + 66a: 47 storesp 92 + 66b: 0c store + 66c: 44 storesp 80 + 66d: 00 breakpoint + 66e: 00 breakpoint + 66f: 00 breakpoint + 670: 36 mod + 671: 01 .byte 1 + 672: 5c storesp 48 + 673: 15 addsp 20 + 674: 00 breakpoint + 675: 00 breakpoint + 676: 03 .byte 3 + 677: b7 im 55 + 678: 00 breakpoint + 679: 00 breakpoint + 67a: 00 breakpoint + 67b: 00 breakpoint + 67c: 16 addsp 24 + 67d: 69 loadsp 100 + 67e: 00 breakpoint + 67f: 0c store + 680: 52 storesp 8 + 681: 00 breakpoint + 682: 00 breakpoint + 683: 00 breakpoint + 684: 36 mod + 685: 01 .byte 1 + 686: 5a storesp 40 + 687: 00 breakpoint + 688: 15 addsp 20 + 689: 00 breakpoint + 68a: 00 breakpoint + 68b: 03 .byte 3 + 68c: d0 im -48 + 68d: 00 breakpoint + 68e: 00 breakpoint + 68f: 00 breakpoint + 690: 20 .byte 32 + 691: 17 addsp 28 + 692: 01 .byte 1 + 693: 00 breakpoint + 694: 00 breakpoint + 695: 00 breakpoint + 696: 37 eqbranch + 697: 0c store + 698: 56 storesp 24 + 699: 00 breakpoint + 69a: 00 breakpoint + 69b: 00 breakpoint + 69c: 36 mod + 69d: 01 .byte 1 + 69e: 18 addsp 32 + 69f: 00 breakpoint + 6a0: 00 breakpoint + 6a1: 19 addsp 36 + 6a2: 00 breakpoint + 6a3: 00 breakpoint + 6a4: 03 .byte 3 + 6a5: ed im -19 + ... + 6ae: 17 addsp 28 + 6af: 01 .byte 1 + 6b0: 00 breakpoint + 6b1: 00 breakpoint + 6b2: 00 breakpoint + 6b3: 37 eqbranch + 6b4: 0c store + 6b5: 56 storesp 24 + 6b6: 00 breakpoint + 6b7: 00 breakpoint + 6b8: 00 breakpoint + 6b9: 36 mod + 6ba: 01 .byte 1 + 6bb: 18 addsp 32 + 6bc: 00 breakpoint + 6bd: 00 breakpoint + 6be: 1a addsp 40 + ... + 6c7: 16 addsp 24 + 6c8: 74 loadsp 16 + 6c9: 00 breakpoint + 6ca: 0c store + 6cb: 4b storesp 108 + 6cc: 00 breakpoint + 6cd: 00 breakpoint + 6ce: 00 breakpoint + 6cf: 36 mod + 6d0: 02 pushsp + 6d1: 91 im 17 + 6d2: 2c ashiftright + 6d3: 1b addsp 44 + 6d4: 00 breakpoint + 6d5: 00 breakpoint + 6d6: 07 or + 6d7: 2f neq + 6d8: 0c store + 6d9: 4c storesp 112 + 6da: 00 breakpoint + 6db: 00 breakpoint + 6dc: 00 breakpoint + 6dd: 36 mod + 6de: 01 .byte 1 + 6df: 58 storesp 32 + 6e0: 00 breakpoint + 6e1: 00 breakpoint + 6e2: 13 addsp 12 + 6e3: 00 breakpoint + 6e4: 00 breakpoint + 6e5: 04 poppc + 6e6: eb im -21 + 6e7: 01 .byte 1 + 6e8: 00 breakpoint + 6e9: 00 breakpoint + 6ea: 05 add + 6eb: b1 im 49 + 6ec: 0c store + 6ed: 68 loadsp 96 + 6ee: 01 .byte 1 + 6ef: 00 breakpoint + 6f0: 00 breakpoint + 6f1: 00 breakpoint + 6f2: 36 mod + ... + 6fb: 02 pushsp + 6fc: 90 im 16 + 6fd: 20 .byte 32 + 6fe: 14 addsp 16 + 6ff: 66 loadsp 88 + 700: 64 loadsp 80 + 701: 00 breakpoint + 702: 0c store + 703: 64 loadsp 80 + 704: 00 breakpoint + 705: 00 breakpoint + 706: 00 breakpoint + 707: 36 mod + 708: 02 pushsp + 709: 91 im 17 + 70a: 30 neg + 70b: 14 addsp 16 + 70c: 62 loadsp 72 + 70d: 75 loadsp 20 + 70e: 66 loadsp 88 + 70f: 00 breakpoint + 710: 0c store + 711: 64 loadsp 80 + 712: 00 breakpoint + 713: 00 breakpoint + 714: 00 breakpoint + 715: 5b storesp 44 + 716: 01 .byte 1 + 717: 5c storesp 48 + 718: 11 addsp 4 + 719: 00 breakpoint + 71a: 00 breakpoint + 71b: 03 .byte 3 + 71c: 47 storesp 92 + 71d: 0c store + 71e: 64 loadsp 80 + 71f: 00 breakpoint + 720: 00 breakpoint + 721: 00 breakpoint + 722: 36 mod + 723: 01 .byte 1 + 724: 5b storesp 44 + 725: 15 addsp 20 + 726: 00 breakpoint + 727: 00 breakpoint + 728: 04 poppc + 729: 69 loadsp 100 + 72a: 00 breakpoint + 72b: 00 breakpoint + 72c: 00 breakpoint + 72d: 38 neqbranch + 72e: 16 addsp 24 + 72f: 69 loadsp 100 + 730: 00 breakpoint + 731: 0c store + 732: 72 loadsp 8 + 733: 00 breakpoint + 734: 00 breakpoint + 735: 00 breakpoint + 736: 36 mod + 737: 01 .byte 1 + 738: 5a storesp 40 + 739: 00 breakpoint + 73a: 15 addsp 20 + 73b: 00 breakpoint + 73c: 00 breakpoint + 73d: 04 poppc + 73e: aa im 42 + 73f: 00 breakpoint + 740: 00 breakpoint + 741: 00 breakpoint + 742: 58 storesp 32 + 743: 16 addsp 24 + 744: 74 loadsp 16 + 745: 00 breakpoint + 746: 0c store + 747: 75 loadsp 20 + 748: 00 breakpoint + 749: 00 breakpoint + 74a: 00 breakpoint + 74b: 61 loadsp 68 + 74c: 01 .byte 1 + 74d: 59 storesp 36 + 74e: 1c addsp 48 + 74f: 00 breakpoint + 750: 00 breakpoint + 751: 04 poppc + 752: 90 im 16 + 753: 01 .byte 1 + 754: 00 breakpoint + 755: 00 breakpoint + 756: 00 breakpoint + 757: b2 im 50 + 758: 0c store + 759: 75 loadsp 20 + 75a: 00 breakpoint + 75b: 00 breakpoint + 75c: 00 breakpoint + 75d: 36 mod + 75e: 01 .byte 1 + 75f: 18 addsp 32 + 760: 00 breakpoint + 761: 1a addsp 40 + ... + 76a: 17 addsp 28 + 76b: 01 .byte 1 + 76c: 00 breakpoint + 76d: 00 breakpoint + 76e: 00 breakpoint + 76f: 37 eqbranch + 770: 0c store + 771: 56 storesp 24 + 772: 00 breakpoint + 773: 00 breakpoint + 774: 00 breakpoint + 775: 36 mod + 776: 01 .byte 1 + 777: 18 addsp 32 + 778: 00 breakpoint + 779: 00 breakpoint + 77a: 00 breakpoint + 77b: 19 addsp 36 + 77c: 00 breakpoint + 77d: 00 breakpoint + 77e: 04 poppc + 77f: d1 im -47 + ... + 788: 16 addsp 24 + 789: 74 loadsp 16 + 78a: 00 breakpoint + 78b: 0c store + 78c: 6b loadsp 108 + 78d: 00 breakpoint + 78e: 00 breakpoint + 78f: 00 breakpoint + 790: 36 mod + 791: 02 pushsp + 792: 91 im 17 + 793: 28 swap + 794: 1b addsp 44 + 795: 00 breakpoint + 796: 00 breakpoint + 797: 07 or + 798: 2f neq + 799: 0c store + 79a: 6c loadsp 112 + 79b: 00 breakpoint + 79c: 00 breakpoint + 79d: 00 breakpoint + 79e: 36 mod + 79f: 01 .byte 1 + 7a0: 58 storesp 32 + 7a1: 00 breakpoint + 7a2: 1a addsp 40 + ... + 7ab: 17 addsp 28 + 7ac: 01 .byte 1 + 7ad: 00 breakpoint + 7ae: 00 breakpoint + 7af: 00 breakpoint + 7b0: 37 eqbranch + 7b1: 0c store + 7b2: 56 storesp 24 + 7b3: 00 breakpoint + 7b4: 00 breakpoint + 7b5: 00 breakpoint + 7b6: 36 mod + 7b7: 01 .byte 1 + 7b8: 18 addsp 32 + 7b9: 00 breakpoint + 7ba: 00 breakpoint + 7bb: 00 breakpoint + 7bc: 13 addsp 12 + 7bd: 00 breakpoint + 7be: 00 breakpoint + 7bf: 05 add + 7c0: 69 loadsp 100 + 7c1: 01 .byte 1 + 7c2: 00 breakpoint + 7c3: 00 breakpoint + 7c4: 03 .byte 3 + 7c5: 27 ulessthanorequal + 7c6: 0c store + 7c7: 93 im 19 + 7c8: 01 .byte 1 + 7c9: 00 breakpoint + 7ca: 00 breakpoint + 7cb: 00 breakpoint + 7cc: 36 mod + ... + 7d5: 02 pushsp + 7d6: 90 im 16 + 7d7: 20 .byte 32 + 7d8: 14 addsp 16 + 7d9: 62 loadsp 72 + 7da: 75 loadsp 20 + 7db: 66 loadsp 88 + 7dc: 00 breakpoint + 7dd: 0c store + 7de: 8f im 15 + 7df: 00 breakpoint + 7e0: 00 breakpoint + 7e1: 00 breakpoint + 7e2: 68 loadsp 96 + 7e3: 01 .byte 1 + 7e4: 58 storesp 32 + 7e5: 11 addsp 4 + 7e6: 00 breakpoint + 7e7: 00 breakpoint + 7e8: 07 or + 7e9: 9b im 27 + 7ea: 0c store + 7eb: 90 im 16 + 7ec: 00 breakpoint + 7ed: 00 breakpoint + 7ee: 00 breakpoint + 7ef: 36 mod + 7f0: 02 pushsp + 7f1: 91 im 17 + 7f2: 28 swap + 7f3: 11 addsp 4 + 7f4: 00 breakpoint + 7f5: 00 breakpoint + 7f6: 02 pushsp + 7f7: 6e loadsp 120 + 7f8: 0c store + 7f9: 91 im 17 + 7fa: 00 breakpoint + 7fb: 00 breakpoint + 7fc: 00 breakpoint + 7fd: 36 mod + 7fe: 02 pushsp + 7ff: 91 im 17 + 800: 2c ashiftright + 801: 18 addsp 32 + 802: 1a addsp 40 + ... + 80b: 16 addsp 24 + 80c: 74 loadsp 16 + 80d: 00 breakpoint + 80e: 0c store + 80f: 96 im 22 + 810: 00 breakpoint + 811: 00 breakpoint + 812: 00 breakpoint + 813: 36 mod + 814: 02 pushsp + 815: 91 im 17 + 816: 1c addsp 48 + 817: 1b addsp 44 + 818: 00 breakpoint + 819: 00 breakpoint + 81a: 07 or + 81b: 2f neq + 81c: 0c store + 81d: 97 im 23 + 81e: 00 breakpoint + 81f: 00 breakpoint + 820: 00 breakpoint + 821: 36 mod + 822: 01 .byte 1 + 823: 58 storesp 32 + 824: 1d addsp 52 + 825: 01 .byte 1 + 826: 00 breakpoint + 827: 00 breakpoint + 828: 02 pushsp + 829: ca im -54 + 82a: 0d popsp + 82b: 00 breakpoint + 82c: 01 .byte 1 + 82d: 00 breakpoint + 82e: 00 breakpoint + 82f: 00 breakpoint + 830: 21 .byte 33 + 831: 01 .byte 1 + 832: 0f .byte 15 + 833: 00 breakpoint + 834: 00 breakpoint + 835: 00 breakpoint + 836: 68 loadsp 96 + 837: 00 breakpoint + 838: 00 breakpoint + 839: 00 breakpoint + 83a: 13 addsp 12 + 83b: 00 breakpoint + 83c: 00 breakpoint + 83d: 05 add + 83e: b6 im 54 + 83f: 01 .byte 1 + 840: 00 breakpoint + 841: 00 breakpoint + 842: 06 and + 843: 17 addsp 28 + 844: 0c store + 845: aa im 42 + 846: 01 .byte 1 + 847: 00 breakpoint + 848: 00 breakpoint + 849: 00 breakpoint + 84a: 36 mod + ... + 853: 02 pushsp + 854: 90 im 16 + 855: 20 .byte 32 + 856: 14 addsp 16 + 857: 66 loadsp 88 + 858: 64 loadsp 80 + 859: 00 breakpoint + 85a: 0c store + 85b: a8 im 40 + 85c: 00 breakpoint + 85d: 00 breakpoint + 85e: 00 breakpoint + 85f: 36 mod + 860: 02 pushsp + 861: 91 im 17 + 862: 1c addsp 48 + 863: 1a addsp 40 + ... + 86c: 16 addsp 24 + 86d: 74 loadsp 16 + 86e: 00 breakpoint + 86f: 0c store + 870: ad im 45 + 871: 00 breakpoint + 872: 00 breakpoint + 873: 00 breakpoint + 874: 36 mod + 875: 02 pushsp + 876: 91 im 17 + 877: 14 addsp 16 + 878: 1b addsp 44 + 879: 00 breakpoint + 87a: 00 breakpoint + 87b: 07 or + 87c: 2f neq + 87d: 0c store + 87e: ae im 46 + 87f: 00 breakpoint + 880: 00 breakpoint + 881: 00 breakpoint + 882: 36 mod + 883: 01 .byte 1 + 884: 58 storesp 32 + 885: 00 breakpoint + 886: 00 breakpoint + 887: 13 addsp 12 + 888: 00 breakpoint + 889: 00 breakpoint + 88a: 05 add + 88b: ef im -17 + 88c: 01 .byte 1 + 88d: 00 breakpoint + 88e: 00 breakpoint + 88f: 03 .byte 3 + 890: 6b loadsp 108 + 891: 0c store + 892: bd im 61 + 893: 01 .byte 1 + 894: 00 breakpoint + 895: 00 breakpoint + 896: 00 breakpoint + 897: 36 mod + ... + 8a0: 02 pushsp + 8a1: 90 im 16 + 8a2: 20 .byte 32 + 8a3: 11 addsp 4 + 8a4: 00 breakpoint + 8a5: 00 breakpoint + 8a6: 05 add + 8a7: 76 loadsp 24 + 8a8: 0c store + 8a9: bc im 60 + 8aa: 00 breakpoint + 8ab: 00 breakpoint + 8ac: 00 breakpoint + 8ad: 36 mod + 8ae: 02 pushsp + 8af: 91 im 17 + 8b0: 04 poppc + 8b1: 11 addsp 4 + 8b2: 00 breakpoint + 8b3: 00 breakpoint + 8b4: 03 .byte 3 + 8b5: bf im 63 + 8b6: 0c store + 8b7: bc im 60 + 8b8: 00 breakpoint + 8b9: 00 breakpoint + 8ba: 00 breakpoint + 8bb: b2 im 50 + 8bc: 02 pushsp + 8bd: 91 im 17 + 8be: 08 load + 8bf: 00 breakpoint + 8c0: 13 addsp 12 + 8c1: 00 breakpoint + 8c2: 00 breakpoint + 8c3: 06 and + 8c4: 50 storesp 0 + 8c5: 01 .byte 1 + 8c6: 00 breakpoint + 8c7: 00 breakpoint + 8c8: 03 .byte 3 + 8c9: 20 .byte 32 + 8ca: 0c store + 8cb: d5 im -43 + 8cc: 01 .byte 1 + 8cd: 00 breakpoint + 8ce: 00 breakpoint + 8cf: 00 breakpoint + 8d0: 36 mod + ... + 8d9: 02 pushsp + 8da: 90 im 16 + 8db: 20 .byte 32 + 8dc: 11 addsp 4 + 8dd: 00 breakpoint + 8de: 00 breakpoint + 8df: 02 pushsp + 8e0: 5f storesp 60 + 8e1: 0c store + 8e2: d3 im -45 + 8e3: 00 breakpoint + 8e4: 00 breakpoint + 8e5: 00 breakpoint + 8e6: 5b storesp 44 + 8e7: 01 .byte 1 + 8e8: 58 storesp 32 + 8e9: 1a addsp 40 + ... + 8f2: 16 addsp 24 + 8f3: 74 loadsp 16 + 8f4: 00 breakpoint + 8f5: 0c store + 8f6: d8 im -40 + 8f7: 00 breakpoint + 8f8: 00 breakpoint + 8f9: 00 breakpoint + 8fa: 36 mod + 8fb: 02 pushsp + 8fc: 91 im 17 + 8fd: 14 addsp 16 + 8fe: 1b addsp 44 + 8ff: 00 breakpoint + 900: 00 breakpoint + 901: 07 or + 902: 2f neq + 903: 0c store + 904: d9 im -39 + 905: 00 breakpoint + 906: 00 breakpoint + 907: 00 breakpoint + 908: 36 mod + 909: 01 .byte 1 + 90a: 58 storesp 32 + 90b: 1d addsp 52 + 90c: 01 .byte 1 + 90d: 00 breakpoint + 90e: 00 breakpoint + 90f: 02 pushsp + 910: ca im -54 + 911: 0d popsp + 912: 00 breakpoint + 913: 01 .byte 1 + 914: 00 breakpoint + 915: 00 breakpoint + 916: 00 breakpoint + 917: 21 .byte 33 + 918: 01 .byte 1 + 919: 0f .byte 15 + 91a: 00 breakpoint + 91b: 00 breakpoint + 91c: 00 breakpoint + 91d: 68 loadsp 96 + 91e: 00 breakpoint + 91f: 00 breakpoint + 920: 00 breakpoint + 921: 13 addsp 12 + 922: 00 breakpoint + 923: 00 breakpoint + 924: 06 and + 925: b9 im 57 + 926: 01 .byte 1 + 927: 00 breakpoint + 928: 00 breakpoint + 929: 02 pushsp + 92a: d7 im -41 + 92b: 0c store + 92c: ed im -19 + 92d: 01 .byte 1 + 92e: 00 breakpoint + 92f: 00 breakpoint + 930: 00 breakpoint + 931: b2 im 50 + ... + 93a: 02 pushsp + 93b: 90 im 16 + 93c: 20 .byte 32 + 93d: 14 addsp 16 + 93e: 66 loadsp 88 + 93f: 64 loadsp 80 + 940: 00 breakpoint + 941: 0c store + 942: e9 im -23 + 943: 00 breakpoint + 944: 00 breakpoint + 945: 00 breakpoint + 946: 36 mod + 947: 02 pushsp + 948: 91 im 17 + 949: 20 .byte 32 + 94a: 11 addsp 4 + 94b: 00 breakpoint + 94c: 00 breakpoint + 94d: 04 poppc + 94e: 54 storesp 16 + 94f: 0c store + 950: e9 im -23 + 951: 00 breakpoint + 952: 00 breakpoint + 953: 00 breakpoint + 954: b2 im 50 + 955: 02 pushsp + 956: 91 im 17 + 957: 24 lessthan + 958: 11 addsp 4 + 959: 00 breakpoint + 95a: 00 breakpoint + 95b: 03 .byte 3 + 95c: 94 im 20 + 95d: 0c store + 95e: e9 im -23 + 95f: 00 breakpoint + 960: 00 breakpoint + 961: 00 breakpoint + 962: 36 mod + 963: 02 pushsp + 964: 91 im 17 + 965: 28 swap + 966: 1a addsp 40 + ... + 96f: 16 addsp 24 + 970: 74 loadsp 16 + 971: 00 breakpoint + 972: 0c store + 973: f0 im -16 + 974: 00 breakpoint + 975: 00 breakpoint + 976: 00 breakpoint + 977: 36 mod + 978: 02 pushsp + 979: 91 im 17 + 97a: 18 addsp 32 + 97b: 1b addsp 44 + 97c: 00 breakpoint + 97d: 00 breakpoint + 97e: 07 or + 97f: 2f neq + 980: 0c store + 981: f1 im -15 + 982: 00 breakpoint + 983: 00 breakpoint + 984: 00 breakpoint + 985: 36 mod + 986: 01 .byte 1 + 987: 58 storesp 32 + 988: 00 breakpoint + 989: 00 breakpoint + 98a: 1e addsp 56 + 98b: 00 breakpoint + 98c: 00 breakpoint + 98d: 07 or + 98e: 04 poppc + 98f: 00 breakpoint + 990: 00 breakpoint + 991: 03 .byte 3 + 992: ba im 58 + 993: 0c store + 994: fe im -2 + 995: 01 .byte 1 + 996: 00 breakpoint + 997: 00 breakpoint + 998: 00 breakpoint + 999: 28 swap + ... + 9a2: 02 pushsp + 9a3: 90 im 16 + 9a4: 20 .byte 32 + 9a5: 14 addsp 16 + 9a6: 61 loadsp 68 + 9a7: 00 breakpoint + 9a8: 0c store + 9a9: fd im -3 + 9aa: 00 breakpoint + 9ab: 00 breakpoint + 9ac: 00 breakpoint + 9ad: 5b storesp 44 + 9ae: 01 .byte 1 + 9af: 5d storesp 52 + 9b0: 14 addsp 16 + 9b1: 6c loadsp 112 + 9b2: 65 loadsp 84 + 9b3: 6e loadsp 120 + 9b4: 00 breakpoint + 9b5: 0c store + 9b6: fd im -3 + 9b7: 00 breakpoint + 9b8: 00 breakpoint + 9b9: 00 breakpoint + 9ba: 36 mod + 9bb: 01 .byte 1 + 9bc: 5c storesp 48 + 9bd: 16 addsp 24 + 9be: 74 loadsp 16 + 9bf: 00 breakpoint + 9c0: 0c store + 9c1: ff im -1 + 9c2: 00 breakpoint + 9c3: 00 breakpoint + 9c4: 00 breakpoint + 9c5: 28 swap + 9c6: 01 .byte 1 + 9c7: 5b storesp 44 + 9c8: 1f addsp 60 + 9c9: 69 loadsp 100 + 9ca: 00 breakpoint + 9cb: 0c store + 9cc: 01 .byte 1 + 9cd: 00 breakpoint + 9ce: 00 breakpoint + 9cf: 00 breakpoint + 9d0: 00 breakpoint + 9d1: 36 mod + 9d2: 01 .byte 1 + 9d3: 5a storesp 40 + 9d4: 00 breakpoint + 9d5: 20 .byte 32 + 9d6: 00 breakpoint + 9d7: 00 breakpoint + 9d8: 07 or + 9d9: 57 storesp 28 + 9da: 00 breakpoint + 9db: 00 breakpoint + 9dc: 03 .byte 3 + 9dd: 86 im 6 + 9de: 0c store + 9df: 01 .byte 1 + 9e0: 09 not + 9e1: 01 .byte 1 + ... + 9ea: 02 pushsp + 9eb: 90 im 16 + 9ec: 20 .byte 32 + 9ed: 21 .byte 33 + 9ee: 00 breakpoint + 9ef: 00 breakpoint + 9f0: 03 .byte 3 + 9f1: c6 im -58 + 9f2: 0c store + 9f3: 01 .byte 1 + 9f4: 08 load + 9f5: 00 breakpoint + 9f6: 00 breakpoint + 9f7: 07 or + 9f8: 57 storesp 28 + 9f9: 01 .byte 1 + 9fa: 59 storesp 36 + 9fb: 22 loadh + 9fc: 62 loadsp 72 + 9fd: 75 loadsp 20 + 9fe: 66 loadsp 88 + 9ff: 00 breakpoint + a00: 0c store + a01: 01 .byte 1 + a02: 08 load + a03: 00 breakpoint + a04: 00 breakpoint + a05: 07 or + a06: 5d storesp 52 + a07: 01 .byte 1 + a08: 58 storesp 32 + a09: 1d addsp 52 + a0a: 01 .byte 1 + a0b: 00 breakpoint + a0c: 00 breakpoint + a0d: 03 .byte 3 + a0e: 9b im 27 + a0f: 0d popsp + a10: 00 breakpoint + a11: 01 .byte 1 + a12: 00 breakpoint + a13: 00 breakpoint + a14: 00 breakpoint + a15: 52 storesp 8 + a16: 01 .byte 1 + a17: 0f .byte 15 + a18: 00 breakpoint + a19: 00 breakpoint + a1a: 00 breakpoint + a1b: 52 storesp 8 + a1c: 0f .byte 15 + a1d: 00 breakpoint + a1e: 00 breakpoint + a1f: 00 breakpoint + a20: 36 mod + a21: 0f .byte 15 + a22: 00 breakpoint + a23: 00 breakpoint + a24: 00 breakpoint + a25: 21 .byte 33 + a26: 00 breakpoint + a27: 00 breakpoint + a28: 05 add + a29: 04 poppc + a2a: 00 breakpoint + a2b: 00 breakpoint + a2c: 02 pushsp + a2d: 30 neg + a2e: 05 add + a2f: 04 poppc + a30: 00 breakpoint + a31: 00 breakpoint + a32: 00 breakpoint + a33: e9 im -23 + a34: 23 storeh + a35: 00 breakpoint + a36: 00 breakpoint + a37: 07 or + a38: d2 im -46 + a39: 01 .byte 1 + a3a: 00 breakpoint + a3b: 00 breakpoint + a3c: 03 .byte 3 + a3d: 8e im 14 + a3e: 0c store + a3f: 01 .byte 1 + a40: 22 loadh + a41: 01 .byte 1 + a42: 00 breakpoint + a43: 00 breakpoint + a44: 00 breakpoint + a45: 36 mod + ... + a4e: 02 pushsp + a4f: 90 im 16 + a50: 20 .byte 32 + a51: 22 loadh + a52: 66 loadsp 88 + a53: 64 loadsp 80 + a54: 00 breakpoint + a55: 0c store + a56: 01 .byte 1 + a57: 1f addsp 60 + a58: 00 breakpoint + a59: 00 breakpoint + a5a: 00 breakpoint + a5b: 36 mod + a5c: 03 .byte 3 + a5d: 91 im 17 + a5e: e4 im -28 + a5f: 00 breakpoint + a60: 22 loadh + a61: 62 loadsp 72 + a62: 75 loadsp 20 + a63: 66 loadsp 88 + a64: 00 breakpoint + a65: 0c store + a66: 01 .byte 1 + a67: 1f addsp 60 + a68: 00 breakpoint + a69: 00 breakpoint + a6a: 07 or + a6b: 5d storesp 52 + a6c: 01 .byte 1 + a6d: 5a storesp 40 + a6e: 1a addsp 40 + ... + a77: 1f addsp 60 + a78: 74 loadsp 16 + a79: 00 breakpoint + a7a: 0c store + a7b: 01 .byte 1 + a7c: 25 lessthanorequal + a7d: 00 breakpoint + a7e: 00 breakpoint + a7f: 00 breakpoint + a80: 36 mod + a81: 02 pushsp + a82: 91 im 17 + a83: 1c addsp 48 + a84: 24 lessthan + a85: 00 breakpoint + a86: 00 breakpoint + a87: 07 or + a88: 2f neq + a89: 0c store + a8a: 01 .byte 1 + a8b: 26 ulessthan + a8c: 00 breakpoint + a8d: 00 breakpoint + a8e: 00 breakpoint + a8f: 36 mod + a90: 01 .byte 1 + a91: 59 storesp 36 + a92: 24 lessthan + a93: 00 breakpoint + a94: 00 breakpoint + a95: 03 .byte 3 + a96: c6 im -58 + a97: 0c store + a98: 01 .byte 1 + a99: 27 ulessthanorequal + a9a: 00 breakpoint + a9b: 00 breakpoint + a9c: 02 pushsp + a9d: 30 neg + a9e: 02 pushsp + a9f: 91 im 17 + aa0: 20 .byte 32 + aa1: 00 breakpoint + aa2: 00 breakpoint + aa3: 23 storeh + aa4: 00 breakpoint + aa5: 00 breakpoint + aa6: 08 load + aa7: 56 storesp 24 + aa8: 01 .byte 1 + aa9: 00 breakpoint + aaa: 00 breakpoint + aab: 03 .byte 3 + aac: ca im -54 + aad: 0c store + aae: 01 .byte 1 + aaf: 3d pushspadd + ab0: 01 .byte 1 + ab1: 00 breakpoint + ab2: 00 breakpoint + ab3: 00 breakpoint + ab4: 36 mod + ... + abd: 02 pushsp + abe: 90 im 16 + abf: 20 .byte 32 + ac0: 21 .byte 33 + ac1: 00 breakpoint + ac2: 00 breakpoint + ac3: 02 pushsp + ac4: 5f storesp 60 + ac5: 0c store + ac6: 01 .byte 1 + ac7: 3a config + ac8: 00 breakpoint + ac9: 00 breakpoint + aca: 00 breakpoint + acb: 68 loadsp 96 + acc: 01 .byte 1 + acd: 59 storesp 36 + ace: 22 loadh + acf: 62 loadsp 72 + ad0: 75 loadsp 20 + ad1: 66 loadsp 88 + ad2: 00 breakpoint + ad3: 0c store + ad4: 01 .byte 1 + ad5: 3a config + ad6: 00 breakpoint + ad7: 00 breakpoint + ad8: 07 or + ad9: 5d storesp 52 + ada: 03 .byte 3 + adb: 91 im 17 + adc: e8 im -24 + add: 00 breakpoint + ade: 1a addsp 40 + ... + ae7: 1f addsp 60 + ae8: 74 loadsp 16 + ae9: 00 breakpoint + aea: 0c store + aeb: 01 .byte 1 + aec: 40 storesp 64 + aed: 00 breakpoint + aee: 00 breakpoint + aef: 00 breakpoint + af0: 36 mod + af1: 02 pushsp + af2: 91 im 17 + af3: 1c addsp 48 + af4: 24 lessthan + af5: 00 breakpoint + af6: 00 breakpoint + af7: 07 or + af8: 2f neq + af9: 0c store + afa: 01 .byte 1 + afb: 41 storesp 68 + afc: 00 breakpoint + afd: 00 breakpoint + afe: 00 breakpoint + aff: 36 mod + b00: 01 .byte 1 + b01: 59 storesp 36 + b02: 24 lessthan + b03: 00 breakpoint + b04: 00 breakpoint + b05: 03 .byte 3 + b06: c6 im -58 + b07: 0c store + b08: 01 .byte 1 + b09: 42 storesp 72 + b0a: 00 breakpoint + b0b: 00 breakpoint + b0c: 02 pushsp + b0d: 30 neg + b0e: 02 pushsp + b0f: 91 im 17 + b10: 20 .byte 32 + b11: 1d addsp 52 + b12: 01 .byte 1 + b13: 00 breakpoint + b14: 00 breakpoint + b15: 02 pushsp + b16: ca im -54 + b17: 0d popsp + b18: 00 breakpoint + b19: 01 .byte 1 + b1a: 00 breakpoint + b1b: 00 breakpoint + b1c: 00 breakpoint + b1d: 21 .byte 33 + b1e: 01 .byte 1 + b1f: 0f .byte 15 + b20: 00 breakpoint + b21: 00 breakpoint + b22: 00 breakpoint + b23: 68 loadsp 96 + b24: 00 breakpoint + b25: 00 breakpoint + b26: 00 breakpoint + b27: 23 storeh + b28: 00 breakpoint + b29: 00 breakpoint + b2a: 08 load + b2b: a7 im 39 + b2c: 01 .byte 1 + b2d: 00 breakpoint + b2e: 00 breakpoint + b2f: 02 pushsp + b30: 2b ashiftleft + b31: 0c store + b32: 01 .byte 1 + b33: 53 storesp 12 + b34: 01 .byte 1 + b35: 00 breakpoint + b36: 00 breakpoint + b37: 00 breakpoint + b38: 36 mod + ... + b41: 02 pushsp + b42: 90 im 16 + b43: 20 .byte 32 + b44: 22 loadh + b45: 66 loadsp 88 + b46: 64 loadsp 80 + b47: 00 breakpoint + b48: 0c store + b49: 01 .byte 1 + b4a: 51 storesp 4 + b4b: 00 breakpoint + b4c: 00 breakpoint + b4d: 00 breakpoint + b4e: 36 mod + b4f: 02 pushsp + b50: 91 im 17 + b51: 18 addsp 32 + b52: 1a addsp 40 + ... + b5b: 1f addsp 60 + b5c: 74 loadsp 16 + b5d: 00 breakpoint + b5e: 0c store + b5f: 01 .byte 1 + b60: 56 storesp 24 + b61: 00 breakpoint + b62: 00 breakpoint + b63: 00 breakpoint + b64: 36 mod + b65: 02 pushsp + b66: 91 im 17 + b67: 10 addsp 0 + b68: 24 lessthan + b69: 00 breakpoint + b6a: 00 breakpoint + b6b: 07 or + b6c: 2f neq + b6d: 0c store + b6e: 01 .byte 1 + b6f: 57 storesp 28 + b70: 00 breakpoint + b71: 00 breakpoint + b72: 00 breakpoint + b73: 36 mod + b74: 01 .byte 1 + b75: 58 storesp 32 + b76: 00 breakpoint + b77: 00 breakpoint + b78: 25 lessthanorequal + b79: 00 breakpoint + b7a: 00 breakpoint + b7b: 00 breakpoint + b7c: 00 breakpoint + b7d: 0c store + b7e: 15 addsp 20 + b7f: 00 breakpoint + b80: 00 breakpoint + b81: 00 breakpoint + b82: 36 mod + b83: 01 .byte 1 + b84: 01 .byte 1 + b85: 26 ulessthan + b86: 00 breakpoint + b87: 00 breakpoint + b88: 02 pushsp + b89: 32 xor + b8a: 0c store + b8b: 17 addsp 28 + b8c: 00 breakpoint + b8d: 00 breakpoint + b8e: 00 breakpoint + b8f: 36 mod + b90: 01 .byte 1 + b91: 05 add + b92: 03 .byte 3 + b93: 00 breakpoint + b94: 00 breakpoint + b95: 0c store + b96: 30 neg + b97: 07 or + b98: 00 breakpoint + b99: 00 breakpoint + b9a: 08 load + b9b: d6 im -42 + b9c: 00 breakpoint + b9d: 00 breakpoint + b9e: 00 breakpoint + b9f: 5b storesp 44 + ba0: 08 load + ba1: 00 breakpoint + ba2: 00 breakpoint + ba3: 00 breakpoint + ba4: 44 storesp 80 + ba5: 00 breakpoint + ba6: 00 breakpoint + ba7: 1b addsp 44 + ba8: 00 breakpoint + ba9: 00 breakpoint + baa: 05 add + bab: ce im -50 + bac: 0c store + bad: 1e addsp 56 + bae: 00 breakpoint + baf: 00 breakpoint + bb0: 08 load + bb1: c6 im -58 + bb2: 05 add + bb3: 03 .byte 3 + bb4: 00 breakpoint + bb5: 00 breakpoint + bb6: 08 load + bb7: 88 im 8 + ... + +00000bb9 <.Ldebug_info0>: + bb9: 00 breakpoint + bba: 00 breakpoint + bbb: 08 load + bbc: e5 im -27 + bbd: 00 breakpoint + bbe: 02 pushsp + bbf: 00 breakpoint + bc0: 00 breakpoint + bc1: 03 .byte 3 + bc2: ed im -19 + bc3: 04 poppc + bc4: 01 .byte 1 + bc5: 00 breakpoint + bc6: 00 breakpoint + bc7: 0a flip + bc8: e6 im -26 + ... + bd1: 00 breakpoint + bd2: 00 breakpoint + bd3: 00 breakpoint + bd4: 5e storesp 56 + bd5: 01 .byte 1 + bd6: 00 breakpoint + bd7: 00 breakpoint + bd8: 05 add + bd9: d3 im -45 + bda: 00 breakpoint + bdb: 00 breakpoint + bdc: 06 and + bdd: 8a im 10 + bde: 02 pushsp + bdf: 69 loadsp 100 + be0: 6e loadsp 120 + be1: 74 loadsp 16 + be2: 00 breakpoint + be3: 04 poppc + be4: 05 add + be5: 03 .byte 3 + be6: 00 breakpoint + be7: 00 breakpoint + be8: 00 breakpoint + be9: 28 swap + bea: 04 poppc + beb: 05 add + bec: 03 .byte 3 + bed: 00 breakpoint + bee: 00 breakpoint + bef: 00 breakpoint + bf0: 23 storeh + bf1: 08 load + bf2: 05 add + bf3: 04 poppc + bf4: 00 breakpoint + bf5: 00 breakpoint + bf6: 06 and + bf7: 58 storesp 32 + bf8: 03 .byte 3 + bf9: 01 .byte 1 + bfa: 62 loadsp 72 + bfb: 00 breakpoint + bfc: 00 breakpoint + bfd: 00 breakpoint + bfe: 46 storesp 88 + bff: 03 .byte 3 + c00: 00 breakpoint + c01: 00 breakpoint + c02: 00 breakpoint + c03: 16 addsp 24 + c04: 04 poppc + c05: 07 or + c06: 05 add + c07: 00 breakpoint + c08: 00 breakpoint + c09: 00 breakpoint + c0a: 6c loadsp 112 + c0b: 04 poppc + c0c: 02 pushsp + c0d: 20 .byte 32 + c0e: 06 and + c0f: 00 breakpoint + c10: 00 breakpoint + c11: 04 poppc + c12: 1c addsp 48 + c13: 02 pushsp + c14: 1e addsp 56 + c15: 00 breakpoint + c16: 00 breakpoint + c17: 00 breakpoint + c18: 3a config + c19: 06 and + c1a: 00 breakpoint + c1b: 00 breakpoint + c1c: 05 add + c1d: b6 im 54 + c1e: 02 pushsp + c1f: 1f addsp 60 + c20: 00 breakpoint + c21: 00 breakpoint + c22: 00 breakpoint + c23: 6c loadsp 112 + c24: 00 breakpoint + c25: 07 or + c26: 00 breakpoint + c27: 00 breakpoint + c28: 00 breakpoint + c29: 7c loadsp 48 + c2a: 00 breakpoint + c2b: 00 breakpoint + c2c: 00 breakpoint + c2d: 83 im 3 + c2e: 08 load + c2f: 00 breakpoint + c30: 00 breakpoint + c31: 00 breakpoint + c32: 7c loadsp 48 + c33: 03 .byte 3 + c34: 00 breakpoint + c35: 03 .byte 3 + c36: 00 breakpoint + c37: 00 breakpoint + c38: 00 breakpoint + c39: 11 addsp 4 + c3a: 04 poppc + c3b: 07 or + c3c: 03 .byte 3 + c3d: 00 breakpoint + c3e: 00 breakpoint + c3f: 00 breakpoint + c40: 9a im 26 + c41: 01 .byte 1 + c42: 08 load + c43: 09 not + c44: 00 breakpoint + c45: 00 breakpoint + c46: 00 breakpoint + c47: af im 47 + c48: 08 load + c49: 02 pushsp + c4a: 21 .byte 33 + c4b: 0a flip + c4c: 00 breakpoint + c4d: 00 breakpoint + c4e: 04 poppc + c4f: 78 loadsp 32 + c50: 02 pushsp + c51: 1b addsp 44 + c52: 00 breakpoint + c53: 00 breakpoint + c54: 00 breakpoint + c55: 25 lessthanorequal + c56: 02 pushsp + c57: 23 storeh + c58: 00 breakpoint + c59: 0a flip + c5a: 00 breakpoint + c5b: 00 breakpoint + c5c: 04 poppc + c5d: 3a config + c5e: 02 pushsp + c5f: 20 .byte 32 + c60: 00 breakpoint + c61: 00 breakpoint + c62: 00 breakpoint + c63: 4d storesp 116 + c64: 02 pushsp + c65: 23 storeh + c66: 04 poppc + c67: 00 breakpoint + c68: 0b nop + c69: 00 breakpoint + c6a: 00 breakpoint + c6b: 06 and + c6c: ce im -50 + c6d: 02 pushsp + c6e: 21 .byte 33 + c6f: 00 breakpoint + c70: 00 breakpoint + c71: 00 breakpoint + c72: 8a im 10 + c73: 0b nop + c74: 00 breakpoint + c75: 00 breakpoint + c76: 05 add + c77: 8c im 12 + c78: 02 pushsp + c79: 23 storeh + c7a: 00 breakpoint + c7b: 00 breakpoint + c7c: 00 breakpoint + c7d: 25 lessthanorequal + c7e: 0c store + c7f: 04 poppc + c80: 0b nop + c81: 00 breakpoint + c82: 00 breakpoint + c83: 03 .byte 3 + c84: fd im -3 + c85: 04 poppc + c86: 13 addsp 12 + c87: 00 breakpoint + c88: 00 breakpoint + c89: 00 breakpoint + c8a: d2 im -46 + c8b: 03 .byte 3 + c8c: 00 breakpoint + c8d: 00 breakpoint + c8e: 00 breakpoint + c8f: 11 addsp 4 + c90: 04 poppc + c91: 07 or + c92: 0d popsp + c93: 00 breakpoint + c94: 00 breakpoint + c95: 01 .byte 1 + c96: 38 neqbranch + c97: 00 breakpoint + c98: 00 breakpoint + c99: 06 and + c9a: d9 im -39 + c9b: 18 addsp 32 + c9c: 04 poppc + c9d: 29 mult + c9e: 0a flip + c9f: 00 breakpoint + ca0: 00 breakpoint + ca1: 07 or + ca2: 67 loadsp 92 + ca3: 04 poppc + ca4: 2a lshiftright + ca5: 00 breakpoint + ca6: 00 breakpoint + ca7: 01 .byte 1 + ca8: 38 neqbranch + ca9: 02 pushsp + caa: 23 storeh + cab: 00 breakpoint + cac: 0e .byte 14 + cad: 5f storesp 60 + cae: 6b loadsp 108 + caf: 00 breakpoint + cb0: 04 poppc + cb1: 2b ashiftleft + cb2: 00 breakpoint + cb3: 00 breakpoint + cb4: 00 breakpoint + cb5: 25 lessthanorequal + cb6: 02 pushsp + cb7: 23 storeh + cb8: 04 poppc + cb9: 0a flip + cba: 00 breakpoint + cbb: 00 breakpoint + cbc: 06 and + cbd: e1 im -31 + cbe: 04 poppc + cbf: 2b ashiftleft + cc0: 00 breakpoint + cc1: 00 breakpoint + cc2: 00 breakpoint + cc3: 25 lessthanorequal + cc4: 02 pushsp + cc5: 23 storeh + cc6: 08 load + cc7: 0a flip + cc8: 00 breakpoint + cc9: 00 breakpoint + cca: 07 or + ccb: 5c storesp 48 + ccc: 04 poppc + ccd: 2b ashiftleft + cce: 00 breakpoint + ccf: 00 breakpoint + cd0: 00 breakpoint + cd1: 25 lessthanorequal + cd2: 02 pushsp + cd3: 23 storeh + cd4: 0c store + cd5: 0a flip + cd6: 00 breakpoint + cd7: 00 breakpoint + cd8: 06 and + cd9: 41 storesp 68 + cda: 04 poppc + cdb: 2b ashiftleft + cdc: 00 breakpoint + cdd: 00 breakpoint + cde: 00 breakpoint + cdf: 25 lessthanorequal + ce0: 02 pushsp + ce1: 23 storeh + ce2: 10 addsp 0 + ce3: 0e .byte 14 + ce4: 5f storesp 60 + ce5: 78 loadsp 32 + ce6: 00 breakpoint + ce7: 04 poppc + ce8: 2c ashiftright + ce9: 00 breakpoint + cea: 00 breakpoint + ceb: 01 .byte 1 + cec: 3e halfmult + ced: 02 pushsp + cee: 23 storeh + cef: 14 addsp 16 + cf0: 00 breakpoint + cf1: 0f .byte 15 + cf2: 04 poppc + cf3: 00 breakpoint + cf4: 00 breakpoint + cf5: 00 breakpoint + cf6: d9 im -39 + cf7: 07 or + cf8: 00 breakpoint + cf9: 00 breakpoint + cfa: 01 .byte 1 + cfb: 4e storesp 120 + cfc: 00 breakpoint + cfd: 00 breakpoint + cfe: 00 breakpoint + cff: c7 im -57 + d00: 08 load + d01: 00 breakpoint + d02: 00 breakpoint + d03: 00 breakpoint + d04: 7c loadsp 48 + d05: 00 breakpoint + d06: 00 breakpoint + d07: 0d popsp + d08: 00 breakpoint + d09: 00 breakpoint + d0a: 01 .byte 1 + d0b: d9 im -39 + d0c: 00 breakpoint + d0d: 00 breakpoint + d0e: 06 and + d0f: 5f storesp 60 + d10: 24 lessthan + d11: 04 poppc + d12: 31 sub + d13: 0a flip + d14: 00 breakpoint + d15: 00 breakpoint + d16: 05 add + d17: 9d im 29 + d18: 04 poppc + d19: 32 xor + d1a: 00 breakpoint + d1b: 00 breakpoint + d1c: 00 breakpoint + d1d: 25 lessthanorequal + d1e: 02 pushsp + d1f: 23 storeh + d20: 00 breakpoint + d21: 0a flip + d22: 00 breakpoint + d23: 00 breakpoint + d24: 05 add + d25: 01 .byte 1 + d26: 04 poppc + d27: 33 loadb + d28: 00 breakpoint + d29: 00 breakpoint + d2a: 00 breakpoint + d2b: 25 lessthanorequal + d2c: 02 pushsp + d2d: 23 storeh + d2e: 04 poppc + d2f: 0a flip + d30: 00 breakpoint + d31: 00 breakpoint + d32: 05 add + d33: a6 im 38 + d34: 04 poppc + d35: 34 storeb + d36: 00 breakpoint + d37: 00 breakpoint + d38: 00 breakpoint + d39: 25 lessthanorequal + d3a: 02 pushsp + d3b: 23 storeh + d3c: 08 load + d3d: 0a flip + d3e: 00 breakpoint + d3f: 00 breakpoint + d40: 06 and + d41: 64 loadsp 80 + d42: 04 poppc + d43: 35 div + d44: 00 breakpoint + d45: 00 breakpoint + d46: 00 breakpoint + d47: 25 lessthanorequal + d48: 02 pushsp + d49: 23 storeh + d4a: 0c store + d4b: 0a flip + d4c: 00 breakpoint + d4d: 00 breakpoint + d4e: 07 or + d4f: 36 mod + d50: 04 poppc + d51: 36 mod + d52: 00 breakpoint + d53: 00 breakpoint + d54: 00 breakpoint + d55: 25 lessthanorequal + d56: 02 pushsp + d57: 23 storeh + d58: 10 addsp 0 + d59: 0a flip + d5a: 00 breakpoint + d5b: 00 breakpoint + d5c: 06 and + d5d: 46 storesp 88 + d5e: 04 poppc + d5f: 37 eqbranch + d60: 00 breakpoint + d61: 00 breakpoint + d62: 00 breakpoint + d63: 25 lessthanorequal + d64: 02 pushsp + d65: 23 storeh + d66: 14 addsp 16 + d67: 0a flip + d68: 00 breakpoint + d69: 00 breakpoint + d6a: 05 add + d6b: 2c ashiftright + d6c: 04 poppc + d6d: 38 neqbranch + d6e: 00 breakpoint + d6f: 00 breakpoint + d70: 00 breakpoint + d71: 25 lessthanorequal + d72: 02 pushsp + d73: 23 storeh + d74: 18 addsp 32 + d75: 0a flip + d76: 00 breakpoint + d77: 00 breakpoint + d78: 07 or + d79: 16 addsp 24 + d7a: 04 poppc + d7b: 39 poppcrel + d7c: 00 breakpoint + d7d: 00 breakpoint + d7e: 00 breakpoint + d7f: 25 lessthanorequal + d80: 02 pushsp + d81: 23 storeh + d82: 1c addsp 48 + d83: 0a flip + d84: 00 breakpoint + d85: 00 breakpoint + d86: 05 add + d87: 61 loadsp 68 + d88: 04 poppc + d89: 3a config + d8a: 00 breakpoint + d8b: 00 breakpoint + d8c: 00 breakpoint + d8d: 25 lessthanorequal + d8e: 02 pushsp + d8f: 23 storeh + d90: 20 .byte 32 + d91: 00 breakpoint + d92: 0d popsp + d93: 00 breakpoint + d94: 00 breakpoint + d95: 02 pushsp + d96: 03 .byte 3 + d97: 00 breakpoint + d98: 00 breakpoint + d99: 05 add + d9a: c5 im -59 + d9b: 84 im 4 + d9c: 04 poppc + d9d: 43 storesp 76 + d9e: 0a flip + d9f: 00 breakpoint + da0: 00 breakpoint + da1: 05 add + da2: 36 mod + da3: 04 poppc + da4: 44 storesp 80 + da5: 00 breakpoint + da6: 00 breakpoint + da7: 02 pushsp + da8: 03 .byte 3 + da9: 02 pushsp + daa: 23 storeh + dab: 00 breakpoint + dac: 0a flip + dad: 00 breakpoint + dae: 00 breakpoint + daf: 05 add + db0: 7b loadsp 44 + db1: 04 poppc + db2: 45 storesp 84 + db3: 00 breakpoint + db4: 00 breakpoint + db5: 00 breakpoint + db6: c7 im -57 + db7: 03 .byte 3 + db8: 23 storeh + db9: 80 im 0 + dba: 01 .byte 1 + dbb: 00 breakpoint + dbc: 07 or + dbd: 00 breakpoint + dbe: 00 breakpoint + dbf: 02 pushsp + dc0: 13 addsp 12 + dc1: 00 breakpoint + dc2: 00 breakpoint + dc3: 00 breakpoint + dc4: c5 im -59 + dc5: 08 load + dc6: 00 breakpoint + dc7: 00 breakpoint + dc8: 00 breakpoint + dc9: 7c loadsp 48 + dca: 1f addsp 60 + dcb: 00 breakpoint + dcc: 10 addsp 0 + dcd: 00 breakpoint + dce: 00 breakpoint + dcf: 02 pushsp + dd0: 5a storesp 40 + dd1: 00 breakpoint + dd2: 00 breakpoint + dd3: 07 or + dd4: 20 .byte 32 + dd5: 01 .byte 1 + dd6: 0c store + dd7: 04 poppc + dd8: 50 storesp 0 + dd9: 0a flip + dda: 00 breakpoint + ddb: 00 breakpoint + ddc: 07 or + ddd: 67 loadsp 92 + dde: 04 poppc + ddf: 51 storesp 4 + de0: 00 breakpoint + de1: 00 breakpoint + de2: 02 pushsp + de3: 5a storesp 40 + de4: 02 pushsp + de5: 23 storeh + de6: 00 breakpoint + de7: 0a flip + de8: 00 breakpoint + de9: 00 breakpoint + dea: 07 or + deb: 44 storesp 80 + dec: 04 poppc + ded: 52 storesp 8 + dee: 00 breakpoint + def: 00 breakpoint + df0: 00 breakpoint + df1: 25 lessthanorequal + df2: 02 pushsp + df3: 23 storeh + df4: 04 poppc + df5: 0a flip + df6: 00 breakpoint + df7: 00 breakpoint + df8: 05 add + df9: 27 ulessthanorequal + dfa: 04 poppc + dfb: 53 storesp 12 + dfc: 00 breakpoint + dfd: 00 breakpoint + dfe: 02 pushsp + dff: 60 loadsp 64 + e00: 02 pushsp + e01: 23 storeh + e02: 08 load + e03: 0a flip + e04: 00 breakpoint + e05: 00 breakpoint + e06: 05 add + e07: c5 im -59 + e08: 04 poppc + e09: 54 storesp 16 + e0a: 00 breakpoint + e0b: 00 breakpoint + e0c: 01 .byte 1 + e0d: d9 im -39 + e0e: 03 .byte 3 + e0f: 23 storeh + e10: 88 im 8 + e11: 01 .byte 1 + e12: 00 breakpoint + e13: 0f .byte 15 + e14: 04 poppc + e15: 00 breakpoint + e16: 00 breakpoint + e17: 02 pushsp + e18: 13 addsp 12 + e19: 07 or + e1a: 00 breakpoint + e1b: 00 breakpoint + e1c: 02 pushsp + e1d: 70 loadsp 0 + e1e: 00 breakpoint + e1f: 00 breakpoint + e20: 02 pushsp + e21: 72 loadsp 8 + e22: 08 load + e23: 00 breakpoint + e24: 00 breakpoint + e25: 00 breakpoint + e26: 7c loadsp 48 + e27: 1f addsp 60 + e28: 00 breakpoint + e29: 11 addsp 4 + e2a: 01 .byte 1 + e2b: 0f .byte 15 + e2c: 04 poppc + e2d: 00 breakpoint + e2e: 00 breakpoint + e2f: 02 pushsp + e30: 70 loadsp 0 + e31: 0d popsp + e32: 00 breakpoint + e33: 00 breakpoint + e34: 02 pushsp + e35: a1 im 33 + e36: 00 breakpoint + e37: 00 breakpoint + e38: 04 poppc + e39: 42 storesp 72 + e3a: 08 load + e3b: 04 poppc + e3c: 5f storesp 60 + e3d: 0a flip + e3e: 00 breakpoint + e3f: 00 breakpoint + e40: 06 and + e41: 10 addsp 0 + e42: 04 poppc + e43: 60 loadsp 64 + e44: 00 breakpoint + e45: 00 breakpoint + e46: 02 pushsp + e47: a1 im 33 + e48: 02 pushsp + e49: 23 storeh + e4a: 00 breakpoint + e4b: 0a flip + e4c: 00 breakpoint + e4d: 00 breakpoint + e4e: 03 .byte 3 + e4f: 1a addsp 40 + e50: 04 poppc + e51: 61 loadsp 68 + e52: 00 breakpoint + e53: 00 breakpoint + e54: 00 breakpoint + e55: 25 lessthanorequal + e56: 02 pushsp + e57: 23 storeh + e58: 04 poppc + e59: 00 breakpoint + e5a: 0f .byte 15 + e5b: 04 poppc + e5c: 00 breakpoint + e5d: 00 breakpoint + e5e: 00 breakpoint + e5f: 83 im 3 + e60: 0b nop + e61: 00 breakpoint + e62: 00 breakpoint + e63: 05 add + e64: 84 im 4 + e65: 04 poppc + e66: 69 loadsp 100 + e67: 00 breakpoint + e68: 00 breakpoint + e69: 00 breakpoint + e6a: 2c ashiftright + e6b: 0d popsp + e6c: 00 breakpoint + e6d: 00 breakpoint + e6e: 05 add + e6f: 4d storesp 116 + e70: 00 breakpoint + e71: 00 breakpoint + e72: 07 or + e73: b4 im 52 + e74: 5c storesp 48 + e75: 04 poppc + e76: a0 im 32 + e77: 0e .byte 14 + e78: 5f storesp 60 + e79: 70 loadsp 0 + e7a: 00 breakpoint + e7b: 04 poppc + e7c: a1 im 33 + e7d: 00 breakpoint + e7e: 00 breakpoint + e7f: 02 pushsp + e80: a1 im 33 + e81: 02 pushsp + e82: 23 storeh + e83: 00 breakpoint + e84: 0e .byte 14 + e85: 5f storesp 60 + e86: 72 loadsp 8 + e87: 00 breakpoint + e88: 04 poppc + e89: a2 im 34 + e8a: 00 breakpoint + e8b: 00 breakpoint + e8c: 00 breakpoint + e8d: 25 lessthanorequal + e8e: 02 pushsp + e8f: 23 storeh + e90: 04 poppc + e91: 0e .byte 14 + e92: 5f storesp 60 + e93: 77 loadsp 28 + e94: 00 breakpoint + e95: 04 poppc + e96: a3 im 35 + e97: 00 breakpoint + e98: 00 breakpoint + e99: 00 breakpoint + e9a: 25 lessthanorequal + e9b: 02 pushsp + e9c: 23 storeh + e9d: 08 load + e9e: 0a flip + e9f: 00 breakpoint + ea0: 00 breakpoint + ea1: 07 or + ea2: 9a im 26 + ea3: 04 poppc + ea4: a4 im 36 + ea5: 00 breakpoint + ea6: 00 breakpoint + ea7: 05 add + ea8: 4d storesp 116 + ea9: 02 pushsp + eaa: 23 storeh + eab: 0c store + eac: 0a flip + ead: 00 breakpoint + eae: 00 breakpoint + eaf: 05 add + eb0: 75 loadsp 20 + eb1: 04 poppc + eb2: a5 im 37 + eb3: 00 breakpoint + eb4: 00 breakpoint + eb5: 05 add + eb6: 4d storesp 116 + eb7: 02 pushsp + eb8: 23 storeh + eb9: 0e .byte 14 + eba: 0e .byte 14 + ebb: 5f storesp 60 + ebc: 62 loadsp 72 + ebd: 66 loadsp 88 + ebe: 00 breakpoint + ebf: 04 poppc + ec0: a6 im 38 + ec1: 00 breakpoint + ec2: 00 breakpoint + ec3: 02 pushsp + ec4: 78 loadsp 32 + ec5: 02 pushsp + ec6: 23 storeh + ec7: 10 addsp 0 + ec8: 0a flip + ec9: 00 breakpoint + eca: 00 breakpoint + ecb: 04 poppc + ecc: 22 loadh + ecd: 04 poppc + ece: a7 im 39 + ecf: 00 breakpoint + ed0: 00 breakpoint + ed1: 00 breakpoint + ed2: 25 lessthanorequal + ed3: 02 pushsp + ed4: 23 storeh + ed5: 18 addsp 32 + ed6: 0a flip + ed7: 00 breakpoint + ed8: 00 breakpoint + ed9: 06 and + eda: 08 load + edb: 04 poppc + edc: ae im 46 + edd: 00 breakpoint + ede: 00 breakpoint + edf: 00 breakpoint + ee0: c5 im -59 + ee1: 02 pushsp + ee2: 23 storeh + ee3: 1c addsp 48 + ee4: 0a flip + ee5: 00 breakpoint + ee6: 00 breakpoint + ee7: 05 add + ee8: b0 im 48 + ee9: 04 poppc + eea: b0 im 48 + eeb: 00 breakpoint + eec: 00 breakpoint + eed: 05 add + eee: 7b loadsp 44 + eef: 02 pushsp + ef0: 23 storeh + ef1: 20 .byte 32 + ef2: 0a flip + ef3: 00 breakpoint + ef4: 00 breakpoint + ef5: 04 poppc + ef6: 71 loadsp 4 + ef7: 04 poppc + ef8: b1 im 49 + ef9: 00 breakpoint + efa: 00 breakpoint + efb: 05 add + efc: a6 im 38 + efd: 02 pushsp + efe: 23 storeh + eff: 24 lessthan + f00: 0a flip + f01: 00 breakpoint + f02: 00 breakpoint + f03: 07 or + f04: 28 swap + f05: 04 poppc + f06: b3 im 51 + f07: 00 breakpoint + f08: 00 breakpoint + f09: 05 add + f0a: c6 im -58 + f0b: 02 pushsp + f0c: 23 storeh + f0d: 28 swap + f0e: 0a flip + f0f: 00 breakpoint + f10: 00 breakpoint + f11: 06 and + f12: 16 addsp 24 + f13: 04 poppc + f14: b4 im 52 + f15: 00 breakpoint + f16: 00 breakpoint + f17: 05 add + f18: dc im -36 + f19: 02 pushsp + f1a: 23 storeh + f1b: 2c ashiftright + f1c: 0e .byte 14 + f1d: 5f storesp 60 + f1e: 75 loadsp 20 + f1f: 62 loadsp 72 + f20: 00 breakpoint + f21: 04 poppc + f22: b7 im 55 + f23: 00 breakpoint + f24: 00 breakpoint + f25: 02 pushsp + f26: 78 loadsp 32 + f27: 02 pushsp + f28: 23 storeh + f29: 30 neg + f2a: 0e .byte 14 + f2b: 5f storesp 60 + f2c: 75 loadsp 20 + f2d: 70 loadsp 0 + f2e: 00 breakpoint + f2f: 04 poppc + f30: b8 im 56 + f31: 00 breakpoint + f32: 00 breakpoint + f33: 02 pushsp + f34: a1 im 33 + f35: 02 pushsp + f36: 23 storeh + f37: 38 neqbranch + f38: 0e .byte 14 + f39: 5f storesp 60 + f3a: 75 loadsp 20 + f3b: 72 loadsp 8 + f3c: 00 breakpoint + f3d: 04 poppc + f3e: b9 im 57 + f3f: 00 breakpoint + f40: 00 breakpoint + f41: 00 breakpoint + f42: 25 lessthanorequal + f43: 02 pushsp + f44: 23 storeh + f45: 3c syscall + f46: 0a flip + f47: 00 breakpoint + f48: 00 breakpoint + f49: 07 or + f4a: a1 im 33 + f4b: 04 poppc + f4c: bc im 60 + f4d: 00 breakpoint + f4e: 00 breakpoint + f4f: 05 add + f50: e2 im -30 + f51: 02 pushsp + f52: 23 storeh + f53: 40 storesp 64 + f54: 0a flip + f55: 00 breakpoint + f56: 00 breakpoint + f57: 04 poppc + f58: d1 im -47 + f59: 04 poppc + f5a: bd im 61 + f5b: 00 breakpoint + f5c: 00 breakpoint + f5d: 05 add + f5e: f2 im -14 + f5f: 02 pushsp + f60: 23 storeh + f61: 43 storesp 76 + f62: 0e .byte 14 + f63: 5f storesp 60 + f64: 6c loadsp 112 + f65: 62 loadsp 72 + f66: 00 breakpoint + f67: 04 poppc + f68: c0 im -64 + f69: 00 breakpoint + f6a: 00 breakpoint + f6b: 02 pushsp + f6c: 78 loadsp 32 + f6d: 02 pushsp + f6e: 23 storeh + f6f: 44 storesp 80 + f70: 0a flip + f71: 00 breakpoint + f72: 00 breakpoint + f73: 02 pushsp + f74: e0 im -32 + f75: 04 poppc + f76: c3 im -61 + f77: 00 breakpoint + f78: 00 breakpoint + f79: 00 breakpoint + f7a: 25 lessthanorequal + f7b: 02 pushsp + f7c: 23 storeh + f7d: 4c storesp 112 + f7e: 0a flip + f7f: 00 breakpoint + f80: 00 breakpoint + f81: 04 poppc + f82: 53 storesp 12 + f83: 04 poppc + f84: c4 im -60 + f85: 00 breakpoint + f86: 00 breakpoint + f87: 00 breakpoint + f88: 25 lessthanorequal + f89: 02 pushsp + f8a: 23 storeh + f8b: 50 storesp 0 + f8c: 10 addsp 0 + f8d: 00 breakpoint + f8e: 00 breakpoint + f8f: 05 add + f90: 30 neg + f91: 00 breakpoint + f92: 00 breakpoint + f93: 07 or + f94: 84 im 4 + f95: 03 .byte 3 + f96: 7c loadsp 48 + f97: 04 poppc + f98: c7 im -57 + f99: 12 addsp 8 + f9a: 00 breakpoint + f9b: 00 breakpoint + f9c: 03 .byte 3 + f9d: eb im -21 + f9e: 04 poppc + f9f: 02 pushsp + fa0: 17 addsp 28 + fa1: 00 breakpoint + fa2: 00 breakpoint + fa3: 00 breakpoint + fa4: 25 lessthanorequal + fa5: 02 pushsp + fa6: 23 storeh + fa7: 00 breakpoint + fa8: 12 addsp 8 + fa9: 00 breakpoint + faa: 00 breakpoint + fab: 07 or + fac: 6d loadsp 116 + fad: 04 poppc + fae: 02 pushsp + faf: 1c addsp 48 + fb0: 00 breakpoint + fb1: 00 breakpoint + fb2: 06 and + fb3: 54 storesp 16 + fb4: 02 pushsp + fb5: 23 storeh + fb6: 04 poppc + fb7: 12 addsp 8 + fb8: 00 breakpoint + fb9: 00 breakpoint + fba: 05 add + fbb: 95 im 21 + fbc: 04 poppc + fbd: 02 pushsp + fbe: 1c addsp 48 + fbf: 00 breakpoint + fc0: 00 breakpoint + fc1: 06 and + fc2: 54 storesp 16 + fc3: 02 pushsp + fc4: 23 storeh + fc5: 08 load + fc6: 12 addsp 8 + fc7: 00 breakpoint + fc8: 00 breakpoint + fc9: 06 and + fca: 39 poppcrel + fcb: 04 poppc + fcc: 02 pushsp + fcd: 1c addsp 48 + fce: 00 breakpoint + fcf: 00 breakpoint + fd0: 06 and + fd1: 54 storesp 16 + fd2: 02 pushsp + fd3: 23 storeh + fd4: 0c store + fd5: 12 addsp 8 + fd6: 00 breakpoint + fd7: 00 breakpoint + fd8: 07 or + fd9: 3f callpcrel + fda: 04 poppc + fdb: 02 pushsp + fdc: 1e addsp 56 + fdd: 00 breakpoint + fde: 00 breakpoint + fdf: 00 breakpoint + fe0: 25 lessthanorequal + fe1: 02 pushsp + fe2: 23 storeh + fe3: 10 addsp 0 + fe4: 12 addsp 8 + fe5: 00 breakpoint + fe6: 00 breakpoint + fe7: 04 poppc + fe8: be im 62 + fe9: 04 poppc + fea: 02 pushsp + feb: 1f addsp 60 + fec: 00 breakpoint + fed: 00 breakpoint + fee: 08 load + fef: 6c loadsp 112 + ff0: 02 pushsp + ff1: 23 storeh + ff2: 14 addsp 16 + ff3: 12 addsp 8 + ff4: 00 breakpoint + ff5: 00 breakpoint + ff6: 06 and + ff7: 6e loadsp 120 + ff8: 04 poppc + ff9: 02 pushsp + ffa: 21 .byte 33 + ffb: 00 breakpoint + ffc: 00 breakpoint + ffd: 00 breakpoint + ffe: 25 lessthanorequal + fff: 02 pushsp + 1000: 23 storeh + 1001: 30 neg + 1002: 12 addsp 8 + 1003: 00 breakpoint + 1004: 00 breakpoint + 1005: 07 or + 1006: 74 loadsp 16 + 1007: 04 poppc + 1008: 02 pushsp + 1009: 22 loadh + 100a: 00 breakpoint + 100b: 00 breakpoint + 100c: 05 add + 100d: 9b im 27 + 100e: 02 pushsp + 100f: 23 storeh + 1010: 34 storeb + 1011: 12 addsp 8 + 1012: 00 breakpoint + 1013: 00 breakpoint + 1014: 03 .byte 3 + 1015: f2 im -14 + 1016: 04 poppc + 1017: 02 pushsp + 1018: 24 lessthan + 1019: 00 breakpoint + 101a: 00 breakpoint + 101b: 00 breakpoint + 101c: 25 lessthanorequal + 101d: 02 pushsp + 101e: 23 storeh + 101f: 38 neqbranch + 1020: 12 addsp 8 + 1021: 00 breakpoint + 1022: 00 breakpoint + 1023: 06 and + 1024: 80 im 0 + 1025: 04 poppc + 1026: 02 pushsp + 1027: 26 ulessthan + 1028: 00 breakpoint + 1029: 00 breakpoint + 102a: 08 load + 102b: 88 im 8 + 102c: 02 pushsp + 102d: 23 storeh + 102e: 3c syscall + 102f: 12 addsp 8 + 1030: 00 breakpoint + 1031: 00 breakpoint + 1032: 07 or + 1033: 2e eq + 1034: 04 poppc + 1035: 02 pushsp + 1036: 29 mult + 1037: 00 breakpoint + 1038: 00 breakpoint + 1039: 01 .byte 1 + 103a: 38 neqbranch + 103b: 02 pushsp + 103c: 23 storeh + 103d: 40 storesp 64 + 103e: 12 addsp 8 + 103f: 00 breakpoint + 1040: 00 breakpoint + 1041: 04 poppc + 1042: 49 storesp 100 + 1043: 04 poppc + 1044: 02 pushsp + 1045: 2a lshiftright + 1046: 00 breakpoint + 1047: 00 breakpoint + 1048: 00 breakpoint + 1049: 25 lessthanorequal + 104a: 02 pushsp + 104b: 23 storeh + 104c: 44 storesp 80 + 104d: 12 addsp 8 + 104e: 00 breakpoint + 104f: 00 breakpoint + 1050: 07 or + 1051: 57 storesp 28 + 1052: 04 poppc + 1053: 02 pushsp + 1054: 2b ashiftleft + 1055: 00 breakpoint + 1056: 00 breakpoint + 1057: 01 .byte 1 + 1058: 38 neqbranch + 1059: 02 pushsp + 105a: 23 storeh + 105b: 48 storesp 96 + 105c: 12 addsp 8 + 105d: 00 breakpoint + 105e: 00 breakpoint + 105f: 05 add + 1060: 1d addsp 52 + 1061: 04 poppc + 1062: 02 pushsp + 1063: 2c ashiftright + 1064: 00 breakpoint + 1065: 00 breakpoint + 1066: 08 load + 1067: 8e im 14 + 1068: 02 pushsp + 1069: 23 storeh + 106a: 4c storesp 112 + 106b: 12 addsp 8 + 106c: 00 breakpoint + 106d: 00 breakpoint + 106e: 04 poppc + 106f: c9 im -55 + 1070: 04 poppc + 1071: 02 pushsp + 1072: 2f neq + 1073: 00 breakpoint + 1074: 00 breakpoint + 1075: 00 breakpoint + 1076: 25 lessthanorequal + 1077: 02 pushsp + 1078: 23 storeh + 1079: 50 storesp 0 + 107a: 12 addsp 8 + 107b: 00 breakpoint + 107c: 00 breakpoint + 107d: 06 and + 107e: 00 breakpoint + 107f: 04 poppc + 1080: 02 pushsp + 1081: 30 neg + 1082: 00 breakpoint + 1083: 00 breakpoint + 1084: 05 add + 1085: 6e loadsp 120 + 1086: 02 pushsp + 1087: 23 storeh + 1088: 54 storesp 16 + 1089: 12 addsp 8 + 108a: 00 breakpoint + 108b: 00 breakpoint + 108c: 05 add + 108d: 50 storesp 0 + 108e: 04 poppc + 108f: 02 pushsp + 1090: 52 storesp 8 + 1091: 00 breakpoint + 1092: 00 breakpoint + 1093: 08 load + 1094: 4a storesp 104 + 1095: 02 pushsp + 1096: 23 storeh + 1097: 58 storesp 32 + 1098: 12 addsp 8 + 1099: 00 breakpoint + 109a: 00 breakpoint + 109b: 07 or + 109c: 20 .byte 32 + 109d: 04 poppc + 109e: 02 pushsp + 109f: 55 storesp 20 + 10a0: 00 breakpoint + 10a1: 00 breakpoint + 10a2: 02 pushsp + 10a3: 5a storesp 40 + 10a4: 03 .byte 3 + 10a5: 23 storeh + 10a6: c8 im -56 + 10a7: 02 pushsp + 10a8: 12 addsp 8 + 10a9: 00 breakpoint + 10aa: 00 breakpoint + 10ab: 05 add + 10ac: 6c loadsp 112 + 10ad: 04 poppc + 10ae: 02 pushsp + 10af: 56 storesp 24 + 10b0: 00 breakpoint + 10b1: 00 breakpoint + 10b2: 02 pushsp + 10b3: 13 addsp 12 + 10b4: 03 .byte 3 + 10b5: 23 storeh + 10b6: cc im -52 + 10b7: 02 pushsp + 10b8: 12 addsp 8 + 10b9: 00 breakpoint + 10ba: 00 breakpoint + 10bb: 05 add + 10bc: 0a flip + 10bd: 04 poppc + 10be: 02 pushsp + 10bf: 59 storesp 36 + 10c0: 00 breakpoint + 10c1: 00 breakpoint + 10c2: 08 load + 10c3: a0 im 32 + 10c4: 03 .byte 3 + 10c5: 23 storeh + 10c6: d8 im -40 + 10c7: 04 poppc + 10c8: 12 addsp 8 + 10c9: 00 breakpoint + 10ca: 00 breakpoint + 10cb: 05 add + 10cc: bd im 61 + 10cd: 04 poppc + 10ce: 02 pushsp + 10cf: 5e storesp 56 + 10d0: 00 breakpoint + 10d1: 00 breakpoint + 10d2: 06 and + 10d3: 13 addsp 12 + 10d4: 03 .byte 3 + 10d5: 23 storeh + 10d6: dc im -36 + 10d7: 04 poppc + 10d8: 12 addsp 8 + 10d9: 00 breakpoint + 10da: 00 breakpoint + 10db: 04 poppc + 10dc: 5b storesp 44 + 10dd: 04 poppc + 10de: 02 pushsp + 10df: 5f storesp 60 + 10e0: 00 breakpoint + 10e1: 00 breakpoint + 10e2: 08 load + 10e3: ac im 44 + 10e4: 03 .byte 3 + 10e5: 23 storeh + 10e6: e8 im -24 + 10e7: 04 poppc + 10e8: 00 breakpoint + 10e9: 0a flip + 10ea: 00 breakpoint + 10eb: 00 breakpoint + 10ec: 08 load + 10ed: 39 poppcrel + 10ee: 04 poppc + 10ef: c7 im -57 + 10f0: 00 breakpoint + 10f1: 00 breakpoint + 10f2: 06 and + 10f3: 02 pushsp + 10f4: 02 pushsp + 10f5: 23 storeh + 10f6: 54 storesp 16 + 10f7: 0a flip + 10f8: 00 breakpoint + 10f9: 00 breakpoint + 10fa: 05 add + 10fb: 55 storesp 20 + 10fc: 04 poppc + 10fd: cb im -53 + 10fe: 00 breakpoint + 10ff: 00 breakpoint + 1100: 00 breakpoint + 1101: ba im 58 + 1102: 02 pushsp + 1103: 23 storeh + 1104: 58 storesp 32 + 1105: 00 breakpoint + 1106: 03 .byte 3 + 1107: 00 breakpoint + 1108: 00 breakpoint + 1109: 00 breakpoint + 110a: a8 im 40 + 110b: 02 pushsp + 110c: 05 add + 110d: 13 addsp 12 + 110e: 00 breakpoint + 110f: 00 breakpoint + 1110: 05 add + 1111: 6e loadsp 120 + 1112: 01 .byte 1 + 1113: 00 breakpoint + 1114: 00 breakpoint + 1115: 00 breakpoint + 1116: 25 lessthanorequal + 1117: 14 addsp 16 + 1118: 00 breakpoint + 1119: 00 breakpoint + 111a: 00 breakpoint + 111b: c5 im -59 + 111c: 14 addsp 16 + 111d: 00 breakpoint + 111e: 00 breakpoint + 111f: 05 add + 1120: 6e loadsp 120 + 1121: 14 addsp 16 + 1122: 00 breakpoint + 1123: 00 breakpoint + 1124: 00 breakpoint + 1125: 25 lessthanorequal + 1126: 00 breakpoint + 1127: 0f .byte 15 + 1128: 04 poppc + 1129: 00 breakpoint + 112a: 00 breakpoint + 112b: 05 add + 112c: 74 loadsp 16 + 112d: 03 .byte 3 + 112e: 00 breakpoint + 112f: 00 breakpoint + 1130: 00 breakpoint + 1131: a3 im 35 + 1132: 01 .byte 1 + 1133: 08 load + 1134: 0f .byte 15 + 1135: 04 poppc + 1136: 00 breakpoint + 1137: 00 breakpoint + 1138: 05 add + 1139: 54 storesp 16 + 113a: 13 addsp 12 + 113b: 00 breakpoint + 113c: 00 breakpoint + 113d: 05 add + 113e: 9b im 27 + 113f: 01 .byte 1 + 1140: 00 breakpoint + 1141: 00 breakpoint + 1142: 00 breakpoint + 1143: 25 lessthanorequal + 1144: 14 addsp 16 + 1145: 00 breakpoint + 1146: 00 breakpoint + 1147: 00 breakpoint + 1148: c5 im -59 + 1149: 14 addsp 16 + 114a: 00 breakpoint + 114b: 00 breakpoint + 114c: 05 add + 114d: 9b im 27 + 114e: 14 addsp 16 + 114f: 00 breakpoint + 1150: 00 breakpoint + 1151: 00 breakpoint + 1152: 25 lessthanorequal + 1153: 00 breakpoint + 1154: 0f .byte 15 + 1155: 04 poppc + 1156: 00 breakpoint + 1157: 00 breakpoint + 1158: 05 add + 1159: a1 im 33 + 115a: 15 addsp 20 + 115b: 00 breakpoint + 115c: 00 breakpoint + 115d: 05 add + 115e: 74 loadsp 16 + 115f: 0f .byte 15 + 1160: 04 poppc + 1161: 00 breakpoint + 1162: 00 breakpoint + 1163: 05 add + 1164: 81 im 1 + 1165: 13 addsp 12 + 1166: 00 breakpoint + 1167: 00 breakpoint + 1168: 05 add + 1169: c6 im -58 + 116a: 01 .byte 1 + 116b: 00 breakpoint + 116c: 00 breakpoint + 116d: 02 pushsp + 116e: a7 im 39 + 116f: 14 addsp 16 + 1170: 00 breakpoint + 1171: 00 breakpoint + 1172: 00 breakpoint + 1173: c5 im -59 + 1174: 14 addsp 16 + 1175: 00 breakpoint + 1176: 00 breakpoint + 1177: 02 pushsp + 1178: a7 im 39 + 1179: 14 addsp 16 + 117a: 00 breakpoint + 117b: 00 breakpoint + 117c: 00 breakpoint + 117d: 25 lessthanorequal + 117e: 00 breakpoint + 117f: 0f .byte 15 + 1180: 04 poppc + 1181: 00 breakpoint + 1182: 00 breakpoint + 1183: 05 add + 1184: ac im 44 + 1185: 13 addsp 12 + 1186: 00 breakpoint + 1187: 00 breakpoint + 1188: 05 add + 1189: dc im -36 + 118a: 01 .byte 1 + 118b: 00 breakpoint + 118c: 00 breakpoint + 118d: 00 breakpoint + 118e: 25 lessthanorequal + 118f: 14 addsp 16 + 1190: 00 breakpoint + 1191: 00 breakpoint + 1192: 00 breakpoint + 1193: c5 im -59 + 1194: 00 breakpoint + 1195: 0f .byte 15 + 1196: 04 poppc + 1197: 00 breakpoint + 1198: 00 breakpoint + 1199: 05 add + 119a: cc im -52 + 119b: 07 or + 119c: 00 breakpoint + 119d: 00 breakpoint + 119e: 05 add + 119f: f2 im -14 + 11a0: 00 breakpoint + 11a1: 00 breakpoint + 11a2: 00 breakpoint + 11a3: 83 im 3 + 11a4: 08 load + 11a5: 00 breakpoint + 11a6: 00 breakpoint + 11a7: 00 breakpoint + 11a8: 7c loadsp 48 + 11a9: 02 pushsp + 11aa: 00 breakpoint + 11ab: 07 or + 11ac: 00 breakpoint + 11ad: 00 breakpoint + 11ae: 06 and + 11af: 02 pushsp + 11b0: 00 breakpoint + 11b1: 00 breakpoint + 11b2: 00 breakpoint + 11b3: 83 im 3 + 11b4: 08 load + 11b5: 00 breakpoint + 11b6: 00 breakpoint + 11b7: 00 breakpoint + 11b8: 7c loadsp 48 + 11b9: 00 breakpoint + 11ba: 00 breakpoint + 11bb: 0f .byte 15 + 11bc: 04 poppc + 11bd: 00 breakpoint + 11be: 00 breakpoint + 11bf: 03 .byte 3 + 11c0: d3 im -45 + 11c1: 0b nop + 11c2: 00 breakpoint + 11c3: 00 breakpoint + 11c4: 04 poppc + 11c5: de im -34 + 11c6: 04 poppc + 11c7: fd im -3 + 11c8: 00 breakpoint + 11c9: 00 breakpoint + 11ca: 02 pushsp + 11cb: b2 im 50 + 11cc: 16 addsp 24 + 11cd: 00 breakpoint + 11ce: 00 breakpoint + 11cf: 06 and + 11d0: 4e storesp 120 + 11d1: 00 breakpoint + 11d2: 00 breakpoint + 11d3: 04 poppc + 11d4: 8e im 14 + 11d5: 0c store + 11d6: 04 poppc + 11d7: 01 .byte 1 + 11d8: 01 .byte 1 + 11d9: 12 addsp 8 + 11da: 00 breakpoint + 11db: 00 breakpoint + 11dc: 07 or + 11dd: 67 loadsp 92 + 11de: 04 poppc + 11df: 01 .byte 1 + 11e0: 02 pushsp + 11e1: 00 breakpoint + 11e2: 00 breakpoint + 11e3: 06 and + 11e4: 4e storesp 120 + 11e5: 02 pushsp + 11e6: 23 storeh + 11e7: 00 breakpoint + 11e8: 12 addsp 8 + 11e9: 00 breakpoint + 11ea: 00 breakpoint + 11eb: 04 poppc + 11ec: d7 im -41 + 11ed: 04 poppc + 11ee: 01 .byte 1 + 11ef: 03 .byte 3 + 11f0: 00 breakpoint + 11f1: 00 breakpoint + 11f2: 00 breakpoint + 11f3: 25 lessthanorequal + 11f4: 02 pushsp + 11f5: 23 storeh + 11f6: 04 poppc + 11f7: 12 addsp 8 + 11f8: 00 breakpoint + 11f9: 00 breakpoint + 11fa: 05 add + 11fb: 4a storesp 104 + 11fc: 04 poppc + 11fd: 01 .byte 1 + 11fe: 04 poppc + 11ff: 00 breakpoint + 1200: 00 breakpoint + 1201: 06 and + 1202: 54 storesp 16 + 1203: 02 pushsp + 1204: 23 storeh + 1205: 08 load + 1206: 00 breakpoint + 1207: 0f .byte 15 + 1208: 04 poppc + 1209: 00 breakpoint + 120a: 00 breakpoint + 120b: 06 and + 120c: 13 addsp 12 + 120d: 0f .byte 15 + 120e: 04 poppc + 120f: 00 breakpoint + 1210: 00 breakpoint + 1211: 06 and + 1212: 08 load + 1213: 16 addsp 24 + 1214: 00 breakpoint + 1215: 00 breakpoint + 1216: 06 and + 1217: 95 im 21 + 1218: 00 breakpoint + 1219: 00 breakpoint + 121a: 07 or + 121b: 0e .byte 14 + 121c: 0e .byte 14 + 121d: 04 poppc + 121e: 01 .byte 1 + 121f: 1c addsp 48 + 1220: 12 addsp 8 + 1221: 00 breakpoint + 1222: 00 breakpoint + 1223: 07 or + 1224: 08 load + 1225: 04 poppc + 1226: 01 .byte 1 + 1227: 1d addsp 52 + 1228: 00 breakpoint + 1229: 00 breakpoint + 122a: 06 and + 122b: 95 im 21 + 122c: 02 pushsp + 122d: 23 storeh + 122e: 00 breakpoint + 122f: 12 addsp 8 + 1230: 00 breakpoint + 1231: 00 breakpoint + 1232: 05 add + 1233: 5b storesp 44 + 1234: 04 poppc + 1235: 01 .byte 1 + 1236: 1e addsp 56 + 1237: 00 breakpoint + 1238: 00 breakpoint + 1239: 06 and + 123a: 95 im 21 + 123b: 02 pushsp + 123c: 23 storeh + 123d: 06 and + 123e: 12 addsp 8 + 123f: 00 breakpoint + 1240: 00 breakpoint + 1241: 04 poppc + 1242: 6c loadsp 112 + 1243: 04 poppc + 1244: 01 .byte 1 + 1245: 1f addsp 60 + 1246: 00 breakpoint + 1247: 00 breakpoint + 1248: 06 and + 1249: a5 im 37 + 124a: 02 pushsp + 124b: 23 storeh + 124c: 0c store + 124d: 00 breakpoint + 124e: 07 or + 124f: 00 breakpoint + 1250: 00 breakpoint + 1251: 06 and + 1252: a5 im 37 + 1253: 00 breakpoint + 1254: 00 breakpoint + 1255: 06 and + 1256: a5 im 37 + 1257: 08 load + 1258: 00 breakpoint + 1259: 00 breakpoint + 125a: 00 breakpoint + 125b: 7c loadsp 48 + 125c: 02 pushsp + 125d: 00 breakpoint + 125e: 03 .byte 3 + 125f: 00 breakpoint + 1260: 00 breakpoint + 1261: 00 breakpoint + 1262: 4b storesp 108 + 1263: 02 pushsp + 1264: 07 or + 1265: 17 addsp 28 + 1266: 00 breakpoint + 1267: 00 breakpoint + 1268: 07 or + 1269: cb im -53 + 126a: c8 im -56 + 126b: 04 poppc + 126c: 02 pushsp + 126d: 48 storesp 96 + 126e: 12 addsp 8 + 126f: 00 breakpoint + 1270: 00 breakpoint + 1271: 06 and + 1272: 2c ashiftright + 1273: 04 poppc + 1274: 02 pushsp + 1275: 36 mod + 1276: 00 breakpoint + 1277: 00 breakpoint + 1278: 00 breakpoint + 1279: 46 storesp 88 + 127a: 02 pushsp + 127b: 23 storeh + 127c: 00 breakpoint + 127d: 12 addsp 8 + 127e: 00 breakpoint + 127f: 00 breakpoint + 1280: 04 poppc + 1281: b1 im 49 + 1282: 04 poppc + 1283: 02 pushsp + 1284: 37 eqbranch + 1285: 00 breakpoint + 1286: 00 breakpoint + 1287: 05 add + 1288: 6e loadsp 120 + 1289: 02 pushsp + 128a: 23 storeh + 128b: 04 poppc + 128c: 12 addsp 8 + 128d: 00 breakpoint + 128e: 00 breakpoint + 128f: 07 or + 1290: a7 im 39 + 1291: 04 poppc + 1292: 02 pushsp + 1293: 38 neqbranch + 1294: 00 breakpoint + 1295: 00 breakpoint + 1296: 07 or + 1297: cb im -53 + 1298: 02 pushsp + 1299: 23 storeh + 129a: 08 load + 129b: 12 addsp 8 + 129c: 00 breakpoint + 129d: 00 breakpoint + 129e: 04 poppc + 129f: 2b ashiftleft + 12a0: 04 poppc + 12a1: 02 pushsp + 12a2: 39 poppcrel + 12a3: 00 breakpoint + 12a4: 00 breakpoint + 12a5: 01 .byte 1 + 12a6: 4e storesp 120 + 12a7: 02 pushsp + 12a8: 23 storeh + 12a9: 24 lessthan + 12aa: 12 addsp 8 + 12ab: 00 breakpoint + 12ac: 00 breakpoint + 12ad: 06 and + 12ae: 1d addsp 52 + 12af: 04 poppc + 12b0: 02 pushsp + 12b1: 3a config + 12b2: 00 breakpoint + 12b3: 00 breakpoint + 12b4: 00 breakpoint + 12b5: 25 lessthanorequal + 12b6: 02 pushsp + 12b7: 23 storeh + 12b8: 48 storesp 96 + 12b9: 12 addsp 8 + 12ba: 00 breakpoint + 12bb: 00 breakpoint + 12bc: 07 or + 12bd: 62 loadsp 72 + 12be: 04 poppc + 12bf: 02 pushsp + 12c0: 3b pushpc + 12c1: 00 breakpoint + 12c2: 00 breakpoint + 12c3: 07 or + 12c4: db im -37 + 12c5: 02 pushsp + 12c6: 23 storeh + 12c7: 4c storesp 112 + 12c8: 12 addsp 8 + 12c9: 00 breakpoint + 12ca: 00 breakpoint + 12cb: 04 poppc + 12cc: a5 im 37 + 12cd: 04 poppc + 12ce: 02 pushsp + 12cf: 3c syscall + 12d0: 00 breakpoint + 12d1: 00 breakpoint + 12d2: 06 and + 12d3: 5a storesp 40 + 12d4: 02 pushsp + 12d5: 23 storeh + 12d6: 54 storesp 16 + 12d7: 12 addsp 8 + 12d8: 00 breakpoint + 12d9: 00 breakpoint + 12da: 04 poppc + 12db: 05 add + 12dc: 04 poppc + 12dd: 02 pushsp + 12de: 3d pushspadd + 12df: 00 breakpoint + 12e0: 00 breakpoint + 12e1: 00 breakpoint + 12e2: af im 47 + 12e3: 02 pushsp + 12e4: 23 storeh + 12e5: 64 loadsp 80 + 12e6: 12 addsp 8 + 12e7: 00 breakpoint + 12e8: 00 breakpoint + 12e9: 06 and + 12ea: e9 im -23 + 12eb: 04 poppc + 12ec: 02 pushsp + 12ed: 3e halfmult + 12ee: 00 breakpoint + 12ef: 00 breakpoint + 12f0: 00 breakpoint + 12f1: af im 47 + 12f2: 02 pushsp + 12f3: 23 storeh + 12f4: 6c loadsp 112 + 12f5: 12 addsp 8 + 12f6: 00 breakpoint + 12f7: 00 breakpoint + 12f8: 07 or + 12f9: 49 storesp 100 + 12fa: 04 poppc + 12fb: 02 pushsp + 12fc: 3f callpcrel + 12fd: 00 breakpoint + 12fe: 00 breakpoint + 12ff: 00 breakpoint + 1300: af im 47 + 1301: 02 pushsp + 1302: 23 storeh + 1303: 74 loadsp 16 + 1304: 12 addsp 8 + 1305: 00 breakpoint + 1306: 00 breakpoint + 1307: 04 poppc + 1308: 12 addsp 8 + 1309: 04 poppc + 130a: 02 pushsp + 130b: 40 storesp 64 + 130c: 00 breakpoint + 130d: 00 breakpoint + 130e: 07 or + 130f: e2 im -30 + 1310: 02 pushsp + 1311: 23 storeh + 1312: 7c loadsp 48 + 1313: 12 addsp 8 + 1314: 00 breakpoint + 1315: 00 breakpoint + 1316: 04 poppc + 1317: 60 loadsp 64 + 1318: 04 poppc + 1319: 02 pushsp + 131a: 41 storesp 68 + 131b: 00 breakpoint + 131c: 00 breakpoint + 131d: 07 or + 131e: f2 im -14 + 131f: 03 .byte 3 + 1320: 23 storeh + 1321: 84 im 4 + 1322: 01 .byte 1 + 1323: 12 addsp 8 + 1324: 00 breakpoint + 1325: 00 breakpoint + 1326: 04 poppc + 1327: f4 im -12 + 1328: 04 poppc + 1329: 02 pushsp + 132a: 42 storesp 72 + 132b: 00 breakpoint + 132c: 00 breakpoint + 132d: 00 breakpoint + 132e: 25 lessthanorequal + 132f: 03 .byte 3 + 1330: 23 storeh + 1331: 9c im 28 + 1332: 01 .byte 1 + 1333: 12 addsp 8 + 1334: 00 breakpoint + 1335: 00 breakpoint + 1336: 04 poppc + 1337: 80 im 0 + 1338: 04 poppc + 1339: 02 pushsp + 133a: 43 storesp 76 + 133b: 00 breakpoint + 133c: 00 breakpoint + 133d: 00 breakpoint + 133e: af im 47 + 133f: 03 .byte 3 + 1340: 23 storeh + 1341: a0 im 32 + 1342: 01 .byte 1 + 1343: 12 addsp 8 + 1344: 00 breakpoint + 1345: 00 breakpoint + 1346: 04 poppc + 1347: e5 im -27 + 1348: 04 poppc + 1349: 02 pushsp + 134a: 44 storesp 80 + 134b: 00 breakpoint + 134c: 00 breakpoint + 134d: 00 breakpoint + 134e: af im 47 + 134f: 03 .byte 3 + 1350: 23 storeh + 1351: a8 im 40 + 1352: 01 .byte 1 + 1353: 12 addsp 8 + 1354: 00 breakpoint + 1355: 00 breakpoint + 1356: 04 poppc + 1357: 94 im 20 + 1358: 04 poppc + 1359: 02 pushsp + 135a: 45 storesp 84 + 135b: 00 breakpoint + 135c: 00 breakpoint + 135d: 00 breakpoint + 135e: af im 47 + 135f: 03 .byte 3 + 1360: 23 storeh + 1361: b0 im 48 + 1362: 01 .byte 1 + 1363: 12 addsp 8 + 1364: 00 breakpoint + 1365: 00 breakpoint + 1366: 07 or + 1367: 8b im 11 + 1368: 04 poppc + 1369: 02 pushsp + 136a: 46 storesp 88 + 136b: 00 breakpoint + 136c: 00 breakpoint + 136d: 00 breakpoint + 136e: af im 47 + 136f: 03 .byte 3 + 1370: 23 storeh + 1371: b8 im 56 + 1372: 01 .byte 1 + 1373: 12 addsp 8 + 1374: 00 breakpoint + 1375: 00 breakpoint + 1376: 06 and + 1377: f7 im -9 + 1378: 04 poppc + 1379: 02 pushsp + 137a: 47 storesp 92 + 137b: 00 breakpoint + 137c: 00 breakpoint + 137d: 00 breakpoint + 137e: af im 47 + 137f: 03 .byte 3 + 1380: 23 storeh + 1381: c0 im -64 + 1382: 01 .byte 1 + 1383: 00 breakpoint + 1384: 07 or + 1385: 00 breakpoint + 1386: 00 breakpoint + 1387: 07 or + 1388: db im -37 + 1389: 00 breakpoint + 138a: 00 breakpoint + 138b: 05 add + 138c: 74 loadsp 16 + 138d: 08 load + 138e: 00 breakpoint + 138f: 00 breakpoint + 1390: 00 breakpoint + 1391: 7c loadsp 48 + 1392: 19 addsp 36 + 1393: 00 breakpoint + 1394: 03 .byte 3 + 1395: 00 breakpoint + 1396: 00 breakpoint + 1397: 00 breakpoint + 1398: 0c store + 1399: 08 load + 139a: 07 or + 139b: 07 or + 139c: 00 breakpoint + 139d: 00 breakpoint + 139e: 07 or + 139f: f2 im -14 + 13a0: 00 breakpoint + 13a1: 00 breakpoint + 13a2: 05 add + 13a3: 74 loadsp 16 + 13a4: 08 load + 13a5: 00 breakpoint + 13a6: 00 breakpoint + 13a7: 00 breakpoint + 13a8: 7c loadsp 48 + 13a9: 07 or + 13aa: 00 breakpoint + 13ab: 07 or + 13ac: 00 breakpoint + 13ad: 00 breakpoint + 13ae: 08 load + 13af: 02 pushsp + 13b0: 00 breakpoint + 13b1: 00 breakpoint + 13b2: 05 add + 13b3: 74 loadsp 16 + 13b4: 08 load + 13b5: 00 breakpoint + 13b6: 00 breakpoint + 13b7: 00 breakpoint + 13b8: 7c loadsp 48 + 13b9: 17 addsp 28 + 13ba: 00 breakpoint + 13bb: 17 addsp 28 + 13bc: 00 breakpoint + 13bd: 00 breakpoint + 13be: 08 load + 13bf: 2a lshiftright + 13c0: f0 im -16 + 13c1: 04 poppc + 13c2: 02 pushsp + 13c3: 51 storesp 4 + 13c4: 12 addsp 8 + 13c5: 00 breakpoint + 13c6: 00 breakpoint + 13c7: 04 poppc + 13c8: aa im 42 + 13c9: 04 poppc + 13ca: 02 pushsp + 13cb: 4f storesp 124 + 13cc: 00 breakpoint + 13cd: 00 breakpoint + 13ce: 08 load + 13cf: 2a lshiftright + 13d0: 02 pushsp + 13d1: 23 storeh + 13d2: 00 breakpoint + 13d3: 12 addsp 8 + 13d4: 00 breakpoint + 13d5: 00 breakpoint + 13d6: 05 add + 13d7: 14 addsp 16 + 13d8: 04 poppc + 13d9: 02 pushsp + 13da: 50 storesp 0 + 13db: 00 breakpoint + 13dc: 00 breakpoint + 13dd: 08 load + 13de: 3a config + 13df: 02 pushsp + 13e0: 23 storeh + 13e1: 78 loadsp 32 + 13e2: 00 breakpoint + 13e3: 07 or + 13e4: 00 breakpoint + 13e5: 00 breakpoint + 13e6: 08 load + 13e7: 3a config + 13e8: 00 breakpoint + 13e9: 00 breakpoint + 13ea: 02 pushsp + 13eb: a1 im 33 + 13ec: 08 load + 13ed: 00 breakpoint + 13ee: 00 breakpoint + 13ef: 00 breakpoint + 13f0: 7c loadsp 48 + 13f1: 1d addsp 52 + 13f2: 00 breakpoint + 13f3: 07 or + 13f4: 00 breakpoint + 13f5: 00 breakpoint + 13f6: 08 load + 13f7: 4a storesp 104 + 13f8: 00 breakpoint + 13f9: 00 breakpoint + 13fa: 00 breakpoint + 13fb: 46 storesp 88 + 13fc: 08 load + 13fd: 00 breakpoint + 13fe: 00 breakpoint + 13ff: 00 breakpoint + 1400: 7c loadsp 48 + 1401: 1d addsp 52 + 1402: 00 breakpoint + 1403: 18 addsp 32 + 1404: 00 breakpoint + 1405: 00 breakpoint + 1406: 08 load + 1407: 6c loadsp 112 + 1408: f0 im -16 + 1409: 04 poppc + 140a: 02 pushsp + 140b: 52 storesp 8 + 140c: 19 addsp 36 + 140d: 00 breakpoint + 140e: 00 breakpoint + 140f: 07 or + 1410: 84 im 4 + 1411: 04 poppc + 1412: 02 pushsp + 1413: 48 storesp 96 + 1414: 00 breakpoint + 1415: 00 breakpoint + 1416: 06 and + 1417: ac im 44 + 1418: 19 addsp 36 + 1419: 00 breakpoint + 141a: 00 breakpoint + 141b: 06 and + 141c: 50 storesp 0 + 141d: 04 poppc + 141e: 02 pushsp + 141f: 51 storesp 4 + 1420: 00 breakpoint + 1421: 00 breakpoint + 1422: 08 load + 1423: 02 pushsp + 1424: 00 breakpoint + 1425: 07 or + 1426: 00 breakpoint + 1427: 00 breakpoint + 1428: 08 load + 1429: 7c loadsp 48 + 142a: 00 breakpoint + 142b: 00 breakpoint + 142c: 05 add + 142d: 74 loadsp 16 + 142e: 08 load + 142f: 00 breakpoint + 1430: 00 breakpoint + 1431: 00 breakpoint + 1432: 7c loadsp 48 + 1433: 18 addsp 32 + 1434: 00 breakpoint + 1435: 1a addsp 40 + 1436: 00 breakpoint + 1437: 00 breakpoint + 1438: 08 load + 1439: 88 im 8 + 143a: 01 .byte 1 + 143b: 14 addsp 16 + 143c: 00 breakpoint + 143d: 00 breakpoint + 143e: 06 and + 143f: 02 pushsp + 1440: 00 breakpoint + 1441: 0f .byte 15 + 1442: 04 poppc + 1443: 00 breakpoint + 1444: 00 breakpoint + 1445: 08 load + 1446: 7c loadsp 48 + 1447: 0f .byte 15 + 1448: 04 poppc + 1449: 00 breakpoint + 144a: 00 breakpoint + 144b: 01 .byte 1 + 144c: 38 neqbranch + 144d: 1a addsp 40 + 144e: 00 breakpoint + 144f: 00 breakpoint + 1450: 08 load + 1451: a0 im 32 + 1452: 01 .byte 1 + 1453: 14 addsp 16 + 1454: 00 breakpoint + 1455: 00 breakpoint + 1456: 00 breakpoint + 1457: 25 lessthanorequal + 1458: 00 breakpoint + 1459: 0f .byte 15 + 145a: 04 poppc + 145b: 00 breakpoint + 145c: 00 breakpoint + 145d: 08 load + 145e: a6 im 38 + 145f: 0f .byte 15 + 1460: 04 poppc + 1461: 00 breakpoint + 1462: 00 breakpoint + 1463: 08 load + 1464: 94 im 20 + 1465: 07 or + 1466: 00 breakpoint + 1467: 00 breakpoint + 1468: 08 load + 1469: bc im 60 + 146a: 00 breakpoint + 146b: 00 breakpoint + 146c: 06 and + 146d: 08 load + 146e: 08 load + 146f: 00 breakpoint + 1470: 00 breakpoint + 1471: 00 breakpoint + 1472: 7c loadsp 48 + 1473: 02 pushsp + 1474: 00 breakpoint + 1475: 1b addsp 44 + 1476: 01 .byte 1 + 1477: 00 breakpoint + 1478: 00 breakpoint + 1479: 03 .byte 3 + 147a: ea im -22 + 147b: 06 and + 147c: 0c store + 147d: 01 .byte 1 + 147e: 00 breakpoint + 147f: 00 breakpoint + 1480: 08 load + 1481: d4 im -44 + ... + 148a: 02 pushsp + 148b: 90 im 16 + 148c: 20 .byte 32 + 148d: 0f .byte 15 + 148e: 04 poppc + 148f: 00 breakpoint + 1490: 00 breakpoint + 1491: 00 breakpoint + 1492: 25 lessthanorequal + 1493: 1c addsp 48 + 1494: 00 breakpoint + 1495: 00 breakpoint + 1496: 05 add + 1497: 3e halfmult + 1498: 04 poppc + 1499: 02 pushsp + 149a: da im -38 + 149b: 00 breakpoint + 149c: 00 breakpoint + 149d: 06 and + 149e: 02 pushsp + 149f: 01 .byte 1 + 14a0: 01 .byte 1 + ... + +000014a2 <.Ldebug_info0>: + 14a2: 00 breakpoint + 14a3: 00 breakpoint + 14a4: 09 not + 14a5: 21 .byte 33 + 14a6: 00 breakpoint + 14a7: 02 pushsp + 14a8: 00 breakpoint + 14a9: 00 breakpoint + 14aa: 05 add + 14ab: 4c storesp 112 + 14ac: 04 poppc + 14ad: 01 .byte 1 + 14ae: 00 breakpoint + 14af: 00 breakpoint + 14b0: 0c store + 14b1: 26 ulessthan + 14b2: 00 breakpoint + 14b3: 00 breakpoint + 14b4: 08 load + 14b5: 28 swap + 14b6: 00 breakpoint + 14b7: 00 breakpoint + 14b8: 07 or + 14b9: 86 im 6 + 14ba: 00 breakpoint + 14bb: 00 breakpoint + 14bc: 00 breakpoint + 14bd: 5e storesp 56 + 14be: 01 .byte 1 + 14bf: 00 breakpoint + 14c0: 00 breakpoint + 14c1: 08 load + 14c2: 01 .byte 1 + 14c3: 00 breakpoint + 14c4: 00 breakpoint + 14c5: 07 or + 14c6: bc im 60 + 14c7: 02 pushsp + 14c8: 00 breakpoint + 14c9: 00 breakpoint + 14ca: 00 breakpoint + 14cb: 11 addsp 4 + 14cc: 04 poppc + 14cd: 07 or + 14ce: 03 .byte 3 + 14cf: 69 loadsp 100 + 14d0: 6e loadsp 120 + 14d1: 74 loadsp 16 + 14d2: 00 breakpoint + 14d3: 04 poppc + 14d4: 05 add + 14d5: 02 pushsp + 14d6: 00 breakpoint + 14d7: 00 breakpoint + 14d8: 00 breakpoint + 14d9: 28 swap + 14da: 04 poppc + 14db: 05 add + 14dc: 02 pushsp + 14dd: 00 breakpoint + 14de: 00 breakpoint + 14df: 00 breakpoint + 14e0: 23 storeh + 14e1: 08 load + 14e2: 05 add + 14e3: 04 poppc + 14e4: 00 breakpoint + 14e5: 00 breakpoint + 14e6: 06 and + 14e7: 58 storesp 32 + 14e8: 01 .byte 1 + 14e9: 01 .byte 1 + 14ea: 62 loadsp 72 + 14eb: 00 breakpoint + 14ec: 00 breakpoint + 14ed: 00 breakpoint + 14ee: 4d storesp 116 + 14ef: 02 pushsp + 14f0: 00 breakpoint + 14f1: 00 breakpoint + 14f2: 00 breakpoint + 14f3: 16 addsp 24 + 14f4: 04 poppc + 14f5: 07 or + 14f6: 05 add + 14f7: 00 breakpoint + 14f8: 00 breakpoint + 14f9: 00 breakpoint + 14fa: 73 loadsp 12 + 14fb: 04 poppc + 14fc: 02 pushsp + 14fd: 20 .byte 32 + 14fe: 06 and + 14ff: 00 breakpoint + 1500: 00 breakpoint + 1501: 04 poppc + 1502: 1c addsp 48 + 1503: 02 pushsp + 1504: 1e addsp 56 + 1505: 00 breakpoint + 1506: 00 breakpoint + 1507: 00 breakpoint + 1508: 41 storesp 68 + 1509: 06 and + 150a: 00 breakpoint + 150b: 00 breakpoint + 150c: 05 add + 150d: b6 im 54 + 150e: 02 pushsp + 150f: 1f addsp 60 + 1510: 00 breakpoint + 1511: 00 breakpoint + 1512: 00 breakpoint + 1513: 73 loadsp 12 + 1514: 00 breakpoint + 1515: 07 or + 1516: 00 breakpoint + 1517: 00 breakpoint + 1518: 00 breakpoint + 1519: 83 im 3 + 151a: 00 breakpoint + 151b: 00 breakpoint + 151c: 00 breakpoint + 151d: 8a im 10 + 151e: 08 load + 151f: 00 breakpoint + 1520: 00 breakpoint + 1521: 00 breakpoint + 1522: 83 im 3 + 1523: 03 .byte 3 + 1524: 00 breakpoint + 1525: 02 pushsp + 1526: 00 breakpoint + 1527: 00 breakpoint + 1528: 00 breakpoint + 1529: 11 addsp 4 + 152a: 04 poppc + 152b: 07 or + 152c: 02 pushsp + 152d: 00 breakpoint + 152e: 00 breakpoint + 152f: 00 breakpoint + 1530: 9a im 26 + 1531: 01 .byte 1 + 1532: 08 load + 1533: 09 not + 1534: 00 breakpoint + 1535: 00 breakpoint + 1536: 00 breakpoint + 1537: b6 im 54 + 1538: 08 load + 1539: 02 pushsp + 153a: 21 .byte 33 + 153b: 0a flip + 153c: 00 breakpoint + 153d: 00 breakpoint + 153e: 04 poppc + 153f: 78 loadsp 32 + 1540: 02 pushsp + 1541: 1b addsp 44 + 1542: 00 breakpoint + 1543: 00 breakpoint + 1544: 00 breakpoint + 1545: 2c ashiftright + 1546: 02 pushsp + 1547: 23 storeh + 1548: 00 breakpoint + 1549: 0a flip + 154a: 00 breakpoint + 154b: 00 breakpoint + 154c: 04 poppc + 154d: 3a config + 154e: 02 pushsp + 154f: 20 .byte 32 + 1550: 00 breakpoint + 1551: 00 breakpoint + 1552: 00 breakpoint + 1553: 54 storesp 16 + 1554: 02 pushsp + 1555: 23 storeh + 1556: 04 poppc + 1557: 00 breakpoint + 1558: 0b nop + 1559: 00 breakpoint + 155a: 00 breakpoint + 155b: 06 and + 155c: ce im -50 + 155d: 02 pushsp + 155e: 21 .byte 33 + 155f: 00 breakpoint + 1560: 00 breakpoint + 1561: 00 breakpoint + 1562: 91 im 17 + 1563: 0b nop + 1564: 00 breakpoint + 1565: 00 breakpoint + 1566: 05 add + 1567: 8c im 12 + 1568: 02 pushsp + 1569: 23 storeh + 156a: 00 breakpoint + 156b: 00 breakpoint + 156c: 00 breakpoint + 156d: 2c ashiftright + 156e: 0c store + 156f: 04 poppc + 1570: 0b nop + 1571: 00 breakpoint + 1572: 00 breakpoint + 1573: 03 .byte 3 + 1574: fd im -3 + 1575: 03 .byte 3 + 1576: 13 addsp 12 + 1577: 00 breakpoint + 1578: 00 breakpoint + 1579: 00 breakpoint + 157a: 25 lessthanorequal + 157b: 0d popsp + 157c: 00 breakpoint + 157d: 00 breakpoint + 157e: 01 .byte 1 + 157f: 38 neqbranch + 1580: 00 breakpoint + 1581: 00 breakpoint + 1582: 06 and + 1583: d9 im -39 + 1584: 18 addsp 32 + 1585: 03 .byte 3 + 1586: 29 mult + 1587: 0a flip + 1588: 00 breakpoint + 1589: 00 breakpoint + 158a: 07 or + 158b: 67 loadsp 92 + 158c: 03 .byte 3 + 158d: 2a lshiftright + 158e: 00 breakpoint + 158f: 00 breakpoint + 1590: 01 .byte 1 + 1591: 38 neqbranch + 1592: 02 pushsp + 1593: 23 storeh + 1594: 00 breakpoint + 1595: 0e .byte 14 + 1596: 5f storesp 60 + 1597: 6b loadsp 108 + 1598: 00 breakpoint + 1599: 03 .byte 3 + 159a: 2b ashiftleft + 159b: 00 breakpoint + 159c: 00 breakpoint + 159d: 00 breakpoint + 159e: 2c ashiftright + 159f: 02 pushsp + 15a0: 23 storeh + 15a1: 04 poppc + 15a2: 0a flip + 15a3: 00 breakpoint + 15a4: 00 breakpoint + 15a5: 06 and + 15a6: e1 im -31 + 15a7: 03 .byte 3 + 15a8: 2b ashiftleft + 15a9: 00 breakpoint + 15aa: 00 breakpoint + 15ab: 00 breakpoint + 15ac: 2c ashiftright + 15ad: 02 pushsp + 15ae: 23 storeh + 15af: 08 load + 15b0: 0a flip + 15b1: 00 breakpoint + 15b2: 00 breakpoint + 15b3: 07 or + 15b4: 5c storesp 48 + 15b5: 03 .byte 3 + 15b6: 2b ashiftleft + 15b7: 00 breakpoint + 15b8: 00 breakpoint + 15b9: 00 breakpoint + 15ba: 2c ashiftright + 15bb: 02 pushsp + 15bc: 23 storeh + 15bd: 0c store + 15be: 0a flip + 15bf: 00 breakpoint + 15c0: 00 breakpoint + 15c1: 06 and + 15c2: 41 storesp 68 + 15c3: 03 .byte 3 + 15c4: 2b ashiftleft + 15c5: 00 breakpoint + 15c6: 00 breakpoint + 15c7: 00 breakpoint + 15c8: 2c ashiftright + 15c9: 02 pushsp + 15ca: 23 storeh + 15cb: 10 addsp 0 + 15cc: 0e .byte 14 + 15cd: 5f storesp 60 + 15ce: 78 loadsp 32 + 15cf: 00 breakpoint + 15d0: 03 .byte 3 + 15d1: 2c ashiftright + 15d2: 00 breakpoint + 15d3: 00 breakpoint + 15d4: 01 .byte 1 + 15d5: 3e halfmult + 15d6: 02 pushsp + 15d7: 23 storeh + 15d8: 14 addsp 16 + 15d9: 00 breakpoint + 15da: 0f .byte 15 + 15db: 04 poppc + 15dc: 00 breakpoint + 15dd: 00 breakpoint + 15de: 00 breakpoint + 15df: d9 im -39 + 15e0: 07 or + 15e1: 00 breakpoint + 15e2: 00 breakpoint + 15e3: 01 .byte 1 + 15e4: 4e storesp 120 + 15e5: 00 breakpoint + 15e6: 00 breakpoint + 15e7: 00 breakpoint + 15e8: ce im -50 + 15e9: 08 load + 15ea: 00 breakpoint + 15eb: 00 breakpoint + 15ec: 00 breakpoint + 15ed: 83 im 3 + 15ee: 00 breakpoint + 15ef: 00 breakpoint + 15f0: 0d popsp + 15f1: 00 breakpoint + 15f2: 00 breakpoint + 15f3: 01 .byte 1 + 15f4: d9 im -39 + 15f5: 00 breakpoint + 15f6: 00 breakpoint + 15f7: 06 and + 15f8: 5f storesp 60 + 15f9: 24 lessthan + 15fa: 03 .byte 3 + 15fb: 31 sub + 15fc: 0a flip + 15fd: 00 breakpoint + 15fe: 00 breakpoint + 15ff: 05 add + 1600: 9d im 29 + 1601: 03 .byte 3 + 1602: 32 xor + 1603: 00 breakpoint + 1604: 00 breakpoint + 1605: 00 breakpoint + 1606: 2c ashiftright + 1607: 02 pushsp + 1608: 23 storeh + 1609: 00 breakpoint + 160a: 0a flip + 160b: 00 breakpoint + 160c: 00 breakpoint + 160d: 05 add + 160e: 01 .byte 1 + 160f: 03 .byte 3 + 1610: 33 loadb + 1611: 00 breakpoint + 1612: 00 breakpoint + 1613: 00 breakpoint + 1614: 2c ashiftright + 1615: 02 pushsp + 1616: 23 storeh + 1617: 04 poppc + 1618: 0a flip + 1619: 00 breakpoint + 161a: 00 breakpoint + 161b: 05 add + 161c: a6 im 38 + 161d: 03 .byte 3 + 161e: 34 storeb + 161f: 00 breakpoint + 1620: 00 breakpoint + 1621: 00 breakpoint + 1622: 2c ashiftright + 1623: 02 pushsp + 1624: 23 storeh + 1625: 08 load + 1626: 0a flip + 1627: 00 breakpoint + 1628: 00 breakpoint + 1629: 06 and + 162a: 64 loadsp 80 + 162b: 03 .byte 3 + 162c: 35 div + 162d: 00 breakpoint + 162e: 00 breakpoint + 162f: 00 breakpoint + 1630: 2c ashiftright + 1631: 02 pushsp + 1632: 23 storeh + 1633: 0c store + 1634: 0a flip + 1635: 00 breakpoint + 1636: 00 breakpoint + 1637: 07 or + 1638: 36 mod + 1639: 03 .byte 3 + 163a: 36 mod + 163b: 00 breakpoint + 163c: 00 breakpoint + 163d: 00 breakpoint + 163e: 2c ashiftright + 163f: 02 pushsp + 1640: 23 storeh + 1641: 10 addsp 0 + 1642: 0a flip + 1643: 00 breakpoint + 1644: 00 breakpoint + 1645: 06 and + 1646: 46 storesp 88 + 1647: 03 .byte 3 + 1648: 37 eqbranch + 1649: 00 breakpoint + 164a: 00 breakpoint + 164b: 00 breakpoint + 164c: 2c ashiftright + 164d: 02 pushsp + 164e: 23 storeh + 164f: 14 addsp 16 + 1650: 0a flip + 1651: 00 breakpoint + 1652: 00 breakpoint + 1653: 05 add + 1654: 2c ashiftright + 1655: 03 .byte 3 + 1656: 38 neqbranch + 1657: 00 breakpoint + 1658: 00 breakpoint + 1659: 00 breakpoint + 165a: 2c ashiftright + 165b: 02 pushsp + 165c: 23 storeh + 165d: 18 addsp 32 + 165e: 0a flip + 165f: 00 breakpoint + 1660: 00 breakpoint + 1661: 07 or + 1662: 16 addsp 24 + 1663: 03 .byte 3 + 1664: 39 poppcrel + 1665: 00 breakpoint + 1666: 00 breakpoint + 1667: 00 breakpoint + 1668: 2c ashiftright + 1669: 02 pushsp + 166a: 23 storeh + 166b: 1c addsp 48 + 166c: 0a flip + 166d: 00 breakpoint + 166e: 00 breakpoint + 166f: 05 add + 1670: 61 loadsp 68 + 1671: 03 .byte 3 + 1672: 3a config + 1673: 00 breakpoint + 1674: 00 breakpoint + 1675: 00 breakpoint + 1676: 2c ashiftright + 1677: 02 pushsp + 1678: 23 storeh + 1679: 20 .byte 32 + 167a: 00 breakpoint + 167b: 0d popsp + 167c: 00 breakpoint + 167d: 00 breakpoint + 167e: 02 pushsp + 167f: 03 .byte 3 + 1680: 00 breakpoint + 1681: 00 breakpoint + 1682: 05 add + 1683: c5 im -59 + 1684: 84 im 4 + 1685: 03 .byte 3 + 1686: 43 storesp 76 + 1687: 0a flip + 1688: 00 breakpoint + 1689: 00 breakpoint + 168a: 05 add + 168b: 36 mod + 168c: 03 .byte 3 + 168d: 44 storesp 80 + 168e: 00 breakpoint + 168f: 00 breakpoint + 1690: 02 pushsp + 1691: 03 .byte 3 + 1692: 02 pushsp + 1693: 23 storeh + 1694: 00 breakpoint + 1695: 0a flip + 1696: 00 breakpoint + 1697: 00 breakpoint + 1698: 05 add + 1699: 7b loadsp 44 + 169a: 03 .byte 3 + 169b: 45 storesp 84 + 169c: 00 breakpoint + 169d: 00 breakpoint + 169e: 00 breakpoint + 169f: ce im -50 + 16a0: 03 .byte 3 + 16a1: 23 storeh + 16a2: 80 im 0 + 16a3: 01 .byte 1 + 16a4: 00 breakpoint + 16a5: 07 or + 16a6: 00 breakpoint + 16a7: 00 breakpoint + 16a8: 02 pushsp + 16a9: 13 addsp 12 + 16aa: 00 breakpoint + 16ab: 00 breakpoint + 16ac: 00 breakpoint + 16ad: cc im -52 + 16ae: 08 load + 16af: 00 breakpoint + 16b0: 00 breakpoint + 16b1: 00 breakpoint + 16b2: 83 im 3 + 16b3: 1f addsp 60 + 16b4: 00 breakpoint + 16b5: 10 addsp 0 + 16b6: 00 breakpoint + 16b7: 00 breakpoint + 16b8: 02 pushsp + 16b9: 5a storesp 40 + 16ba: 00 breakpoint + 16bb: 00 breakpoint + 16bc: 07 or + 16bd: 20 .byte 32 + 16be: 01 .byte 1 + 16bf: 0c store + 16c0: 03 .byte 3 + 16c1: 50 storesp 0 + 16c2: 0a flip + 16c3: 00 breakpoint + 16c4: 00 breakpoint + 16c5: 07 or + 16c6: 67 loadsp 92 + 16c7: 03 .byte 3 + 16c8: 51 storesp 4 + 16c9: 00 breakpoint + 16ca: 00 breakpoint + 16cb: 02 pushsp + 16cc: 5a storesp 40 + 16cd: 02 pushsp + 16ce: 23 storeh + 16cf: 00 breakpoint + 16d0: 0a flip + 16d1: 00 breakpoint + 16d2: 00 breakpoint + 16d3: 07 or + 16d4: 44 storesp 80 + 16d5: 03 .byte 3 + 16d6: 52 storesp 8 + 16d7: 00 breakpoint + 16d8: 00 breakpoint + 16d9: 00 breakpoint + 16da: 2c ashiftright + 16db: 02 pushsp + 16dc: 23 storeh + 16dd: 04 poppc + 16de: 0a flip + 16df: 00 breakpoint + 16e0: 00 breakpoint + 16e1: 05 add + 16e2: 27 ulessthanorequal + 16e3: 03 .byte 3 + 16e4: 53 storesp 12 + 16e5: 00 breakpoint + 16e6: 00 breakpoint + 16e7: 02 pushsp + 16e8: 60 loadsp 64 + 16e9: 02 pushsp + 16ea: 23 storeh + 16eb: 08 load + 16ec: 0a flip + 16ed: 00 breakpoint + 16ee: 00 breakpoint + 16ef: 05 add + 16f0: c5 im -59 + 16f1: 03 .byte 3 + 16f2: 54 storesp 16 + 16f3: 00 breakpoint + 16f4: 00 breakpoint + 16f5: 01 .byte 1 + 16f6: d9 im -39 + 16f7: 03 .byte 3 + 16f8: 23 storeh + 16f9: 88 im 8 + 16fa: 01 .byte 1 + 16fb: 00 breakpoint + 16fc: 0f .byte 15 + 16fd: 04 poppc + 16fe: 00 breakpoint + 16ff: 00 breakpoint + 1700: 02 pushsp + 1701: 13 addsp 12 + 1702: 07 or + 1703: 00 breakpoint + 1704: 00 breakpoint + 1705: 02 pushsp + 1706: 70 loadsp 0 + 1707: 00 breakpoint + 1708: 00 breakpoint + 1709: 02 pushsp + 170a: 72 loadsp 8 + 170b: 08 load + 170c: 00 breakpoint + 170d: 00 breakpoint + 170e: 00 breakpoint + 170f: 83 im 3 + 1710: 1f addsp 60 + 1711: 00 breakpoint + 1712: 11 addsp 4 + 1713: 01 .byte 1 + 1714: 0f .byte 15 + 1715: 04 poppc + 1716: 00 breakpoint + 1717: 00 breakpoint + 1718: 02 pushsp + 1719: 70 loadsp 0 + 171a: 0d popsp + 171b: 00 breakpoint + 171c: 00 breakpoint + 171d: 02 pushsp + 171e: a1 im 33 + 171f: 00 breakpoint + 1720: 00 breakpoint + 1721: 04 poppc + 1722: 42 storesp 72 + 1723: 08 load + 1724: 03 .byte 3 + 1725: 5f storesp 60 + 1726: 0a flip + 1727: 00 breakpoint + 1728: 00 breakpoint + 1729: 06 and + 172a: 10 addsp 0 + 172b: 03 .byte 3 + 172c: 60 loadsp 64 + 172d: 00 breakpoint + 172e: 00 breakpoint + 172f: 02 pushsp + 1730: a1 im 33 + 1731: 02 pushsp + 1732: 23 storeh + 1733: 00 breakpoint + 1734: 0a flip + 1735: 00 breakpoint + 1736: 00 breakpoint + 1737: 03 .byte 3 + 1738: 1a addsp 40 + 1739: 03 .byte 3 + 173a: 61 loadsp 68 + 173b: 00 breakpoint + 173c: 00 breakpoint + 173d: 00 breakpoint + 173e: 2c ashiftright + 173f: 02 pushsp + 1740: 23 storeh + 1741: 04 poppc + 1742: 00 breakpoint + 1743: 0f .byte 15 + 1744: 04 poppc + 1745: 00 breakpoint + 1746: 00 breakpoint + 1747: 00 breakpoint + 1748: 8a im 10 + 1749: 0b nop + 174a: 00 breakpoint + 174b: 00 breakpoint + 174c: 05 add + 174d: 84 im 4 + 174e: 03 .byte 3 + 174f: 69 loadsp 100 + 1750: 00 breakpoint + 1751: 00 breakpoint + 1752: 00 breakpoint + 1753: 33 loadb + 1754: 0d popsp + 1755: 00 breakpoint + 1756: 00 breakpoint + 1757: 05 add + 1758: 4d storesp 116 + 1759: 00 breakpoint + 175a: 00 breakpoint + 175b: 07 or + 175c: b4 im 52 + 175d: 5c storesp 48 + 175e: 03 .byte 3 + 175f: a0 im 32 + 1760: 0e .byte 14 + 1761: 5f storesp 60 + 1762: 70 loadsp 0 + 1763: 00 breakpoint + 1764: 03 .byte 3 + 1765: a1 im 33 + 1766: 00 breakpoint + 1767: 00 breakpoint + 1768: 02 pushsp + 1769: a1 im 33 + 176a: 02 pushsp + 176b: 23 storeh + 176c: 00 breakpoint + 176d: 0e .byte 14 + 176e: 5f storesp 60 + 176f: 72 loadsp 8 + 1770: 00 breakpoint + 1771: 03 .byte 3 + 1772: a2 im 34 + 1773: 00 breakpoint + 1774: 00 breakpoint + 1775: 00 breakpoint + 1776: 2c ashiftright + 1777: 02 pushsp + 1778: 23 storeh + 1779: 04 poppc + 177a: 0e .byte 14 + 177b: 5f storesp 60 + 177c: 77 loadsp 28 + 177d: 00 breakpoint + 177e: 03 .byte 3 + 177f: a3 im 35 + 1780: 00 breakpoint + 1781: 00 breakpoint + 1782: 00 breakpoint + 1783: 2c ashiftright + 1784: 02 pushsp + 1785: 23 storeh + 1786: 08 load + 1787: 0a flip + 1788: 00 breakpoint + 1789: 00 breakpoint + 178a: 07 or + 178b: 9a im 26 + 178c: 03 .byte 3 + 178d: a4 im 36 + 178e: 00 breakpoint + 178f: 00 breakpoint + 1790: 05 add + 1791: 4d storesp 116 + 1792: 02 pushsp + 1793: 23 storeh + 1794: 0c store + 1795: 0a flip + 1796: 00 breakpoint + 1797: 00 breakpoint + 1798: 05 add + 1799: 75 loadsp 20 + 179a: 03 .byte 3 + 179b: a5 im 37 + 179c: 00 breakpoint + 179d: 00 breakpoint + 179e: 05 add + 179f: 4d storesp 116 + 17a0: 02 pushsp + 17a1: 23 storeh + 17a2: 0e .byte 14 + 17a3: 0e .byte 14 + 17a4: 5f storesp 60 + 17a5: 62 loadsp 72 + 17a6: 66 loadsp 88 + 17a7: 00 breakpoint + 17a8: 03 .byte 3 + 17a9: a6 im 38 + 17aa: 00 breakpoint + 17ab: 00 breakpoint + 17ac: 02 pushsp + 17ad: 78 loadsp 32 + 17ae: 02 pushsp + 17af: 23 storeh + 17b0: 10 addsp 0 + 17b1: 0a flip + 17b2: 00 breakpoint + 17b3: 00 breakpoint + 17b4: 04 poppc + 17b5: 22 loadh + 17b6: 03 .byte 3 + 17b7: a7 im 39 + 17b8: 00 breakpoint + 17b9: 00 breakpoint + 17ba: 00 breakpoint + 17bb: 2c ashiftright + 17bc: 02 pushsp + 17bd: 23 storeh + 17be: 18 addsp 32 + 17bf: 0a flip + 17c0: 00 breakpoint + 17c1: 00 breakpoint + 17c2: 06 and + 17c3: 08 load + 17c4: 03 .byte 3 + 17c5: ae im 46 + 17c6: 00 breakpoint + 17c7: 00 breakpoint + 17c8: 00 breakpoint + 17c9: cc im -52 + 17ca: 02 pushsp + 17cb: 23 storeh + 17cc: 1c addsp 48 + 17cd: 0a flip + 17ce: 00 breakpoint + 17cf: 00 breakpoint + 17d0: 05 add + 17d1: b0 im 48 + 17d2: 03 .byte 3 + 17d3: b0 im 48 + 17d4: 00 breakpoint + 17d5: 00 breakpoint + 17d6: 05 add + 17d7: 7b loadsp 44 + 17d8: 02 pushsp + 17d9: 23 storeh + 17da: 20 .byte 32 + 17db: 0a flip + 17dc: 00 breakpoint + 17dd: 00 breakpoint + 17de: 04 poppc + 17df: 71 loadsp 4 + 17e0: 03 .byte 3 + 17e1: b1 im 49 + 17e2: 00 breakpoint + 17e3: 00 breakpoint + 17e4: 05 add + 17e5: a6 im 38 + 17e6: 02 pushsp + 17e7: 23 storeh + 17e8: 24 lessthan + 17e9: 0a flip + 17ea: 00 breakpoint + 17eb: 00 breakpoint + 17ec: 07 or + 17ed: 28 swap + 17ee: 03 .byte 3 + 17ef: b3 im 51 + 17f0: 00 breakpoint + 17f1: 00 breakpoint + 17f2: 05 add + 17f3: c6 im -58 + 17f4: 02 pushsp + 17f5: 23 storeh + 17f6: 28 swap + 17f7: 0a flip + 17f8: 00 breakpoint + 17f9: 00 breakpoint + 17fa: 06 and + 17fb: 16 addsp 24 + 17fc: 03 .byte 3 + 17fd: b4 im 52 + 17fe: 00 breakpoint + 17ff: 00 breakpoint + 1800: 05 add + 1801: dc im -36 + 1802: 02 pushsp + 1803: 23 storeh + 1804: 2c ashiftright + 1805: 0e .byte 14 + 1806: 5f storesp 60 + 1807: 75 loadsp 20 + 1808: 62 loadsp 72 + 1809: 00 breakpoint + 180a: 03 .byte 3 + 180b: b7 im 55 + 180c: 00 breakpoint + 180d: 00 breakpoint + 180e: 02 pushsp + 180f: 78 loadsp 32 + 1810: 02 pushsp + 1811: 23 storeh + 1812: 30 neg + 1813: 0e .byte 14 + 1814: 5f storesp 60 + 1815: 75 loadsp 20 + 1816: 70 loadsp 0 + 1817: 00 breakpoint + 1818: 03 .byte 3 + 1819: b8 im 56 + 181a: 00 breakpoint + 181b: 00 breakpoint + 181c: 02 pushsp + 181d: a1 im 33 + 181e: 02 pushsp + 181f: 23 storeh + 1820: 38 neqbranch + 1821: 0e .byte 14 + 1822: 5f storesp 60 + 1823: 75 loadsp 20 + 1824: 72 loadsp 8 + 1825: 00 breakpoint + 1826: 03 .byte 3 + 1827: b9 im 57 + 1828: 00 breakpoint + 1829: 00 breakpoint + 182a: 00 breakpoint + 182b: 2c ashiftright + 182c: 02 pushsp + 182d: 23 storeh + 182e: 3c syscall + 182f: 0a flip + 1830: 00 breakpoint + 1831: 00 breakpoint + 1832: 07 or + 1833: a1 im 33 + 1834: 03 .byte 3 + 1835: bc im 60 + 1836: 00 breakpoint + 1837: 00 breakpoint + 1838: 05 add + 1839: e2 im -30 + 183a: 02 pushsp + 183b: 23 storeh + 183c: 40 storesp 64 + 183d: 0a flip + 183e: 00 breakpoint + 183f: 00 breakpoint + 1840: 04 poppc + 1841: d1 im -47 + 1842: 03 .byte 3 + 1843: bd im 61 + 1844: 00 breakpoint + 1845: 00 breakpoint + 1846: 05 add + 1847: f2 im -14 + 1848: 02 pushsp + 1849: 23 storeh + 184a: 43 storesp 76 + 184b: 0e .byte 14 + 184c: 5f storesp 60 + 184d: 6c loadsp 112 + 184e: 62 loadsp 72 + 184f: 00 breakpoint + 1850: 03 .byte 3 + 1851: c0 im -64 + 1852: 00 breakpoint + 1853: 00 breakpoint + 1854: 02 pushsp + 1855: 78 loadsp 32 + 1856: 02 pushsp + 1857: 23 storeh + 1858: 44 storesp 80 + 1859: 0a flip + 185a: 00 breakpoint + 185b: 00 breakpoint + 185c: 02 pushsp + 185d: e0 im -32 + 185e: 03 .byte 3 + 185f: c3 im -61 + 1860: 00 breakpoint + 1861: 00 breakpoint + 1862: 00 breakpoint + 1863: 2c ashiftright + 1864: 02 pushsp + 1865: 23 storeh + 1866: 4c storesp 112 + 1867: 0a flip + 1868: 00 breakpoint + 1869: 00 breakpoint + 186a: 04 poppc + 186b: 53 storesp 12 + 186c: 03 .byte 3 + 186d: c4 im -60 + 186e: 00 breakpoint + 186f: 00 breakpoint + 1870: 00 breakpoint + 1871: 2c ashiftright + 1872: 02 pushsp + 1873: 23 storeh + 1874: 50 storesp 0 + 1875: 10 addsp 0 + 1876: 00 breakpoint + 1877: 00 breakpoint + 1878: 05 add + 1879: 30 neg + 187a: 00 breakpoint + 187b: 00 breakpoint + 187c: 07 or + 187d: 84 im 4 + 187e: 03 .byte 3 + 187f: 7c loadsp 48 + 1880: 03 .byte 3 + 1881: c7 im -57 + 1882: 12 addsp 8 + 1883: 00 breakpoint + 1884: 00 breakpoint + 1885: 03 .byte 3 + 1886: eb im -21 + 1887: 03 .byte 3 + 1888: 02 pushsp + 1889: 17 addsp 28 + 188a: 00 breakpoint + 188b: 00 breakpoint + 188c: 00 breakpoint + 188d: 2c ashiftright + 188e: 02 pushsp + 188f: 23 storeh + 1890: 00 breakpoint + 1891: 12 addsp 8 + 1892: 00 breakpoint + 1893: 00 breakpoint + 1894: 07 or + 1895: 6d loadsp 116 + 1896: 03 .byte 3 + 1897: 02 pushsp + 1898: 1c addsp 48 + 1899: 00 breakpoint + 189a: 00 breakpoint + 189b: 06 and + 189c: 54 storesp 16 + 189d: 02 pushsp + 189e: 23 storeh + 189f: 04 poppc + 18a0: 12 addsp 8 + 18a1: 00 breakpoint + 18a2: 00 breakpoint + 18a3: 05 add + 18a4: 95 im 21 + 18a5: 03 .byte 3 + 18a6: 02 pushsp + 18a7: 1c addsp 48 + 18a8: 00 breakpoint + 18a9: 00 breakpoint + 18aa: 06 and + 18ab: 54 storesp 16 + 18ac: 02 pushsp + 18ad: 23 storeh + 18ae: 08 load + 18af: 12 addsp 8 + 18b0: 00 breakpoint + 18b1: 00 breakpoint + 18b2: 06 and + 18b3: 39 poppcrel + 18b4: 03 .byte 3 + 18b5: 02 pushsp + 18b6: 1c addsp 48 + 18b7: 00 breakpoint + 18b8: 00 breakpoint + 18b9: 06 and + 18ba: 54 storesp 16 + 18bb: 02 pushsp + 18bc: 23 storeh + 18bd: 0c store + 18be: 12 addsp 8 + 18bf: 00 breakpoint + 18c0: 00 breakpoint + 18c1: 07 or + 18c2: 3f callpcrel + 18c3: 03 .byte 3 + 18c4: 02 pushsp + 18c5: 1e addsp 56 + 18c6: 00 breakpoint + 18c7: 00 breakpoint + 18c8: 00 breakpoint + 18c9: 2c ashiftright + 18ca: 02 pushsp + 18cb: 23 storeh + 18cc: 10 addsp 0 + 18cd: 12 addsp 8 + 18ce: 00 breakpoint + 18cf: 00 breakpoint + 18d0: 04 poppc + 18d1: be im 62 + 18d2: 03 .byte 3 + 18d3: 02 pushsp + 18d4: 1f addsp 60 + 18d5: 00 breakpoint + 18d6: 00 breakpoint + 18d7: 08 load + 18d8: 6c loadsp 112 + 18d9: 02 pushsp + 18da: 23 storeh + 18db: 14 addsp 16 + 18dc: 12 addsp 8 + 18dd: 00 breakpoint + 18de: 00 breakpoint + 18df: 06 and + 18e0: 6e loadsp 120 + 18e1: 03 .byte 3 + 18e2: 02 pushsp + 18e3: 21 .byte 33 + 18e4: 00 breakpoint + 18e5: 00 breakpoint + 18e6: 00 breakpoint + 18e7: 2c ashiftright + 18e8: 02 pushsp + 18e9: 23 storeh + 18ea: 30 neg + 18eb: 12 addsp 8 + 18ec: 00 breakpoint + 18ed: 00 breakpoint + 18ee: 07 or + 18ef: 74 loadsp 16 + 18f0: 03 .byte 3 + 18f1: 02 pushsp + 18f2: 22 loadh + 18f3: 00 breakpoint + 18f4: 00 breakpoint + 18f5: 05 add + 18f6: 9b im 27 + 18f7: 02 pushsp + 18f8: 23 storeh + 18f9: 34 storeb + 18fa: 12 addsp 8 + 18fb: 00 breakpoint + 18fc: 00 breakpoint + 18fd: 03 .byte 3 + 18fe: f2 im -14 + 18ff: 03 .byte 3 + 1900: 02 pushsp + 1901: 24 lessthan + 1902: 00 breakpoint + 1903: 00 breakpoint + 1904: 00 breakpoint + 1905: 2c ashiftright + 1906: 02 pushsp + 1907: 23 storeh + 1908: 38 neqbranch + 1909: 12 addsp 8 + 190a: 00 breakpoint + 190b: 00 breakpoint + 190c: 06 and + 190d: 80 im 0 + 190e: 03 .byte 3 + 190f: 02 pushsp + 1910: 26 ulessthan + 1911: 00 breakpoint + 1912: 00 breakpoint + 1913: 08 load + 1914: 88 im 8 + 1915: 02 pushsp + 1916: 23 storeh + 1917: 3c syscall + 1918: 12 addsp 8 + 1919: 00 breakpoint + 191a: 00 breakpoint + 191b: 07 or + 191c: 2e eq + 191d: 03 .byte 3 + 191e: 02 pushsp + 191f: 29 mult + 1920: 00 breakpoint + 1921: 00 breakpoint + 1922: 01 .byte 1 + 1923: 38 neqbranch + 1924: 02 pushsp + 1925: 23 storeh + 1926: 40 storesp 64 + 1927: 12 addsp 8 + 1928: 00 breakpoint + 1929: 00 breakpoint + 192a: 04 poppc + 192b: 49 storesp 100 + 192c: 03 .byte 3 + 192d: 02 pushsp + 192e: 2a lshiftright + 192f: 00 breakpoint + 1930: 00 breakpoint + 1931: 00 breakpoint + 1932: 2c ashiftright + 1933: 02 pushsp + 1934: 23 storeh + 1935: 44 storesp 80 + 1936: 12 addsp 8 + 1937: 00 breakpoint + 1938: 00 breakpoint + 1939: 07 or + 193a: 57 storesp 28 + 193b: 03 .byte 3 + 193c: 02 pushsp + 193d: 2b ashiftleft + 193e: 00 breakpoint + 193f: 00 breakpoint + 1940: 01 .byte 1 + 1941: 38 neqbranch + 1942: 02 pushsp + 1943: 23 storeh + 1944: 48 storesp 96 + 1945: 12 addsp 8 + 1946: 00 breakpoint + 1947: 00 breakpoint + 1948: 05 add + 1949: 1d addsp 52 + 194a: 03 .byte 3 + 194b: 02 pushsp + 194c: 2c ashiftright + 194d: 00 breakpoint + 194e: 00 breakpoint + 194f: 08 load + 1950: 8e im 14 + 1951: 02 pushsp + 1952: 23 storeh + 1953: 4c storesp 112 + 1954: 12 addsp 8 + 1955: 00 breakpoint + 1956: 00 breakpoint + 1957: 04 poppc + 1958: c9 im -55 + 1959: 03 .byte 3 + 195a: 02 pushsp + 195b: 2f neq + 195c: 00 breakpoint + 195d: 00 breakpoint + 195e: 00 breakpoint + 195f: 2c ashiftright + 1960: 02 pushsp + 1961: 23 storeh + 1962: 50 storesp 0 + 1963: 12 addsp 8 + 1964: 00 breakpoint + 1965: 00 breakpoint + 1966: 06 and + 1967: 00 breakpoint + 1968: 03 .byte 3 + 1969: 02 pushsp + 196a: 30 neg + 196b: 00 breakpoint + 196c: 00 breakpoint + 196d: 05 add + 196e: 6e loadsp 120 + 196f: 02 pushsp + 1970: 23 storeh + 1971: 54 storesp 16 + 1972: 12 addsp 8 + 1973: 00 breakpoint + 1974: 00 breakpoint + 1975: 05 add + 1976: 50 storesp 0 + 1977: 03 .byte 3 + 1978: 02 pushsp + 1979: 52 storesp 8 + 197a: 00 breakpoint + 197b: 00 breakpoint + 197c: 08 load + 197d: 4a storesp 104 + 197e: 02 pushsp + 197f: 23 storeh + 1980: 58 storesp 32 + 1981: 12 addsp 8 + 1982: 00 breakpoint + 1983: 00 breakpoint + 1984: 07 or + 1985: 20 .byte 32 + 1986: 03 .byte 3 + 1987: 02 pushsp + 1988: 55 storesp 20 + 1989: 00 breakpoint + 198a: 00 breakpoint + 198b: 02 pushsp + 198c: 5a storesp 40 + 198d: 03 .byte 3 + 198e: 23 storeh + 198f: c8 im -56 + 1990: 02 pushsp + 1991: 12 addsp 8 + 1992: 00 breakpoint + 1993: 00 breakpoint + 1994: 05 add + 1995: 6c loadsp 112 + 1996: 03 .byte 3 + 1997: 02 pushsp + 1998: 56 storesp 24 + 1999: 00 breakpoint + 199a: 00 breakpoint + 199b: 02 pushsp + 199c: 13 addsp 12 + 199d: 03 .byte 3 + 199e: 23 storeh + 199f: cc im -52 + 19a0: 02 pushsp + 19a1: 12 addsp 8 + 19a2: 00 breakpoint + 19a3: 00 breakpoint + 19a4: 05 add + 19a5: 0a flip + 19a6: 03 .byte 3 + 19a7: 02 pushsp + 19a8: 59 storesp 36 + 19a9: 00 breakpoint + 19aa: 00 breakpoint + 19ab: 08 load + 19ac: a0 im 32 + 19ad: 03 .byte 3 + 19ae: 23 storeh + 19af: d8 im -40 + 19b0: 04 poppc + 19b1: 12 addsp 8 + 19b2: 00 breakpoint + 19b3: 00 breakpoint + 19b4: 05 add + 19b5: bd im 61 + 19b6: 03 .byte 3 + 19b7: 02 pushsp + 19b8: 5e storesp 56 + 19b9: 00 breakpoint + 19ba: 00 breakpoint + 19bb: 06 and + 19bc: 13 addsp 12 + 19bd: 03 .byte 3 + 19be: 23 storeh + 19bf: dc im -36 + 19c0: 04 poppc + 19c1: 12 addsp 8 + 19c2: 00 breakpoint + 19c3: 00 breakpoint + 19c4: 04 poppc + 19c5: 5b storesp 44 + 19c6: 03 .byte 3 + 19c7: 02 pushsp + 19c8: 5f storesp 60 + 19c9: 00 breakpoint + 19ca: 00 breakpoint + 19cb: 08 load + 19cc: ac im 44 + 19cd: 03 .byte 3 + 19ce: 23 storeh + 19cf: e8 im -24 + 19d0: 04 poppc + 19d1: 00 breakpoint + 19d2: 0a flip + 19d3: 00 breakpoint + 19d4: 00 breakpoint + 19d5: 08 load + 19d6: 39 poppcrel + 19d7: 03 .byte 3 + 19d8: c7 im -57 + 19d9: 00 breakpoint + 19da: 00 breakpoint + 19db: 06 and + 19dc: 02 pushsp + 19dd: 02 pushsp + 19de: 23 storeh + 19df: 54 storesp 16 + 19e0: 0a flip + 19e1: 00 breakpoint + 19e2: 00 breakpoint + 19e3: 05 add + 19e4: 55 storesp 20 + 19e5: 03 .byte 3 + 19e6: cb im -53 + 19e7: 00 breakpoint + 19e8: 00 breakpoint + 19e9: 00 breakpoint + 19ea: c1 im -63 + 19eb: 02 pushsp + 19ec: 23 storeh + 19ed: 58 storesp 32 + 19ee: 00 breakpoint + 19ef: 02 pushsp + 19f0: 00 breakpoint + 19f1: 00 breakpoint + 19f2: 00 breakpoint + 19f3: a8 im 40 + 19f4: 02 pushsp + 19f5: 05 add + 19f6: 13 addsp 12 + 19f7: 00 breakpoint + 19f8: 00 breakpoint + 19f9: 05 add + 19fa: 6e loadsp 120 + 19fb: 01 .byte 1 + 19fc: 00 breakpoint + 19fd: 00 breakpoint + 19fe: 00 breakpoint + 19ff: 2c ashiftright + 1a00: 14 addsp 16 + 1a01: 00 breakpoint + 1a02: 00 breakpoint + 1a03: 00 breakpoint + 1a04: cc im -52 + 1a05: 14 addsp 16 + 1a06: 00 breakpoint + 1a07: 00 breakpoint + 1a08: 05 add + 1a09: 6e loadsp 120 + 1a0a: 14 addsp 16 + 1a0b: 00 breakpoint + 1a0c: 00 breakpoint + 1a0d: 00 breakpoint + 1a0e: 2c ashiftright + 1a0f: 00 breakpoint + 1a10: 0f .byte 15 + 1a11: 04 poppc + 1a12: 00 breakpoint + 1a13: 00 breakpoint + 1a14: 05 add + 1a15: 74 loadsp 16 + 1a16: 02 pushsp + 1a17: 00 breakpoint + 1a18: 00 breakpoint + 1a19: 00 breakpoint + 1a1a: a3 im 35 + 1a1b: 01 .byte 1 + 1a1c: 08 load + 1a1d: 0f .byte 15 + 1a1e: 04 poppc + 1a1f: 00 breakpoint + 1a20: 00 breakpoint + 1a21: 05 add + 1a22: 54 storesp 16 + 1a23: 13 addsp 12 + 1a24: 00 breakpoint + 1a25: 00 breakpoint + 1a26: 05 add + 1a27: 9b im 27 + 1a28: 01 .byte 1 + 1a29: 00 breakpoint + 1a2a: 00 breakpoint + 1a2b: 00 breakpoint + 1a2c: 2c ashiftright + 1a2d: 14 addsp 16 + 1a2e: 00 breakpoint + 1a2f: 00 breakpoint + 1a30: 00 breakpoint + 1a31: cc im -52 + 1a32: 14 addsp 16 + 1a33: 00 breakpoint + 1a34: 00 breakpoint + 1a35: 05 add + 1a36: 9b im 27 + 1a37: 14 addsp 16 + 1a38: 00 breakpoint + 1a39: 00 breakpoint + 1a3a: 00 breakpoint + 1a3b: 2c ashiftright + 1a3c: 00 breakpoint + 1a3d: 0f .byte 15 + 1a3e: 04 poppc + 1a3f: 00 breakpoint + 1a40: 00 breakpoint + 1a41: 05 add + 1a42: a1 im 33 + 1a43: 15 addsp 20 + 1a44: 00 breakpoint + 1a45: 00 breakpoint + 1a46: 05 add + 1a47: 74 loadsp 16 + 1a48: 0f .byte 15 + 1a49: 04 poppc + 1a4a: 00 breakpoint + 1a4b: 00 breakpoint + 1a4c: 05 add + 1a4d: 81 im 1 + 1a4e: 13 addsp 12 + 1a4f: 00 breakpoint + 1a50: 00 breakpoint + 1a51: 05 add + 1a52: c6 im -58 + 1a53: 01 .byte 1 + 1a54: 00 breakpoint + 1a55: 00 breakpoint + 1a56: 02 pushsp + 1a57: a7 im 39 + 1a58: 14 addsp 16 + 1a59: 00 breakpoint + 1a5a: 00 breakpoint + 1a5b: 00 breakpoint + 1a5c: cc im -52 + 1a5d: 14 addsp 16 + 1a5e: 00 breakpoint + 1a5f: 00 breakpoint + 1a60: 02 pushsp + 1a61: a7 im 39 + 1a62: 14 addsp 16 + 1a63: 00 breakpoint + 1a64: 00 breakpoint + 1a65: 00 breakpoint + 1a66: 2c ashiftright + 1a67: 00 breakpoint + 1a68: 0f .byte 15 + 1a69: 04 poppc + 1a6a: 00 breakpoint + 1a6b: 00 breakpoint + 1a6c: 05 add + 1a6d: ac im 44 + 1a6e: 13 addsp 12 + 1a6f: 00 breakpoint + 1a70: 00 breakpoint + 1a71: 05 add + 1a72: dc im -36 + 1a73: 01 .byte 1 + 1a74: 00 breakpoint + 1a75: 00 breakpoint + 1a76: 00 breakpoint + 1a77: 2c ashiftright + 1a78: 14 addsp 16 + 1a79: 00 breakpoint + 1a7a: 00 breakpoint + 1a7b: 00 breakpoint + 1a7c: cc im -52 + 1a7d: 00 breakpoint + 1a7e: 0f .byte 15 + 1a7f: 04 poppc + 1a80: 00 breakpoint + 1a81: 00 breakpoint + 1a82: 05 add + 1a83: cc im -52 + 1a84: 07 or + 1a85: 00 breakpoint + 1a86: 00 breakpoint + 1a87: 05 add + 1a88: f2 im -14 + 1a89: 00 breakpoint + 1a8a: 00 breakpoint + 1a8b: 00 breakpoint + 1a8c: 8a im 10 + 1a8d: 08 load + 1a8e: 00 breakpoint + 1a8f: 00 breakpoint + 1a90: 00 breakpoint + 1a91: 83 im 3 + 1a92: 02 pushsp + 1a93: 00 breakpoint + 1a94: 07 or + 1a95: 00 breakpoint + 1a96: 00 breakpoint + 1a97: 06 and + 1a98: 02 pushsp + 1a99: 00 breakpoint + 1a9a: 00 breakpoint + 1a9b: 00 breakpoint + 1a9c: 8a im 10 + 1a9d: 08 load + 1a9e: 00 breakpoint + 1a9f: 00 breakpoint + 1aa0: 00 breakpoint + 1aa1: 83 im 3 + 1aa2: 00 breakpoint + 1aa3: 00 breakpoint + 1aa4: 0f .byte 15 + 1aa5: 04 poppc + 1aa6: 00 breakpoint + 1aa7: 00 breakpoint + 1aa8: 03 .byte 3 + 1aa9: d3 im -45 + 1aaa: 0b nop + 1aab: 00 breakpoint + 1aac: 00 breakpoint + 1aad: 04 poppc + 1aae: de im -34 + 1aaf: 03 .byte 3 + 1ab0: fd im -3 + 1ab1: 00 breakpoint + 1ab2: 00 breakpoint + 1ab3: 02 pushsp + 1ab4: b2 im 50 + 1ab5: 16 addsp 24 + 1ab6: 00 breakpoint + 1ab7: 00 breakpoint + 1ab8: 06 and + 1ab9: 4e storesp 120 + 1aba: 00 breakpoint + 1abb: 00 breakpoint + 1abc: 04 poppc + 1abd: 8e im 14 + 1abe: 0c store + 1abf: 03 .byte 3 + 1ac0: 01 .byte 1 + 1ac1: 01 .byte 1 + 1ac2: 12 addsp 8 + 1ac3: 00 breakpoint + 1ac4: 00 breakpoint + 1ac5: 07 or + 1ac6: 67 loadsp 92 + 1ac7: 03 .byte 3 + 1ac8: 01 .byte 1 + 1ac9: 02 pushsp + 1aca: 00 breakpoint + 1acb: 00 breakpoint + 1acc: 06 and + 1acd: 4e storesp 120 + 1ace: 02 pushsp + 1acf: 23 storeh + 1ad0: 00 breakpoint + 1ad1: 12 addsp 8 + 1ad2: 00 breakpoint + 1ad3: 00 breakpoint + 1ad4: 04 poppc + 1ad5: d7 im -41 + 1ad6: 03 .byte 3 + 1ad7: 01 .byte 1 + 1ad8: 03 .byte 3 + 1ad9: 00 breakpoint + 1ada: 00 breakpoint + 1adb: 00 breakpoint + 1adc: 2c ashiftright + 1add: 02 pushsp + 1ade: 23 storeh + 1adf: 04 poppc + 1ae0: 12 addsp 8 + 1ae1: 00 breakpoint + 1ae2: 00 breakpoint + 1ae3: 05 add + 1ae4: 4a storesp 104 + 1ae5: 03 .byte 3 + 1ae6: 01 .byte 1 + 1ae7: 04 poppc + 1ae8: 00 breakpoint + 1ae9: 00 breakpoint + 1aea: 06 and + 1aeb: 54 storesp 16 + 1aec: 02 pushsp + 1aed: 23 storeh + 1aee: 08 load + 1aef: 00 breakpoint + 1af0: 0f .byte 15 + 1af1: 04 poppc + 1af2: 00 breakpoint + 1af3: 00 breakpoint + 1af4: 06 and + 1af5: 13 addsp 12 + 1af6: 0f .byte 15 + 1af7: 04 poppc + 1af8: 00 breakpoint + 1af9: 00 breakpoint + 1afa: 06 and + 1afb: 08 load + 1afc: 16 addsp 24 + 1afd: 00 breakpoint + 1afe: 00 breakpoint + 1aff: 06 and + 1b00: 95 im 21 + 1b01: 00 breakpoint + 1b02: 00 breakpoint + 1b03: 07 or + 1b04: 0e .byte 14 + 1b05: 0e .byte 14 + 1b06: 03 .byte 3 + 1b07: 01 .byte 1 + 1b08: 1c addsp 48 + 1b09: 12 addsp 8 + 1b0a: 00 breakpoint + 1b0b: 00 breakpoint + 1b0c: 07 or + 1b0d: 08 load + 1b0e: 03 .byte 3 + 1b0f: 01 .byte 1 + 1b10: 1d addsp 52 + 1b11: 00 breakpoint + 1b12: 00 breakpoint + 1b13: 06 and + 1b14: 95 im 21 + 1b15: 02 pushsp + 1b16: 23 storeh + 1b17: 00 breakpoint + 1b18: 12 addsp 8 + 1b19: 00 breakpoint + 1b1a: 00 breakpoint + 1b1b: 05 add + 1b1c: 5b storesp 44 + 1b1d: 03 .byte 3 + 1b1e: 01 .byte 1 + 1b1f: 1e addsp 56 + 1b20: 00 breakpoint + 1b21: 00 breakpoint + 1b22: 06 and + 1b23: 95 im 21 + 1b24: 02 pushsp + 1b25: 23 storeh + 1b26: 06 and + 1b27: 12 addsp 8 + 1b28: 00 breakpoint + 1b29: 00 breakpoint + 1b2a: 04 poppc + 1b2b: 6c loadsp 112 + 1b2c: 03 .byte 3 + 1b2d: 01 .byte 1 + 1b2e: 1f addsp 60 + 1b2f: 00 breakpoint + 1b30: 00 breakpoint + 1b31: 06 and + 1b32: a5 im 37 + 1b33: 02 pushsp + 1b34: 23 storeh + 1b35: 0c store + 1b36: 00 breakpoint + 1b37: 07 or + 1b38: 00 breakpoint + 1b39: 00 breakpoint + 1b3a: 06 and + 1b3b: a5 im 37 + 1b3c: 00 breakpoint + 1b3d: 00 breakpoint + 1b3e: 06 and + 1b3f: a5 im 37 + 1b40: 08 load + 1b41: 00 breakpoint + 1b42: 00 breakpoint + 1b43: 00 breakpoint + 1b44: 83 im 3 + 1b45: 02 pushsp + 1b46: 00 breakpoint + 1b47: 02 pushsp + 1b48: 00 breakpoint + 1b49: 00 breakpoint + 1b4a: 00 breakpoint + 1b4b: 4b storesp 108 + 1b4c: 02 pushsp + 1b4d: 07 or + 1b4e: 17 addsp 28 + 1b4f: 00 breakpoint + 1b50: 00 breakpoint + 1b51: 07 or + 1b52: cb im -53 + 1b53: c8 im -56 + 1b54: 03 .byte 3 + 1b55: 02 pushsp + 1b56: 48 storesp 96 + 1b57: 12 addsp 8 + 1b58: 00 breakpoint + 1b59: 00 breakpoint + 1b5a: 06 and + 1b5b: 2c ashiftright + 1b5c: 03 .byte 3 + 1b5d: 02 pushsp + 1b5e: 36 mod + 1b5f: 00 breakpoint + 1b60: 00 breakpoint + 1b61: 00 breakpoint + 1b62: 4d storesp 116 + 1b63: 02 pushsp + 1b64: 23 storeh + 1b65: 00 breakpoint + 1b66: 12 addsp 8 + 1b67: 00 breakpoint + 1b68: 00 breakpoint + 1b69: 04 poppc + 1b6a: b1 im 49 + 1b6b: 03 .byte 3 + 1b6c: 02 pushsp + 1b6d: 37 eqbranch + 1b6e: 00 breakpoint + 1b6f: 00 breakpoint + 1b70: 05 add + 1b71: 6e loadsp 120 + 1b72: 02 pushsp + 1b73: 23 storeh + 1b74: 04 poppc + 1b75: 12 addsp 8 + 1b76: 00 breakpoint + 1b77: 00 breakpoint + 1b78: 07 or + 1b79: a7 im 39 + 1b7a: 03 .byte 3 + 1b7b: 02 pushsp + 1b7c: 38 neqbranch + 1b7d: 00 breakpoint + 1b7e: 00 breakpoint + 1b7f: 07 or + 1b80: cb im -53 + 1b81: 02 pushsp + 1b82: 23 storeh + 1b83: 08 load + 1b84: 12 addsp 8 + 1b85: 00 breakpoint + 1b86: 00 breakpoint + 1b87: 04 poppc + 1b88: 2b ashiftleft + 1b89: 03 .byte 3 + 1b8a: 02 pushsp + 1b8b: 39 poppcrel + 1b8c: 00 breakpoint + 1b8d: 00 breakpoint + 1b8e: 01 .byte 1 + 1b8f: 4e storesp 120 + 1b90: 02 pushsp + 1b91: 23 storeh + 1b92: 24 lessthan + 1b93: 12 addsp 8 + 1b94: 00 breakpoint + 1b95: 00 breakpoint + 1b96: 06 and + 1b97: 1d addsp 52 + 1b98: 03 .byte 3 + 1b99: 02 pushsp + 1b9a: 3a config + 1b9b: 00 breakpoint + 1b9c: 00 breakpoint + 1b9d: 00 breakpoint + 1b9e: 2c ashiftright + 1b9f: 02 pushsp + 1ba0: 23 storeh + 1ba1: 48 storesp 96 + 1ba2: 12 addsp 8 + 1ba3: 00 breakpoint + 1ba4: 00 breakpoint + 1ba5: 07 or + 1ba6: 62 loadsp 72 + 1ba7: 03 .byte 3 + 1ba8: 02 pushsp + 1ba9: 3b pushpc + 1baa: 00 breakpoint + 1bab: 00 breakpoint + 1bac: 07 or + 1bad: db im -37 + 1bae: 02 pushsp + 1baf: 23 storeh + 1bb0: 4c storesp 112 + 1bb1: 12 addsp 8 + 1bb2: 00 breakpoint + 1bb3: 00 breakpoint + 1bb4: 04 poppc + 1bb5: a5 im 37 + 1bb6: 03 .byte 3 + 1bb7: 02 pushsp + 1bb8: 3c syscall + 1bb9: 00 breakpoint + 1bba: 00 breakpoint + 1bbb: 06 and + 1bbc: 5a storesp 40 + 1bbd: 02 pushsp + 1bbe: 23 storeh + 1bbf: 54 storesp 16 + 1bc0: 12 addsp 8 + 1bc1: 00 breakpoint + 1bc2: 00 breakpoint + 1bc3: 04 poppc + 1bc4: 05 add + 1bc5: 03 .byte 3 + 1bc6: 02 pushsp + 1bc7: 3d pushspadd + 1bc8: 00 breakpoint + 1bc9: 00 breakpoint + 1bca: 00 breakpoint + 1bcb: b6 im 54 + 1bcc: 02 pushsp + 1bcd: 23 storeh + 1bce: 64 loadsp 80 + 1bcf: 12 addsp 8 + 1bd0: 00 breakpoint + 1bd1: 00 breakpoint + 1bd2: 06 and + 1bd3: e9 im -23 + 1bd4: 03 .byte 3 + 1bd5: 02 pushsp + 1bd6: 3e halfmult + 1bd7: 00 breakpoint + 1bd8: 00 breakpoint + 1bd9: 00 breakpoint + 1bda: b6 im 54 + 1bdb: 02 pushsp + 1bdc: 23 storeh + 1bdd: 6c loadsp 112 + 1bde: 12 addsp 8 + 1bdf: 00 breakpoint + 1be0: 00 breakpoint + 1be1: 07 or + 1be2: 49 storesp 100 + 1be3: 03 .byte 3 + 1be4: 02 pushsp + 1be5: 3f callpcrel + 1be6: 00 breakpoint + 1be7: 00 breakpoint + 1be8: 00 breakpoint + 1be9: b6 im 54 + 1bea: 02 pushsp + 1beb: 23 storeh + 1bec: 74 loadsp 16 + 1bed: 12 addsp 8 + 1bee: 00 breakpoint + 1bef: 00 breakpoint + 1bf0: 04 poppc + 1bf1: 12 addsp 8 + 1bf2: 03 .byte 3 + 1bf3: 02 pushsp + 1bf4: 40 storesp 64 + 1bf5: 00 breakpoint + 1bf6: 00 breakpoint + 1bf7: 07 or + 1bf8: e2 im -30 + 1bf9: 02 pushsp + 1bfa: 23 storeh + 1bfb: 7c loadsp 48 + 1bfc: 12 addsp 8 + 1bfd: 00 breakpoint + 1bfe: 00 breakpoint + 1bff: 04 poppc + 1c00: 60 loadsp 64 + 1c01: 03 .byte 3 + 1c02: 02 pushsp + 1c03: 41 storesp 68 + 1c04: 00 breakpoint + 1c05: 00 breakpoint + 1c06: 07 or + 1c07: f2 im -14 + 1c08: 03 .byte 3 + 1c09: 23 storeh + 1c0a: 84 im 4 + 1c0b: 01 .byte 1 + 1c0c: 12 addsp 8 + 1c0d: 00 breakpoint + 1c0e: 00 breakpoint + 1c0f: 04 poppc + 1c10: f4 im -12 + 1c11: 03 .byte 3 + 1c12: 02 pushsp + 1c13: 42 storesp 72 + 1c14: 00 breakpoint + 1c15: 00 breakpoint + 1c16: 00 breakpoint + 1c17: 2c ashiftright + 1c18: 03 .byte 3 + 1c19: 23 storeh + 1c1a: 9c im 28 + 1c1b: 01 .byte 1 + 1c1c: 12 addsp 8 + 1c1d: 00 breakpoint + 1c1e: 00 breakpoint + 1c1f: 04 poppc + 1c20: 80 im 0 + 1c21: 03 .byte 3 + 1c22: 02 pushsp + 1c23: 43 storesp 76 + 1c24: 00 breakpoint + 1c25: 00 breakpoint + 1c26: 00 breakpoint + 1c27: b6 im 54 + 1c28: 03 .byte 3 + 1c29: 23 storeh + 1c2a: a0 im 32 + 1c2b: 01 .byte 1 + 1c2c: 12 addsp 8 + 1c2d: 00 breakpoint + 1c2e: 00 breakpoint + 1c2f: 04 poppc + 1c30: e5 im -27 + 1c31: 03 .byte 3 + 1c32: 02 pushsp + 1c33: 44 storesp 80 + 1c34: 00 breakpoint + 1c35: 00 breakpoint + 1c36: 00 breakpoint + 1c37: b6 im 54 + 1c38: 03 .byte 3 + 1c39: 23 storeh + 1c3a: a8 im 40 + 1c3b: 01 .byte 1 + 1c3c: 12 addsp 8 + 1c3d: 00 breakpoint + 1c3e: 00 breakpoint + 1c3f: 04 poppc + 1c40: 94 im 20 + 1c41: 03 .byte 3 + 1c42: 02 pushsp + 1c43: 45 storesp 84 + 1c44: 00 breakpoint + 1c45: 00 breakpoint + 1c46: 00 breakpoint + 1c47: b6 im 54 + 1c48: 03 .byte 3 + 1c49: 23 storeh + 1c4a: b0 im 48 + 1c4b: 01 .byte 1 + 1c4c: 12 addsp 8 + 1c4d: 00 breakpoint + 1c4e: 00 breakpoint + 1c4f: 07 or + 1c50: 8b im 11 + 1c51: 03 .byte 3 + 1c52: 02 pushsp + 1c53: 46 storesp 88 + 1c54: 00 breakpoint + 1c55: 00 breakpoint + 1c56: 00 breakpoint + 1c57: b6 im 54 + 1c58: 03 .byte 3 + 1c59: 23 storeh + 1c5a: b8 im 56 + 1c5b: 01 .byte 1 + 1c5c: 12 addsp 8 + 1c5d: 00 breakpoint + 1c5e: 00 breakpoint + 1c5f: 06 and + 1c60: f7 im -9 + 1c61: 03 .byte 3 + 1c62: 02 pushsp + 1c63: 47 storesp 92 + 1c64: 00 breakpoint + 1c65: 00 breakpoint + 1c66: 00 breakpoint + 1c67: b6 im 54 + 1c68: 03 .byte 3 + 1c69: 23 storeh + 1c6a: c0 im -64 + 1c6b: 01 .byte 1 + 1c6c: 00 breakpoint + 1c6d: 07 or + 1c6e: 00 breakpoint + 1c6f: 00 breakpoint + 1c70: 07 or + 1c71: db im -37 + 1c72: 00 breakpoint + 1c73: 00 breakpoint + 1c74: 05 add + 1c75: 74 loadsp 16 + 1c76: 08 load + 1c77: 00 breakpoint + 1c78: 00 breakpoint + 1c79: 00 breakpoint + 1c7a: 83 im 3 + 1c7b: 19 addsp 36 + 1c7c: 00 breakpoint + 1c7d: 02 pushsp + 1c7e: 00 breakpoint + 1c7f: 00 breakpoint + 1c80: 00 breakpoint + 1c81: 0c store + 1c82: 08 load + 1c83: 07 or + 1c84: 07 or + 1c85: 00 breakpoint + 1c86: 00 breakpoint + 1c87: 07 or + 1c88: f2 im -14 + 1c89: 00 breakpoint + 1c8a: 00 breakpoint + 1c8b: 05 add + 1c8c: 74 loadsp 16 + 1c8d: 08 load + 1c8e: 00 breakpoint + 1c8f: 00 breakpoint + 1c90: 00 breakpoint + 1c91: 83 im 3 + 1c92: 07 or + 1c93: 00 breakpoint + 1c94: 07 or + 1c95: 00 breakpoint + 1c96: 00 breakpoint + 1c97: 08 load + 1c98: 02 pushsp + 1c99: 00 breakpoint + 1c9a: 00 breakpoint + 1c9b: 05 add + 1c9c: 74 loadsp 16 + 1c9d: 08 load + 1c9e: 00 breakpoint + 1c9f: 00 breakpoint + 1ca0: 00 breakpoint + 1ca1: 83 im 3 + 1ca2: 17 addsp 28 + 1ca3: 00 breakpoint + 1ca4: 17 addsp 28 + 1ca5: 00 breakpoint + 1ca6: 00 breakpoint + 1ca7: 08 load + 1ca8: 2a lshiftright + 1ca9: f0 im -16 + 1caa: 03 .byte 3 + 1cab: 02 pushsp + 1cac: 51 storesp 4 + 1cad: 12 addsp 8 + 1cae: 00 breakpoint + 1caf: 00 breakpoint + 1cb0: 04 poppc + 1cb1: aa im 42 + 1cb2: 03 .byte 3 + 1cb3: 02 pushsp + 1cb4: 4f storesp 124 + 1cb5: 00 breakpoint + 1cb6: 00 breakpoint + 1cb7: 08 load + 1cb8: 2a lshiftright + 1cb9: 02 pushsp + 1cba: 23 storeh + 1cbb: 00 breakpoint + 1cbc: 12 addsp 8 + 1cbd: 00 breakpoint + 1cbe: 00 breakpoint + 1cbf: 05 add + 1cc0: 14 addsp 16 + 1cc1: 03 .byte 3 + 1cc2: 02 pushsp + 1cc3: 50 storesp 0 + 1cc4: 00 breakpoint + 1cc5: 00 breakpoint + 1cc6: 08 load + 1cc7: 3a config + 1cc8: 02 pushsp + 1cc9: 23 storeh + 1cca: 78 loadsp 32 + 1ccb: 00 breakpoint + 1ccc: 07 or + 1ccd: 00 breakpoint + 1cce: 00 breakpoint + 1ccf: 08 load + 1cd0: 3a config + 1cd1: 00 breakpoint + 1cd2: 00 breakpoint + 1cd3: 02 pushsp + 1cd4: a1 im 33 + 1cd5: 08 load + 1cd6: 00 breakpoint + 1cd7: 00 breakpoint + 1cd8: 00 breakpoint + 1cd9: 83 im 3 + 1cda: 1d addsp 52 + 1cdb: 00 breakpoint + 1cdc: 07 or + 1cdd: 00 breakpoint + 1cde: 00 breakpoint + 1cdf: 08 load + 1ce0: 4a storesp 104 + 1ce1: 00 breakpoint + 1ce2: 00 breakpoint + 1ce3: 00 breakpoint + 1ce4: 4d storesp 116 + 1ce5: 08 load + 1ce6: 00 breakpoint + 1ce7: 00 breakpoint + 1ce8: 00 breakpoint + 1ce9: 83 im 3 + 1cea: 1d addsp 52 + 1ceb: 00 breakpoint + 1cec: 18 addsp 32 + 1ced: 00 breakpoint + 1cee: 00 breakpoint + 1cef: 08 load + 1cf0: 6c loadsp 112 + 1cf1: f0 im -16 + 1cf2: 03 .byte 3 + 1cf3: 02 pushsp + 1cf4: 52 storesp 8 + 1cf5: 19 addsp 36 + 1cf6: 00 breakpoint + 1cf7: 00 breakpoint + 1cf8: 07 or + 1cf9: 84 im 4 + 1cfa: 03 .byte 3 + 1cfb: 02 pushsp + 1cfc: 48 storesp 96 + 1cfd: 00 breakpoint + 1cfe: 00 breakpoint + 1cff: 06 and + 1d00: ac im 44 + 1d01: 19 addsp 36 + 1d02: 00 breakpoint + 1d03: 00 breakpoint + 1d04: 06 and + 1d05: 50 storesp 0 + 1d06: 03 .byte 3 + 1d07: 02 pushsp + 1d08: 51 storesp 4 + 1d09: 00 breakpoint + 1d0a: 00 breakpoint + 1d0b: 08 load + 1d0c: 02 pushsp + 1d0d: 00 breakpoint + 1d0e: 07 or + 1d0f: 00 breakpoint + 1d10: 00 breakpoint + 1d11: 08 load + 1d12: 7c loadsp 48 + 1d13: 00 breakpoint + 1d14: 00 breakpoint + 1d15: 05 add + 1d16: 74 loadsp 16 + 1d17: 08 load + 1d18: 00 breakpoint + 1d19: 00 breakpoint + 1d1a: 00 breakpoint + 1d1b: 83 im 3 + 1d1c: 18 addsp 32 + 1d1d: 00 breakpoint + 1d1e: 1a addsp 40 + 1d1f: 00 breakpoint + 1d20: 00 breakpoint + 1d21: 08 load + 1d22: 88 im 8 + 1d23: 01 .byte 1 + 1d24: 14 addsp 16 + 1d25: 00 breakpoint + 1d26: 00 breakpoint + 1d27: 06 and + 1d28: 02 pushsp + 1d29: 00 breakpoint + 1d2a: 0f .byte 15 + 1d2b: 04 poppc + 1d2c: 00 breakpoint + 1d2d: 00 breakpoint + 1d2e: 08 load + 1d2f: 7c loadsp 48 + 1d30: 0f .byte 15 + 1d31: 04 poppc + 1d32: 00 breakpoint + 1d33: 00 breakpoint + 1d34: 01 .byte 1 + 1d35: 38 neqbranch + 1d36: 1a addsp 40 + 1d37: 00 breakpoint + 1d38: 00 breakpoint + 1d39: 08 load + 1d3a: a0 im 32 + 1d3b: 01 .byte 1 + 1d3c: 14 addsp 16 + 1d3d: 00 breakpoint + 1d3e: 00 breakpoint + 1d3f: 00 breakpoint + 1d40: 2c ashiftright + 1d41: 00 breakpoint + 1d42: 0f .byte 15 + 1d43: 04 poppc + 1d44: 00 breakpoint + 1d45: 00 breakpoint + 1d46: 08 load + 1d47: a6 im 38 + 1d48: 0f .byte 15 + 1d49: 04 poppc + 1d4a: 00 breakpoint + 1d4b: 00 breakpoint + 1d4c: 08 load + 1d4d: 94 im 20 + 1d4e: 07 or + 1d4f: 00 breakpoint + 1d50: 00 breakpoint + 1d51: 08 load + 1d52: bc im 60 + 1d53: 00 breakpoint + 1d54: 00 breakpoint + 1d55: 06 and + 1d56: 08 load + 1d57: 08 load + 1d58: 00 breakpoint + 1d59: 00 breakpoint + 1d5a: 00 breakpoint + 1d5b: 83 im 3 + 1d5c: 02 pushsp + 1d5d: 00 breakpoint + 1d5e: 1b addsp 44 + 1d5f: 00 breakpoint + 1d60: 00 breakpoint + 1d61: 09 not + 1d62: 10 addsp 0 + 1d63: 01 .byte 1 + 1d64: 00 breakpoint + 1d65: 00 breakpoint + 1d66: 02 pushsp + 1d67: 65 loadsp 84 + 1d68: 07 or + 1d69: 3d pushspadd + 1d6a: 01 .byte 1 + 1d6b: 00 breakpoint + 1d6c: 00 breakpoint + 1d6d: 07 or + 1d6e: 86 im 6 + 1d6f: 00 breakpoint + 1d70: 00 breakpoint + 1d71: 08 load + 1d72: 28 swap + 1d73: 02 pushsp + 1d74: 90 im 16 + 1d75: 20 .byte 32 + 1d76: 1c addsp 48 + 1d77: 00 breakpoint + 1d78: 00 breakpoint + 1d79: 08 load + 1d7a: 2e eq + 1d7b: 07 or + 1d7c: 3b pushpc + 1d7d: 00 breakpoint + 1d7e: 00 breakpoint + 1d7f: 00 breakpoint + 1d80: 2c ashiftright + 1d81: 01 .byte 1 + 1d82: 5f storesp 60 + 1d83: 1d addsp 52 + 1d84: 70 loadsp 0 + 1d85: 00 breakpoint + 1d86: 07 or + 1d87: 3e halfmult + 1d88: 00 breakpoint + 1d89: 00 breakpoint + 1d8a: 02 pushsp + 1d8b: 5a storesp 40 + 1d8c: 01 .byte 1 + 1d8d: 5d storesp 52 + 1d8e: 1e addsp 56 + 1d8f: 00 breakpoint + 1d90: 00 breakpoint + 1d91: 05 add + 1d92: ce im -50 + 1d93: 07 or + 1d94: 3f callpcrel + 1d95: 00 breakpoint + 1d96: 00 breakpoint + 1d97: 09 not + 1d98: 10 addsp 0 + 1d99: 01 .byte 1 + 1d9a: 5e storesp 56 + 1d9b: 1d addsp 52 + 1d9c: 6e loadsp 120 + 1d9d: 00 breakpoint + 1d9e: 07 or + 1d9f: 40 storesp 64 + 1da0: 00 breakpoint + 1da1: 00 breakpoint + 1da2: 00 breakpoint + 1da3: 2c ashiftright + 1da4: 01 .byte 1 + 1da5: 59 storesp 36 + 1da6: 1d addsp 52 + 1da7: 69 loadsp 100 + 1da8: 00 breakpoint + 1da9: 07 or + 1daa: 41 storesp 68 + 1dab: 00 breakpoint + 1dac: 00 breakpoint + 1dad: 00 breakpoint + 1dae: 2c ashiftright + 1daf: 01 .byte 1 + 1db0: 5c storesp 48 + 1db1: 00 breakpoint + 1db2: 0f .byte 15 + 1db3: 04 poppc + 1db4: 00 breakpoint + 1db5: 00 breakpoint + 1db6: 01 .byte 1 + 1db7: d9 im -39 + 1db8: 1f addsp 60 + 1db9: 00 breakpoint + 1dba: 00 breakpoint + 1dbb: 05 add + 1dbc: 3e halfmult + 1dbd: 03 .byte 3 + 1dbe: 02 pushsp + 1dbf: da im -38 + 1dc0: 00 breakpoint + 1dc1: 00 breakpoint + 1dc2: 06 and + 1dc3: 02 pushsp + 1dc4: 01 .byte 1 + 1dc5: 01 .byte 1 + ... + +00001dc7 <.Ldebug_info0>: + 1dc7: 00 breakpoint + 1dc8: 00 breakpoint + 1dc9: 08 load + 1dca: dc im -36 + 1dcb: 00 breakpoint + 1dcc: 02 pushsp + 1dcd: 00 breakpoint + 1dce: 00 breakpoint + 1dcf: 06 and + 1dd0: d8 im -40 + 1dd1: 04 poppc + 1dd2: 01 .byte 1 + 1dd3: 00 breakpoint + 1dd4: 00 breakpoint + 1dd5: 0d popsp + 1dd6: d6 im -42 + ... + 1ddf: 00 breakpoint + 1de0: 00 breakpoint + 1de1: 00 breakpoint + 1de2: 5e storesp 56 + 1de3: 01 .byte 1 + 1de4: 00 breakpoint + 1de5: 00 breakpoint + 1de6: 08 load + 1de7: 3f callpcrel + 1de8: 00 breakpoint + 1de9: 00 breakpoint + 1dea: 08 load + 1deb: 6d loadsp 116 + 1dec: 02 pushsp + 1ded: 00 breakpoint + 1dee: 00 breakpoint + 1def: 00 breakpoint + 1df0: 28 swap + 1df1: 04 poppc + 1df2: 05 add + 1df3: 02 pushsp + 1df4: 00 breakpoint + 1df5: 00 breakpoint + 1df6: 00 breakpoint + 1df7: 23 storeh + 1df8: 08 load + 1df9: 05 add + 1dfa: 03 .byte 3 + 1dfb: 69 loadsp 100 + 1dfc: 6e loadsp 120 + 1dfd: 74 loadsp 16 + 1dfe: 00 breakpoint + 1dff: 04 poppc + 1e00: 05 add + 1e01: 04 poppc + 1e02: 00 breakpoint + 1e03: 00 breakpoint + 1e04: 06 and + 1e05: 58 storesp 32 + 1e06: 02 pushsp + 1e07: 01 .byte 1 + 1e08: 62 loadsp 72 + 1e09: 00 breakpoint + 1e0a: 00 breakpoint + 1e0b: 00 breakpoint + 1e0c: 46 storesp 88 + 1e0d: 02 pushsp + 1e0e: 00 breakpoint + 1e0f: 00 breakpoint + 1e10: 00 breakpoint + 1e11: 16 addsp 24 + 1e12: 04 poppc + 1e13: 07 or + 1e14: 05 add + 1e15: 00 breakpoint + 1e16: 00 breakpoint + 1e17: 00 breakpoint + 1e18: 6c loadsp 112 + 1e19: 04 poppc + 1e1a: 01 .byte 1 + 1e1b: 20 .byte 32 + 1e1c: 06 and + 1e1d: 00 breakpoint + 1e1e: 00 breakpoint + 1e1f: 04 poppc + 1e20: 1c addsp 48 + 1e21: 01 .byte 1 + 1e22: 1e addsp 56 + 1e23: 00 breakpoint + 1e24: 00 breakpoint + 1e25: 00 breakpoint + 1e26: 3a config + 1e27: 06 and + 1e28: 00 breakpoint + 1e29: 00 breakpoint + 1e2a: 05 add + 1e2b: b6 im 54 + 1e2c: 01 .byte 1 + 1e2d: 1f addsp 60 + 1e2e: 00 breakpoint + 1e2f: 00 breakpoint + 1e30: 00 breakpoint + 1e31: 6c loadsp 112 + 1e32: 00 breakpoint + 1e33: 07 or + 1e34: 00 breakpoint + 1e35: 00 breakpoint + 1e36: 00 breakpoint + 1e37: 7c loadsp 48 + 1e38: 00 breakpoint + 1e39: 00 breakpoint + 1e3a: 00 breakpoint + 1e3b: 83 im 3 + 1e3c: 08 load + 1e3d: 00 breakpoint + 1e3e: 00 breakpoint + 1e3f: 00 breakpoint + 1e40: 7c loadsp 48 + 1e41: 03 .byte 3 + 1e42: 00 breakpoint + 1e43: 02 pushsp + 1e44: 00 breakpoint + 1e45: 00 breakpoint + 1e46: 00 breakpoint + 1e47: 11 addsp 4 + 1e48: 04 poppc + 1e49: 07 or + 1e4a: 02 pushsp + 1e4b: 00 breakpoint + 1e4c: 00 breakpoint + 1e4d: 00 breakpoint + 1e4e: 9a im 26 + 1e4f: 01 .byte 1 + 1e50: 08 load + 1e51: 09 not + 1e52: 00 breakpoint + 1e53: 00 breakpoint + 1e54: 00 breakpoint + 1e55: af im 47 + 1e56: 08 load + 1e57: 01 .byte 1 + 1e58: 21 .byte 33 + 1e59: 0a flip + 1e5a: 00 breakpoint + 1e5b: 00 breakpoint + 1e5c: 04 poppc + 1e5d: 78 loadsp 32 + 1e5e: 01 .byte 1 + 1e5f: 1b addsp 44 + 1e60: 00 breakpoint + 1e61: 00 breakpoint + 1e62: 00 breakpoint + 1e63: 33 loadb + 1e64: 02 pushsp + 1e65: 23 storeh + 1e66: 00 breakpoint + 1e67: 0a flip + 1e68: 00 breakpoint + 1e69: 00 breakpoint + 1e6a: 04 poppc + 1e6b: 3a config + 1e6c: 01 .byte 1 + 1e6d: 20 .byte 32 + 1e6e: 00 breakpoint + 1e6f: 00 breakpoint + 1e70: 00 breakpoint + 1e71: 4d storesp 116 + 1e72: 02 pushsp + 1e73: 23 storeh + 1e74: 04 poppc + 1e75: 00 breakpoint + 1e76: 0b nop + 1e77: 00 breakpoint + 1e78: 00 breakpoint + 1e79: 06 and + 1e7a: ce im -50 + 1e7b: 01 .byte 1 + 1e7c: 21 .byte 33 + 1e7d: 00 breakpoint + 1e7e: 00 breakpoint + 1e7f: 00 breakpoint + 1e80: 8a im 10 + 1e81: 0b nop + 1e82: 00 breakpoint + 1e83: 00 breakpoint + 1e84: 05 add + 1e85: 8c im 12 + 1e86: 01 .byte 1 + 1e87: 23 storeh + 1e88: 00 breakpoint + 1e89: 00 breakpoint + 1e8a: 00 breakpoint + 1e8b: 33 loadb + 1e8c: 0c store + 1e8d: 04 poppc + 1e8e: 0b nop + 1e8f: 00 breakpoint + 1e90: 00 breakpoint + 1e91: 03 .byte 3 + 1e92: fd im -3 + 1e93: 03 .byte 3 + 1e94: 13 addsp 12 + 1e95: 00 breakpoint + 1e96: 00 breakpoint + 1e97: 00 breakpoint + 1e98: d2 im -46 + 1e99: 02 pushsp + 1e9a: 00 breakpoint + 1e9b: 00 breakpoint + 1e9c: 00 breakpoint + 1e9d: 11 addsp 4 + 1e9e: 04 poppc + 1e9f: 07 or + 1ea0: 0d popsp + 1ea1: 00 breakpoint + 1ea2: 00 breakpoint + 1ea3: 01 .byte 1 + 1ea4: 38 neqbranch + 1ea5: 00 breakpoint + 1ea6: 00 breakpoint + 1ea7: 06 and + 1ea8: d9 im -39 + 1ea9: 18 addsp 32 + 1eaa: 03 .byte 3 + 1eab: 29 mult + 1eac: 0a flip + 1ead: 00 breakpoint + 1eae: 00 breakpoint + 1eaf: 07 or + 1eb0: 67 loadsp 92 + 1eb1: 03 .byte 3 + 1eb2: 2a lshiftright + 1eb3: 00 breakpoint + 1eb4: 00 breakpoint + 1eb5: 01 .byte 1 + 1eb6: 38 neqbranch + 1eb7: 02 pushsp + 1eb8: 23 storeh + 1eb9: 00 breakpoint + 1eba: 0e .byte 14 + 1ebb: 5f storesp 60 + 1ebc: 6b loadsp 108 + 1ebd: 00 breakpoint + 1ebe: 03 .byte 3 + 1ebf: 2b ashiftleft + 1ec0: 00 breakpoint + 1ec1: 00 breakpoint + 1ec2: 00 breakpoint + 1ec3: 33 loadb + 1ec4: 02 pushsp + 1ec5: 23 storeh + 1ec6: 04 poppc + 1ec7: 0a flip + 1ec8: 00 breakpoint + 1ec9: 00 breakpoint + 1eca: 06 and + 1ecb: e1 im -31 + 1ecc: 03 .byte 3 + 1ecd: 2b ashiftleft + 1ece: 00 breakpoint + 1ecf: 00 breakpoint + 1ed0: 00 breakpoint + 1ed1: 33 loadb + 1ed2: 02 pushsp + 1ed3: 23 storeh + 1ed4: 08 load + 1ed5: 0a flip + 1ed6: 00 breakpoint + 1ed7: 00 breakpoint + 1ed8: 07 or + 1ed9: 5c storesp 48 + 1eda: 03 .byte 3 + 1edb: 2b ashiftleft + 1edc: 00 breakpoint + 1edd: 00 breakpoint + 1ede: 00 breakpoint + 1edf: 33 loadb + 1ee0: 02 pushsp + 1ee1: 23 storeh + 1ee2: 0c store + 1ee3: 0a flip + 1ee4: 00 breakpoint + 1ee5: 00 breakpoint + 1ee6: 06 and + 1ee7: 41 storesp 68 + 1ee8: 03 .byte 3 + 1ee9: 2b ashiftleft + 1eea: 00 breakpoint + 1eeb: 00 breakpoint + 1eec: 00 breakpoint + 1eed: 33 loadb + 1eee: 02 pushsp + 1eef: 23 storeh + 1ef0: 10 addsp 0 + 1ef1: 0e .byte 14 + 1ef2: 5f storesp 60 + 1ef3: 78 loadsp 32 + 1ef4: 00 breakpoint + 1ef5: 03 .byte 3 + 1ef6: 2c ashiftright + 1ef7: 00 breakpoint + 1ef8: 00 breakpoint + 1ef9: 01 .byte 1 + 1efa: 3e halfmult + 1efb: 02 pushsp + 1efc: 23 storeh + 1efd: 14 addsp 16 + 1efe: 00 breakpoint + 1eff: 0f .byte 15 + 1f00: 04 poppc + 1f01: 00 breakpoint + 1f02: 00 breakpoint + 1f03: 00 breakpoint + 1f04: d9 im -39 + 1f05: 07 or + 1f06: 00 breakpoint + 1f07: 00 breakpoint + 1f08: 01 .byte 1 + 1f09: 4e storesp 120 + 1f0a: 00 breakpoint + 1f0b: 00 breakpoint + 1f0c: 00 breakpoint + 1f0d: c7 im -57 + 1f0e: 08 load + 1f0f: 00 breakpoint + 1f10: 00 breakpoint + 1f11: 00 breakpoint + 1f12: 7c loadsp 48 + 1f13: 00 breakpoint + 1f14: 00 breakpoint + 1f15: 0d popsp + 1f16: 00 breakpoint + 1f17: 00 breakpoint + 1f18: 01 .byte 1 + 1f19: d9 im -39 + 1f1a: 00 breakpoint + 1f1b: 00 breakpoint + 1f1c: 06 and + 1f1d: 5f storesp 60 + 1f1e: 24 lessthan + 1f1f: 03 .byte 3 + 1f20: 31 sub + 1f21: 0a flip + 1f22: 00 breakpoint + 1f23: 00 breakpoint + 1f24: 05 add + 1f25: 9d im 29 + 1f26: 03 .byte 3 + 1f27: 32 xor + 1f28: 00 breakpoint + 1f29: 00 breakpoint + 1f2a: 00 breakpoint + 1f2b: 33 loadb + 1f2c: 02 pushsp + 1f2d: 23 storeh + 1f2e: 00 breakpoint + 1f2f: 0a flip + 1f30: 00 breakpoint + 1f31: 00 breakpoint + 1f32: 05 add + 1f33: 01 .byte 1 + 1f34: 03 .byte 3 + 1f35: 33 loadb + 1f36: 00 breakpoint + 1f37: 00 breakpoint + 1f38: 00 breakpoint + 1f39: 33 loadb + 1f3a: 02 pushsp + 1f3b: 23 storeh + 1f3c: 04 poppc + 1f3d: 0a flip + 1f3e: 00 breakpoint + 1f3f: 00 breakpoint + 1f40: 05 add + 1f41: a6 im 38 + 1f42: 03 .byte 3 + 1f43: 34 storeb + 1f44: 00 breakpoint + 1f45: 00 breakpoint + 1f46: 00 breakpoint + 1f47: 33 loadb + 1f48: 02 pushsp + 1f49: 23 storeh + 1f4a: 08 load + 1f4b: 0a flip + 1f4c: 00 breakpoint + 1f4d: 00 breakpoint + 1f4e: 06 and + 1f4f: 64 loadsp 80 + 1f50: 03 .byte 3 + 1f51: 35 div + 1f52: 00 breakpoint + 1f53: 00 breakpoint + 1f54: 00 breakpoint + 1f55: 33 loadb + 1f56: 02 pushsp + 1f57: 23 storeh + 1f58: 0c store + 1f59: 0a flip + 1f5a: 00 breakpoint + 1f5b: 00 breakpoint + 1f5c: 07 or + 1f5d: 36 mod + 1f5e: 03 .byte 3 + 1f5f: 36 mod + 1f60: 00 breakpoint + 1f61: 00 breakpoint + 1f62: 00 breakpoint + 1f63: 33 loadb + 1f64: 02 pushsp + 1f65: 23 storeh + 1f66: 10 addsp 0 + 1f67: 0a flip + 1f68: 00 breakpoint + 1f69: 00 breakpoint + 1f6a: 06 and + 1f6b: 46 storesp 88 + 1f6c: 03 .byte 3 + 1f6d: 37 eqbranch + 1f6e: 00 breakpoint + 1f6f: 00 breakpoint + 1f70: 00 breakpoint + 1f71: 33 loadb + 1f72: 02 pushsp + 1f73: 23 storeh + 1f74: 14 addsp 16 + 1f75: 0a flip + 1f76: 00 breakpoint + 1f77: 00 breakpoint + 1f78: 05 add + 1f79: 2c ashiftright + 1f7a: 03 .byte 3 + 1f7b: 38 neqbranch + 1f7c: 00 breakpoint + 1f7d: 00 breakpoint + 1f7e: 00 breakpoint + 1f7f: 33 loadb + 1f80: 02 pushsp + 1f81: 23 storeh + 1f82: 18 addsp 32 + 1f83: 0a flip + 1f84: 00 breakpoint + 1f85: 00 breakpoint + 1f86: 07 or + 1f87: 16 addsp 24 + 1f88: 03 .byte 3 + 1f89: 39 poppcrel + 1f8a: 00 breakpoint + 1f8b: 00 breakpoint + 1f8c: 00 breakpoint + 1f8d: 33 loadb + 1f8e: 02 pushsp + 1f8f: 23 storeh + 1f90: 1c addsp 48 + 1f91: 0a flip + 1f92: 00 breakpoint + 1f93: 00 breakpoint + 1f94: 05 add + 1f95: 61 loadsp 68 + 1f96: 03 .byte 3 + 1f97: 3a config + 1f98: 00 breakpoint + 1f99: 00 breakpoint + 1f9a: 00 breakpoint + 1f9b: 33 loadb + 1f9c: 02 pushsp + 1f9d: 23 storeh + 1f9e: 20 .byte 32 + 1f9f: 00 breakpoint + 1fa0: 0d popsp + 1fa1: 00 breakpoint + 1fa2: 00 breakpoint + 1fa3: 02 pushsp + 1fa4: 03 .byte 3 + 1fa5: 00 breakpoint + 1fa6: 00 breakpoint + 1fa7: 05 add + 1fa8: c5 im -59 + 1fa9: 84 im 4 + 1faa: 03 .byte 3 + 1fab: 43 storesp 76 + 1fac: 0a flip + 1fad: 00 breakpoint + 1fae: 00 breakpoint + 1faf: 05 add + 1fb0: 36 mod + 1fb1: 03 .byte 3 + 1fb2: 44 storesp 80 + 1fb3: 00 breakpoint + 1fb4: 00 breakpoint + 1fb5: 02 pushsp + 1fb6: 03 .byte 3 + 1fb7: 02 pushsp + 1fb8: 23 storeh + 1fb9: 00 breakpoint + 1fba: 0a flip + 1fbb: 00 breakpoint + 1fbc: 00 breakpoint + 1fbd: 05 add + 1fbe: 7b loadsp 44 + 1fbf: 03 .byte 3 + 1fc0: 45 storesp 84 + 1fc1: 00 breakpoint + 1fc2: 00 breakpoint + 1fc3: 00 breakpoint + 1fc4: c7 im -57 + 1fc5: 03 .byte 3 + 1fc6: 23 storeh + 1fc7: 80 im 0 + 1fc8: 01 .byte 1 + 1fc9: 00 breakpoint + 1fca: 07 or + 1fcb: 00 breakpoint + 1fcc: 00 breakpoint + 1fcd: 02 pushsp + 1fce: 13 addsp 12 + 1fcf: 00 breakpoint + 1fd0: 00 breakpoint + 1fd1: 00 breakpoint + 1fd2: c5 im -59 + 1fd3: 08 load + 1fd4: 00 breakpoint + 1fd5: 00 breakpoint + 1fd6: 00 breakpoint + 1fd7: 7c loadsp 48 + 1fd8: 1f addsp 60 + 1fd9: 00 breakpoint + 1fda: 10 addsp 0 + 1fdb: 00 breakpoint + 1fdc: 00 breakpoint + 1fdd: 02 pushsp + 1fde: 5a storesp 40 + 1fdf: 00 breakpoint + 1fe0: 00 breakpoint + 1fe1: 07 or + 1fe2: 20 .byte 32 + 1fe3: 01 .byte 1 + 1fe4: 0c store + 1fe5: 03 .byte 3 + 1fe6: 50 storesp 0 + 1fe7: 0a flip + 1fe8: 00 breakpoint + 1fe9: 00 breakpoint + 1fea: 07 or + 1feb: 67 loadsp 92 + 1fec: 03 .byte 3 + 1fed: 51 storesp 4 + 1fee: 00 breakpoint + 1fef: 00 breakpoint + 1ff0: 02 pushsp + 1ff1: 5a storesp 40 + 1ff2: 02 pushsp + 1ff3: 23 storeh + 1ff4: 00 breakpoint + 1ff5: 0a flip + 1ff6: 00 breakpoint + 1ff7: 00 breakpoint + 1ff8: 07 or + 1ff9: 44 storesp 80 + 1ffa: 03 .byte 3 + 1ffb: 52 storesp 8 + 1ffc: 00 breakpoint + 1ffd: 00 breakpoint + 1ffe: 00 breakpoint + 1fff: 33 loadb + 2000: 02 pushsp + 2001: 23 storeh + 2002: 04 poppc + 2003: 0a flip + 2004: 00 breakpoint + 2005: 00 breakpoint + 2006: 05 add + 2007: 27 ulessthanorequal + 2008: 03 .byte 3 + 2009: 53 storesp 12 + 200a: 00 breakpoint + 200b: 00 breakpoint + 200c: 02 pushsp + 200d: 60 loadsp 64 + 200e: 02 pushsp + 200f: 23 storeh + 2010: 08 load + 2011: 0a flip + 2012: 00 breakpoint + 2013: 00 breakpoint + 2014: 05 add + 2015: c5 im -59 + 2016: 03 .byte 3 + 2017: 54 storesp 16 + 2018: 00 breakpoint + 2019: 00 breakpoint + 201a: 01 .byte 1 + 201b: d9 im -39 + 201c: 03 .byte 3 + 201d: 23 storeh + 201e: 88 im 8 + 201f: 01 .byte 1 + 2020: 00 breakpoint + 2021: 0f .byte 15 + 2022: 04 poppc + 2023: 00 breakpoint + 2024: 00 breakpoint + 2025: 02 pushsp + 2026: 13 addsp 12 + 2027: 07 or + 2028: 00 breakpoint + 2029: 00 breakpoint + 202a: 02 pushsp + 202b: 70 loadsp 0 + 202c: 00 breakpoint + 202d: 00 breakpoint + 202e: 02 pushsp + 202f: 72 loadsp 8 + 2030: 08 load + 2031: 00 breakpoint + 2032: 00 breakpoint + 2033: 00 breakpoint + 2034: 7c loadsp 48 + 2035: 1f addsp 60 + 2036: 00 breakpoint + 2037: 11 addsp 4 + 2038: 01 .byte 1 + 2039: 0f .byte 15 + 203a: 04 poppc + 203b: 00 breakpoint + 203c: 00 breakpoint + 203d: 02 pushsp + 203e: 70 loadsp 0 + 203f: 0d popsp + 2040: 00 breakpoint + 2041: 00 breakpoint + 2042: 02 pushsp + 2043: a1 im 33 + 2044: 00 breakpoint + 2045: 00 breakpoint + 2046: 04 poppc + 2047: 42 storesp 72 + 2048: 08 load + 2049: 03 .byte 3 + 204a: 5f storesp 60 + 204b: 0a flip + 204c: 00 breakpoint + 204d: 00 breakpoint + 204e: 06 and + 204f: 10 addsp 0 + 2050: 03 .byte 3 + 2051: 60 loadsp 64 + 2052: 00 breakpoint + 2053: 00 breakpoint + 2054: 02 pushsp + 2055: a1 im 33 + 2056: 02 pushsp + 2057: 23 storeh + 2058: 00 breakpoint + 2059: 0a flip + 205a: 00 breakpoint + 205b: 00 breakpoint + 205c: 03 .byte 3 + 205d: 1a addsp 40 + 205e: 03 .byte 3 + 205f: 61 loadsp 68 + 2060: 00 breakpoint + 2061: 00 breakpoint + 2062: 00 breakpoint + 2063: 33 loadb + 2064: 02 pushsp + 2065: 23 storeh + 2066: 04 poppc + 2067: 00 breakpoint + 2068: 0f .byte 15 + 2069: 04 poppc + 206a: 00 breakpoint + 206b: 00 breakpoint + 206c: 00 breakpoint + 206d: 83 im 3 + 206e: 0b nop + 206f: 00 breakpoint + 2070: 00 breakpoint + 2071: 05 add + 2072: 84 im 4 + 2073: 03 .byte 3 + 2074: 69 loadsp 100 + 2075: 00 breakpoint + 2076: 00 breakpoint + 2077: 00 breakpoint + 2078: 25 lessthanorequal + 2079: 0d popsp + 207a: 00 breakpoint + 207b: 00 breakpoint + 207c: 05 add + 207d: 4d storesp 116 + 207e: 00 breakpoint + 207f: 00 breakpoint + 2080: 07 or + 2081: b4 im 52 + 2082: 5c storesp 48 + 2083: 03 .byte 3 + 2084: a0 im 32 + 2085: 0e .byte 14 + 2086: 5f storesp 60 + 2087: 70 loadsp 0 + 2088: 00 breakpoint + 2089: 03 .byte 3 + 208a: a1 im 33 + 208b: 00 breakpoint + 208c: 00 breakpoint + 208d: 02 pushsp + 208e: a1 im 33 + 208f: 02 pushsp + 2090: 23 storeh + 2091: 00 breakpoint + 2092: 0e .byte 14 + 2093: 5f storesp 60 + 2094: 72 loadsp 8 + 2095: 00 breakpoint + 2096: 03 .byte 3 + 2097: a2 im 34 + 2098: 00 breakpoint + 2099: 00 breakpoint + 209a: 00 breakpoint + 209b: 33 loadb + 209c: 02 pushsp + 209d: 23 storeh + 209e: 04 poppc + 209f: 0e .byte 14 + 20a0: 5f storesp 60 + 20a1: 77 loadsp 28 + 20a2: 00 breakpoint + 20a3: 03 .byte 3 + 20a4: a3 im 35 + 20a5: 00 breakpoint + 20a6: 00 breakpoint + 20a7: 00 breakpoint + 20a8: 33 loadb + 20a9: 02 pushsp + 20aa: 23 storeh + 20ab: 08 load + 20ac: 0a flip + 20ad: 00 breakpoint + 20ae: 00 breakpoint + 20af: 07 or + 20b0: 9a im 26 + 20b1: 03 .byte 3 + 20b2: a4 im 36 + 20b3: 00 breakpoint + 20b4: 00 breakpoint + 20b5: 05 add + 20b6: 4d storesp 116 + 20b7: 02 pushsp + 20b8: 23 storeh + 20b9: 0c store + 20ba: 0a flip + 20bb: 00 breakpoint + 20bc: 00 breakpoint + 20bd: 05 add + 20be: 75 loadsp 20 + 20bf: 03 .byte 3 + 20c0: a5 im 37 + 20c1: 00 breakpoint + 20c2: 00 breakpoint + 20c3: 05 add + 20c4: 4d storesp 116 + 20c5: 02 pushsp + 20c6: 23 storeh + 20c7: 0e .byte 14 + 20c8: 0e .byte 14 + 20c9: 5f storesp 60 + 20ca: 62 loadsp 72 + 20cb: 66 loadsp 88 + 20cc: 00 breakpoint + 20cd: 03 .byte 3 + 20ce: a6 im 38 + 20cf: 00 breakpoint + 20d0: 00 breakpoint + 20d1: 02 pushsp + 20d2: 78 loadsp 32 + 20d3: 02 pushsp + 20d4: 23 storeh + 20d5: 10 addsp 0 + 20d6: 0a flip + 20d7: 00 breakpoint + 20d8: 00 breakpoint + 20d9: 04 poppc + 20da: 22 loadh + 20db: 03 .byte 3 + 20dc: a7 im 39 + 20dd: 00 breakpoint + 20de: 00 breakpoint + 20df: 00 breakpoint + 20e0: 33 loadb + 20e1: 02 pushsp + 20e2: 23 storeh + 20e3: 18 addsp 32 + 20e4: 0a flip + 20e5: 00 breakpoint + 20e6: 00 breakpoint + 20e7: 06 and + 20e8: 08 load + 20e9: 03 .byte 3 + 20ea: ae im 46 + 20eb: 00 breakpoint + 20ec: 00 breakpoint + 20ed: 00 breakpoint + 20ee: c5 im -59 + 20ef: 02 pushsp + 20f0: 23 storeh + 20f1: 1c addsp 48 + 20f2: 0a flip + 20f3: 00 breakpoint + 20f4: 00 breakpoint + 20f5: 05 add + 20f6: b0 im 48 + 20f7: 03 .byte 3 + 20f8: b0 im 48 + 20f9: 00 breakpoint + 20fa: 00 breakpoint + 20fb: 05 add + 20fc: 7b loadsp 44 + 20fd: 02 pushsp + 20fe: 23 storeh + 20ff: 20 .byte 32 + 2100: 0a flip + 2101: 00 breakpoint + 2102: 00 breakpoint + 2103: 04 poppc + 2104: 71 loadsp 4 + 2105: 03 .byte 3 + 2106: b1 im 49 + 2107: 00 breakpoint + 2108: 00 breakpoint + 2109: 05 add + 210a: a6 im 38 + 210b: 02 pushsp + 210c: 23 storeh + 210d: 24 lessthan + 210e: 0a flip + 210f: 00 breakpoint + 2110: 00 breakpoint + 2111: 07 or + 2112: 28 swap + 2113: 03 .byte 3 + 2114: b3 im 51 + 2115: 00 breakpoint + 2116: 00 breakpoint + 2117: 05 add + 2118: c6 im -58 + 2119: 02 pushsp + 211a: 23 storeh + 211b: 28 swap + 211c: 0a flip + 211d: 00 breakpoint + 211e: 00 breakpoint + 211f: 06 and + 2120: 16 addsp 24 + 2121: 03 .byte 3 + 2122: b4 im 52 + 2123: 00 breakpoint + 2124: 00 breakpoint + 2125: 05 add + 2126: dc im -36 + 2127: 02 pushsp + 2128: 23 storeh + 2129: 2c ashiftright + 212a: 0e .byte 14 + 212b: 5f storesp 60 + 212c: 75 loadsp 20 + 212d: 62 loadsp 72 + 212e: 00 breakpoint + 212f: 03 .byte 3 + 2130: b7 im 55 + 2131: 00 breakpoint + 2132: 00 breakpoint + 2133: 02 pushsp + 2134: 78 loadsp 32 + 2135: 02 pushsp + 2136: 23 storeh + 2137: 30 neg + 2138: 0e .byte 14 + 2139: 5f storesp 60 + 213a: 75 loadsp 20 + 213b: 70 loadsp 0 + 213c: 00 breakpoint + 213d: 03 .byte 3 + 213e: b8 im 56 + 213f: 00 breakpoint + 2140: 00 breakpoint + 2141: 02 pushsp + 2142: a1 im 33 + 2143: 02 pushsp + 2144: 23 storeh + 2145: 38 neqbranch + 2146: 0e .byte 14 + 2147: 5f storesp 60 + 2148: 75 loadsp 20 + 2149: 72 loadsp 8 + 214a: 00 breakpoint + 214b: 03 .byte 3 + 214c: b9 im 57 + 214d: 00 breakpoint + 214e: 00 breakpoint + 214f: 00 breakpoint + 2150: 33 loadb + 2151: 02 pushsp + 2152: 23 storeh + 2153: 3c syscall + 2154: 0a flip + 2155: 00 breakpoint + 2156: 00 breakpoint + 2157: 07 or + 2158: a1 im 33 + 2159: 03 .byte 3 + 215a: bc im 60 + 215b: 00 breakpoint + 215c: 00 breakpoint + 215d: 05 add + 215e: e2 im -30 + 215f: 02 pushsp + 2160: 23 storeh + 2161: 40 storesp 64 + 2162: 0a flip + 2163: 00 breakpoint + 2164: 00 breakpoint + 2165: 04 poppc + 2166: d1 im -47 + 2167: 03 .byte 3 + 2168: bd im 61 + 2169: 00 breakpoint + 216a: 00 breakpoint + 216b: 05 add + 216c: f2 im -14 + 216d: 02 pushsp + 216e: 23 storeh + 216f: 43 storesp 76 + 2170: 0e .byte 14 + 2171: 5f storesp 60 + 2172: 6c loadsp 112 + 2173: 62 loadsp 72 + 2174: 00 breakpoint + 2175: 03 .byte 3 + 2176: c0 im -64 + 2177: 00 breakpoint + 2178: 00 breakpoint + 2179: 02 pushsp + 217a: 78 loadsp 32 + 217b: 02 pushsp + 217c: 23 storeh + 217d: 44 storesp 80 + 217e: 0a flip + 217f: 00 breakpoint + 2180: 00 breakpoint + 2181: 02 pushsp + 2182: e0 im -32 + 2183: 03 .byte 3 + 2184: c3 im -61 + 2185: 00 breakpoint + 2186: 00 breakpoint + 2187: 00 breakpoint + 2188: 33 loadb + 2189: 02 pushsp + 218a: 23 storeh + 218b: 4c storesp 112 + 218c: 0a flip + 218d: 00 breakpoint + 218e: 00 breakpoint + 218f: 04 poppc + 2190: 53 storesp 12 + 2191: 03 .byte 3 + 2192: c4 im -60 + 2193: 00 breakpoint + 2194: 00 breakpoint + 2195: 00 breakpoint + 2196: 33 loadb + 2197: 02 pushsp + 2198: 23 storeh + 2199: 50 storesp 0 + 219a: 10 addsp 0 + 219b: 00 breakpoint + 219c: 00 breakpoint + 219d: 05 add + 219e: 30 neg + 219f: 00 breakpoint + 21a0: 00 breakpoint + 21a1: 07 or + 21a2: 84 im 4 + 21a3: 03 .byte 3 + 21a4: 7c loadsp 48 + 21a5: 03 .byte 3 + 21a6: c7 im -57 + 21a7: 12 addsp 8 + 21a8: 00 breakpoint + 21a9: 00 breakpoint + 21aa: 03 .byte 3 + 21ab: eb im -21 + 21ac: 03 .byte 3 + 21ad: 02 pushsp + 21ae: 17 addsp 28 + 21af: 00 breakpoint + 21b0: 00 breakpoint + 21b1: 00 breakpoint + 21b2: 33 loadb + 21b3: 02 pushsp + 21b4: 23 storeh + 21b5: 00 breakpoint + 21b6: 12 addsp 8 + 21b7: 00 breakpoint + 21b8: 00 breakpoint + 21b9: 07 or + 21ba: 6d loadsp 116 + 21bb: 03 .byte 3 + 21bc: 02 pushsp + 21bd: 1c addsp 48 + 21be: 00 breakpoint + 21bf: 00 breakpoint + 21c0: 06 and + 21c1: 54 storesp 16 + 21c2: 02 pushsp + 21c3: 23 storeh + 21c4: 04 poppc + 21c5: 12 addsp 8 + 21c6: 00 breakpoint + 21c7: 00 breakpoint + 21c8: 05 add + 21c9: 95 im 21 + 21ca: 03 .byte 3 + 21cb: 02 pushsp + 21cc: 1c addsp 48 + 21cd: 00 breakpoint + 21ce: 00 breakpoint + 21cf: 06 and + 21d0: 54 storesp 16 + 21d1: 02 pushsp + 21d2: 23 storeh + 21d3: 08 load + 21d4: 12 addsp 8 + 21d5: 00 breakpoint + 21d6: 00 breakpoint + 21d7: 06 and + 21d8: 39 poppcrel + 21d9: 03 .byte 3 + 21da: 02 pushsp + 21db: 1c addsp 48 + 21dc: 00 breakpoint + 21dd: 00 breakpoint + 21de: 06 and + 21df: 54 storesp 16 + 21e0: 02 pushsp + 21e1: 23 storeh + 21e2: 0c store + 21e3: 12 addsp 8 + 21e4: 00 breakpoint + 21e5: 00 breakpoint + 21e6: 07 or + 21e7: 3f callpcrel + 21e8: 03 .byte 3 + 21e9: 02 pushsp + 21ea: 1e addsp 56 + 21eb: 00 breakpoint + 21ec: 00 breakpoint + 21ed: 00 breakpoint + 21ee: 33 loadb + 21ef: 02 pushsp + 21f0: 23 storeh + 21f1: 10 addsp 0 + 21f2: 12 addsp 8 + 21f3: 00 breakpoint + 21f4: 00 breakpoint + 21f5: 04 poppc + 21f6: be im 62 + 21f7: 03 .byte 3 + 21f8: 02 pushsp + 21f9: 1f addsp 60 + 21fa: 00 breakpoint + 21fb: 00 breakpoint + 21fc: 08 load + 21fd: 6c loadsp 112 + 21fe: 02 pushsp + 21ff: 23 storeh + 2200: 14 addsp 16 + 2201: 12 addsp 8 + 2202: 00 breakpoint + 2203: 00 breakpoint + 2204: 06 and + 2205: 6e loadsp 120 + 2206: 03 .byte 3 + 2207: 02 pushsp + 2208: 21 .byte 33 + 2209: 00 breakpoint + 220a: 00 breakpoint + 220b: 00 breakpoint + 220c: 33 loadb + 220d: 02 pushsp + 220e: 23 storeh + 220f: 30 neg + 2210: 12 addsp 8 + 2211: 00 breakpoint + 2212: 00 breakpoint + 2213: 07 or + 2214: 74 loadsp 16 + 2215: 03 .byte 3 + 2216: 02 pushsp + 2217: 22 loadh + 2218: 00 breakpoint + 2219: 00 breakpoint + 221a: 05 add + 221b: 9b im 27 + 221c: 02 pushsp + 221d: 23 storeh + 221e: 34 storeb + 221f: 12 addsp 8 + 2220: 00 breakpoint + 2221: 00 breakpoint + 2222: 03 .byte 3 + 2223: f2 im -14 + 2224: 03 .byte 3 + 2225: 02 pushsp + 2226: 24 lessthan + 2227: 00 breakpoint + 2228: 00 breakpoint + 2229: 00 breakpoint + 222a: 33 loadb + 222b: 02 pushsp + 222c: 23 storeh + 222d: 38 neqbranch + 222e: 12 addsp 8 + 222f: 00 breakpoint + 2230: 00 breakpoint + 2231: 06 and + 2232: 80 im 0 + 2233: 03 .byte 3 + 2234: 02 pushsp + 2235: 26 ulessthan + 2236: 00 breakpoint + 2237: 00 breakpoint + 2238: 08 load + 2239: 88 im 8 + 223a: 02 pushsp + 223b: 23 storeh + 223c: 3c syscall + 223d: 12 addsp 8 + 223e: 00 breakpoint + 223f: 00 breakpoint + 2240: 07 or + 2241: 2e eq + 2242: 03 .byte 3 + 2243: 02 pushsp + 2244: 29 mult + 2245: 00 breakpoint + 2246: 00 breakpoint + 2247: 01 .byte 1 + 2248: 38 neqbranch + 2249: 02 pushsp + 224a: 23 storeh + 224b: 40 storesp 64 + 224c: 12 addsp 8 + 224d: 00 breakpoint + 224e: 00 breakpoint + 224f: 04 poppc + 2250: 49 storesp 100 + 2251: 03 .byte 3 + 2252: 02 pushsp + 2253: 2a lshiftright + 2254: 00 breakpoint + 2255: 00 breakpoint + 2256: 00 breakpoint + 2257: 33 loadb + 2258: 02 pushsp + 2259: 23 storeh + 225a: 44 storesp 80 + 225b: 12 addsp 8 + 225c: 00 breakpoint + 225d: 00 breakpoint + 225e: 07 or + 225f: 57 storesp 28 + 2260: 03 .byte 3 + 2261: 02 pushsp + 2262: 2b ashiftleft + 2263: 00 breakpoint + 2264: 00 breakpoint + 2265: 01 .byte 1 + 2266: 38 neqbranch + 2267: 02 pushsp + 2268: 23 storeh + 2269: 48 storesp 96 + 226a: 12 addsp 8 + 226b: 00 breakpoint + 226c: 00 breakpoint + 226d: 05 add + 226e: 1d addsp 52 + 226f: 03 .byte 3 + 2270: 02 pushsp + 2271: 2c ashiftright + 2272: 00 breakpoint + 2273: 00 breakpoint + 2274: 08 load + 2275: 8e im 14 + 2276: 02 pushsp + 2277: 23 storeh + 2278: 4c storesp 112 + 2279: 12 addsp 8 + 227a: 00 breakpoint + 227b: 00 breakpoint + 227c: 04 poppc + 227d: c9 im -55 + 227e: 03 .byte 3 + 227f: 02 pushsp + 2280: 2f neq + 2281: 00 breakpoint + 2282: 00 breakpoint + 2283: 00 breakpoint + 2284: 33 loadb + 2285: 02 pushsp + 2286: 23 storeh + 2287: 50 storesp 0 + 2288: 12 addsp 8 + 2289: 00 breakpoint + 228a: 00 breakpoint + 228b: 06 and + 228c: 00 breakpoint + 228d: 03 .byte 3 + 228e: 02 pushsp + 228f: 30 neg + 2290: 00 breakpoint + 2291: 00 breakpoint + 2292: 05 add + 2293: 6e loadsp 120 + 2294: 02 pushsp + 2295: 23 storeh + 2296: 54 storesp 16 + 2297: 12 addsp 8 + 2298: 00 breakpoint + 2299: 00 breakpoint + 229a: 05 add + 229b: 50 storesp 0 + 229c: 03 .byte 3 + 229d: 02 pushsp + 229e: 52 storesp 8 + 229f: 00 breakpoint + 22a0: 00 breakpoint + 22a1: 08 load + 22a2: 4a storesp 104 + 22a3: 02 pushsp + 22a4: 23 storeh + 22a5: 58 storesp 32 + 22a6: 12 addsp 8 + 22a7: 00 breakpoint + 22a8: 00 breakpoint + 22a9: 07 or + 22aa: 20 .byte 32 + 22ab: 03 .byte 3 + 22ac: 02 pushsp + 22ad: 55 storesp 20 + 22ae: 00 breakpoint + 22af: 00 breakpoint + 22b0: 02 pushsp + 22b1: 5a storesp 40 + 22b2: 03 .byte 3 + 22b3: 23 storeh + 22b4: c8 im -56 + 22b5: 02 pushsp + 22b6: 12 addsp 8 + 22b7: 00 breakpoint + 22b8: 00 breakpoint + 22b9: 05 add + 22ba: 6c loadsp 112 + 22bb: 03 .byte 3 + 22bc: 02 pushsp + 22bd: 56 storesp 24 + 22be: 00 breakpoint + 22bf: 00 breakpoint + 22c0: 02 pushsp + 22c1: 13 addsp 12 + 22c2: 03 .byte 3 + 22c3: 23 storeh + 22c4: cc im -52 + 22c5: 02 pushsp + 22c6: 12 addsp 8 + 22c7: 00 breakpoint + 22c8: 00 breakpoint + 22c9: 05 add + 22ca: 0a flip + 22cb: 03 .byte 3 + 22cc: 02 pushsp + 22cd: 59 storesp 36 + 22ce: 00 breakpoint + 22cf: 00 breakpoint + 22d0: 08 load + 22d1: a0 im 32 + 22d2: 03 .byte 3 + 22d3: 23 storeh + 22d4: d8 im -40 + 22d5: 04 poppc + 22d6: 12 addsp 8 + 22d7: 00 breakpoint + 22d8: 00 breakpoint + 22d9: 05 add + 22da: bd im 61 + 22db: 03 .byte 3 + 22dc: 02 pushsp + 22dd: 5e storesp 56 + 22de: 00 breakpoint + 22df: 00 breakpoint + 22e0: 06 and + 22e1: 13 addsp 12 + 22e2: 03 .byte 3 + 22e3: 23 storeh + 22e4: dc im -36 + 22e5: 04 poppc + 22e6: 12 addsp 8 + 22e7: 00 breakpoint + 22e8: 00 breakpoint + 22e9: 04 poppc + 22ea: 5b storesp 44 + 22eb: 03 .byte 3 + 22ec: 02 pushsp + 22ed: 5f storesp 60 + 22ee: 00 breakpoint + 22ef: 00 breakpoint + 22f0: 08 load + 22f1: ac im 44 + 22f2: 03 .byte 3 + 22f3: 23 storeh + 22f4: e8 im -24 + 22f5: 04 poppc + 22f6: 00 breakpoint + 22f7: 0a flip + 22f8: 00 breakpoint + 22f9: 00 breakpoint + 22fa: 08 load + 22fb: 39 poppcrel + 22fc: 03 .byte 3 + 22fd: c7 im -57 + 22fe: 00 breakpoint + 22ff: 00 breakpoint + 2300: 06 and + 2301: 02 pushsp + 2302: 02 pushsp + 2303: 23 storeh + 2304: 54 storesp 16 + 2305: 0a flip + 2306: 00 breakpoint + 2307: 00 breakpoint + 2308: 05 add + 2309: 55 storesp 20 + 230a: 03 .byte 3 + 230b: cb im -53 + 230c: 00 breakpoint + 230d: 00 breakpoint + 230e: 00 breakpoint + 230f: ba im 58 + 2310: 02 pushsp + 2311: 23 storeh + 2312: 58 storesp 32 + 2313: 00 breakpoint + 2314: 02 pushsp + 2315: 00 breakpoint + 2316: 00 breakpoint + 2317: 00 breakpoint + 2318: a8 im 40 + 2319: 02 pushsp + 231a: 05 add + 231b: 13 addsp 12 + 231c: 00 breakpoint + 231d: 00 breakpoint + 231e: 05 add + 231f: 6e loadsp 120 + 2320: 01 .byte 1 + 2321: 00 breakpoint + 2322: 00 breakpoint + 2323: 00 breakpoint + 2324: 33 loadb + 2325: 14 addsp 16 + 2326: 00 breakpoint + 2327: 00 breakpoint + 2328: 00 breakpoint + 2329: c5 im -59 + 232a: 14 addsp 16 + 232b: 00 breakpoint + 232c: 00 breakpoint + 232d: 05 add + 232e: 6e loadsp 120 + 232f: 14 addsp 16 + 2330: 00 breakpoint + 2331: 00 breakpoint + 2332: 00 breakpoint + 2333: 33 loadb + 2334: 00 breakpoint + 2335: 0f .byte 15 + 2336: 04 poppc + 2337: 00 breakpoint + 2338: 00 breakpoint + 2339: 05 add + 233a: 74 loadsp 16 + 233b: 02 pushsp + 233c: 00 breakpoint + 233d: 00 breakpoint + 233e: 00 breakpoint + 233f: a3 im 35 + 2340: 01 .byte 1 + 2341: 08 load + 2342: 0f .byte 15 + 2343: 04 poppc + 2344: 00 breakpoint + 2345: 00 breakpoint + 2346: 05 add + 2347: 54 storesp 16 + 2348: 13 addsp 12 + 2349: 00 breakpoint + 234a: 00 breakpoint + 234b: 05 add + 234c: 9b im 27 + 234d: 01 .byte 1 + 234e: 00 breakpoint + 234f: 00 breakpoint + 2350: 00 breakpoint + 2351: 33 loadb + 2352: 14 addsp 16 + 2353: 00 breakpoint + 2354: 00 breakpoint + 2355: 00 breakpoint + 2356: c5 im -59 + 2357: 14 addsp 16 + 2358: 00 breakpoint + 2359: 00 breakpoint + 235a: 05 add + 235b: 9b im 27 + 235c: 14 addsp 16 + 235d: 00 breakpoint + 235e: 00 breakpoint + 235f: 00 breakpoint + 2360: 33 loadb + 2361: 00 breakpoint + 2362: 0f .byte 15 + 2363: 04 poppc + 2364: 00 breakpoint + 2365: 00 breakpoint + 2366: 05 add + 2367: a1 im 33 + 2368: 15 addsp 20 + 2369: 00 breakpoint + 236a: 00 breakpoint + 236b: 05 add + 236c: 74 loadsp 16 + 236d: 0f .byte 15 + 236e: 04 poppc + 236f: 00 breakpoint + 2370: 00 breakpoint + 2371: 05 add + 2372: 81 im 1 + 2373: 13 addsp 12 + 2374: 00 breakpoint + 2375: 00 breakpoint + 2376: 05 add + 2377: c6 im -58 + 2378: 01 .byte 1 + 2379: 00 breakpoint + 237a: 00 breakpoint + 237b: 02 pushsp + 237c: a7 im 39 + 237d: 14 addsp 16 + 237e: 00 breakpoint + 237f: 00 breakpoint + 2380: 00 breakpoint + 2381: c5 im -59 + 2382: 14 addsp 16 + 2383: 00 breakpoint + 2384: 00 breakpoint + 2385: 02 pushsp + 2386: a7 im 39 + 2387: 14 addsp 16 + 2388: 00 breakpoint + 2389: 00 breakpoint + 238a: 00 breakpoint + 238b: 33 loadb + 238c: 00 breakpoint + 238d: 0f .byte 15 + 238e: 04 poppc + 238f: 00 breakpoint + 2390: 00 breakpoint + 2391: 05 add + 2392: ac im 44 + 2393: 13 addsp 12 + 2394: 00 breakpoint + 2395: 00 breakpoint + 2396: 05 add + 2397: dc im -36 + 2398: 01 .byte 1 + 2399: 00 breakpoint + 239a: 00 breakpoint + 239b: 00 breakpoint + 239c: 33 loadb + 239d: 14 addsp 16 + 239e: 00 breakpoint + 239f: 00 breakpoint + 23a0: 00 breakpoint + 23a1: c5 im -59 + 23a2: 00 breakpoint + 23a3: 0f .byte 15 + 23a4: 04 poppc + 23a5: 00 breakpoint + 23a6: 00 breakpoint + 23a7: 05 add + 23a8: cc im -52 + 23a9: 07 or + 23aa: 00 breakpoint + 23ab: 00 breakpoint + 23ac: 05 add + 23ad: f2 im -14 + 23ae: 00 breakpoint + 23af: 00 breakpoint + 23b0: 00 breakpoint + 23b1: 83 im 3 + 23b2: 08 load + 23b3: 00 breakpoint + 23b4: 00 breakpoint + 23b5: 00 breakpoint + 23b6: 7c loadsp 48 + 23b7: 02 pushsp + 23b8: 00 breakpoint + 23b9: 07 or + 23ba: 00 breakpoint + 23bb: 00 breakpoint + 23bc: 06 and + 23bd: 02 pushsp + 23be: 00 breakpoint + 23bf: 00 breakpoint + 23c0: 00 breakpoint + 23c1: 83 im 3 + 23c2: 08 load + 23c3: 00 breakpoint + 23c4: 00 breakpoint + 23c5: 00 breakpoint + 23c6: 7c loadsp 48 + 23c7: 00 breakpoint + 23c8: 00 breakpoint + 23c9: 0f .byte 15 + 23ca: 04 poppc + 23cb: 00 breakpoint + 23cc: 00 breakpoint + 23cd: 03 .byte 3 + 23ce: d3 im -45 + 23cf: 0b nop + 23d0: 00 breakpoint + 23d1: 00 breakpoint + 23d2: 04 poppc + 23d3: de im -34 + 23d4: 03 .byte 3 + 23d5: fd im -3 + 23d6: 00 breakpoint + 23d7: 00 breakpoint + 23d8: 02 pushsp + 23d9: b2 im 50 + 23da: 16 addsp 24 + 23db: 00 breakpoint + 23dc: 00 breakpoint + 23dd: 06 and + 23de: 4e storesp 120 + 23df: 00 breakpoint + 23e0: 00 breakpoint + 23e1: 04 poppc + 23e2: 8e im 14 + 23e3: 0c store + 23e4: 03 .byte 3 + 23e5: 01 .byte 1 + 23e6: 01 .byte 1 + 23e7: 12 addsp 8 + 23e8: 00 breakpoint + 23e9: 00 breakpoint + 23ea: 07 or + 23eb: 67 loadsp 92 + 23ec: 03 .byte 3 + 23ed: 01 .byte 1 + 23ee: 02 pushsp + 23ef: 00 breakpoint + 23f0: 00 breakpoint + 23f1: 06 and + 23f2: 4e storesp 120 + 23f3: 02 pushsp + 23f4: 23 storeh + 23f5: 00 breakpoint + 23f6: 12 addsp 8 + 23f7: 00 breakpoint + 23f8: 00 breakpoint + 23f9: 04 poppc + 23fa: d7 im -41 + 23fb: 03 .byte 3 + 23fc: 01 .byte 1 + 23fd: 03 .byte 3 + 23fe: 00 breakpoint + 23ff: 00 breakpoint + 2400: 00 breakpoint + 2401: 33 loadb + 2402: 02 pushsp + 2403: 23 storeh + 2404: 04 poppc + 2405: 12 addsp 8 + 2406: 00 breakpoint + 2407: 00 breakpoint + 2408: 05 add + 2409: 4a storesp 104 + 240a: 03 .byte 3 + 240b: 01 .byte 1 + 240c: 04 poppc + 240d: 00 breakpoint + 240e: 00 breakpoint + 240f: 06 and + 2410: 54 storesp 16 + 2411: 02 pushsp + 2412: 23 storeh + 2413: 08 load + 2414: 00 breakpoint + 2415: 0f .byte 15 + 2416: 04 poppc + 2417: 00 breakpoint + 2418: 00 breakpoint + 2419: 06 and + 241a: 13 addsp 12 + 241b: 0f .byte 15 + 241c: 04 poppc + 241d: 00 breakpoint + 241e: 00 breakpoint + 241f: 06 and + 2420: 08 load + 2421: 16 addsp 24 + 2422: 00 breakpoint + 2423: 00 breakpoint + 2424: 06 and + 2425: 95 im 21 + 2426: 00 breakpoint + 2427: 00 breakpoint + 2428: 07 or + 2429: 0e .byte 14 + 242a: 0e .byte 14 + 242b: 03 .byte 3 + 242c: 01 .byte 1 + 242d: 1c addsp 48 + 242e: 12 addsp 8 + 242f: 00 breakpoint + 2430: 00 breakpoint + 2431: 07 or + 2432: 08 load + 2433: 03 .byte 3 + 2434: 01 .byte 1 + 2435: 1d addsp 52 + 2436: 00 breakpoint + 2437: 00 breakpoint + 2438: 06 and + 2439: 95 im 21 + 243a: 02 pushsp + 243b: 23 storeh + 243c: 00 breakpoint + 243d: 12 addsp 8 + 243e: 00 breakpoint + 243f: 00 breakpoint + 2440: 05 add + 2441: 5b storesp 44 + 2442: 03 .byte 3 + 2443: 01 .byte 1 + 2444: 1e addsp 56 + 2445: 00 breakpoint + 2446: 00 breakpoint + 2447: 06 and + 2448: 95 im 21 + 2449: 02 pushsp + 244a: 23 storeh + 244b: 06 and + 244c: 12 addsp 8 + 244d: 00 breakpoint + 244e: 00 breakpoint + 244f: 04 poppc + 2450: 6c loadsp 112 + 2451: 03 .byte 3 + 2452: 01 .byte 1 + 2453: 1f addsp 60 + 2454: 00 breakpoint + 2455: 00 breakpoint + 2456: 06 and + 2457: a5 im 37 + 2458: 02 pushsp + 2459: 23 storeh + 245a: 0c store + 245b: 00 breakpoint + 245c: 07 or + 245d: 00 breakpoint + 245e: 00 breakpoint + 245f: 06 and + 2460: a5 im 37 + 2461: 00 breakpoint + 2462: 00 breakpoint + 2463: 06 and + 2464: a5 im 37 + 2465: 08 load + 2466: 00 breakpoint + 2467: 00 breakpoint + 2468: 00 breakpoint + 2469: 7c loadsp 48 + 246a: 02 pushsp + 246b: 00 breakpoint + 246c: 02 pushsp + 246d: 00 breakpoint + 246e: 00 breakpoint + 246f: 00 breakpoint + 2470: 4b storesp 108 + 2471: 02 pushsp + 2472: 07 or + 2473: 17 addsp 28 + 2474: 00 breakpoint + 2475: 00 breakpoint + 2476: 07 or + 2477: cb im -53 + 2478: c8 im -56 + 2479: 03 .byte 3 + 247a: 02 pushsp + 247b: 48 storesp 96 + 247c: 12 addsp 8 + 247d: 00 breakpoint + 247e: 00 breakpoint + 247f: 06 and + 2480: 2c ashiftright + 2481: 03 .byte 3 + 2482: 02 pushsp + 2483: 36 mod + 2484: 00 breakpoint + 2485: 00 breakpoint + 2486: 00 breakpoint + 2487: 46 storesp 88 + 2488: 02 pushsp + 2489: 23 storeh + 248a: 00 breakpoint + 248b: 12 addsp 8 + 248c: 00 breakpoint + 248d: 00 breakpoint + 248e: 04 poppc + 248f: b1 im 49 + 2490: 03 .byte 3 + 2491: 02 pushsp + 2492: 37 eqbranch + 2493: 00 breakpoint + 2494: 00 breakpoint + 2495: 05 add + 2496: 6e loadsp 120 + 2497: 02 pushsp + 2498: 23 storeh + 2499: 04 poppc + 249a: 12 addsp 8 + 249b: 00 breakpoint + 249c: 00 breakpoint + 249d: 07 or + 249e: a7 im 39 + 249f: 03 .byte 3 + 24a0: 02 pushsp + 24a1: 38 neqbranch + 24a2: 00 breakpoint + 24a3: 00 breakpoint + 24a4: 07 or + 24a5: cb im -53 + 24a6: 02 pushsp + 24a7: 23 storeh + 24a8: 08 load + 24a9: 12 addsp 8 + 24aa: 00 breakpoint + 24ab: 00 breakpoint + 24ac: 04 poppc + 24ad: 2b ashiftleft + 24ae: 03 .byte 3 + 24af: 02 pushsp + 24b0: 39 poppcrel + 24b1: 00 breakpoint + 24b2: 00 breakpoint + 24b3: 01 .byte 1 + 24b4: 4e storesp 120 + 24b5: 02 pushsp + 24b6: 23 storeh + 24b7: 24 lessthan + 24b8: 12 addsp 8 + 24b9: 00 breakpoint + 24ba: 00 breakpoint + 24bb: 06 and + 24bc: 1d addsp 52 + 24bd: 03 .byte 3 + 24be: 02 pushsp + 24bf: 3a config + 24c0: 00 breakpoint + 24c1: 00 breakpoint + 24c2: 00 breakpoint + 24c3: 33 loadb + 24c4: 02 pushsp + 24c5: 23 storeh + 24c6: 48 storesp 96 + 24c7: 12 addsp 8 + 24c8: 00 breakpoint + 24c9: 00 breakpoint + 24ca: 07 or + 24cb: 62 loadsp 72 + 24cc: 03 .byte 3 + 24cd: 02 pushsp + 24ce: 3b pushpc + 24cf: 00 breakpoint + 24d0: 00 breakpoint + 24d1: 07 or + 24d2: db im -37 + 24d3: 02 pushsp + 24d4: 23 storeh + 24d5: 4c storesp 112 + 24d6: 12 addsp 8 + 24d7: 00 breakpoint + 24d8: 00 breakpoint + 24d9: 04 poppc + 24da: a5 im 37 + 24db: 03 .byte 3 + 24dc: 02 pushsp + 24dd: 3c syscall + 24de: 00 breakpoint + 24df: 00 breakpoint + 24e0: 06 and + 24e1: 5a storesp 40 + 24e2: 02 pushsp + 24e3: 23 storeh + 24e4: 54 storesp 16 + 24e5: 12 addsp 8 + 24e6: 00 breakpoint + 24e7: 00 breakpoint + 24e8: 04 poppc + 24e9: 05 add + 24ea: 03 .byte 3 + 24eb: 02 pushsp + 24ec: 3d pushspadd + 24ed: 00 breakpoint + 24ee: 00 breakpoint + 24ef: 00 breakpoint + 24f0: af im 47 + 24f1: 02 pushsp + 24f2: 23 storeh + 24f3: 64 loadsp 80 + 24f4: 12 addsp 8 + 24f5: 00 breakpoint + 24f6: 00 breakpoint + 24f7: 06 and + 24f8: e9 im -23 + 24f9: 03 .byte 3 + 24fa: 02 pushsp + 24fb: 3e halfmult + 24fc: 00 breakpoint + 24fd: 00 breakpoint + 24fe: 00 breakpoint + 24ff: af im 47 + 2500: 02 pushsp + 2501: 23 storeh + 2502: 6c loadsp 112 + 2503: 12 addsp 8 + 2504: 00 breakpoint + 2505: 00 breakpoint + 2506: 07 or + 2507: 49 storesp 100 + 2508: 03 .byte 3 + 2509: 02 pushsp + 250a: 3f callpcrel + 250b: 00 breakpoint + 250c: 00 breakpoint + 250d: 00 breakpoint + 250e: af im 47 + 250f: 02 pushsp + 2510: 23 storeh + 2511: 74 loadsp 16 + 2512: 12 addsp 8 + 2513: 00 breakpoint + 2514: 00 breakpoint + 2515: 04 poppc + 2516: 12 addsp 8 + 2517: 03 .byte 3 + 2518: 02 pushsp + 2519: 40 storesp 64 + 251a: 00 breakpoint + 251b: 00 breakpoint + 251c: 07 or + 251d: e2 im -30 + 251e: 02 pushsp + 251f: 23 storeh + 2520: 7c loadsp 48 + 2521: 12 addsp 8 + 2522: 00 breakpoint + 2523: 00 breakpoint + 2524: 04 poppc + 2525: 60 loadsp 64 + 2526: 03 .byte 3 + 2527: 02 pushsp + 2528: 41 storesp 68 + 2529: 00 breakpoint + 252a: 00 breakpoint + 252b: 07 or + 252c: f2 im -14 + 252d: 03 .byte 3 + 252e: 23 storeh + 252f: 84 im 4 + 2530: 01 .byte 1 + 2531: 12 addsp 8 + 2532: 00 breakpoint + 2533: 00 breakpoint + 2534: 04 poppc + 2535: f4 im -12 + 2536: 03 .byte 3 + 2537: 02 pushsp + 2538: 42 storesp 72 + 2539: 00 breakpoint + 253a: 00 breakpoint + 253b: 00 breakpoint + 253c: 33 loadb + 253d: 03 .byte 3 + 253e: 23 storeh + 253f: 9c im 28 + 2540: 01 .byte 1 + 2541: 12 addsp 8 + 2542: 00 breakpoint + 2543: 00 breakpoint + 2544: 04 poppc + 2545: 80 im 0 + 2546: 03 .byte 3 + 2547: 02 pushsp + 2548: 43 storesp 76 + 2549: 00 breakpoint + 254a: 00 breakpoint + 254b: 00 breakpoint + 254c: af im 47 + 254d: 03 .byte 3 + 254e: 23 storeh + 254f: a0 im 32 + 2550: 01 .byte 1 + 2551: 12 addsp 8 + 2552: 00 breakpoint + 2553: 00 breakpoint + 2554: 04 poppc + 2555: e5 im -27 + 2556: 03 .byte 3 + 2557: 02 pushsp + 2558: 44 storesp 80 + 2559: 00 breakpoint + 255a: 00 breakpoint + 255b: 00 breakpoint + 255c: af im 47 + 255d: 03 .byte 3 + 255e: 23 storeh + 255f: a8 im 40 + 2560: 01 .byte 1 + 2561: 12 addsp 8 + 2562: 00 breakpoint + 2563: 00 breakpoint + 2564: 04 poppc + 2565: 94 im 20 + 2566: 03 .byte 3 + 2567: 02 pushsp + 2568: 45 storesp 84 + 2569: 00 breakpoint + 256a: 00 breakpoint + 256b: 00 breakpoint + 256c: af im 47 + 256d: 03 .byte 3 + 256e: 23 storeh + 256f: b0 im 48 + 2570: 01 .byte 1 + 2571: 12 addsp 8 + 2572: 00 breakpoint + 2573: 00 breakpoint + 2574: 07 or + 2575: 8b im 11 + 2576: 03 .byte 3 + 2577: 02 pushsp + 2578: 46 storesp 88 + 2579: 00 breakpoint + 257a: 00 breakpoint + 257b: 00 breakpoint + 257c: af im 47 + 257d: 03 .byte 3 + 257e: 23 storeh + 257f: b8 im 56 + 2580: 01 .byte 1 + 2581: 12 addsp 8 + 2582: 00 breakpoint + 2583: 00 breakpoint + 2584: 06 and + 2585: f7 im -9 + 2586: 03 .byte 3 + 2587: 02 pushsp + 2588: 47 storesp 92 + 2589: 00 breakpoint + 258a: 00 breakpoint + 258b: 00 breakpoint + 258c: af im 47 + 258d: 03 .byte 3 + 258e: 23 storeh + 258f: c0 im -64 + 2590: 01 .byte 1 + 2591: 00 breakpoint + 2592: 07 or + 2593: 00 breakpoint + 2594: 00 breakpoint + 2595: 07 or + 2596: db im -37 + 2597: 00 breakpoint + 2598: 00 breakpoint + 2599: 05 add + 259a: 74 loadsp 16 + 259b: 08 load + 259c: 00 breakpoint + 259d: 00 breakpoint + 259e: 00 breakpoint + 259f: 7c loadsp 48 + 25a0: 19 addsp 36 + 25a1: 00 breakpoint + 25a2: 02 pushsp + 25a3: 00 breakpoint + 25a4: 00 breakpoint + 25a5: 00 breakpoint + 25a6: 0c store + 25a7: 08 load + 25a8: 07 or + 25a9: 07 or + 25aa: 00 breakpoint + 25ab: 00 breakpoint + 25ac: 07 or + 25ad: f2 im -14 + 25ae: 00 breakpoint + 25af: 00 breakpoint + 25b0: 05 add + 25b1: 74 loadsp 16 + 25b2: 08 load + 25b3: 00 breakpoint + 25b4: 00 breakpoint + 25b5: 00 breakpoint + 25b6: 7c loadsp 48 + 25b7: 07 or + 25b8: 00 breakpoint + 25b9: 07 or + 25ba: 00 breakpoint + 25bb: 00 breakpoint + 25bc: 08 load + 25bd: 02 pushsp + 25be: 00 breakpoint + 25bf: 00 breakpoint + 25c0: 05 add + 25c1: 74 loadsp 16 + 25c2: 08 load + 25c3: 00 breakpoint + 25c4: 00 breakpoint + 25c5: 00 breakpoint + 25c6: 7c loadsp 48 + 25c7: 17 addsp 28 + 25c8: 00 breakpoint + 25c9: 17 addsp 28 + 25ca: 00 breakpoint + 25cb: 00 breakpoint + 25cc: 08 load + 25cd: 2a lshiftright + 25ce: f0 im -16 + 25cf: 03 .byte 3 + 25d0: 02 pushsp + 25d1: 51 storesp 4 + 25d2: 12 addsp 8 + 25d3: 00 breakpoint + 25d4: 00 breakpoint + 25d5: 04 poppc + 25d6: aa im 42 + 25d7: 03 .byte 3 + 25d8: 02 pushsp + 25d9: 4f storesp 124 + 25da: 00 breakpoint + 25db: 00 breakpoint + 25dc: 08 load + 25dd: 2a lshiftright + 25de: 02 pushsp + 25df: 23 storeh + 25e0: 00 breakpoint + 25e1: 12 addsp 8 + 25e2: 00 breakpoint + 25e3: 00 breakpoint + 25e4: 05 add + 25e5: 14 addsp 16 + 25e6: 03 .byte 3 + 25e7: 02 pushsp + 25e8: 50 storesp 0 + 25e9: 00 breakpoint + 25ea: 00 breakpoint + 25eb: 08 load + 25ec: 3a config + 25ed: 02 pushsp + 25ee: 23 storeh + 25ef: 78 loadsp 32 + 25f0: 00 breakpoint + 25f1: 07 or + 25f2: 00 breakpoint + 25f3: 00 breakpoint + 25f4: 08 load + 25f5: 3a config + 25f6: 00 breakpoint + 25f7: 00 breakpoint + 25f8: 02 pushsp + 25f9: a1 im 33 + 25fa: 08 load + 25fb: 00 breakpoint + 25fc: 00 breakpoint + 25fd: 00 breakpoint + 25fe: 7c loadsp 48 + 25ff: 1d addsp 52 + 2600: 00 breakpoint + 2601: 07 or + 2602: 00 breakpoint + 2603: 00 breakpoint + 2604: 08 load + 2605: 4a storesp 104 + 2606: 00 breakpoint + 2607: 00 breakpoint + 2608: 00 breakpoint + 2609: 46 storesp 88 + 260a: 08 load + 260b: 00 breakpoint + 260c: 00 breakpoint + 260d: 00 breakpoint + 260e: 7c loadsp 48 + 260f: 1d addsp 52 + 2610: 00 breakpoint + 2611: 18 addsp 32 + 2612: 00 breakpoint + 2613: 00 breakpoint + 2614: 08 load + 2615: 6c loadsp 112 + 2616: f0 im -16 + 2617: 03 .byte 3 + 2618: 02 pushsp + 2619: 52 storesp 8 + 261a: 19 addsp 36 + 261b: 00 breakpoint + 261c: 00 breakpoint + 261d: 07 or + 261e: 84 im 4 + 261f: 03 .byte 3 + 2620: 02 pushsp + 2621: 48 storesp 96 + 2622: 00 breakpoint + 2623: 00 breakpoint + 2624: 06 and + 2625: ac im 44 + 2626: 19 addsp 36 + 2627: 00 breakpoint + 2628: 00 breakpoint + 2629: 06 and + 262a: 50 storesp 0 + 262b: 03 .byte 3 + 262c: 02 pushsp + 262d: 51 storesp 4 + 262e: 00 breakpoint + 262f: 00 breakpoint + 2630: 08 load + 2631: 02 pushsp + 2632: 00 breakpoint + 2633: 07 or + 2634: 00 breakpoint + 2635: 00 breakpoint + 2636: 08 load + 2637: 7c loadsp 48 + 2638: 00 breakpoint + 2639: 00 breakpoint + 263a: 05 add + 263b: 74 loadsp 16 + 263c: 08 load + 263d: 00 breakpoint + 263e: 00 breakpoint + 263f: 00 breakpoint + 2640: 7c loadsp 48 + 2641: 18 addsp 32 + 2642: 00 breakpoint + 2643: 1a addsp 40 + 2644: 00 breakpoint + 2645: 00 breakpoint + 2646: 08 load + 2647: 88 im 8 + 2648: 01 .byte 1 + 2649: 14 addsp 16 + 264a: 00 breakpoint + 264b: 00 breakpoint + 264c: 06 and + 264d: 02 pushsp + 264e: 00 breakpoint + 264f: 0f .byte 15 + 2650: 04 poppc + 2651: 00 breakpoint + 2652: 00 breakpoint + 2653: 08 load + 2654: 7c loadsp 48 + 2655: 0f .byte 15 + 2656: 04 poppc + 2657: 00 breakpoint + 2658: 00 breakpoint + 2659: 01 .byte 1 + 265a: 38 neqbranch + 265b: 1a addsp 40 + 265c: 00 breakpoint + 265d: 00 breakpoint + 265e: 08 load + 265f: a0 im 32 + 2660: 01 .byte 1 + 2661: 14 addsp 16 + 2662: 00 breakpoint + 2663: 00 breakpoint + 2664: 00 breakpoint + 2665: 33 loadb + 2666: 00 breakpoint + 2667: 0f .byte 15 + 2668: 04 poppc + 2669: 00 breakpoint + 266a: 00 breakpoint + 266b: 08 load + 266c: a6 im 38 + 266d: 0f .byte 15 + 266e: 04 poppc + 266f: 00 breakpoint + 2670: 00 breakpoint + 2671: 08 load + 2672: 94 im 20 + 2673: 07 or + 2674: 00 breakpoint + 2675: 00 breakpoint + 2676: 08 load + 2677: bc im 60 + 2678: 00 breakpoint + 2679: 00 breakpoint + 267a: 06 and + 267b: 08 load + 267c: 08 load + 267d: 00 breakpoint + 267e: 00 breakpoint + 267f: 00 breakpoint + 2680: 7c loadsp 48 + 2681: 02 pushsp + 2682: 00 breakpoint + 2683: 1b addsp 44 + 2684: 00 breakpoint + 2685: 00 breakpoint + 2686: 05 add + 2687: 3e halfmult + 2688: 05 add + 2689: 0d popsp + 268a: 00 breakpoint + 268b: 00 breakpoint + 268c: 06 and + 268d: 02 pushsp + 268e: 01 .byte 1 + 268f: 05 add + 2690: 03 .byte 3 + 2691: 00 breakpoint + 2692: 00 breakpoint + 2693: 08 load + 2694: 8c im 12 + 2695: 1c addsp 48 + 2696: 00 breakpoint + 2697: 00 breakpoint + 2698: 08 load + 2699: 33 loadb + 269a: 05 add + 269b: 0c store + 269c: 00 breakpoint + 269d: 00 breakpoint + 269e: 03 .byte 3 + 269f: d3 im -45 + 26a0: 05 add + 26a1: 03 .byte 3 + 26a2: 00 breakpoint + 26a3: 00 breakpoint + 26a4: 08 load + 26a5: 90 im 16 + ... + +000026a7 <.Ldebug_info0>: + 26a7: 00 breakpoint + 26a8: 00 breakpoint + 26a9: 01 .byte 1 + 26aa: 00 breakpoint + 26ab: 00 breakpoint + 26ac: 02 pushsp + 26ad: 00 breakpoint + 26ae: 00 breakpoint + 26af: 08 load + 26b0: 2f neq + 26b1: 04 poppc + 26b2: 01 .byte 1 + 26b3: 00 breakpoint + 26b4: 00 breakpoint + 26b5: 0f .byte 15 + 26b6: 27 ulessthanorequal + ... + 26bf: 00 breakpoint + 26c0: 00 breakpoint + 26c1: 00 breakpoint + 26c2: 5e storesp 56 + 26c3: 01 .byte 1 + 26c4: 00 breakpoint + 26c5: 00 breakpoint + 26c6: 08 load + 26c7: be im 62 + 26c8: 00 breakpoint + 26c9: 00 breakpoint + 26ca: 01 .byte 1 + 26cb: 95 im 21 + 26cc: 02 pushsp + 26cd: 00 breakpoint + 26ce: 00 breakpoint + 26cf: 00 breakpoint + 26d0: 28 swap + 26d1: 04 poppc + 26d2: 05 add + 26d3: 02 pushsp + 26d4: 00 breakpoint + 26d5: 00 breakpoint + 26d6: 00 breakpoint + 26d7: 23 storeh + 26d8: 08 load + 26d9: 05 add + 26da: 03 .byte 3 + 26db: 69 loadsp 100 + 26dc: 6e loadsp 120 + 26dd: 74 loadsp 16 + 26de: 00 breakpoint + 26df: 04 poppc + 26e0: 05 add + 26e1: 02 pushsp + 26e2: 00 breakpoint + 26e3: 00 breakpoint + 26e4: 00 breakpoint + 26e5: 16 addsp 24 + 26e6: 04 poppc + 26e7: 07 or + 26e8: 02 pushsp + 26e9: 00 breakpoint + 26ea: 00 breakpoint + 26eb: 00 breakpoint + 26ec: 11 addsp 4 + 26ed: 04 poppc + 26ee: 07 or + 26ef: 02 pushsp + 26f0: 00 breakpoint + 26f1: 00 breakpoint + 26f2: 00 breakpoint + 26f3: 9a im 26 + 26f4: 01 .byte 1 + 26f5: 08 load + 26f6: 04 poppc + 26f7: 04 poppc + 26f8: 02 pushsp + 26f9: 00 breakpoint + 26fa: 00 breakpoint + 26fb: 00 breakpoint + 26fc: 11 addsp 4 + 26fd: 04 poppc + 26fe: 07 or + 26ff: 02 pushsp + 2700: 00 breakpoint + 2701: 00 breakpoint + 2702: 00 breakpoint + 2703: a8 im 40 + 2704: 02 pushsp + 2705: 05 add + 2706: 05 add + 2707: 04 poppc + 2708: 00 breakpoint + 2709: 00 breakpoint + 270a: 00 breakpoint + 270b: 65 loadsp 84 + 270c: 02 pushsp + 270d: 00 breakpoint + 270e: 00 breakpoint + 270f: 00 breakpoint + 2710: a3 im 35 + 2711: 01 .byte 1 + 2712: 08 load + 2713: 02 pushsp + 2714: 00 breakpoint + 2715: 00 breakpoint + 2716: 00 breakpoint + 2717: 4b storesp 108 + 2718: 02 pushsp + 2719: 07 or + 271a: 02 pushsp + 271b: 00 breakpoint + 271c: 00 breakpoint + 271d: 00 breakpoint + 271e: 0c store + 271f: 08 load + 2720: 07 or + 2721: 06 and + 2722: 00 breakpoint + 2723: 00 breakpoint + 2724: 01 .byte 1 + 2725: 8e im 14 + 2726: 02 pushsp + 2727: d5 im -43 + 2728: 00 breakpoint + 2729: 00 breakpoint + 272a: 00 breakpoint + 272b: 51 storesp 4 + 272c: 07 or + 272d: 00 breakpoint + 272e: 00 breakpoint + 272f: 00 breakpoint + 2730: fd im -3 + 2731: 01 .byte 1 + 2732: 00 breakpoint + 2733: 00 breakpoint + 2734: 03 .byte 3 + 2735: 9b im 27 + 2736: 04 poppc + 2737: 2f neq + 2738: 01 .byte 1 + 2739: 00 breakpoint + 273a: 00 breakpoint + 273b: 00 breakpoint + 273c: 4f storesp 124 + ... + 2745: 02 pushsp + 2746: 90 im 16 + 2747: 20 .byte 32 + 2748: 08 load + 2749: 6d loadsp 116 + 274a: 00 breakpoint + 274b: 04 poppc + 274c: 2b ashiftleft + 274d: 00 breakpoint + 274e: 00 breakpoint + 274f: 00 breakpoint + 2750: 4f storesp 124 + 2751: 01 .byte 1 + 2752: 5c storesp 48 + 2753: 08 load + 2754: 63 loadsp 76 + 2755: 00 breakpoint + 2756: 04 poppc + 2757: 2b ashiftleft + 2758: 00 breakpoint + 2759: 00 breakpoint + 275a: 00 breakpoint + 275b: 33 loadb + 275c: 02 pushsp + 275d: 91 im 17 + 275e: 1c addsp 48 + 275f: 08 load + 2760: 6e loadsp 120 + 2761: 00 breakpoint + 2762: 04 poppc + 2763: 2b ashiftleft + 2764: 00 breakpoint + 2765: 00 breakpoint + 2766: 00 breakpoint + 2767: 7a loadsp 40 + 2768: 01 .byte 1 + 2769: 59 storesp 36 + 276a: 09 not + 276b: 73 loadsp 12 + 276c: 00 breakpoint + 276d: 04 poppc + 276e: 3a config + 276f: 00 breakpoint + 2770: 00 breakpoint + 2771: 00 breakpoint + 2772: 5f storesp 60 + 2773: 01 .byte 1 + 2774: 5a storesp 40 + 2775: 0a flip + 2776: 69 loadsp 100 + 2777: 00 breakpoint + 2778: 04 poppc + 2779: 3b pushpc + 277a: 00 breakpoint + 277b: 00 breakpoint + 277c: 00 breakpoint + 277d: 33 loadb + 277e: 0b nop + 277f: 00 breakpoint + 2780: 00 breakpoint + 2781: 08 load + 2782: ed im -19 + 2783: 04 poppc + 2784: 3c syscall + 2785: 00 breakpoint + 2786: 00 breakpoint + 2787: 00 breakpoint + 2788: 51 storesp 4 + 2789: 01 .byte 1 + 278a: 5a storesp 40 + 278b: 0b nop + 278c: 00 breakpoint + 278d: 00 breakpoint + 278e: 08 load + 278f: b1 im 49 + 2790: 04 poppc + 2791: 3d pushspadd + 2792: 00 breakpoint + 2793: 00 breakpoint + 2794: 00 breakpoint + 2795: fd im -3 + 2796: 01 .byte 1 + 2797: 58 storesp 32 + 2798: 09 not + 2799: 64 loadsp 80 + 279a: 00 breakpoint + 279b: 04 poppc + 279c: 3e halfmult + 279d: 00 breakpoint + 279e: 00 breakpoint + 279f: 00 breakpoint + 27a0: 3a config + 27a1: 01 .byte 1 + 27a2: 5b storesp 44 + 27a3: 00 breakpoint + 27a4: 05 add + 27a5: 04 poppc + 27a6: 00 breakpoint + 27a7: 00 breakpoint + 27a8: 00 breakpoint + 27a9: 51 storesp 4 + ... + +000027ab <.Ldebug_info0>: + 27ab: 00 breakpoint + 27ac: 00 breakpoint + 27ad: 00 breakpoint + 27ae: cf im -49 + 27af: 00 breakpoint + 27b0: 02 pushsp + 27b1: 00 breakpoint + 27b2: 00 breakpoint + 27b3: 08 load + 27b4: c9 im -55 + 27b5: 04 poppc + 27b6: 01 .byte 1 + 27b7: 00 breakpoint + 27b8: 00 breakpoint + 27b9: 10 addsp 0 + 27ba: c9 im -55 + ... + 27c3: 00 breakpoint + 27c4: 00 breakpoint + 27c5: 00 breakpoint + 27c6: 5e storesp 56 + 27c7: 01 .byte 1 + 27c8: 00 breakpoint + 27c9: 00 breakpoint + 27ca: 08 load + 27cb: f4 im -12 + 27cc: 00 breakpoint + 27cd: 00 breakpoint + 27ce: 01 .byte 1 + 27cf: 95 im 21 + 27d0: 02 pushsp + 27d1: 00 breakpoint + 27d2: 00 breakpoint + 27d3: 00 breakpoint + 27d4: 28 swap + 27d5: 04 poppc + 27d6: 05 add + 27d7: 02 pushsp + 27d8: 00 breakpoint + 27d9: 00 breakpoint + 27da: 00 breakpoint + 27db: 23 storeh + 27dc: 08 load + 27dd: 05 add + 27de: 03 .byte 3 + 27df: 69 loadsp 100 + 27e0: 6e loadsp 120 + 27e1: 74 loadsp 16 + 27e2: 00 breakpoint + 27e3: 04 poppc + 27e4: 05 add + 27e5: 02 pushsp + 27e6: 00 breakpoint + 27e7: 00 breakpoint + 27e8: 00 breakpoint + 27e9: 16 addsp 24 + 27ea: 04 poppc + 27eb: 07 or + 27ec: 02 pushsp + 27ed: 00 breakpoint + 27ee: 00 breakpoint + 27ef: 00 breakpoint + 27f0: 11 addsp 4 + 27f1: 04 poppc + 27f2: 07 or + 27f3: 02 pushsp + 27f4: 00 breakpoint + 27f5: 00 breakpoint + 27f6: 00 breakpoint + 27f7: 9a im 26 + 27f8: 01 .byte 1 + 27f9: 08 load + 27fa: 02 pushsp + 27fb: 00 breakpoint + 27fc: 00 breakpoint + 27fd: 00 breakpoint + 27fe: 11 addsp 4 + 27ff: 04 poppc + 2800: 07 or + 2801: 02 pushsp + 2802: 00 breakpoint + 2803: 00 breakpoint + 2804: 00 breakpoint + 2805: a8 im 40 + 2806: 02 pushsp + 2807: 05 add + 2808: 02 pushsp + 2809: 00 breakpoint + 280a: 00 breakpoint + 280b: 00 breakpoint + 280c: a3 im 35 + 280d: 01 .byte 1 + 280e: 08 load + 280f: 04 poppc + 2810: 04 poppc + 2811: 00 breakpoint + 2812: 00 breakpoint + 2813: 00 breakpoint + 2814: 6a loadsp 104 + 2815: 05 add + 2816: 00 breakpoint + 2817: 00 breakpoint + 2818: 00 breakpoint + 2819: 5d storesp 52 + 281a: 02 pushsp + 281b: 00 breakpoint + 281c: 00 breakpoint + 281d: 00 breakpoint + 281e: 4b storesp 108 + 281f: 02 pushsp + 2820: 07 or + 2821: 02 pushsp + 2822: 00 breakpoint + 2823: 00 breakpoint + 2824: 00 breakpoint + 2825: 0c store + 2826: 08 load + 2827: 07 or + 2828: 06 and + 2829: 00 breakpoint + 282a: 00 breakpoint + 282b: 01 .byte 1 + 282c: 8e im 14 + 282d: 02 pushsp + 282e: d5 im -43 + 282f: 00 breakpoint + 2830: 00 breakpoint + 2831: 00 breakpoint + 2832: 4f storesp 124 + 2833: 07 or + 2834: 00 breakpoint + 2835: 00 breakpoint + 2836: 00 breakpoint + 2837: cc im -52 + 2838: 01 .byte 1 + 2839: 00 breakpoint + 283a: 00 breakpoint + 283b: 02 pushsp + 283c: ca im -54 + 283d: 04 poppc + 283e: 3b pushpc + 283f: 01 .byte 1 + 2840: 00 breakpoint + 2841: 00 breakpoint + 2842: 00 breakpoint + 2843: 7d loadsp 52 + ... + 284c: 02 pushsp + 284d: 90 im 16 + 284e: 20 .byte 32 + 284f: 08 load + 2850: 73 loadsp 12 + 2851: 74 loadsp 16 + 2852: 72 loadsp 8 + 2853: 00 breakpoint + 2854: 04 poppc + 2855: 39 poppcrel + 2856: 00 breakpoint + 2857: 00 breakpoint + 2858: 00 breakpoint + 2859: 64 loadsp 80 + 285a: 01 .byte 1 + 285b: 59 storesp 36 + 285c: 09 not + 285d: 00 breakpoint + 285e: 00 breakpoint + 285f: 09 not + 2860: 23 storeh + 2861: 04 poppc + 2862: 44 storesp 80 + 2863: 00 breakpoint + 2864: 00 breakpoint + 2865: 00 breakpoint + 2866: 64 loadsp 80 + 2867: 01 .byte 1 + 2868: 5b storesp 44 + 2869: 09 not + 286a: 00 breakpoint + 286b: 00 breakpoint + 286c: 08 load + 286d: b1 im 49 + 286e: 04 poppc + 286f: 45 storesp 84 + 2870: 00 breakpoint + 2871: 00 breakpoint + 2872: 00 breakpoint + 2873: cc im -52 + 2874: 01 .byte 1 + 2875: 5a storesp 40 + 2876: 00 breakpoint + 2877: 04 poppc + 2878: 04 poppc + 2879: 00 breakpoint + 287a: 00 breakpoint + 287b: 00 breakpoint + 287c: 4f storesp 124 + ... +Disassembly of section .debug_abbrev: + +00000000 <.Ldebug_abbrev0>: + 0: 01 .byte 1 + 1: 11 addsp 4 + 2: 01 .byte 1 + 3: 10 addsp 0 + 4: 06 and + 5: 25 lessthanorequal + 6: 0e .byte 14 + 7: 13 addsp 12 + 8: 0b nop + 9: 03 .byte 3 + a: 0e .byte 14 + b: 1b addsp 44 + c: 0e .byte 14 + d: 00 breakpoint + e: 00 breakpoint + f: 02 pushsp + 10: 24 lessthan + 11: 00 breakpoint + 12: 03 .byte 3 + 13: 0e .byte 14 + 14: 0b nop + 15: 0b nop + 16: 3e halfmult + 17: 0b nop + 18: 00 breakpoint + 19: 00 breakpoint + 1a: 03 .byte 3 + 1b: 24 lessthan + 1c: 00 breakpoint + 1d: 03 .byte 3 + 1e: 08 load + 1f: 0b nop + 20: 0b nop + 21: 3e halfmult + 22: 0b nop + 23: 00 breakpoint + 24: 00 breakpoint + 25: 04 poppc + 26: 2e eq + 27: 01 .byte 1 + 28: 01 .byte 1 + 29: 13 addsp 12 + 2a: 3f callpcrel + 2b: 0c store + 2c: 03 .byte 3 + 2d: 0e .byte 14 + 2e: 3a config + 2f: 0b nop + 30: 3b pushpc + 31: 0b nop + 32: 49 storesp 100 + 33: 13 addsp 12 + 34: 11 addsp 4 + 35: 01 .byte 1 + 36: 12 addsp 8 + 37: 01 .byte 1 + 38: 40 storesp 64 + 39: 0a flip + 3a: 00 breakpoint + 3b: 00 breakpoint + 3c: 05 add + 3d: 34 storeb + 3e: 00 breakpoint + 3f: 03 .byte 3 + 40: 08 load + 41: 3a config + 42: 0b nop + 43: 3b pushpc + 44: 0b nop + 45: 49 storesp 100 + 46: 13 addsp 12 + 47: 02 pushsp + 48: 0a flip + 49: 00 breakpoint + 4a: 00 breakpoint + 4b: 06 and + 4c: 2e eq + 4d: 01 .byte 1 + 4e: 01 .byte 1 + 4f: 13 addsp 12 + 50: 3f callpcrel + 51: 0c store + 52: 03 .byte 3 + 53: 0e .byte 14 + 54: 3a config + 55: 0b nop + 56: 3b pushpc + 57: 0b nop + 58: 27 ulessthanorequal + 59: 0c store + 5a: 11 addsp 4 + 5b: 01 .byte 1 + 5c: 12 addsp 8 + 5d: 01 .byte 1 + 5e: 40 storesp 64 + 5f: 0a flip + 60: 00 breakpoint + 61: 00 breakpoint + 62: 07 or + 63: 05 add + 64: 00 breakpoint + 65: 03 .byte 3 + 66: 08 load + 67: 3a config + 68: 0b nop + 69: 3b pushpc + 6a: 0b nop + 6b: 49 storesp 100 + 6c: 13 addsp 12 + 6d: 02 pushsp + 6e: 0a flip + 6f: 00 breakpoint + 70: 00 breakpoint + 71: 08 load + 72: 2e eq + 73: 00 breakpoint + 74: 3f callpcrel + 75: 0c store + 76: 03 .byte 3 + 77: 0e .byte 14 + 78: 3a config + 79: 0b nop + 7a: 3b pushpc + 7b: 0b nop + 7c: 27 ulessthanorequal + 7d: 0c store + 7e: 11 addsp 4 + 7f: 01 .byte 1 + 80: 12 addsp 8 + 81: 01 .byte 1 + 82: 40 storesp 64 + 83: 0a flip + 84: 00 breakpoint + 85: 00 breakpoint + 86: 09 not + 87: 34 storeb + 88: 00 breakpoint + 89: 03 .byte 3 + 8a: 0e .byte 14 + 8b: 3a config + 8c: 0b nop + 8d: 3b pushpc + 8e: 0b nop + 8f: 49 storesp 100 + 90: 13 addsp 12 + 91: 02 pushsp + 92: 0a flip + 93: 00 breakpoint + 94: 00 breakpoint + 95: 0a flip + 96: 34 storeb + 97: 00 breakpoint + 98: 03 .byte 3 + 99: 0e .byte 14 + 9a: 3a config + 9b: 0b nop + 9c: 3b pushpc + 9d: 0b nop + 9e: 49 storesp 100 + 9f: 13 addsp 12 + a0: 3f callpcrel + a1: 0c store + a2: 3c syscall + a3: 0c store + a4: 00 breakpoint + a5: 00 breakpoint + a6: 0b nop + a7: 0f .byte 15 + a8: 00 breakpoint + a9: 0b nop + aa: 0b nop + ab: 49 storesp 100 + ac: 13 addsp 12 + ad: 00 breakpoint + ae: 00 breakpoint + af: 0c store + b0: 35 div + b1: 00 breakpoint + b2: 49 storesp 100 + b3: 13 addsp 12 + b4: 00 breakpoint + b5: 00 breakpoint + b6: 0d popsp + b7: 34 storeb + b8: 00 breakpoint + b9: 03 .byte 3 + ba: 08 load + bb: 3a config + bc: 0b nop + bd: 3b pushpc + be: 0b nop + bf: 49 storesp 100 + c0: 13 addsp 12 + c1: 3f callpcrel + c2: 0c store + c3: 02 pushsp + c4: 0a flip + c5: 00 breakpoint + c6: 00 breakpoint + c7: 0e .byte 14 + c8: 26 ulessthan + c9: 00 breakpoint + ca: 49 storesp 100 + cb: 13 addsp 12 + cc: 00 breakpoint + ... + +000000cf <.Ldebug_abbrev0>: + cf: 01 .byte 1 + d0: 11 addsp 4 + d1: 01 .byte 1 + d2: 10 addsp 0 + d3: 06 and + d4: 12 addsp 8 + d5: 01 .byte 1 + d6: 11 addsp 4 + d7: 01 .byte 1 + d8: 25 lessthanorequal + d9: 0e .byte 14 + da: 13 addsp 12 + db: 0b nop + dc: 03 .byte 3 + dd: 0e .byte 14 + de: 00 breakpoint + df: 00 breakpoint + e0: 02 pushsp + e1: 2e eq + e2: 01 .byte 1 + e3: 01 .byte 1 + e4: 13 addsp 12 + e5: 3f callpcrel + e6: 0c store + e7: 03 .byte 3 + e8: 0e .byte 14 + e9: 3a config + ea: 0b nop + eb: 3b pushpc + ec: 0b nop + ed: 49 storesp 100 + ee: 13 addsp 12 + ef: 11 addsp 4 + f0: 01 .byte 1 + f1: 12 addsp 8 + f2: 01 .byte 1 + f3: 40 storesp 64 + f4: 0a flip + f5: 00 breakpoint + f6: 00 breakpoint + f7: 03 .byte 3 + f8: 34 storeb + f9: 00 breakpoint + fa: 03 .byte 3 + fb: 0e .byte 14 + fc: 3a config + fd: 0b nop + fe: 3b pushpc + ff: 0b nop + 100: 49 storesp 100 + 101: 13 addsp 12 + 102: 02 pushsp + 103: 0a flip + 104: 00 breakpoint + 105: 00 breakpoint + 106: 04 poppc + 107: 34 storeb + 108: 00 breakpoint + 109: 03 .byte 3 + 10a: 08 load + 10b: 3a config + 10c: 0b nop + 10d: 3b pushpc + 10e: 0b nop + 10f: 49 storesp 100 + 110: 13 addsp 12 + 111: 02 pushsp + 112: 0a flip + 113: 00 breakpoint + 114: 00 breakpoint + 115: 05 add + 116: 24 lessthan + 117: 00 breakpoint + 118: 03 .byte 3 + 119: 08 load + 11a: 0b nop + 11b: 0b nop + 11c: 3e halfmult + 11d: 0b nop + 11e: 00 breakpoint + ... + +00000121 <.Ldebug_abbrev0>: + 121: 01 .byte 1 + 122: 11 addsp 4 + 123: 01 .byte 1 + 124: 10 addsp 0 + 125: 06 and + 126: 12 addsp 8 + 127: 01 .byte 1 + 128: 11 addsp 4 + 129: 01 .byte 1 + 12a: 25 lessthanorequal + 12b: 0e .byte 14 + 12c: 13 addsp 12 + 12d: 0b nop + 12e: 03 .byte 3 + 12f: 0e .byte 14 + 130: 1b addsp 44 + 131: 0e .byte 14 + 132: 00 breakpoint + 133: 00 breakpoint + 134: 02 pushsp + 135: 24 lessthan + 136: 00 breakpoint + 137: 03 .byte 3 + 138: 0e .byte 14 + 139: 0b nop + 13a: 0b nop + 13b: 3e halfmult + 13c: 0b nop + 13d: 00 breakpoint + 13e: 00 breakpoint + 13f: 03 .byte 3 + 140: 16 addsp 24 + 141: 00 breakpoint + 142: 03 .byte 3 + 143: 0e .byte 14 + 144: 3a config + 145: 0b nop + 146: 3b pushpc + 147: 0b nop + 148: 49 storesp 100 + 149: 13 addsp 12 + 14a: 00 breakpoint + 14b: 00 breakpoint + 14c: 04 poppc + 14d: 24 lessthan + 14e: 00 breakpoint + 14f: 03 .byte 3 + 150: 08 load + 151: 0b nop + 152: 0b nop + 153: 3e halfmult + 154: 0b nop + 155: 00 breakpoint + 156: 00 breakpoint + 157: 05 add + 158: 2e eq + 159: 01 .byte 1 + 15a: 01 .byte 1 + 15b: 13 addsp 12 + 15c: 3f callpcrel + 15d: 0c store + 15e: 03 .byte 3 + 15f: 0e .byte 14 + 160: 3a config + 161: 0b nop + 162: 3b pushpc + 163: 0b nop + 164: 27 ulessthanorequal + 165: 0c store + 166: 49 storesp 100 + 167: 13 addsp 12 + 168: 11 addsp 4 + 169: 01 .byte 1 + 16a: 12 addsp 8 + 16b: 01 .byte 1 + 16c: 40 storesp 64 + 16d: 0a flip + 16e: 00 breakpoint + 16f: 00 breakpoint + 170: 06 and + 171: 05 add + 172: 00 breakpoint + 173: 03 .byte 3 + 174: 0e .byte 14 + 175: 3a config + 176: 0b nop + 177: 3b pushpc + 178: 0b nop + 179: 49 storesp 100 + 17a: 13 addsp 12 + 17b: 02 pushsp + 17c: 0a flip + 17d: 00 breakpoint + 17e: 00 breakpoint + 17f: 07 or + 180: 34 storeb + 181: 00 breakpoint + 182: 03 .byte 3 + 183: 08 load + 184: 3a config + 185: 0b nop + 186: 3b pushpc + 187: 0b nop + 188: 49 storesp 100 + 189: 13 addsp 12 + 18a: 02 pushsp + 18b: 0a flip + 18c: 00 breakpoint + 18d: 00 breakpoint + 18e: 08 load + 18f: 34 storeb + 190: 00 breakpoint + 191: 03 .byte 3 + 192: 0e .byte 14 + 193: 3a config + 194: 0b nop + 195: 3b pushpc + 196: 0b nop + 197: 49 storesp 100 + 198: 13 addsp 12 + 199: 02 pushsp + 19a: 0a flip + 19b: 00 breakpoint + 19c: 00 breakpoint + 19d: 09 not + 19e: 0f .byte 15 + 19f: 00 breakpoint + 1a0: 0b nop + 1a1: 0b nop + 1a2: 00 breakpoint + 1a3: 00 breakpoint + 1a4: 0a flip + 1a5: 0f .byte 15 + 1a6: 00 breakpoint + 1a7: 0b nop + 1a8: 0b nop + 1a9: 49 storesp 100 + 1aa: 13 addsp 12 + 1ab: 00 breakpoint + 1ac: 00 breakpoint + 1ad: 0b nop + 1ae: 26 ulessthan + 1af: 00 breakpoint + 1b0: 00 breakpoint + 1b1: 00 breakpoint + 1b2: 0c store + 1b3: 26 ulessthan + 1b4: 00 breakpoint + 1b5: 49 storesp 100 + 1b6: 13 addsp 12 + 1b7: 00 breakpoint + ... + +000001ba <.Ldebug_abbrev0>: + 1ba: 01 .byte 1 + 1bb: 11 addsp 4 + 1bc: 01 .byte 1 + 1bd: 10 addsp 0 + 1be: 06 and + 1bf: 52 storesp 8 + 1c0: 01 .byte 1 + 1c1: 25 lessthanorequal + 1c2: 0e .byte 14 + 1c3: 13 addsp 12 + 1c4: 0b nop + 1c5: 03 .byte 3 + 1c6: 0e .byte 14 + 1c7: 1b addsp 44 + 1c8: 0e .byte 14 + 1c9: 00 breakpoint + 1ca: 00 breakpoint + 1cb: 02 pushsp + 1cc: 24 lessthan + 1cd: 00 breakpoint + 1ce: 03 .byte 3 + 1cf: 0e .byte 14 + 1d0: 0b nop + 1d1: 0b nop + 1d2: 3e halfmult + 1d3: 0b nop + 1d4: 00 breakpoint + 1d5: 00 breakpoint + 1d6: 03 .byte 3 + 1d7: 24 lessthan + 1d8: 00 breakpoint + 1d9: 03 .byte 3 + 1da: 08 load + 1db: 0b nop + 1dc: 0b nop + 1dd: 3e halfmult + 1de: 0b nop + 1df: 00 breakpoint + 1e0: 00 breakpoint + 1e1: 04 poppc + 1e2: 0f .byte 15 + 1e3: 00 breakpoint + 1e4: 0b nop + 1e5: 0b nop + 1e6: 00 breakpoint + 1e7: 00 breakpoint + 1e8: 05 add + 1e9: 0f .byte 15 + 1ea: 00 breakpoint + 1eb: 0b nop + 1ec: 0b nop + 1ed: 49 storesp 100 + 1ee: 13 addsp 12 + 1ef: 00 breakpoint + 1f0: 00 breakpoint + 1f1: 06 and + 1f2: 26 ulessthan + 1f3: 00 breakpoint + 1f4: 49 storesp 100 + 1f5: 13 addsp 12 + 1f6: 00 breakpoint + 1f7: 00 breakpoint + 1f8: 07 or + 1f9: 01 .byte 1 + 1fa: 01 .byte 1 + 1fb: 01 .byte 1 + 1fc: 13 addsp 12 + 1fd: 49 storesp 100 + 1fe: 13 addsp 12 + 1ff: 00 breakpoint + 200: 00 breakpoint + 201: 08 load + 202: 21 .byte 33 + 203: 00 breakpoint + 204: 49 storesp 100 + 205: 13 addsp 12 + 206: 2f neq + 207: 0b nop + 208: 00 breakpoint + 209: 00 breakpoint + 20a: 09 not + 20b: 16 addsp 24 + 20c: 00 breakpoint + 20d: 03 .byte 3 + 20e: 0e .byte 14 + 20f: 3a config + 210: 0b nop + 211: 3b pushpc + 212: 0b nop + 213: 49 storesp 100 + 214: 13 addsp 12 + 215: 00 breakpoint + 216: 00 breakpoint + 217: 0a flip + 218: 13 addsp 12 + 219: 01 .byte 1 + 21a: 01 .byte 1 + 21b: 13 addsp 12 + 21c: 03 .byte 3 + 21d: 0e .byte 14 + 21e: 0b nop + 21f: 0b nop + 220: 3a config + 221: 0b nop + 222: 3b pushpc + 223: 0b nop + 224: 00 breakpoint + 225: 00 breakpoint + 226: 0b nop + 227: 0d popsp + 228: 00 breakpoint + 229: 03 .byte 3 + 22a: 0e .byte 14 + 22b: 3a config + 22c: 0b nop + 22d: 3b pushpc + 22e: 0b nop + 22f: 49 storesp 100 + 230: 13 addsp 12 + 231: 38 neqbranch + 232: 0a flip + 233: 00 breakpoint + 234: 00 breakpoint + 235: 0c store + 236: 2e eq + 237: 01 .byte 1 + 238: 01 .byte 1 + 239: 13 addsp 12 + 23a: 3f callpcrel + 23b: 0c store + 23c: 03 .byte 3 + 23d: 0e .byte 14 + 23e: 3a config + 23f: 0b nop + 240: 3b pushpc + 241: 0b nop + 242: 11 addsp 4 + 243: 01 .byte 1 + 244: 12 addsp 8 + 245: 01 .byte 1 + 246: 40 storesp 64 + 247: 0a flip + 248: 00 breakpoint + 249: 00 breakpoint + 24a: 0d popsp + 24b: 34 storeb + 24c: 00 breakpoint + 24d: 03 .byte 3 + 24e: 08 load + 24f: 3a config + 250: 0b nop + 251: 3b pushpc + 252: 0b nop + 253: 49 storesp 100 + 254: 13 addsp 12 + 255: 00 breakpoint + 256: 00 breakpoint + 257: 0e .byte 14 + 258: 2e eq + 259: 01 .byte 1 + 25a: 3f callpcrel + 25b: 0c store + 25c: 03 .byte 3 + 25d: 0e .byte 14 + 25e: 3a config + 25f: 0b nop + 260: 3b pushpc + 261: 0b nop + 262: 27 ulessthanorequal + 263: 0c store + 264: 3c syscall + 265: 0c store + 266: 00 breakpoint + 267: 00 breakpoint + 268: 0f .byte 15 + 269: 05 add + 26a: 00 breakpoint + 26b: 49 storesp 100 + 26c: 13 addsp 12 + 26d: 00 breakpoint + 26e: 00 breakpoint + 26f: 10 addsp 0 + 270: 2e eq + 271: 01 .byte 1 + 272: 01 .byte 1 + 273: 13 addsp 12 + 274: 3f callpcrel + 275: 0c store + 276: 03 .byte 3 + 277: 0e .byte 14 + 278: 3a config + 279: 0b nop + 27a: 3b pushpc + 27b: 0b nop + 27c: 27 ulessthanorequal + 27d: 0c store + 27e: 11 addsp 4 + 27f: 01 .byte 1 + 280: 12 addsp 8 + 281: 01 .byte 1 + 282: 40 storesp 64 + 283: 0a flip + 284: 00 breakpoint + 285: 00 breakpoint + 286: 11 addsp 4 + 287: 05 add + 288: 00 breakpoint + 289: 03 .byte 3 + 28a: 0e .byte 14 + 28b: 3a config + 28c: 0b nop + 28d: 3b pushpc + 28e: 0b nop + 28f: 49 storesp 100 + 290: 13 addsp 12 + 291: 02 pushsp + 292: 0a flip + 293: 00 breakpoint + 294: 00 breakpoint + 295: 12 addsp 8 + 296: 2e eq + 297: 00 breakpoint + 298: 3f callpcrel + 299: 0c store + 29a: 03 .byte 3 + 29b: 0e .byte 14 + 29c: 3a config + 29d: 0b nop + 29e: 3b pushpc + 29f: 0b nop + 2a0: 27 ulessthanorequal + 2a1: 0c store + 2a2: 11 addsp 4 + 2a3: 01 .byte 1 + 2a4: 12 addsp 8 + 2a5: 01 .byte 1 + 2a6: 40 storesp 64 + 2a7: 0a flip + 2a8: 00 breakpoint + 2a9: 00 breakpoint + 2aa: 13 addsp 12 + 2ab: 2e eq + 2ac: 01 .byte 1 + 2ad: 01 .byte 1 + 2ae: 13 addsp 12 + 2af: 3f callpcrel + 2b0: 0c store + 2b1: 03 .byte 3 + 2b2: 0e .byte 14 + 2b3: 3a config + 2b4: 0b nop + 2b5: 3b pushpc + 2b6: 0b nop + 2b7: 27 ulessthanorequal + 2b8: 0c store + 2b9: 49 storesp 100 + 2ba: 13 addsp 12 + 2bb: 11 addsp 4 + 2bc: 01 .byte 1 + 2bd: 12 addsp 8 + 2be: 01 .byte 1 + 2bf: 40 storesp 64 + 2c0: 0a flip + 2c1: 00 breakpoint + 2c2: 00 breakpoint + 2c3: 14 addsp 16 + 2c4: 05 add + 2c5: 00 breakpoint + 2c6: 03 .byte 3 + 2c7: 08 load + 2c8: 3a config + 2c9: 0b nop + 2ca: 3b pushpc + 2cb: 0b nop + 2cc: 49 storesp 100 + 2cd: 13 addsp 12 + 2ce: 02 pushsp + 2cf: 0a flip + 2d0: 00 breakpoint + 2d1: 00 breakpoint + 2d2: 15 addsp 20 + 2d3: 0b nop + 2d4: 01 .byte 1 + 2d5: 01 .byte 1 + 2d6: 13 addsp 12 + 2d7: 55 storesp 20 + 2d8: 06 and + 2d9: 00 breakpoint + 2da: 00 breakpoint + 2db: 16 addsp 24 + 2dc: 34 storeb + 2dd: 00 breakpoint + 2de: 03 .byte 3 + 2df: 08 load + 2e0: 3a config + 2e1: 0b nop + 2e2: 3b pushpc + 2e3: 0b nop + 2e4: 49 storesp 100 + 2e5: 13 addsp 12 + 2e6: 02 pushsp + 2e7: 0a flip + 2e8: 00 breakpoint + 2e9: 00 breakpoint + 2ea: 17 addsp 28 + 2eb: 2e eq + 2ec: 01 .byte 1 + 2ed: 3f callpcrel + 2ee: 0c store + 2ef: 03 .byte 3 + 2f0: 0e .byte 14 + 2f1: 3a config + 2f2: 0b nop + 2f3: 3b pushpc + 2f4: 0b nop + 2f5: 49 storesp 100 + 2f6: 13 addsp 12 + 2f7: 3c syscall + 2f8: 0c store + 2f9: 00 breakpoint + 2fa: 00 breakpoint + 2fb: 18 addsp 32 + 2fc: 18 addsp 32 + 2fd: 00 breakpoint + 2fe: 00 breakpoint + 2ff: 00 breakpoint + 300: 19 addsp 36 + 301: 0b nop + 302: 01 .byte 1 + 303: 01 .byte 1 + 304: 13 addsp 12 + 305: 11 addsp 4 + 306: 01 .byte 1 + 307: 12 addsp 8 + 308: 01 .byte 1 + 309: 00 breakpoint + 30a: 00 breakpoint + 30b: 1a addsp 40 + 30c: 0b nop + 30d: 01 .byte 1 + 30e: 11 addsp 4 + 30f: 01 .byte 1 + 310: 12 addsp 8 + 311: 01 .byte 1 + 312: 00 breakpoint + 313: 00 breakpoint + 314: 1b addsp 44 + 315: 34 storeb + 316: 00 breakpoint + 317: 03 .byte 3 + 318: 0e .byte 14 + 319: 3a config + 31a: 0b nop + 31b: 3b pushpc + 31c: 0b nop + 31d: 49 storesp 100 + 31e: 13 addsp 12 + 31f: 02 pushsp + 320: 0a flip + 321: 00 breakpoint + 322: 00 breakpoint + 323: 1c addsp 48 + 324: 2e eq + 325: 01 .byte 1 + 326: 01 .byte 1 + 327: 13 addsp 12 + 328: 3f callpcrel + 329: 0c store + 32a: 03 .byte 3 + 32b: 0e .byte 14 + 32c: 3a config + 32d: 0b nop + 32e: 3b pushpc + 32f: 0b nop + 330: 49 storesp 100 + 331: 13 addsp 12 + 332: 3c syscall + 333: 0c store + 334: 00 breakpoint + 335: 00 breakpoint + 336: 1d addsp 52 + 337: 2e eq + 338: 01 .byte 1 + 339: 3f callpcrel + 33a: 0c store + 33b: 03 .byte 3 + 33c: 0e .byte 14 + 33d: 3a config + 33e: 0b nop + 33f: 3b pushpc + 340: 0b nop + 341: 27 ulessthanorequal + 342: 0c store + 343: 49 storesp 100 + 344: 13 addsp 12 + 345: 3c syscall + 346: 0c store + 347: 00 breakpoint + 348: 00 breakpoint + 349: 1e addsp 56 + 34a: 2e eq + 34b: 01 .byte 1 + 34c: 01 .byte 1 + 34d: 13 addsp 12 + 34e: 03 .byte 3 + 34f: 0e .byte 14 + 350: 3a config + 351: 0b nop + 352: 3b pushpc + 353: 0b nop + 354: 27 ulessthanorequal + 355: 0c store + 356: 49 storesp 100 + 357: 13 addsp 12 + 358: 11 addsp 4 + 359: 01 .byte 1 + 35a: 12 addsp 8 + 35b: 01 .byte 1 + 35c: 40 storesp 64 + 35d: 0a flip + 35e: 00 breakpoint + 35f: 00 breakpoint + 360: 1f addsp 60 + 361: 34 storeb + 362: 00 breakpoint + 363: 03 .byte 3 + 364: 08 load + 365: 3a config + 366: 0b nop + 367: 3b pushpc + 368: 05 add + 369: 49 storesp 100 + 36a: 13 addsp 12 + 36b: 02 pushsp + 36c: 0a flip + 36d: 00 breakpoint + 36e: 00 breakpoint + 36f: 20 .byte 32 + 370: 2e eq + 371: 01 .byte 1 + 372: 01 .byte 1 + 373: 13 addsp 12 + 374: 03 .byte 3 + 375: 0e .byte 14 + 376: 3a config + 377: 0b nop + 378: 3b pushpc + 379: 05 add + 37a: 27 ulessthanorequal + 37b: 0c store + 37c: 11 addsp 4 + 37d: 01 .byte 1 + 37e: 12 addsp 8 + 37f: 01 .byte 1 + 380: 40 storesp 64 + 381: 0a flip + 382: 00 breakpoint + 383: 00 breakpoint + 384: 21 .byte 33 + 385: 05 add + 386: 00 breakpoint + 387: 03 .byte 3 + 388: 0e .byte 14 + 389: 3a config + 38a: 0b nop + 38b: 3b pushpc + 38c: 05 add + 38d: 49 storesp 100 + 38e: 13 addsp 12 + 38f: 02 pushsp + 390: 0a flip + 391: 00 breakpoint + 392: 00 breakpoint + 393: 22 loadh + 394: 05 add + 395: 00 breakpoint + 396: 03 .byte 3 + 397: 08 load + 398: 3a config + 399: 0b nop + 39a: 3b pushpc + 39b: 05 add + 39c: 49 storesp 100 + 39d: 13 addsp 12 + 39e: 02 pushsp + 39f: 0a flip + 3a0: 00 breakpoint + 3a1: 00 breakpoint + 3a2: 23 storeh + 3a3: 2e eq + 3a4: 01 .byte 1 + 3a5: 01 .byte 1 + 3a6: 13 addsp 12 + 3a7: 3f callpcrel + 3a8: 0c store + 3a9: 03 .byte 3 + 3aa: 0e .byte 14 + 3ab: 3a config + 3ac: 0b nop + 3ad: 3b pushpc + 3ae: 05 add + 3af: 27 ulessthanorequal + 3b0: 0c store + 3b1: 49 storesp 100 + 3b2: 13 addsp 12 + 3b3: 11 addsp 4 + 3b4: 01 .byte 1 + 3b5: 12 addsp 8 + 3b6: 01 .byte 1 + 3b7: 40 storesp 64 + 3b8: 0a flip + 3b9: 00 breakpoint + 3ba: 00 breakpoint + 3bb: 24 lessthan + 3bc: 34 storeb + 3bd: 00 breakpoint + 3be: 03 .byte 3 + 3bf: 0e .byte 14 + 3c0: 3a config + 3c1: 0b nop + 3c2: 3b pushpc + 3c3: 05 add + 3c4: 49 storesp 100 + 3c5: 13 addsp 12 + 3c6: 02 pushsp + 3c7: 0a flip + 3c8: 00 breakpoint + 3c9: 00 breakpoint + 3ca: 25 lessthanorequal + 3cb: 34 storeb + 3cc: 00 breakpoint + 3cd: 03 .byte 3 + 3ce: 0e .byte 14 + 3cf: 3a config + 3d0: 0b nop + 3d1: 3b pushpc + 3d2: 0b nop + 3d3: 49 storesp 100 + 3d4: 13 addsp 12 + 3d5: 3f callpcrel + 3d6: 0c store + 3d7: 3c syscall + 3d8: 0c store + 3d9: 00 breakpoint + 3da: 00 breakpoint + 3db: 26 ulessthan + 3dc: 34 storeb + 3dd: 00 breakpoint + 3de: 03 .byte 3 + 3df: 0e .byte 14 + 3e0: 3a config + 3e1: 0b nop + 3e2: 3b pushpc + 3e3: 0b nop + 3e4: 49 storesp 100 + 3e5: 13 addsp 12 + 3e6: 3f callpcrel + 3e7: 0c store + 3e8: 02 pushsp + 3e9: 0a flip + 3ea: 00 breakpoint + ... + +000003ed <.Ldebug_abbrev0>: + 3ed: 01 .byte 1 + 3ee: 11 addsp 4 + 3ef: 01 .byte 1 + 3f0: 10 addsp 0 + 3f1: 06 and + 3f2: 12 addsp 8 + 3f3: 01 .byte 1 + 3f4: 11 addsp 4 + 3f5: 01 .byte 1 + 3f6: 25 lessthanorequal + 3f7: 0e .byte 14 + 3f8: 13 addsp 12 + 3f9: 0b nop + 3fa: 03 .byte 3 + 3fb: 0e .byte 14 + 3fc: 1b addsp 44 + 3fd: 0e .byte 14 + 3fe: 00 breakpoint + 3ff: 00 breakpoint + 400: 02 pushsp + 401: 24 lessthan + 402: 00 breakpoint + 403: 03 .byte 3 + 404: 08 load + 405: 0b nop + 406: 0b nop + 407: 3e halfmult + 408: 0b nop + 409: 00 breakpoint + 40a: 00 breakpoint + 40b: 03 .byte 3 + 40c: 24 lessthan + 40d: 00 breakpoint + 40e: 03 .byte 3 + 40f: 0e .byte 14 + 410: 0b nop + 411: 0b nop + 412: 3e halfmult + 413: 0b nop + 414: 00 breakpoint + 415: 00 breakpoint + 416: 04 poppc + 417: 16 addsp 24 + 418: 00 breakpoint + 419: 03 .byte 3 + 41a: 0e .byte 14 + 41b: 3a config + 41c: 0b nop + 41d: 3b pushpc + 41e: 05 add + 41f: 49 storesp 100 + 420: 13 addsp 12 + 421: 00 breakpoint + 422: 00 breakpoint + 423: 05 add + 424: 17 addsp 28 + 425: 01 .byte 1 + 426: 01 .byte 1 + 427: 13 addsp 12 + 428: 0b nop + 429: 0b nop + 42a: 3a config + 42b: 0b nop + 42c: 3b pushpc + 42d: 0b nop + 42e: 00 breakpoint + 42f: 00 breakpoint + 430: 06 and + 431: 0d popsp + 432: 00 breakpoint + 433: 03 .byte 3 + 434: 0e .byte 14 + 435: 3a config + 436: 0b nop + 437: 3b pushpc + 438: 0b nop + 439: 49 storesp 100 + 43a: 13 addsp 12 + 43b: 00 breakpoint + 43c: 00 breakpoint + 43d: 07 or + 43e: 01 .byte 1 + 43f: 01 .byte 1 + 440: 01 .byte 1 + 441: 13 addsp 12 + 442: 49 storesp 100 + 443: 13 addsp 12 + 444: 00 breakpoint + 445: 00 breakpoint + 446: 08 load + 447: 21 .byte 33 + 448: 00 breakpoint + 449: 49 storesp 100 + 44a: 13 addsp 12 + 44b: 2f neq + 44c: 0b nop + 44d: 00 breakpoint + 44e: 00 breakpoint + 44f: 09 not + 450: 13 addsp 12 + 451: 01 .byte 1 + 452: 01 .byte 1 + 453: 13 addsp 12 + 454: 0b nop + 455: 0b nop + 456: 3a config + 457: 0b nop + 458: 3b pushpc + 459: 0b nop + 45a: 00 breakpoint + 45b: 00 breakpoint + 45c: 0a flip + 45d: 0d popsp + 45e: 00 breakpoint + 45f: 03 .byte 3 + 460: 0e .byte 14 + 461: 3a config + 462: 0b nop + 463: 3b pushpc + 464: 0b nop + 465: 49 storesp 100 + 466: 13 addsp 12 + 467: 38 neqbranch + 468: 0a flip + 469: 00 breakpoint + 46a: 00 breakpoint + 46b: 0b nop + 46c: 16 addsp 24 + 46d: 00 breakpoint + 46e: 03 .byte 3 + 46f: 0e .byte 14 + 470: 3a config + 471: 0b nop + 472: 3b pushpc + 473: 0b nop + 474: 49 storesp 100 + 475: 13 addsp 12 + 476: 00 breakpoint + 477: 00 breakpoint + 478: 0c store + 479: 0f .byte 15 + 47a: 00 breakpoint + 47b: 0b nop + 47c: 0b nop + 47d: 00 breakpoint + 47e: 00 breakpoint + 47f: 0d popsp + 480: 13 addsp 12 + 481: 01 .byte 1 + 482: 01 .byte 1 + 483: 13 addsp 12 + 484: 03 .byte 3 + 485: 0e .byte 14 + 486: 0b nop + 487: 0b nop + 488: 3a config + 489: 0b nop + 48a: 3b pushpc + 48b: 0b nop + 48c: 00 breakpoint + 48d: 00 breakpoint + 48e: 0e .byte 14 + 48f: 0d popsp + 490: 00 breakpoint + 491: 03 .byte 3 + 492: 08 load + 493: 3a config + 494: 0b nop + 495: 3b pushpc + 496: 0b nop + 497: 49 storesp 100 + 498: 13 addsp 12 + 499: 38 neqbranch + 49a: 0a flip + 49b: 00 breakpoint + 49c: 00 breakpoint + 49d: 0f .byte 15 + 49e: 0f .byte 15 + 49f: 00 breakpoint + 4a0: 0b nop + 4a1: 0b nop + 4a2: 49 storesp 100 + 4a3: 13 addsp 12 + 4a4: 00 breakpoint + 4a5: 00 breakpoint + 4a6: 10 addsp 0 + 4a7: 13 addsp 12 + 4a8: 01 .byte 1 + 4a9: 01 .byte 1 + 4aa: 13 addsp 12 + 4ab: 03 .byte 3 + 4ac: 0e .byte 14 + 4ad: 0b nop + 4ae: 05 add + 4af: 3a config + 4b0: 0b nop + 4b1: 3b pushpc + 4b2: 0b nop + 4b3: 00 breakpoint + 4b4: 00 breakpoint + 4b5: 11 addsp 4 + 4b6: 15 addsp 20 + 4b7: 00 breakpoint + 4b8: 27 ulessthanorequal + 4b9: 0c store + 4ba: 00 breakpoint + 4bb: 00 breakpoint + 4bc: 12 addsp 8 + 4bd: 0d popsp + 4be: 00 breakpoint + 4bf: 03 .byte 3 + 4c0: 0e .byte 14 + 4c1: 3a config + 4c2: 0b nop + 4c3: 3b pushpc + 4c4: 05 add + 4c5: 49 storesp 100 + 4c6: 13 addsp 12 + 4c7: 38 neqbranch + 4c8: 0a flip + 4c9: 00 breakpoint + 4ca: 00 breakpoint + 4cb: 13 addsp 12 + 4cc: 15 addsp 20 + 4cd: 01 .byte 1 + 4ce: 01 .byte 1 + 4cf: 13 addsp 12 + 4d0: 27 ulessthanorequal + 4d1: 0c store + 4d2: 49 storesp 100 + 4d3: 13 addsp 12 + 4d4: 00 breakpoint + 4d5: 00 breakpoint + 4d6: 14 addsp 16 + 4d7: 05 add + 4d8: 00 breakpoint + 4d9: 49 storesp 100 + 4da: 13 addsp 12 + 4db: 00 breakpoint + 4dc: 00 breakpoint + 4dd: 15 addsp 20 + 4de: 26 ulessthan + 4df: 00 breakpoint + 4e0: 49 storesp 100 + 4e1: 13 addsp 12 + 4e2: 00 breakpoint + 4e3: 00 breakpoint + 4e4: 16 addsp 24 + 4e5: 13 addsp 12 + 4e6: 01 .byte 1 + 4e7: 01 .byte 1 + 4e8: 13 addsp 12 + 4e9: 03 .byte 3 + 4ea: 0e .byte 14 + 4eb: 0b nop + 4ec: 0b nop + 4ed: 3a config + 4ee: 0b nop + 4ef: 3b pushpc + 4f0: 05 add + 4f1: 00 breakpoint + 4f2: 00 breakpoint + 4f3: 17 addsp 28 + 4f4: 13 addsp 12 + 4f5: 01 .byte 1 + 4f6: 01 .byte 1 + 4f7: 13 addsp 12 + 4f8: 0b nop + 4f9: 0b nop + 4fa: 3a config + 4fb: 0b nop + 4fc: 3b pushpc + 4fd: 05 add + 4fe: 00 breakpoint + 4ff: 00 breakpoint + 500: 18 addsp 32 + 501: 17 addsp 28 + 502: 01 .byte 1 + 503: 01 .byte 1 + 504: 13 addsp 12 + 505: 0b nop + 506: 0b nop + 507: 3a config + 508: 0b nop + 509: 3b pushpc + 50a: 05 add + 50b: 00 breakpoint + 50c: 00 breakpoint + 50d: 19 addsp 36 + 50e: 0d popsp + 50f: 00 breakpoint + 510: 03 .byte 3 + 511: 0e .byte 14 + 512: 3a config + 513: 0b nop + 514: 3b pushpc + 515: 05 add + 516: 49 storesp 100 + 517: 13 addsp 12 + 518: 00 breakpoint + 519: 00 breakpoint + 51a: 1a addsp 40 + 51b: 15 addsp 20 + 51c: 01 .byte 1 + 51d: 01 .byte 1 + 51e: 13 addsp 12 + 51f: 27 ulessthanorequal + 520: 0c store + 521: 00 breakpoint + 522: 00 breakpoint + 523: 1b addsp 44 + 524: 2e eq + 525: 00 breakpoint + 526: 3f callpcrel + 527: 0c store + 528: 03 .byte 3 + 529: 0e .byte 14 + 52a: 3a config + 52b: 0b nop + 52c: 3b pushpc + 52d: 0b nop + 52e: 27 ulessthanorequal + 52f: 0c store + 530: 49 storesp 100 + 531: 13 addsp 12 + 532: 11 addsp 4 + 533: 01 .byte 1 + 534: 12 addsp 8 + 535: 01 .byte 1 + 536: 40 storesp 64 + 537: 0a flip + 538: 00 breakpoint + 539: 00 breakpoint + 53a: 1c addsp 48 + 53b: 34 storeb + 53c: 00 breakpoint + 53d: 03 .byte 3 + 53e: 0e .byte 14 + 53f: 3a config + 540: 0b nop + 541: 3b pushpc + 542: 05 add + 543: 49 storesp 100 + 544: 13 addsp 12 + 545: 3f callpcrel + 546: 0c store + 547: 3c syscall + 548: 0c store + 549: 00 breakpoint + ... + +0000054c <.Ldebug_abbrev0>: + 54c: 01 .byte 1 + 54d: 11 addsp 4 + 54e: 01 .byte 1 + 54f: 10 addsp 0 + 550: 06 and + 551: 12 addsp 8 + 552: 01 .byte 1 + 553: 11 addsp 4 + 554: 01 .byte 1 + 555: 25 lessthanorequal + 556: 0e .byte 14 + 557: 13 addsp 12 + 558: 0b nop + 559: 03 .byte 3 + 55a: 0e .byte 14 + 55b: 1b addsp 44 + 55c: 0e .byte 14 + 55d: 00 breakpoint + 55e: 00 breakpoint + 55f: 02 pushsp + 560: 24 lessthan + 561: 00 breakpoint + 562: 03 .byte 3 + 563: 0e .byte 14 + 564: 0b nop + 565: 0b nop + 566: 3e halfmult + 567: 0b nop + 568: 00 breakpoint + 569: 00 breakpoint + 56a: 03 .byte 3 + 56b: 24 lessthan + 56c: 00 breakpoint + 56d: 03 .byte 3 + 56e: 08 load + 56f: 0b nop + 570: 0b nop + 571: 3e halfmult + 572: 0b nop + 573: 00 breakpoint + 574: 00 breakpoint + 575: 04 poppc + 576: 16 addsp 24 + 577: 00 breakpoint + 578: 03 .byte 3 + 579: 0e .byte 14 + 57a: 3a config + 57b: 0b nop + 57c: 3b pushpc + 57d: 05 add + 57e: 49 storesp 100 + 57f: 13 addsp 12 + 580: 00 breakpoint + 581: 00 breakpoint + 582: 05 add + 583: 17 addsp 28 + 584: 01 .byte 1 + 585: 01 .byte 1 + 586: 13 addsp 12 + 587: 0b nop + 588: 0b nop + 589: 3a config + 58a: 0b nop + 58b: 3b pushpc + 58c: 0b nop + 58d: 00 breakpoint + 58e: 00 breakpoint + 58f: 06 and + 590: 0d popsp + 591: 00 breakpoint + 592: 03 .byte 3 + 593: 0e .byte 14 + 594: 3a config + 595: 0b nop + 596: 3b pushpc + 597: 0b nop + 598: 49 storesp 100 + 599: 13 addsp 12 + 59a: 00 breakpoint + 59b: 00 breakpoint + 59c: 07 or + 59d: 01 .byte 1 + 59e: 01 .byte 1 + 59f: 01 .byte 1 + 5a0: 13 addsp 12 + 5a1: 49 storesp 100 + 5a2: 13 addsp 12 + 5a3: 00 breakpoint + 5a4: 00 breakpoint + 5a5: 08 load + 5a6: 21 .byte 33 + 5a7: 00 breakpoint + 5a8: 49 storesp 100 + 5a9: 13 addsp 12 + 5aa: 2f neq + 5ab: 0b nop + 5ac: 00 breakpoint + 5ad: 00 breakpoint + 5ae: 09 not + 5af: 13 addsp 12 + 5b0: 01 .byte 1 + 5b1: 01 .byte 1 + 5b2: 13 addsp 12 + 5b3: 0b nop + 5b4: 0b nop + 5b5: 3a config + 5b6: 0b nop + 5b7: 3b pushpc + 5b8: 0b nop + 5b9: 00 breakpoint + 5ba: 00 breakpoint + 5bb: 0a flip + 5bc: 0d popsp + 5bd: 00 breakpoint + 5be: 03 .byte 3 + 5bf: 0e .byte 14 + 5c0: 3a config + 5c1: 0b nop + 5c2: 3b pushpc + 5c3: 0b nop + 5c4: 49 storesp 100 + 5c5: 13 addsp 12 + 5c6: 38 neqbranch + 5c7: 0a flip + 5c8: 00 breakpoint + 5c9: 00 breakpoint + 5ca: 0b nop + 5cb: 16 addsp 24 + 5cc: 00 breakpoint + 5cd: 03 .byte 3 + 5ce: 0e .byte 14 + 5cf: 3a config + 5d0: 0b nop + 5d1: 3b pushpc + 5d2: 0b nop + 5d3: 49 storesp 100 + 5d4: 13 addsp 12 + 5d5: 00 breakpoint + 5d6: 00 breakpoint + 5d7: 0c store + 5d8: 0f .byte 15 + 5d9: 00 breakpoint + 5da: 0b nop + 5db: 0b nop + 5dc: 00 breakpoint + 5dd: 00 breakpoint + 5de: 0d popsp + 5df: 13 addsp 12 + 5e0: 01 .byte 1 + 5e1: 01 .byte 1 + 5e2: 13 addsp 12 + 5e3: 03 .byte 3 + 5e4: 0e .byte 14 + 5e5: 0b nop + 5e6: 0b nop + 5e7: 3a config + 5e8: 0b nop + 5e9: 3b pushpc + 5ea: 0b nop + 5eb: 00 breakpoint + 5ec: 00 breakpoint + 5ed: 0e .byte 14 + 5ee: 0d popsp + 5ef: 00 breakpoint + 5f0: 03 .byte 3 + 5f1: 08 load + 5f2: 3a config + 5f3: 0b nop + 5f4: 3b pushpc + 5f5: 0b nop + 5f6: 49 storesp 100 + 5f7: 13 addsp 12 + 5f8: 38 neqbranch + 5f9: 0a flip + 5fa: 00 breakpoint + 5fb: 00 breakpoint + 5fc: 0f .byte 15 + 5fd: 0f .byte 15 + 5fe: 00 breakpoint + 5ff: 0b nop + 600: 0b nop + 601: 49 storesp 100 + 602: 13 addsp 12 + 603: 00 breakpoint + 604: 00 breakpoint + 605: 10 addsp 0 + 606: 13 addsp 12 + 607: 01 .byte 1 + 608: 01 .byte 1 + 609: 13 addsp 12 + 60a: 03 .byte 3 + 60b: 0e .byte 14 + 60c: 0b nop + 60d: 05 add + 60e: 3a config + 60f: 0b nop + 610: 3b pushpc + 611: 0b nop + 612: 00 breakpoint + 613: 00 breakpoint + 614: 11 addsp 4 + 615: 15 addsp 20 + 616: 00 breakpoint + 617: 27 ulessthanorequal + 618: 0c store + 619: 00 breakpoint + 61a: 00 breakpoint + 61b: 12 addsp 8 + 61c: 0d popsp + 61d: 00 breakpoint + 61e: 03 .byte 3 + 61f: 0e .byte 14 + 620: 3a config + 621: 0b nop + 622: 3b pushpc + 623: 05 add + 624: 49 storesp 100 + 625: 13 addsp 12 + 626: 38 neqbranch + 627: 0a flip + 628: 00 breakpoint + 629: 00 breakpoint + 62a: 13 addsp 12 + 62b: 15 addsp 20 + 62c: 01 .byte 1 + 62d: 01 .byte 1 + 62e: 13 addsp 12 + 62f: 27 ulessthanorequal + 630: 0c store + 631: 49 storesp 100 + 632: 13 addsp 12 + 633: 00 breakpoint + 634: 00 breakpoint + 635: 14 addsp 16 + 636: 05 add + 637: 00 breakpoint + 638: 49 storesp 100 + 639: 13 addsp 12 + 63a: 00 breakpoint + 63b: 00 breakpoint + 63c: 15 addsp 20 + 63d: 26 ulessthan + 63e: 00 breakpoint + 63f: 49 storesp 100 + 640: 13 addsp 12 + 641: 00 breakpoint + 642: 00 breakpoint + 643: 16 addsp 24 + 644: 13 addsp 12 + 645: 01 .byte 1 + 646: 01 .byte 1 + 647: 13 addsp 12 + 648: 03 .byte 3 + 649: 0e .byte 14 + 64a: 0b nop + 64b: 0b nop + 64c: 3a config + 64d: 0b nop + 64e: 3b pushpc + 64f: 05 add + 650: 00 breakpoint + 651: 00 breakpoint + 652: 17 addsp 28 + 653: 13 addsp 12 + 654: 01 .byte 1 + 655: 01 .byte 1 + 656: 13 addsp 12 + 657: 0b nop + 658: 0b nop + 659: 3a config + 65a: 0b nop + 65b: 3b pushpc + 65c: 05 add + 65d: 00 breakpoint + 65e: 00 breakpoint + 65f: 18 addsp 32 + 660: 17 addsp 28 + 661: 01 .byte 1 + 662: 01 .byte 1 + 663: 13 addsp 12 + 664: 0b nop + 665: 0b nop + 666: 3a config + 667: 0b nop + 668: 3b pushpc + 669: 05 add + 66a: 00 breakpoint + 66b: 00 breakpoint + 66c: 19 addsp 36 + 66d: 0d popsp + 66e: 00 breakpoint + 66f: 03 .byte 3 + 670: 0e .byte 14 + 671: 3a config + 672: 0b nop + 673: 3b pushpc + 674: 05 add + 675: 49 storesp 100 + 676: 13 addsp 12 + 677: 00 breakpoint + 678: 00 breakpoint + 679: 1a addsp 40 + 67a: 15 addsp 20 + 67b: 01 .byte 1 + 67c: 01 .byte 1 + 67d: 13 addsp 12 + 67e: 27 ulessthanorequal + 67f: 0c store + 680: 00 breakpoint + 681: 00 breakpoint + 682: 1b addsp 44 + 683: 2e eq + 684: 01 .byte 1 + 685: 01 .byte 1 + 686: 13 addsp 12 + 687: 3f callpcrel + 688: 0c store + 689: 03 .byte 3 + 68a: 0e .byte 14 + 68b: 3a config + 68c: 0b nop + 68d: 3b pushpc + 68e: 0b nop + 68f: 27 ulessthanorequal + 690: 0c store + 691: 11 addsp 4 + 692: 01 .byte 1 + 693: 12 addsp 8 + 694: 01 .byte 1 + 695: 40 storesp 64 + 696: 0a flip + 697: 00 breakpoint + 698: 00 breakpoint + 699: 1c addsp 48 + 69a: 05 add + 69b: 00 breakpoint + 69c: 03 .byte 3 + 69d: 0e .byte 14 + 69e: 3a config + 69f: 0b nop + 6a0: 3b pushpc + 6a1: 0b nop + 6a2: 49 storesp 100 + 6a3: 13 addsp 12 + 6a4: 02 pushsp + 6a5: 0a flip + 6a6: 00 breakpoint + 6a7: 00 breakpoint + 6a8: 1d addsp 52 + 6a9: 34 storeb + 6aa: 00 breakpoint + 6ab: 03 .byte 3 + 6ac: 08 load + 6ad: 3a config + 6ae: 0b nop + 6af: 3b pushpc + 6b0: 0b nop + 6b1: 49 storesp 100 + 6b2: 13 addsp 12 + 6b3: 02 pushsp + 6b4: 0a flip + 6b5: 00 breakpoint + 6b6: 00 breakpoint + 6b7: 1e addsp 56 + 6b8: 34 storeb + 6b9: 00 breakpoint + 6ba: 03 .byte 3 + 6bb: 0e .byte 14 + 6bc: 3a config + 6bd: 0b nop + 6be: 3b pushpc + 6bf: 0b nop + 6c0: 49 storesp 100 + 6c1: 13 addsp 12 + 6c2: 02 pushsp + 6c3: 0a flip + 6c4: 00 breakpoint + 6c5: 00 breakpoint + 6c6: 1f addsp 60 + 6c7: 34 storeb + 6c8: 00 breakpoint + 6c9: 03 .byte 3 + 6ca: 0e .byte 14 + 6cb: 3a config + 6cc: 0b nop + 6cd: 3b pushpc + 6ce: 05 add + 6cf: 49 storesp 100 + 6d0: 13 addsp 12 + 6d1: 3f callpcrel + 6d2: 0c store + 6d3: 3c syscall + 6d4: 0c store + 6d5: 00 breakpoint + ... + +000006d8 <.Ldebug_abbrev0>: + 6d8: 01 .byte 1 + 6d9: 11 addsp 4 + 6da: 01 .byte 1 + 6db: 10 addsp 0 + 6dc: 06 and + 6dd: 12 addsp 8 + 6de: 01 .byte 1 + 6df: 11 addsp 4 + 6e0: 01 .byte 1 + 6e1: 25 lessthanorequal + 6e2: 0e .byte 14 + 6e3: 13 addsp 12 + 6e4: 0b nop + 6e5: 03 .byte 3 + 6e6: 0e .byte 14 + 6e7: 1b addsp 44 + 6e8: 0e .byte 14 + 6e9: 00 breakpoint + 6ea: 00 breakpoint + 6eb: 02 pushsp + 6ec: 24 lessthan + 6ed: 00 breakpoint + 6ee: 03 .byte 3 + 6ef: 0e .byte 14 + 6f0: 0b nop + 6f1: 0b nop + 6f2: 3e halfmult + 6f3: 0b nop + 6f4: 00 breakpoint + 6f5: 00 breakpoint + 6f6: 03 .byte 3 + 6f7: 24 lessthan + 6f8: 00 breakpoint + 6f9: 03 .byte 3 + 6fa: 08 load + 6fb: 0b nop + 6fc: 0b nop + 6fd: 3e halfmult + 6fe: 0b nop + 6ff: 00 breakpoint + 700: 00 breakpoint + 701: 04 poppc + 702: 16 addsp 24 + 703: 00 breakpoint + 704: 03 .byte 3 + 705: 0e .byte 14 + 706: 3a config + 707: 0b nop + 708: 3b pushpc + 709: 05 add + 70a: 49 storesp 100 + 70b: 13 addsp 12 + 70c: 00 breakpoint + 70d: 00 breakpoint + 70e: 05 add + 70f: 17 addsp 28 + 710: 01 .byte 1 + 711: 01 .byte 1 + 712: 13 addsp 12 + 713: 0b nop + 714: 0b nop + 715: 3a config + 716: 0b nop + 717: 3b pushpc + 718: 0b nop + 719: 00 breakpoint + 71a: 00 breakpoint + 71b: 06 and + 71c: 0d popsp + 71d: 00 breakpoint + 71e: 03 .byte 3 + 71f: 0e .byte 14 + 720: 3a config + 721: 0b nop + 722: 3b pushpc + 723: 0b nop + 724: 49 storesp 100 + 725: 13 addsp 12 + 726: 00 breakpoint + 727: 00 breakpoint + 728: 07 or + 729: 01 .byte 1 + 72a: 01 .byte 1 + 72b: 01 .byte 1 + 72c: 13 addsp 12 + 72d: 49 storesp 100 + 72e: 13 addsp 12 + 72f: 00 breakpoint + 730: 00 breakpoint + 731: 08 load + 732: 21 .byte 33 + 733: 00 breakpoint + 734: 49 storesp 100 + 735: 13 addsp 12 + 736: 2f neq + 737: 0b nop + 738: 00 breakpoint + 739: 00 breakpoint + 73a: 09 not + 73b: 13 addsp 12 + 73c: 01 .byte 1 + 73d: 01 .byte 1 + 73e: 13 addsp 12 + 73f: 0b nop + 740: 0b nop + 741: 3a config + 742: 0b nop + 743: 3b pushpc + 744: 0b nop + 745: 00 breakpoint + 746: 00 breakpoint + 747: 0a flip + 748: 0d popsp + 749: 00 breakpoint + 74a: 03 .byte 3 + 74b: 0e .byte 14 + 74c: 3a config + 74d: 0b nop + 74e: 3b pushpc + 74f: 0b nop + 750: 49 storesp 100 + 751: 13 addsp 12 + 752: 38 neqbranch + 753: 0a flip + 754: 00 breakpoint + 755: 00 breakpoint + 756: 0b nop + 757: 16 addsp 24 + 758: 00 breakpoint + 759: 03 .byte 3 + 75a: 0e .byte 14 + 75b: 3a config + 75c: 0b nop + 75d: 3b pushpc + 75e: 0b nop + 75f: 49 storesp 100 + 760: 13 addsp 12 + 761: 00 breakpoint + 762: 00 breakpoint + 763: 0c store + 764: 0f .byte 15 + 765: 00 breakpoint + 766: 0b nop + 767: 0b nop + 768: 00 breakpoint + 769: 00 breakpoint + 76a: 0d popsp + 76b: 13 addsp 12 + 76c: 01 .byte 1 + 76d: 01 .byte 1 + 76e: 13 addsp 12 + 76f: 03 .byte 3 + 770: 0e .byte 14 + 771: 0b nop + 772: 0b nop + 773: 3a config + 774: 0b nop + 775: 3b pushpc + 776: 0b nop + 777: 00 breakpoint + 778: 00 breakpoint + 779: 0e .byte 14 + 77a: 0d popsp + 77b: 00 breakpoint + 77c: 03 .byte 3 + 77d: 08 load + 77e: 3a config + 77f: 0b nop + 780: 3b pushpc + 781: 0b nop + 782: 49 storesp 100 + 783: 13 addsp 12 + 784: 38 neqbranch + 785: 0a flip + 786: 00 breakpoint + 787: 00 breakpoint + 788: 0f .byte 15 + 789: 0f .byte 15 + 78a: 00 breakpoint + 78b: 0b nop + 78c: 0b nop + 78d: 49 storesp 100 + 78e: 13 addsp 12 + 78f: 00 breakpoint + 790: 00 breakpoint + 791: 10 addsp 0 + 792: 13 addsp 12 + 793: 01 .byte 1 + 794: 01 .byte 1 + 795: 13 addsp 12 + 796: 03 .byte 3 + 797: 0e .byte 14 + 798: 0b nop + 799: 05 add + 79a: 3a config + 79b: 0b nop + 79c: 3b pushpc + 79d: 0b nop + 79e: 00 breakpoint + 79f: 00 breakpoint + 7a0: 11 addsp 4 + 7a1: 15 addsp 20 + 7a2: 00 breakpoint + 7a3: 27 ulessthanorequal + 7a4: 0c store + 7a5: 00 breakpoint + 7a6: 00 breakpoint + 7a7: 12 addsp 8 + 7a8: 0d popsp + 7a9: 00 breakpoint + 7aa: 03 .byte 3 + 7ab: 0e .byte 14 + 7ac: 3a config + 7ad: 0b nop + 7ae: 3b pushpc + 7af: 05 add + 7b0: 49 storesp 100 + 7b1: 13 addsp 12 + 7b2: 38 neqbranch + 7b3: 0a flip + 7b4: 00 breakpoint + 7b5: 00 breakpoint + 7b6: 13 addsp 12 + 7b7: 15 addsp 20 + 7b8: 01 .byte 1 + 7b9: 01 .byte 1 + 7ba: 13 addsp 12 + 7bb: 27 ulessthanorequal + 7bc: 0c store + 7bd: 49 storesp 100 + 7be: 13 addsp 12 + 7bf: 00 breakpoint + 7c0: 00 breakpoint + 7c1: 14 addsp 16 + 7c2: 05 add + 7c3: 00 breakpoint + 7c4: 49 storesp 100 + 7c5: 13 addsp 12 + 7c6: 00 breakpoint + 7c7: 00 breakpoint + 7c8: 15 addsp 20 + 7c9: 26 ulessthan + 7ca: 00 breakpoint + 7cb: 49 storesp 100 + 7cc: 13 addsp 12 + 7cd: 00 breakpoint + 7ce: 00 breakpoint + 7cf: 16 addsp 24 + 7d0: 13 addsp 12 + 7d1: 01 .byte 1 + 7d2: 01 .byte 1 + 7d3: 13 addsp 12 + 7d4: 03 .byte 3 + 7d5: 0e .byte 14 + 7d6: 0b nop + 7d7: 0b nop + 7d8: 3a config + 7d9: 0b nop + 7da: 3b pushpc + 7db: 05 add + 7dc: 00 breakpoint + 7dd: 00 breakpoint + 7de: 17 addsp 28 + 7df: 13 addsp 12 + 7e0: 01 .byte 1 + 7e1: 01 .byte 1 + 7e2: 13 addsp 12 + 7e3: 0b nop + 7e4: 0b nop + 7e5: 3a config + 7e6: 0b nop + 7e7: 3b pushpc + 7e8: 05 add + 7e9: 00 breakpoint + 7ea: 00 breakpoint + 7eb: 18 addsp 32 + 7ec: 17 addsp 28 + 7ed: 01 .byte 1 + 7ee: 01 .byte 1 + 7ef: 13 addsp 12 + 7f0: 0b nop + 7f1: 0b nop + 7f2: 3a config + 7f3: 0b nop + 7f4: 3b pushpc + 7f5: 05 add + 7f6: 00 breakpoint + 7f7: 00 breakpoint + 7f8: 19 addsp 36 + 7f9: 0d popsp + 7fa: 00 breakpoint + 7fb: 03 .byte 3 + 7fc: 0e .byte 14 + 7fd: 3a config + 7fe: 0b nop + 7ff: 3b pushpc + 800: 05 add + 801: 49 storesp 100 + 802: 13 addsp 12 + 803: 00 breakpoint + 804: 00 breakpoint + 805: 1a addsp 40 + 806: 15 addsp 20 + 807: 01 .byte 1 + 808: 01 .byte 1 + 809: 13 addsp 12 + 80a: 27 ulessthanorequal + 80b: 0c store + 80c: 00 breakpoint + 80d: 00 breakpoint + 80e: 1b addsp 44 + 80f: 34 storeb + 810: 00 breakpoint + 811: 03 .byte 3 + 812: 0e .byte 14 + 813: 3a config + 814: 0b nop + 815: 3b pushpc + 816: 0b nop + 817: 49 storesp 100 + 818: 13 addsp 12 + 819: 3f callpcrel + 81a: 0c store + 81b: 02 pushsp + 81c: 0a flip + 81d: 00 breakpoint + 81e: 00 breakpoint + 81f: 1c addsp 48 + 820: 34 storeb + 821: 00 breakpoint + 822: 03 .byte 3 + 823: 0e .byte 14 + 824: 3a config + 825: 0b nop + 826: 3b pushpc + 827: 0b nop + 828: 49 storesp 100 + 829: 13 addsp 12 + 82a: 02 pushsp + 82b: 0a flip + 82c: 00 breakpoint + ... + +0000082f <.Ldebug_abbrev0>: + 82f: 01 .byte 1 + 830: 11 addsp 4 + 831: 01 .byte 1 + 832: 10 addsp 0 + 833: 06 and + 834: 12 addsp 8 + 835: 01 .byte 1 + 836: 11 addsp 4 + 837: 01 .byte 1 + 838: 25 lessthanorequal + 839: 0e .byte 14 + 83a: 13 addsp 12 + 83b: 0b nop + 83c: 03 .byte 3 + 83d: 0e .byte 14 + 83e: 1b addsp 44 + 83f: 0e .byte 14 + 840: 00 breakpoint + 841: 00 breakpoint + 842: 02 pushsp + 843: 24 lessthan + 844: 00 breakpoint + 845: 03 .byte 3 + 846: 0e .byte 14 + 847: 0b nop + 848: 0b nop + 849: 3e halfmult + 84a: 0b nop + 84b: 00 breakpoint + 84c: 00 breakpoint + 84d: 03 .byte 3 + 84e: 24 lessthan + 84f: 00 breakpoint + 850: 03 .byte 3 + 851: 08 load + 852: 0b nop + 853: 0b nop + 854: 3e halfmult + 855: 0b nop + 856: 00 breakpoint + 857: 00 breakpoint + 858: 04 poppc + 859: 0f .byte 15 + 85a: 00 breakpoint + 85b: 0b nop + 85c: 0b nop + 85d: 00 breakpoint + 85e: 00 breakpoint + 85f: 05 add + 860: 0f .byte 15 + 861: 00 breakpoint + 862: 0b nop + 863: 0b nop + 864: 49 storesp 100 + 865: 13 addsp 12 + 866: 00 breakpoint + 867: 00 breakpoint + 868: 06 and + 869: 16 addsp 24 + 86a: 00 breakpoint + 86b: 03 .byte 3 + 86c: 0e .byte 14 + 86d: 3a config + 86e: 0b nop + 86f: 3b pushpc + 870: 0b nop + 871: 49 storesp 100 + 872: 13 addsp 12 + 873: 00 breakpoint + 874: 00 breakpoint + 875: 07 or + 876: 2e eq + 877: 01 .byte 1 + 878: 01 .byte 1 + 879: 13 addsp 12 + 87a: 3f callpcrel + 87b: 0c store + 87c: 03 .byte 3 + 87d: 0e .byte 14 + 87e: 3a config + 87f: 0b nop + 880: 3b pushpc + 881: 0b nop + 882: 27 ulessthanorequal + 883: 0c store + 884: 49 storesp 100 + 885: 13 addsp 12 + 886: 11 addsp 4 + 887: 01 .byte 1 + 888: 12 addsp 8 + 889: 01 .byte 1 + 88a: 40 storesp 64 + 88b: 0a flip + 88c: 00 breakpoint + 88d: 00 breakpoint + 88e: 08 load + 88f: 05 add + 890: 00 breakpoint + 891: 03 .byte 3 + 892: 08 load + 893: 3a config + 894: 0b nop + 895: 3b pushpc + 896: 0b nop + 897: 49 storesp 100 + 898: 13 addsp 12 + 899: 02 pushsp + 89a: 0a flip + 89b: 00 breakpoint + 89c: 00 breakpoint + 89d: 09 not + 89e: 34 storeb + 89f: 00 breakpoint + 8a0: 03 .byte 3 + 8a1: 08 load + 8a2: 3a config + 8a3: 0b nop + 8a4: 3b pushpc + 8a5: 0b nop + 8a6: 49 storesp 100 + 8a7: 13 addsp 12 + 8a8: 02 pushsp + 8a9: 0a flip + 8aa: 00 breakpoint + 8ab: 00 breakpoint + 8ac: 0a flip + 8ad: 34 storeb + 8ae: 00 breakpoint + 8af: 03 .byte 3 + 8b0: 08 load + 8b1: 3a config + 8b2: 0b nop + 8b3: 3b pushpc + 8b4: 0b nop + 8b5: 49 storesp 100 + 8b6: 13 addsp 12 + 8b7: 00 breakpoint + 8b8: 00 breakpoint + 8b9: 0b nop + 8ba: 34 storeb + 8bb: 00 breakpoint + 8bc: 03 .byte 3 + 8bd: 0e .byte 14 + 8be: 3a config + 8bf: 0b nop + 8c0: 3b pushpc + 8c1: 0b nop + 8c2: 49 storesp 100 + 8c3: 13 addsp 12 + 8c4: 02 pushsp + 8c5: 0a flip + 8c6: 00 breakpoint + ... + +000008c9 <.Ldebug_abbrev0>: + 8c9: 01 .byte 1 + 8ca: 11 addsp 4 + 8cb: 01 .byte 1 + 8cc: 10 addsp 0 + 8cd: 06 and + 8ce: 12 addsp 8 + 8cf: 01 .byte 1 + 8d0: 11 addsp 4 + 8d1: 01 .byte 1 + 8d2: 25 lessthanorequal + 8d3: 0e .byte 14 + 8d4: 13 addsp 12 + 8d5: 0b nop + 8d6: 03 .byte 3 + 8d7: 0e .byte 14 + 8d8: 1b addsp 44 + 8d9: 0e .byte 14 + 8da: 00 breakpoint + 8db: 00 breakpoint + 8dc: 02 pushsp + 8dd: 24 lessthan + 8de: 00 breakpoint + 8df: 03 .byte 3 + 8e0: 0e .byte 14 + 8e1: 0b nop + 8e2: 0b nop + 8e3: 3e halfmult + 8e4: 0b nop + 8e5: 00 breakpoint + 8e6: 00 breakpoint + 8e7: 03 .byte 3 + 8e8: 24 lessthan + 8e9: 00 breakpoint + 8ea: 03 .byte 3 + 8eb: 08 load + 8ec: 0b nop + 8ed: 0b nop + 8ee: 3e halfmult + 8ef: 0b nop + 8f0: 00 breakpoint + 8f1: 00 breakpoint + 8f2: 04 poppc + 8f3: 0f .byte 15 + 8f4: 00 breakpoint + 8f5: 0b nop + 8f6: 0b nop + 8f7: 49 storesp 100 + 8f8: 13 addsp 12 + 8f9: 00 breakpoint + 8fa: 00 breakpoint + 8fb: 05 add + 8fc: 26 ulessthan + 8fd: 00 breakpoint + 8fe: 49 storesp 100 + 8ff: 13 addsp 12 + 900: 00 breakpoint + 901: 00 breakpoint + 902: 06 and + 903: 16 addsp 24 + 904: 00 breakpoint + 905: 03 .byte 3 + 906: 0e .byte 14 + 907: 3a config + 908: 0b nop + 909: 3b pushpc + 90a: 0b nop + 90b: 49 storesp 100 + 90c: 13 addsp 12 + 90d: 00 breakpoint + 90e: 00 breakpoint + 90f: 07 or + 910: 2e eq + 911: 01 .byte 1 + 912: 01 .byte 1 + 913: 13 addsp 12 + 914: 3f callpcrel + 915: 0c store + 916: 03 .byte 3 + 917: 0e .byte 14 + 918: 3a config + 919: 0b nop + 91a: 3b pushpc + 91b: 0b nop + 91c: 27 ulessthanorequal + 91d: 0c store + 91e: 49 storesp 100 + 91f: 13 addsp 12 + 920: 11 addsp 4 + 921: 01 .byte 1 + 922: 12 addsp 8 + 923: 01 .byte 1 + 924: 40 storesp 64 + 925: 0a flip + 926: 00 breakpoint + 927: 00 breakpoint + 928: 08 load + 929: 05 add + 92a: 00 breakpoint + 92b: 03 .byte 3 + 92c: 08 load + 92d: 3a config + 92e: 0b nop + 92f: 3b pushpc + 930: 0b nop + 931: 49 storesp 100 + 932: 13 addsp 12 + 933: 02 pushsp + 934: 0a flip + 935: 00 breakpoint + 936: 00 breakpoint + 937: 09 not + 938: 34 storeb + 939: 00 breakpoint + 93a: 03 .byte 3 + 93b: 0e .byte 14 + 93c: 3a config + 93d: 0b nop + 93e: 3b pushpc + 93f: 0b nop + 940: 49 storesp 100 + 941: 13 addsp 12 + 942: 02 pushsp + 943: 0a flip + 944: 00 breakpoint + ... +Disassembly of section .debug_line: + +00000000 <.Ldebug_line0>: + 0: 00 breakpoint + 1: 00 breakpoint + 2: 02 pushsp + 3: 69 loadsp 100 + 4: 00 breakpoint + 5: 02 pushsp + 6: 00 breakpoint + 7: 00 breakpoint + 8: 01 .byte 1 + 9: 2f neq + a: 01 .byte 1 + b: 01 .byte 1 + c: f6 im -10 + d: f5 im -11 + e: 0a flip + f: 00 breakpoint + 10: 01 .byte 1 + 11: 01 .byte 1 + 12: 01 .byte 1 + 13: 01 .byte 1 + 14: 00 breakpoint + 15: 00 breakpoint + 16: 00 breakpoint + 17: 01 .byte 1 + 18: 2e eq + 19: 2e eq + 1a: 2f neq + 1b: 2e eq + 1c: 2e eq + 1d: 2f neq + 1e: 2e eq + 1f: 2e eq + 20: 2f neq + 21: 2e eq + 22: 2e eq + 23: 2f neq + 24: 67 loadsp 92 + 25: 63 loadsp 76 + 26: 63 loadsp 76 + 27: 2f neq + 28: 6c loadsp 112 + 29: 69 loadsp 100 + 2a: 62 loadsp 72 + 2b: 67 loadsp 92 + 2c: 6c loadsp 112 + 2d: 6f loadsp 124 + 2e: 73 loadsp 12 + 2f: 73 loadsp 12 + 30: 2f neq + 31: 7a loadsp 40 + 32: 70 loadsp 0 + 33: 75 loadsp 20 + 34: 00 breakpoint + 35: 2f neq + 36: 68 loadsp 96 + 37: 6f loadsp 124 + 38: 6d loadsp 116 + 39: 65 loadsp 84 + 3a: 2f neq + 3b: 6f loadsp 124 + 3c: 79 loadsp 36 + 3d: 76 loadsp 24 + 3e: 69 loadsp 100 + 3f: 6e loadsp 120 + 40: 64 loadsp 80 + 41: 2f neq + 42: 74 loadsp 16 + 43: 6f loadsp 124 + 44: 6f loadsp 124 + 45: 6c loadsp 112 + 46: 63 loadsp 76 + 47: 68 loadsp 96 + 48: 61 loadsp 68 + 49: 69 loadsp 100 + 4a: 6e loadsp 120 + 4b: 2f neq + 4c: 74 loadsp 16 + 4d: 6f loadsp 124 + 4e: 6f loadsp 124 + 4f: 6c loadsp 112 + 50: 63 loadsp 76 + 51: 68 loadsp 96 + 52: 61 loadsp 68 + 53: 69 loadsp 100 + 54: 6e loadsp 120 + 55: 2f neq + 56: 67 loadsp 92 + 57: 63 loadsp 76 + 58: 63 loadsp 76 + 59: 2f neq + 5a: 6e loadsp 120 + 5b: 65 loadsp 84 + 5c: 77 loadsp 28 + 5d: 6c loadsp 112 + 5e: 69 loadsp 100 + 5f: 62 loadsp 72 + 60: 2f neq + 61: 6c loadsp 112 + 62: 69 loadsp 100 + 63: 62 loadsp 72 + 64: 63 loadsp 76 + 65: 2f neq + 66: 69 loadsp 100 + 67: 6e loadsp 120 + 68: 63 loadsp 76 + 69: 6c loadsp 112 + 6a: 75 loadsp 20 + 6b: 64 loadsp 80 + 6c: 65 loadsp 84 + 6d: 00 breakpoint + 6e: 2f neq + 6f: 68 loadsp 96 + 70: 6f loadsp 124 + 71: 6d loadsp 116 + 72: 65 loadsp 84 + 73: 2f neq + 74: 6f loadsp 124 + 75: 79 loadsp 36 + 76: 76 loadsp 24 + 77: 69 loadsp 100 + 78: 6e loadsp 120 + 79: 64 loadsp 80 + 7a: 2f neq + 7b: 74 loadsp 16 + 7c: 6f loadsp 124 + 7d: 6f loadsp 124 + 7e: 6c loadsp 112 + 7f: 63 loadsp 76 + 80: 68 loadsp 96 + 81: 61 loadsp 68 + 82: 69 loadsp 100 + 83: 6e loadsp 120 + 84: 2f neq + 85: 74 loadsp 16 + 86: 6f loadsp 124 + 87: 6f loadsp 124 + 88: 6c loadsp 112 + 89: 63 loadsp 76 + 8a: 68 loadsp 96 + 8b: 61 loadsp 68 + 8c: 69 loadsp 100 + 8d: 6e loadsp 120 + 8e: 2f neq + 8f: 67 loadsp 92 + 90: 63 loadsp 76 + 91: 63 loadsp 76 + 92: 62 loadsp 72 + 93: 75 loadsp 20 + 94: 69 loadsp 100 + 95: 6c loadsp 112 + 96: 64 loadsp 80 + 97: 2f neq + 98: 67 loadsp 92 + 99: 63 loadsp 76 + 9a: 63 loadsp 76 + 9b: 2f neq + 9c: 69 loadsp 100 + 9d: 6e loadsp 120 + 9e: 63 loadsp 76 + 9f: 6c loadsp 112 + a0: 75 loadsp 20 + a1: 64 loadsp 80 + a2: 65 loadsp 84 + a3: 00 breakpoint + a4: 00 breakpoint + a5: 73 loadsp 12 + a6: 74 loadsp 16 + a7: 64 loadsp 80 + a8: 64 loadsp 80 + a9: 65 loadsp 84 + aa: 66 loadsp 88 + ab: 2e eq + ac: 68 loadsp 96 + ad: 00 breakpoint + ae: 03 .byte 3 + af: 00 breakpoint + b0: 00 breakpoint + b1: 73 loadsp 12 + b2: 74 loadsp 16 + b3: 64 loadsp 80 + b4: 61 loadsp 68 + b5: 72 loadsp 8 + b6: 67 loadsp 92 + b7: 2e eq + b8: 68 loadsp 96 + b9: 00 breakpoint + ba: 03 .byte 3 + bb: 00 breakpoint + bc: 00 breakpoint + bd: 73 loadsp 12 + be: 79 loadsp 36 + bf: 73 loadsp 12 + c0: 2f neq + c1: 5f storesp 60 + c2: 74 loadsp 16 + c3: 79 loadsp 36 + c4: 70 loadsp 0 + c5: 65 loadsp 84 + c6: 73 loadsp 12 + c7: 2e eq + c8: 68 loadsp 96 + c9: 00 breakpoint + ca: 02 pushsp + cb: 00 breakpoint + cc: 00 breakpoint + cd: 73 loadsp 12 + ce: 79 loadsp 36 + cf: 73 loadsp 12 + d0: 2f neq + d1: 72 loadsp 8 + d2: 65 loadsp 84 + d3: 65 loadsp 84 + d4: 6e loadsp 120 + d5: 74 loadsp 16 + d6: 2e eq + d7: 68 loadsp 96 + d8: 00 breakpoint + d9: 02 pushsp + da: 00 breakpoint + db: 00 breakpoint + dc: 73 loadsp 12 + dd: 79 loadsp 36 + de: 73 loadsp 12 + df: 2f neq + e0: 74 loadsp 16 + e1: 79 loadsp 36 + e2: 70 loadsp 0 + e3: 65 loadsp 84 + e4: 73 loadsp 12 + e5: 2e eq + e6: 68 loadsp 96 + e7: 00 breakpoint + e8: 02 pushsp + e9: 00 breakpoint + ea: 00 breakpoint + eb: 6d loadsp 116 + ec: 61 loadsp 68 + ed: 63 loadsp 76 + ee: 68 loadsp 96 + ef: 69 loadsp 100 + f0: 6e loadsp 120 + f1: 65 loadsp 84 + f2: 2f neq + f3: 74 loadsp 16 + f4: 79 loadsp 36 + f5: 70 loadsp 0 + f6: 65 loadsp 84 + f7: 73 loadsp 12 + f8: 2e eq + f9: 68 loadsp 96 + fa: 00 breakpoint + fb: 02 pushsp + fc: 00 breakpoint + fd: 00 breakpoint + fe: 73 loadsp 12 + ff: 74 loadsp 16 + 100: 64 loadsp 80 + 101: 69 loadsp 100 + 102: 6f loadsp 124 + 103: 2e eq + 104: 68 loadsp 96 + 105: 00 breakpoint + 106: 02 pushsp + 107: 00 breakpoint + 108: 00 breakpoint + 109: 65 loadsp 84 + 10a: 72 loadsp 8 + 10b: 72 loadsp 8 + 10c: 6e loadsp 120 + 10d: 6f loadsp 124 + 10e: 2e eq + 10f: 68 loadsp 96 + 110: 00 breakpoint + 111: 02 pushsp + 112: 00 breakpoint + 113: 00 breakpoint + 114: 74 loadsp 16 + 115: 69 loadsp 100 + 116: 6d loadsp 116 + 117: 65 loadsp 84 + 118: 2e eq + 119: 68 loadsp 96 + 11a: 00 breakpoint + 11b: 02 pushsp + 11c: 00 breakpoint + 11d: 00 breakpoint + 11e: 73 loadsp 12 + 11f: 79 loadsp 36 + 120: 73 loadsp 12 + 121: 2f neq + 122: 73 loadsp 12 + 123: 74 loadsp 16 + 124: 61 loadsp 68 + 125: 74 loadsp 16 + 126: 2e eq + 127: 68 loadsp 96 + 128: 00 breakpoint + 129: 02 pushsp + 12a: 00 breakpoint + 12b: 00 breakpoint + 12c: 63 loadsp 76 + 12d: 72 loadsp 8 + 12e: 74 loadsp 16 + 12f: 5f storesp 60 + 130: 69 loadsp 100 + 131: 6f loadsp 124 + 132: 2e eq + 133: 63 loadsp 76 + 134: 00 breakpoint + 135: 01 .byte 1 + 136: 00 breakpoint + 137: 00 breakpoint + 138: 00 breakpoint + 139: 00 breakpoint + 13a: 05 add + 13b: 02 pushsp + 13c: 00 breakpoint + 13d: 00 breakpoint + 13e: 00 breakpoint + 13f: 00 breakpoint + 140: 00 breakpoint + 141: 01 .byte 1 + 142: 01 .byte 1 + 143: 00 breakpoint + 144: 05 add + 145: 02 pushsp + 146: 00 breakpoint + 147: 00 breakpoint + 148: 00 breakpoint + 149: 00 breakpoint + 14a: 04 poppc + 14b: 0b nop + 14c: 2b ashiftleft + 14d: 00 breakpoint + 14e: 05 add + 14f: 02 pushsp + 150: 00 breakpoint + 151: 00 breakpoint + 152: 00 breakpoint + 153: 00 breakpoint + 154: 18 addsp 32 + 155: 00 breakpoint + 156: 05 add + 157: 02 pushsp + 158: 00 breakpoint + 159: 00 breakpoint + 15a: 00 breakpoint + 15b: 00 breakpoint + 15c: 15 addsp 20 + 15d: 00 breakpoint + 15e: 05 add + 15f: 02 pushsp + 160: 00 breakpoint + 161: 00 breakpoint + 162: 00 breakpoint + 163: 00 breakpoint + 164: 16 addsp 24 + 165: 00 breakpoint + 166: 05 add + 167: 02 pushsp + 168: 00 breakpoint + 169: 00 breakpoint + 16a: 00 breakpoint + 16b: 00 breakpoint + 16c: 17 addsp 28 + 16d: 00 breakpoint + 16e: 05 add + 16f: 02 pushsp + 170: 00 breakpoint + 171: 00 breakpoint + 172: 00 breakpoint + 173: 00 breakpoint + 174: 00 breakpoint + 175: 01 .byte 1 + 176: 01 .byte 1 + 177: 00 breakpoint + 178: 05 add + 179: 02 pushsp + 17a: 00 breakpoint + 17b: 00 breakpoint + 17c: 00 breakpoint + 17d: 00 breakpoint + 17e: 04 poppc + 17f: 0b nop + 180: 3f callpcrel + 181: 00 breakpoint + 182: 05 add + 183: 02 pushsp + 184: 00 breakpoint + 185: 00 breakpoint + 186: 00 breakpoint + 187: 00 breakpoint + 188: 16 addsp 24 + 189: 00 breakpoint + 18a: 05 add + 18b: 02 pushsp + 18c: 00 breakpoint + 18d: 00 breakpoint + 18e: 00 breakpoint + 18f: 00 breakpoint + 190: 15 addsp 20 + 191: 00 breakpoint + 192: 05 add + 193: 02 pushsp + 194: 00 breakpoint + 195: 00 breakpoint + 196: 00 breakpoint + 197: 00 breakpoint + 198: 00 breakpoint + 199: 01 .byte 1 + 19a: 01 .byte 1 + 19b: 00 breakpoint + 19c: 05 add + 19d: 02 pushsp + 19e: 00 breakpoint + 19f: 00 breakpoint + 1a0: 04 poppc + 1a1: 5f storesp 60 + 1a2: 04 poppc + 1a3: 0b nop + 1a4: 48 storesp 96 + 1a5: 00 breakpoint + 1a6: 05 add + 1a7: 02 pushsp + 1a8: 00 breakpoint + 1a9: 00 breakpoint + 1aa: 04 poppc + 1ab: 5f storesp 60 + 1ac: 15 addsp 20 + 1ad: 00 breakpoint + 1ae: 05 add + 1af: 02 pushsp + 1b0: 00 breakpoint + 1b1: 00 breakpoint + 1b2: 04 poppc + 1b3: 66 loadsp 88 + 1b4: 16 addsp 24 + 1b5: 00 breakpoint + 1b6: 05 add + 1b7: 02 pushsp + 1b8: 00 breakpoint + 1b9: 00 breakpoint + 1ba: 04 poppc + 1bb: 6d loadsp 116 + 1bc: 1d addsp 52 + 1bd: 00 breakpoint + 1be: 05 add + 1bf: 02 pushsp + 1c0: 00 breakpoint + 1c1: 00 breakpoint + 1c2: 04 poppc + 1c3: 77 loadsp 28 + 1c4: 15 addsp 20 + 1c5: 00 breakpoint + 1c6: 05 add + 1c7: 02 pushsp + 1c8: 00 breakpoint + 1c9: 00 breakpoint + 1ca: 04 poppc + 1cb: 7e loadsp 56 + 1cc: 15 addsp 20 + 1cd: 00 breakpoint + 1ce: 05 add + 1cf: 02 pushsp + 1d0: 00 breakpoint + 1d1: 00 breakpoint + 1d2: 04 poppc + 1d3: 85 im 5 + 1d4: 1c addsp 48 + 1d5: 00 breakpoint + 1d6: 05 add + 1d7: 02 pushsp + 1d8: 00 breakpoint + 1d9: 00 breakpoint + 1da: 04 poppc + 1db: 86 im 6 + 1dc: 10 addsp 0 + 1dd: 00 breakpoint + 1de: 05 add + 1df: 02 pushsp + 1e0: 00 breakpoint + 1e1: 00 breakpoint + 1e2: 04 poppc + 1e3: 92 im 18 + 1e4: 15 addsp 20 + 1e5: 00 breakpoint + 1e6: 05 add + 1e7: 02 pushsp + 1e8: 00 breakpoint + 1e9: 00 breakpoint + 1ea: 04 poppc + 1eb: 9b im 27 + 1ec: 15 addsp 20 + 1ed: 00 breakpoint + 1ee: 05 add + 1ef: 02 pushsp + 1f0: 00 breakpoint + 1f1: 00 breakpoint + 1f2: 04 poppc + 1f3: a4 im 36 + 1f4: 16 addsp 24 + 1f5: 00 breakpoint + 1f6: 05 add + 1f7: 02 pushsp + 1f8: 00 breakpoint + 1f9: 00 breakpoint + 1fa: 04 poppc + 1fb: a5 im 37 + 1fc: 03 .byte 3 + 1fd: 70 loadsp 0 + 1fe: 01 .byte 1 + 1ff: 00 breakpoint + 200: 05 add + 201: 02 pushsp + 202: 00 breakpoint + 203: 00 breakpoint + 204: 04 poppc + 205: b1 im 49 + 206: 15 addsp 20 + 207: 00 breakpoint + 208: 05 add + 209: 02 pushsp + 20a: 00 breakpoint + 20b: 00 breakpoint + 20c: 04 poppc + 20d: ba im 58 + 20e: 15 addsp 20 + 20f: 00 breakpoint + 210: 05 add + 211: 02 pushsp + 212: 00 breakpoint + 213: 00 breakpoint + 214: 04 poppc + 215: c3 im -61 + 216: 22 loadh + 217: 00 breakpoint + 218: 05 add + 219: 02 pushsp + 21a: 00 breakpoint + 21b: 00 breakpoint + 21c: 04 poppc + 21d: c4 im -60 + 21e: 00 breakpoint + 21f: 01 .byte 1 + 220: 01 .byte 1 + 221: 00 breakpoint + 222: 05 add + 223: 02 pushsp + 224: 00 breakpoint + 225: 00 breakpoint + 226: 00 breakpoint + 227: 00 breakpoint + 228: 04 poppc + 229: 0b nop + 22a: 63 loadsp 76 + 22b: 00 breakpoint + 22c: 05 add + 22d: 02 pushsp + 22e: 00 breakpoint + 22f: 00 breakpoint + 230: 00 breakpoint + 231: 00 breakpoint + 232: 18 addsp 32 + 233: 00 breakpoint + 234: 05 add + 235: 02 pushsp + 236: 00 breakpoint + 237: 00 breakpoint + 238: 00 breakpoint + 239: 00 breakpoint + 23a: 15 addsp 20 + 23b: 00 breakpoint + 23c: 05 add + 23d: 02 pushsp + 23e: 00 breakpoint + 23f: 00 breakpoint + 240: 00 breakpoint + 241: 00 breakpoint + 242: 15 addsp 20 + 243: 00 breakpoint + 244: 05 add + 245: 02 pushsp + 246: 00 breakpoint + 247: 00 breakpoint + 248: 00 breakpoint + 249: 00 breakpoint + 24a: 16 addsp 24 + 24b: 00 breakpoint + 24c: 05 add + 24d: 02 pushsp + 24e: 00 breakpoint + 24f: 00 breakpoint + 250: 00 breakpoint + 251: 00 breakpoint + 252: 12 addsp 8 + 253: 00 breakpoint + 254: 05 add + 255: 02 pushsp + 256: 00 breakpoint + 257: 00 breakpoint + 258: 00 breakpoint + 259: 00 breakpoint + 25a: 18 addsp 32 + 25b: 00 breakpoint + 25c: 05 add + 25d: 02 pushsp + 25e: 00 breakpoint + 25f: 00 breakpoint + 260: 00 breakpoint + 261: 00 breakpoint + 262: 15 addsp 20 + 263: 00 breakpoint + 264: 05 add + 265: 02 pushsp + 266: 00 breakpoint + 267: 00 breakpoint + 268: 00 breakpoint + 269: 00 breakpoint + 26a: 00 breakpoint + 26b: 01 .byte 1 + 26c: 01 .byte 1 + 26d: 00 breakpoint + 26e: 00 breakpoint + 26f: 00 breakpoint + 270: 16 addsp 24 + 271: 00 breakpoint + 272: 02 pushsp + 273: 00 breakpoint + 274: 00 breakpoint + 275: 00 breakpoint + 276: 10 addsp 0 + 277: 01 .byte 1 + 278: 01 .byte 1 + 279: fb im -5 + 27a: 0e .byte 14 + 27b: 0a flip + 27c: 00 breakpoint + 27d: 01 .byte 1 + 27e: 01 .byte 1 + 27f: 01 .byte 1 + 280: 01 .byte 1 + 281: 00 breakpoint + 282: 00 breakpoint + 283: 00 breakpoint + 284: 01 .byte 1 + ... + +00000287 <.Ldebug_line0>: + 287: 00 breakpoint + 288: 00 breakpoint + 289: 00 breakpoint + 28a: ba im 58 + 28b: 00 breakpoint + 28c: 02 pushsp + 28d: 00 breakpoint + 28e: 00 breakpoint + 28f: 00 breakpoint + 290: 82 im 2 + 291: 01 .byte 1 + 292: 01 .byte 1 + 293: f6 im -10 + 294: f5 im -11 + 295: 0a flip + 296: 00 breakpoint + 297: 01 .byte 1 + 298: 01 .byte 1 + 299: 01 .byte 1 + 29a: 01 .byte 1 + 29b: 00 breakpoint + 29c: 00 breakpoint + 29d: 00 breakpoint + 29e: 01 .byte 1 + 29f: 2f neq + 2a0: 63 loadsp 76 + 2a1: 79 loadsp 36 + 2a2: 67 loadsp 92 + 2a3: 64 loadsp 80 + 2a4: 72 loadsp 8 + 2a5: 69 loadsp 100 + 2a6: 76 loadsp 24 + 2a7: 65 loadsp 84 + 2a8: 2f neq + 2a9: 64 loadsp 80 + 2aa: 2f neq + 2ab: 52 storesp 8 + 2ac: 65 loadsp 84 + 2ad: 73 loadsp 12 + 2ae: 65 loadsp 84 + 2af: 61 loadsp 68 + 2b0: 72 loadsp 8 + 2b1: 63 loadsp 76 + 2b2: 68 loadsp 96 + 2b3: 2f neq + 2b4: 43 storesp 76 + 2b5: 6f loadsp 124 + 2b6: 6d loadsp 116 + 2b7: 70 loadsp 0 + 2b8: 75 loadsp 20 + 2b9: 74 loadsp 16 + 2ba: 65 loadsp 84 + 2bb: 72 loadsp 8 + 2bc: 5f storesp 60 + 2bd: 53 storesp 12 + 2be: 63 loadsp 76 + 2bf: 69 loadsp 100 + 2c0: 65 loadsp 84 + 2c1: 6e loadsp 120 + 2c2: 63 loadsp 76 + 2c3: 65 loadsp 84 + 2c4: 2f neq + 2c5: 50 storesp 0 + 2c6: 72 loadsp 8 + 2c7: 6f loadsp 124 + 2c8: 6a loadsp 104 + 2c9: 65 loadsp 84 + 2ca: 63 loadsp 76 + 2cb: 74 loadsp 16 + 2cc: 73 loadsp 12 + 2cd: 2f neq + 2ce: 50 storesp 0 + 2cf: 72 loadsp 8 + 2d0: 61 loadsp 68 + 2d1: 63 loadsp 76 + 2d2: 74 loadsp 16 + 2d3: 69 loadsp 100 + 2d4: 63 loadsp 76 + 2d5: 65 loadsp 84 + 2d6: 2f neq + 2d7: 7a loadsp 40 + 2d8: 70 loadsp 0 + 2d9: 75 loadsp 20 + 2da: 2f neq + 2db: 70 loadsp 0 + 2dc: 73 loadsp 12 + 2dd: 73 loadsp 12 + 2de: 2f neq + 2df: 70 loadsp 0 + 2e0: 73 loadsp 12 + 2e1: 73 loadsp 12 + 2e2: 2f neq + 2e3: 53 storesp 12 + 2e4: 57 storesp 28 + 2e5: 2f neq + 2e6: 6f loadsp 124 + 2e7: 6e loadsp 120 + 2e8: 62 loadsp 72 + 2e9: 6f loadsp 124 + 2ea: 61 loadsp 68 + 2eb: 72 loadsp 8 + 2ec: 64 loadsp 80 + 2ed: 2f neq + 2ee: 48 storesp 96 + 2ef: 65 loadsp 84 + 2f0: 61 loadsp 68 + 2f1: 72 loadsp 8 + 2f2: 74 loadsp 16 + 2f3: 62 loadsp 72 + 2f4: 65 loadsp 84 + 2f5: 61 loadsp 68 + 2f6: 74 loadsp 16 + 2f7: 44 storesp 80 + 2f8: 65 loadsp 84 + 2f9: 6c loadsp 112 + 2fa: 61 loadsp 68 + 2fb: 79 loadsp 36 + 2fc: 00 breakpoint + 2fd: 00 breakpoint + 2fe: 68 loadsp 96 + 2ff: 65 loadsp 84 + 300: 61 loadsp 68 + 301: 72 loadsp 8 + 302: 74 loadsp 16 + 303: 62 loadsp 72 + 304: 65 loadsp 84 + 305: 61 loadsp 68 + 306: 74 loadsp 16 + 307: 64 loadsp 80 + 308: 65 loadsp 84 + 309: 6c loadsp 112 + 30a: 61 loadsp 68 + 30b: 79 loadsp 36 + 30c: 2e eq + 30d: 63 loadsp 76 + 30e: 00 breakpoint + 30f: 01 .byte 1 + 310: 00 breakpoint + 311: 00 breakpoint + 312: 00 breakpoint + 313: 00 breakpoint + 314: 05 add + 315: 02 pushsp + 316: 00 breakpoint + 317: 00 breakpoint + 318: 05 add + 319: 24 lessthan + 31a: 18 addsp 32 + 31b: 00 breakpoint + 31c: 05 add + 31d: 02 pushsp + 31e: 00 breakpoint + 31f: 00 breakpoint + 320: 05 add + 321: 27 ulessthanorequal + 322: 18 addsp 32 + 323: 00 breakpoint + 324: 05 add + 325: 02 pushsp + 326: 00 breakpoint + 327: 00 breakpoint + 328: 05 add + 329: 29 mult + 32a: 18 addsp 32 + 32b: 00 breakpoint + 32c: 05 add + 32d: 02 pushsp + 32e: 00 breakpoint + 32f: 00 breakpoint + 330: 05 add + 331: 2b ashiftleft + 332: 15 addsp 20 + 333: 00 breakpoint + 334: 05 add + 335: 02 pushsp + 336: 00 breakpoint + 337: 00 breakpoint + 338: 05 add + 339: 35 div + 33a: 16 addsp 24 + 33b: 00 breakpoint + 33c: 05 add + 33d: 02 pushsp + 33e: 00 breakpoint + 33f: 00 breakpoint + 340: 05 add + 341: 47 storesp 92 + 342: 00 breakpoint + 343: 01 .byte 1 + 344: 01 .byte 1 + 345: 00 breakpoint + 346: 00 breakpoint + 347: 00 breakpoint + 348: 16 addsp 24 + 349: 00 breakpoint + 34a: 02 pushsp + 34b: 00 breakpoint + 34c: 00 breakpoint + 34d: 00 breakpoint + 34e: 10 addsp 0 + 34f: 01 .byte 1 + 350: 01 .byte 1 + 351: fb im -5 + 352: 0e .byte 14 + 353: 0a flip + 354: 00 breakpoint + 355: 01 .byte 1 + 356: 01 .byte 1 + 357: 01 .byte 1 + 358: 01 .byte 1 + 359: 00 breakpoint + 35a: 00 breakpoint + 35b: 00 breakpoint + 35c: 01 .byte 1 + ... + +0000035f <.Ldebug_line0>: + 35f: 00 breakpoint + 360: 00 breakpoint + 361: 01 .byte 1 + 362: 20 .byte 32 + 363: 00 breakpoint + 364: 02 pushsp + 365: 00 breakpoint + 366: 00 breakpoint + 367: 00 breakpoint + 368: 84 im 4 + 369: 01 .byte 1 + 36a: 01 .byte 1 + 36b: f6 im -10 + 36c: f5 im -11 + 36d: 0a flip + 36e: 00 breakpoint + 36f: 01 .byte 1 + 370: 01 .byte 1 + 371: 01 .byte 1 + 372: 01 .byte 1 + 373: 00 breakpoint + 374: 00 breakpoint + 375: 00 breakpoint + 376: 01 .byte 1 + 377: 2e eq + 378: 2e eq + 379: 2f neq + 37a: 2e eq + 37b: 2e eq + 37c: 2f neq + 37d: 2e eq + 37e: 2e eq + 37f: 2f neq + 380: 2e eq + 381: 2e eq + 382: 2f neq + 383: 2e eq + 384: 2e eq + 385: 2f neq + 386: 67 loadsp 92 + 387: 63 loadsp 76 + 388: 63 loadsp 76 + 389: 2f neq + 38a: 6e loadsp 120 + 38b: 65 loadsp 84 + 38c: 77 loadsp 28 + 38d: 6c loadsp 112 + 38e: 69 loadsp 100 + 38f: 62 loadsp 72 + 390: 2f neq + 391: 6c loadsp 112 + 392: 69 loadsp 100 + 393: 62 loadsp 72 + 394: 63 loadsp 76 + 395: 2f neq + 396: 73 loadsp 12 + 397: 74 loadsp 16 + 398: 72 loadsp 8 + 399: 69 loadsp 100 + 39a: 6e loadsp 120 + 39b: 67 loadsp 92 + 39c: 00 breakpoint + 39d: 2f neq + 39e: 68 loadsp 96 + 39f: 6f loadsp 124 + 3a0: 6d loadsp 116 + 3a1: 65 loadsp 84 + 3a2: 2f neq + 3a3: 6f loadsp 124 + 3a4: 79 loadsp 36 + 3a5: 76 loadsp 24 + 3a6: 69 loadsp 100 + 3a7: 6e loadsp 120 + 3a8: 64 loadsp 80 + 3a9: 2f neq + 3aa: 74 loadsp 16 + 3ab: 6f loadsp 124 + 3ac: 6f loadsp 124 + 3ad: 6c loadsp 112 + 3ae: 63 loadsp 76 + 3af: 68 loadsp 96 + 3b0: 61 loadsp 68 + 3b1: 69 loadsp 100 + 3b2: 6e loadsp 120 + 3b3: 2f neq + 3b4: 74 loadsp 16 + 3b5: 6f loadsp 124 + 3b6: 6f loadsp 124 + 3b7: 6c loadsp 112 + 3b8: 63 loadsp 76 + 3b9: 68 loadsp 96 + 3ba: 61 loadsp 68 + 3bb: 69 loadsp 100 + 3bc: 6e loadsp 120 + 3bd: 2f neq + 3be: 67 loadsp 92 + 3bf: 63 loadsp 76 + 3c0: 63 loadsp 76 + 3c1: 62 loadsp 72 + 3c2: 75 loadsp 20 + 3c3: 69 loadsp 100 + 3c4: 6c loadsp 112 + 3c5: 64 loadsp 80 + 3c6: 2f neq + 3c7: 67 loadsp 92 + 3c8: 63 loadsp 76 + 3c9: 63 loadsp 76 + 3ca: 2f neq + 3cb: 69 loadsp 100 + 3cc: 6e loadsp 120 + 3cd: 63 loadsp 76 + 3ce: 6c loadsp 112 + 3cf: 75 loadsp 20 + 3d0: 64 loadsp 80 + 3d1: 65 loadsp 84 + 3d2: 00 breakpoint + 3d3: 00 breakpoint + 3d4: 73 loadsp 12 + 3d5: 74 loadsp 16 + 3d6: 64 loadsp 80 + 3d7: 64 loadsp 80 + 3d8: 65 loadsp 84 + 3d9: 66 loadsp 88 + 3da: 2e eq + 3db: 68 loadsp 96 + 3dc: 00 breakpoint + 3dd: 02 pushsp + 3de: 00 breakpoint + 3df: 00 breakpoint + 3e0: 6d loadsp 116 + 3e1: 65 loadsp 84 + 3e2: 6d loadsp 116 + 3e3: 63 loadsp 76 + 3e4: 70 loadsp 0 + 3e5: 79 loadsp 36 + 3e6: 2e eq + 3e7: 63 loadsp 76 + 3e8: 00 breakpoint + 3e9: 01 .byte 1 + 3ea: 00 breakpoint + 3eb: 00 breakpoint + 3ec: 00 breakpoint + 3ed: 00 breakpoint + 3ee: 05 add + 3ef: 02 pushsp + 3f0: 00 breakpoint + 3f1: 00 breakpoint + 3f2: 00 breakpoint + 3f3: 00 breakpoint + 3f4: 04 poppc + 3f5: 02 pushsp + 3f6: 4c storesp 112 + 3f7: 00 breakpoint + 3f8: 05 add + 3f9: 02 pushsp + 3fa: 00 breakpoint + 3fb: 00 breakpoint + 3fc: 00 breakpoint + 3fd: 00 breakpoint + 3fe: 22 loadh + 3ff: 00 breakpoint + 400: 05 add + 401: 02 pushsp + 402: 00 breakpoint + 403: 00 breakpoint + 404: 00 breakpoint + 405: 00 breakpoint + 406: 15 addsp 20 + 407: 00 breakpoint + 408: 05 add + 409: 02 pushsp + 40a: 00 breakpoint + 40b: 00 breakpoint + 40c: 00 breakpoint + 40d: 00 breakpoint + 40e: 17 addsp 28 + 40f: 00 breakpoint + 410: 05 add + 411: 02 pushsp + 412: 00 breakpoint + 413: 00 breakpoint + 414: 00 breakpoint + 415: 00 breakpoint + 416: 18 addsp 32 + 417: 00 breakpoint + 418: 05 add + 419: 02 pushsp + 41a: 00 breakpoint + 41b: 00 breakpoint + 41c: 00 breakpoint + 41d: 00 breakpoint + 41e: 30 neg + 41f: 00 breakpoint + 420: 05 add + 421: 02 pushsp + 422: 00 breakpoint + 423: 00 breakpoint + 424: 00 breakpoint + 425: 00 breakpoint + 426: 18 addsp 32 + 427: 00 breakpoint + 428: 05 add + 429: 02 pushsp + 42a: 00 breakpoint + 42b: 00 breakpoint + 42c: 00 breakpoint + 42d: 00 breakpoint + 42e: 03 .byte 3 + 42f: 62 loadsp 72 + 430: 01 .byte 1 + 431: 00 breakpoint + 432: 05 add + 433: 02 pushsp + 434: 00 breakpoint + 435: 00 breakpoint + 436: 00 breakpoint + 437: 00 breakpoint + 438: 1a addsp 40 + 439: 00 breakpoint + 43a: 05 add + 43b: 02 pushsp + 43c: 00 breakpoint + 43d: 00 breakpoint + 43e: 00 breakpoint + 43f: 00 breakpoint + 440: 15 addsp 20 + 441: 00 breakpoint + 442: 05 add + 443: 02 pushsp + 444: 00 breakpoint + 445: 00 breakpoint + 446: 00 breakpoint + 447: 00 breakpoint + 448: 15 addsp 20 + 449: 00 breakpoint + 44a: 05 add + 44b: 02 pushsp + 44c: 00 breakpoint + 44d: 00 breakpoint + 44e: 00 breakpoint + 44f: 00 breakpoint + 450: 15 addsp 20 + 451: 00 breakpoint + 452: 05 add + 453: 02 pushsp + 454: 00 breakpoint + 455: 00 breakpoint + 456: 00 breakpoint + 457: 00 breakpoint + 458: 15 addsp 20 + 459: 00 breakpoint + 45a: 05 add + 45b: 02 pushsp + 45c: 00 breakpoint + 45d: 00 breakpoint + 45e: 00 breakpoint + 45f: 00 breakpoint + 460: 1b addsp 44 + 461: 00 breakpoint + 462: 05 add + 463: 02 pushsp + 464: 00 breakpoint + 465: 00 breakpoint + 466: 00 breakpoint + 467: 00 breakpoint + 468: 13 addsp 12 + 469: 00 breakpoint + 46a: 05 add + 46b: 02 pushsp + 46c: 00 breakpoint + 46d: 00 breakpoint + 46e: 00 breakpoint + 46f: 00 breakpoint + 470: 15 addsp 20 + 471: 00 breakpoint + 472: 05 add + 473: 02 pushsp + 474: 00 breakpoint + 475: 00 breakpoint + 476: 00 breakpoint + 477: 00 breakpoint + 478: 18 addsp 32 + 479: 00 breakpoint + 47a: 05 add + 47b: 02 pushsp + 47c: 00 breakpoint + 47d: 00 breakpoint + 47e: 00 breakpoint + 47f: 00 breakpoint + 480: 00 breakpoint + 481: 01 .byte 1 + 482: 01 .byte 1 + 483: 00 breakpoint + 484: 00 breakpoint + 485: 00 breakpoint + 486: 16 addsp 24 + 487: 00 breakpoint + 488: 02 pushsp + 489: 00 breakpoint + 48a: 00 breakpoint + 48b: 00 breakpoint + 48c: 10 addsp 0 + 48d: 01 .byte 1 + 48e: 01 .byte 1 + 48f: fb im -5 + 490: 0e .byte 14 + 491: 0a flip + 492: 00 breakpoint + 493: 01 .byte 1 + 494: 01 .byte 1 + 495: 01 .byte 1 + 496: 01 .byte 1 + 497: 00 breakpoint + 498: 00 breakpoint + 499: 00 breakpoint + 49a: 01 .byte 1 + ... + +0000049d <.Ldebug_line0>: + 49d: 00 breakpoint + 49e: 00 breakpoint + 49f: 06 and + 4a0: 2b ashiftleft + 4a1: 00 breakpoint + 4a2: 02 pushsp + 4a3: 00 breakpoint + 4a4: 00 breakpoint + 4a5: 01 .byte 1 + 4a6: 4b storesp 108 + 4a7: 01 .byte 1 + 4a8: 01 .byte 1 + 4a9: f6 im -10 + 4aa: f5 im -11 + 4ab: 0a flip + 4ac: 00 breakpoint + 4ad: 01 .byte 1 + 4ae: 01 .byte 1 + 4af: 01 .byte 1 + 4b0: 01 .byte 1 + 4b1: 00 breakpoint + 4b2: 00 breakpoint + 4b3: 00 breakpoint + 4b4: 01 .byte 1 + 4b5: 2e eq + 4b6: 2e eq + 4b7: 2f neq + 4b8: 2e eq + 4b9: 2e eq + 4ba: 2f neq + 4bb: 2e eq + 4bc: 2e eq + 4bd: 2f neq + 4be: 2e eq + 4bf: 2e eq + 4c0: 2f neq + 4c1: 67 loadsp 92 + 4c2: 63 loadsp 76 + 4c3: 63 loadsp 76 + 4c4: 2f neq + 4c5: 6c loadsp 112 + 4c6: 69 loadsp 100 + 4c7: 62 loadsp 72 + 4c8: 67 loadsp 92 + 4c9: 6c loadsp 112 + 4ca: 6f loadsp 124 + 4cb: 73 loadsp 12 + 4cc: 73 loadsp 12 + 4cd: 2f neq + 4ce: 7a loadsp 40 + 4cf: 70 loadsp 0 + 4d0: 75 loadsp 20 + 4d1: 00 breakpoint + 4d2: 2f neq + 4d3: 68 loadsp 96 + 4d4: 6f loadsp 124 + 4d5: 6d loadsp 116 + 4d6: 65 loadsp 84 + 4d7: 2f neq + 4d8: 6f loadsp 124 + 4d9: 79 loadsp 36 + 4da: 76 loadsp 24 + 4db: 69 loadsp 100 + 4dc: 6e loadsp 120 + 4dd: 64 loadsp 80 + 4de: 2f neq + 4df: 74 loadsp 16 + 4e0: 6f loadsp 124 + 4e1: 6f loadsp 124 + 4e2: 6c loadsp 112 + 4e3: 63 loadsp 76 + 4e4: 68 loadsp 96 + 4e5: 61 loadsp 68 + 4e6: 69 loadsp 100 + 4e7: 6e loadsp 120 + 4e8: 2f neq + 4e9: 74 loadsp 16 + 4ea: 6f loadsp 124 + 4eb: 6f loadsp 124 + 4ec: 6c loadsp 112 + 4ed: 63 loadsp 76 + 4ee: 68 loadsp 96 + 4ef: 61 loadsp 68 + 4f0: 69 loadsp 100 + 4f1: 6e loadsp 120 + 4f2: 2f neq + 4f3: 67 loadsp 92 + 4f4: 63 loadsp 76 + 4f5: 63 loadsp 76 + 4f6: 2f neq + 4f7: 6e loadsp 120 + 4f8: 65 loadsp 84 + 4f9: 77 loadsp 28 + 4fa: 6c loadsp 112 + 4fb: 69 loadsp 100 + 4fc: 62 loadsp 72 + 4fd: 2f neq + 4fe: 6c loadsp 112 + 4ff: 69 loadsp 100 + 500: 62 loadsp 72 + 501: 63 loadsp 76 + 502: 2f neq + 503: 69 loadsp 100 + 504: 6e loadsp 120 + 505: 63 loadsp 76 + 506: 6c loadsp 112 + 507: 75 loadsp 20 + 508: 64 loadsp 80 + 509: 65 loadsp 84 + 50a: 00 breakpoint + 50b: 2f neq + 50c: 68 loadsp 96 + 50d: 6f loadsp 124 + 50e: 6d loadsp 116 + 50f: 65 loadsp 84 + 510: 2f neq + 511: 6f loadsp 124 + 512: 79 loadsp 36 + 513: 76 loadsp 24 + 514: 69 loadsp 100 + 515: 6e loadsp 120 + 516: 64 loadsp 80 + 517: 2f neq + 518: 74 loadsp 16 + 519: 6f loadsp 124 + 51a: 6f loadsp 124 + 51b: 6c loadsp 112 + 51c: 63 loadsp 76 + 51d: 68 loadsp 96 + 51e: 61 loadsp 68 + 51f: 69 loadsp 100 + 520: 6e loadsp 120 + 521: 2f neq + 522: 74 loadsp 16 + 523: 6f loadsp 124 + 524: 6f loadsp 124 + 525: 6c loadsp 112 + 526: 63 loadsp 76 + 527: 68 loadsp 96 + 528: 61 loadsp 68 + 529: 69 loadsp 100 + 52a: 6e loadsp 120 + 52b: 2f neq + 52c: 67 loadsp 92 + 52d: 63 loadsp 76 + 52e: 63 loadsp 76 + 52f: 62 loadsp 72 + 530: 75 loadsp 20 + 531: 69 loadsp 100 + 532: 6c loadsp 112 + 533: 64 loadsp 80 + 534: 2f neq + 535: 67 loadsp 92 + 536: 63 loadsp 76 + 537: 63 loadsp 76 + 538: 2f neq + 539: 69 loadsp 100 + 53a: 6e loadsp 120 + 53b: 63 loadsp 76 + 53c: 6c loadsp 112 + 53d: 75 loadsp 20 + 53e: 64 loadsp 80 + 53f: 65 loadsp 84 + 540: 00 breakpoint + 541: 00 breakpoint + 542: 73 loadsp 12 + 543: 74 loadsp 16 + 544: 64 loadsp 80 + 545: 64 loadsp 80 + 546: 65 loadsp 84 + 547: 66 loadsp 88 + 548: 2e eq + 549: 68 loadsp 96 + 54a: 00 breakpoint + 54b: 03 .byte 3 + 54c: 00 breakpoint + 54d: 00 breakpoint + 54e: 73 loadsp 12 + 54f: 74 loadsp 16 + 550: 64 loadsp 80 + 551: 61 loadsp 68 + 552: 72 loadsp 8 + 553: 67 loadsp 92 + 554: 2e eq + 555: 68 loadsp 96 + 556: 00 breakpoint + 557: 03 .byte 3 + 558: 00 breakpoint + 559: 00 breakpoint + 55a: 73 loadsp 12 + 55b: 79 loadsp 36 + 55c: 73 loadsp 12 + 55d: 2f neq + 55e: 5f storesp 60 + 55f: 74 loadsp 16 + 560: 79 loadsp 36 + 561: 70 loadsp 0 + 562: 65 loadsp 84 + 563: 73 loadsp 12 + 564: 2e eq + 565: 68 loadsp 96 + 566: 00 breakpoint + 567: 02 pushsp + 568: 00 breakpoint + 569: 00 breakpoint + 56a: 73 loadsp 12 + 56b: 79 loadsp 36 + 56c: 73 loadsp 12 + 56d: 2f neq + 56e: 72 loadsp 8 + 56f: 65 loadsp 84 + 570: 65 loadsp 84 + 571: 6e loadsp 120 + 572: 74 loadsp 16 + 573: 2e eq + 574: 68 loadsp 96 + 575: 00 breakpoint + 576: 02 pushsp + 577: 00 breakpoint + 578: 00 breakpoint + 579: 73 loadsp 12 + 57a: 79 loadsp 36 + 57b: 73 loadsp 12 + 57c: 2f neq + 57d: 74 loadsp 16 + 57e: 79 loadsp 36 + 57f: 70 loadsp 0 + 580: 65 loadsp 84 + 581: 73 loadsp 12 + 582: 2e eq + 583: 68 loadsp 96 + 584: 00 breakpoint + 585: 02 pushsp + 586: 00 breakpoint + 587: 00 breakpoint + 588: 6d loadsp 116 + 589: 61 loadsp 68 + 58a: 63 loadsp 76 + 58b: 68 loadsp 96 + 58c: 69 loadsp 100 + 58d: 6e loadsp 120 + 58e: 65 loadsp 84 + 58f: 2f neq + 590: 74 loadsp 16 + 591: 79 loadsp 36 + 592: 70 loadsp 0 + 593: 65 loadsp 84 + 594: 73 loadsp 12 + 595: 2e eq + 596: 68 loadsp 96 + 597: 00 breakpoint + 598: 02 pushsp + 599: 00 breakpoint + 59a: 00 breakpoint + 59b: 73 loadsp 12 + 59c: 74 loadsp 16 + 59d: 64 loadsp 80 + 59e: 69 loadsp 100 + 59f: 6f loadsp 124 + 5a0: 2e eq + 5a1: 68 loadsp 96 + 5a2: 00 breakpoint + 5a3: 02 pushsp + 5a4: 00 breakpoint + 5a5: 00 breakpoint + 5a6: 65 loadsp 84 + 5a7: 72 loadsp 8 + 5a8: 72 loadsp 8 + 5a9: 6e loadsp 120 + 5aa: 6f loadsp 124 + 5ab: 2e eq + 5ac: 68 loadsp 96 + 5ad: 00 breakpoint + 5ae: 02 pushsp + 5af: 00 breakpoint + 5b0: 00 breakpoint + 5b1: 74 loadsp 16 + 5b2: 69 loadsp 100 + 5b3: 6d loadsp 116 + 5b4: 65 loadsp 84 + 5b5: 2e eq + 5b6: 68 loadsp 96 + 5b7: 00 breakpoint + 5b8: 02 pushsp + 5b9: 00 breakpoint + 5ba: 00 breakpoint + 5bb: 73 loadsp 12 + 5bc: 79 loadsp 36 + 5bd: 73 loadsp 12 + 5be: 2f neq + 5bf: 73 loadsp 12 + 5c0: 74 loadsp 16 + 5c1: 61 loadsp 68 + 5c2: 74 loadsp 16 + 5c3: 2e eq + 5c4: 68 loadsp 96 + 5c5: 00 breakpoint + 5c6: 02 pushsp + 5c7: 00 breakpoint + 5c8: 00 breakpoint + 5c9: 66 loadsp 88 + 5ca: 69 loadsp 100 + 5cb: 6c loadsp 112 + 5cc: 65 loadsp 84 + 5cd: 69 loadsp 100 + 5ce: 6f loadsp 124 + 5cf: 2e eq + 5d0: 68 loadsp 96 + 5d1: 00 breakpoint + 5d2: 01 .byte 1 + 5d3: 00 breakpoint + 5d4: 00 breakpoint + 5d5: 73 loadsp 12 + 5d6: 79 loadsp 36 + 5d7: 73 loadsp 12 + 5d8: 63 loadsp 76 + 5d9: 61 loadsp 68 + 5da: 6c loadsp 112 + 5db: 6c loadsp 112 + 5dc: 73 loadsp 12 + 5dd: 2e eq + 5de: 63 loadsp 76 + 5df: 00 breakpoint + 5e0: 01 .byte 1 + 5e1: 00 breakpoint + 5e2: 00 breakpoint + 5e3: 3c syscall + 5e4: 69 loadsp 100 + 5e5: 6e loadsp 120 + 5e6: 74 loadsp 16 + 5e7: 65 loadsp 84 + 5e8: 72 loadsp 8 + 5e9: 6e loadsp 120 + 5ea: 61 loadsp 68 + 5eb: 6c loadsp 112 + 5ec: 3e halfmult + 5ed: 00 breakpoint + 5ee: 00 breakpoint + 5ef: 00 breakpoint + 5f0: 00 breakpoint + 5f1: 00 breakpoint + 5f2: 00 breakpoint + 5f3: 05 add + 5f4: 02 pushsp + 5f5: 00 breakpoint + 5f6: 00 breakpoint + 5f7: 00 breakpoint + 5f8: 00 breakpoint + 5f9: 00 breakpoint + 5fa: 01 .byte 1 + 5fb: 01 .byte 1 + 5fc: 00 breakpoint + 5fd: 05 add + 5fe: 02 pushsp + 5ff: 00 breakpoint + 600: 00 breakpoint + 601: 07 or + 602: 43 storesp 76 + 603: 04 poppc + 604: 0c store + 605: 37 eqbranch + 606: 00 breakpoint + 607: 05 add + 608: 02 pushsp + 609: 00 breakpoint + 60a: 00 breakpoint + 60b: 07 or + 60c: 46 storesp 88 + 60d: 17 addsp 28 + 60e: 00 breakpoint + 60f: 05 add + 610: 02 pushsp + 611: 00 breakpoint + 612: 00 breakpoint + 613: 07 or + 614: 56 storesp 24 + 615: 15 addsp 20 + 616: 00 breakpoint + 617: 05 add + 618: 02 pushsp + 619: 00 breakpoint + 61a: 00 breakpoint + 61b: 07 or + 61c: 59 storesp 36 + 61d: 16 addsp 24 + 61e: 00 breakpoint + 61f: 05 add + 620: 02 pushsp + 621: 00 breakpoint + 622: 00 breakpoint + 623: 07 or + 624: 5c storesp 48 + 625: 15 addsp 20 + 626: 00 breakpoint + 627: 05 add + 628: 02 pushsp + 629: 00 breakpoint + 62a: 00 breakpoint + 62b: 07 or + 62c: 64 loadsp 80 + 62d: 15 addsp 20 + 62e: 00 breakpoint + 62f: 05 add + 630: 02 pushsp + 631: 00 breakpoint + 632: 00 breakpoint + 633: 07 or + 634: 69 loadsp 100 + 635: 0f .byte 15 + 636: 00 breakpoint + 637: 05 add + 638: 02 pushsp + 639: 00 breakpoint + 63a: 00 breakpoint + 63b: 07 or + 63c: 6d loadsp 116 + 63d: 15 addsp 20 + 63e: 00 breakpoint + 63f: 05 add + 640: 02 pushsp + 641: 00 breakpoint + 642: 00 breakpoint + 643: 07 or + 644: 70 loadsp 0 + 645: 16 addsp 24 + 646: 00 breakpoint + 647: 05 add + 648: 02 pushsp + 649: 00 breakpoint + 64a: 00 breakpoint + 64b: 07 or + 64c: 73 loadsp 12 + 64d: 15 addsp 20 + 64e: 00 breakpoint + 64f: 05 add + 650: 02 pushsp + 651: 00 breakpoint + 652: 00 breakpoint + 653: 07 or + 654: 7b loadsp 44 + 655: 15 addsp 20 + 656: 00 breakpoint + 657: 05 add + 658: 02 pushsp + 659: 00 breakpoint + 65a: 00 breakpoint + 65b: 07 or + 65c: 80 im 0 + 65d: 00 breakpoint + 65e: 01 .byte 1 + 65f: 01 .byte 1 + 660: 00 breakpoint + 661: 05 add + 662: 02 pushsp + 663: 00 breakpoint + 664: 00 breakpoint + 665: 07 or + 666: 80 im 0 + 667: 04 poppc + 668: 0c store + 669: 48 storesp 96 + 66a: 00 breakpoint + 66b: 05 add + 66c: 02 pushsp + 66d: 00 breakpoint + 66e: 00 breakpoint + 66f: 07 or + 670: 80 im 0 + 671: 16 addsp 24 + 672: 00 breakpoint + 673: 05 add + 674: 02 pushsp + 675: 00 breakpoint + 676: 00 breakpoint + 677: 07 or + 678: 83 im 3 + 679: 00 breakpoint + 67a: 01 .byte 1 + 67b: 01 .byte 1 + 67c: 00 breakpoint + 67d: 05 add + 67e: 02 pushsp + 67f: 00 breakpoint + 680: 00 breakpoint + 681: 07 or + 682: 83 im 3 + 683: 04 poppc + 684: 0c store + 685: 4f storesp 124 + 686: 00 breakpoint + 687: 05 add + 688: 02 pushsp + 689: 00 breakpoint + 68a: 00 breakpoint + 68b: 07 or + 68c: 83 im 3 + 68d: 16 addsp 24 + 68e: 00 breakpoint + 68f: 05 add + 690: 02 pushsp + 691: 00 breakpoint + 692: 00 breakpoint + 693: 07 or + 694: 86 im 6 + 695: 00 breakpoint + 696: 01 .byte 1 + 697: 01 .byte 1 + 698: 00 breakpoint + 699: 05 add + 69a: 02 pushsp + 69b: 00 breakpoint + 69c: 00 breakpoint + 69d: 00 breakpoint + 69e: 00 breakpoint + 69f: 04 poppc + 6a0: 0c store + 6a1: 5b storesp 44 + 6a2: 00 breakpoint + 6a3: 05 add + 6a4: 02 pushsp + 6a5: 00 breakpoint + 6a6: 00 breakpoint + 6a7: 00 breakpoint + 6a8: 00 breakpoint + 6a9: 15 addsp 20 + 6aa: 00 breakpoint + 6ab: 05 add + 6ac: 02 pushsp + 6ad: 00 breakpoint + 6ae: 00 breakpoint + 6af: 00 breakpoint + 6b0: 00 breakpoint + 6b1: 1f addsp 60 + 6b2: 00 breakpoint + 6b3: 05 add + 6b4: 02 pushsp + 6b5: 00 breakpoint + 6b6: 00 breakpoint + 6b7: 00 breakpoint + 6b8: 00 breakpoint + 6b9: 03 .byte 3 + 6ba: 75 loadsp 20 + 6bb: 01 .byte 1 + 6bc: 00 breakpoint + 6bd: 05 add + 6be: 02 pushsp + 6bf: 00 breakpoint + 6c0: 00 breakpoint + 6c1: 00 breakpoint + 6c2: 00 breakpoint + 6c3: 1f addsp 60 + 6c4: 00 breakpoint + 6c5: 05 add + 6c6: 02 pushsp + 6c7: 00 breakpoint + 6c8: 00 breakpoint + 6c9: 00 breakpoint + 6ca: 00 breakpoint + 6cb: 15 addsp 20 + 6cc: 00 breakpoint + 6cd: 05 add + 6ce: 02 pushsp + 6cf: 00 breakpoint + 6d0: 00 breakpoint + 6d1: 00 breakpoint + 6d2: 00 breakpoint + 6d3: 17 addsp 28 + 6d4: 00 breakpoint + 6d5: 05 add + 6d6: 02 pushsp + 6d7: 00 breakpoint + 6d8: 00 breakpoint + 6d9: 00 breakpoint + 6da: 00 breakpoint + 6db: 10 addsp 0 + 6dc: 00 breakpoint + 6dd: 05 add + 6de: 02 pushsp + 6df: 00 breakpoint + 6e0: 00 breakpoint + 6e1: 00 breakpoint + 6e2: 00 breakpoint + 6e3: 1a addsp 40 + 6e4: 00 breakpoint + 6e5: 05 add + 6e6: 02 pushsp + 6e7: 00 breakpoint + 6e8: 00 breakpoint + 6e9: 00 breakpoint + 6ea: 00 breakpoint + 6eb: 16 addsp 24 + 6ec: 00 breakpoint + 6ed: 05 add + 6ee: 02 pushsp + 6ef: 00 breakpoint + 6f0: 00 breakpoint + 6f1: 00 breakpoint + 6f2: 00 breakpoint + 6f3: 0e .byte 14 + 6f4: 00 breakpoint + 6f5: 05 add + 6f6: 02 pushsp + 6f7: 00 breakpoint + 6f8: 00 breakpoint + 6f9: 00 breakpoint + 6fa: 00 breakpoint + 6fb: 16 addsp 24 + 6fc: 00 breakpoint + 6fd: 05 add + 6fe: 02 pushsp + 6ff: 00 breakpoint + 700: 00 breakpoint + 701: 00 breakpoint + 702: 00 breakpoint + 703: 10 addsp 0 + 704: 00 breakpoint + 705: 05 add + 706: 02 pushsp + 707: 00 breakpoint + 708: 00 breakpoint + 709: 00 breakpoint + 70a: 00 breakpoint + 70b: 0d popsp + 70c: 00 breakpoint + 70d: 05 add + 70e: 02 pushsp + 70f: 00 breakpoint + 710: 00 breakpoint + 711: 00 breakpoint + 712: 00 breakpoint + 713: 15 addsp 20 + 714: 00 breakpoint + 715: 05 add + 716: 02 pushsp + 717: 00 breakpoint + 718: 00 breakpoint + 719: 00 breakpoint + 71a: 00 breakpoint + 71b: 22 loadh + 71c: 00 breakpoint + 71d: 05 add + 71e: 02 pushsp + 71f: 00 breakpoint + 720: 00 breakpoint + 721: 00 breakpoint + 722: 00 breakpoint + 723: 00 breakpoint + 724: 01 .byte 1 + 725: 01 .byte 1 + 726: 00 breakpoint + 727: 05 add + 728: 02 pushsp + 729: 00 breakpoint + 72a: 00 breakpoint + 72b: 00 breakpoint + 72c: 00 breakpoint + 72d: 04 poppc + 72e: 0c store + 72f: 7b loadsp 44 + 730: 00 breakpoint + 731: 05 add + 732: 02 pushsp + 733: 00 breakpoint + 734: 00 breakpoint + 735: 00 breakpoint + 736: 00 breakpoint + 737: 15 addsp 20 + 738: 00 breakpoint + 739: 05 add + 73a: 02 pushsp + 73b: 00 breakpoint + 73c: 00 breakpoint + 73d: 00 breakpoint + 73e: 00 breakpoint + 73f: 1d addsp 52 + 740: 00 breakpoint + 741: 05 add + 742: 02 pushsp + 743: 00 breakpoint + 744: 00 breakpoint + 745: 00 breakpoint + 746: 00 breakpoint + 747: 0b nop + 748: 00 breakpoint + 749: 05 add + 74a: 02 pushsp + 74b: 00 breakpoint + 74c: 00 breakpoint + 74d: 00 breakpoint + 74e: 00 breakpoint + 74f: 1f addsp 60 + 750: 00 breakpoint + 751: 05 add + 752: 02 pushsp + 753: 00 breakpoint + 754: 00 breakpoint + 755: 00 breakpoint + 756: 00 breakpoint + 757: 15 addsp 20 + 758: 00 breakpoint + 759: 05 add + 75a: 02 pushsp + 75b: 00 breakpoint + 75c: 00 breakpoint + 75d: 00 breakpoint + 75e: 00 breakpoint + 75f: 16 addsp 24 + 760: 00 breakpoint + 761: 05 add + 762: 02 pushsp + 763: 00 breakpoint + 764: 00 breakpoint + 765: 00 breakpoint + 766: 00 breakpoint + 767: 16 addsp 24 + 768: 00 breakpoint + 769: 05 add + 76a: 02 pushsp + 76b: 00 breakpoint + 76c: 00 breakpoint + 76d: 00 breakpoint + 76e: 00 breakpoint + 76f: 15 addsp 20 + 770: 00 breakpoint + 771: 05 add + 772: 02 pushsp + 773: 00 breakpoint + 774: 00 breakpoint + 775: 00 breakpoint + 776: 00 breakpoint + 777: 0e .byte 14 + 778: 00 breakpoint + 779: 05 add + 77a: 02 pushsp + 77b: 00 breakpoint + 77c: 00 breakpoint + 77d: 00 breakpoint + 77e: 00 breakpoint + 77f: 25 lessthanorequal + 780: 00 breakpoint + 781: 05 add + 782: 02 pushsp + 783: 00 breakpoint + 784: 00 breakpoint + 785: 00 breakpoint + 786: 00 breakpoint + 787: 16 addsp 24 + 788: 00 breakpoint + 789: 05 add + 78a: 02 pushsp + 78b: 00 breakpoint + 78c: 00 breakpoint + 78d: 00 breakpoint + 78e: 00 breakpoint + 78f: 03 .byte 3 + 790: 66 loadsp 88 + 791: 01 .byte 1 + 792: 00 breakpoint + 793: 05 add + 794: 02 pushsp + 795: 00 breakpoint + 796: 00 breakpoint + 797: 00 breakpoint + 798: 00 breakpoint + 799: 15 addsp 20 + 79a: 00 breakpoint + 79b: 05 add + 79c: 02 pushsp + 79d: 00 breakpoint + 79e: 00 breakpoint + 79f: 00 breakpoint + 7a0: 00 breakpoint + 7a1: 2d call + 7a2: 00 breakpoint + 7a3: 05 add + 7a4: 02 pushsp + 7a5: 00 breakpoint + 7a6: 00 breakpoint + 7a7: 00 breakpoint + 7a8: 00 breakpoint + 7a9: 0b nop + 7aa: 00 breakpoint + 7ab: 05 add + 7ac: 02 pushsp + 7ad: 00 breakpoint + 7ae: 00 breakpoint + 7af: 00 breakpoint + 7b0: 00 breakpoint + 7b1: 15 addsp 20 + 7b2: 00 breakpoint + 7b3: 05 add + 7b4: 02 pushsp + 7b5: 00 breakpoint + 7b6: 00 breakpoint + 7b7: 00 breakpoint + 7b8: 00 breakpoint + 7b9: 15 addsp 20 + 7ba: 00 breakpoint + 7bb: 05 add + 7bc: 02 pushsp + 7bd: 00 breakpoint + 7be: 00 breakpoint + 7bf: 00 breakpoint + 7c0: 00 breakpoint + 7c1: 15 addsp 20 + 7c2: 00 breakpoint + 7c3: 05 add + 7c4: 02 pushsp + 7c5: 00 breakpoint + 7c6: 00 breakpoint + 7c7: 00 breakpoint + 7c8: 00 breakpoint + 7c9: 18 addsp 32 + 7ca: 00 breakpoint + 7cb: 05 add + 7cc: 02 pushsp + 7cd: 00 breakpoint + 7ce: 00 breakpoint + 7cf: 00 breakpoint + 7d0: 00 breakpoint + 7d1: 00 breakpoint + 7d2: 01 .byte 1 + 7d3: 01 .byte 1 + 7d4: 00 breakpoint + 7d5: 05 add + 7d6: 02 pushsp + 7d7: 00 breakpoint + 7d8: 00 breakpoint + 7d9: 00 breakpoint + 7da: 00 breakpoint + 7db: 04 poppc + 7dc: 0c store + 7dd: a6 im 38 + 7de: 00 breakpoint + 7df: 05 add + 7e0: 02 pushsp + 7e1: 00 breakpoint + 7e2: 00 breakpoint + 7e3: 00 breakpoint + 7e4: 00 breakpoint + 7e5: 15 addsp 20 + 7e6: 00 breakpoint + 7e7: 05 add + 7e8: 02 pushsp + 7e9: 00 breakpoint + 7ea: 00 breakpoint + 7eb: 00 breakpoint + 7ec: 00 breakpoint + 7ed: 18 addsp 32 + 7ee: 00 breakpoint + 7ef: 05 add + 7f0: 02 pushsp + 7f1: 00 breakpoint + 7f2: 00 breakpoint + 7f3: 00 breakpoint + 7f4: 00 breakpoint + 7f5: 15 addsp 20 + 7f6: 00 breakpoint + 7f7: 05 add + 7f8: 02 pushsp + 7f9: 00 breakpoint + 7fa: 00 breakpoint + 7fb: 00 breakpoint + 7fc: 00 breakpoint + 7fd: 1b addsp 44 + 7fe: 00 breakpoint + 7ff: 05 add + 800: 02 pushsp + 801: 00 breakpoint + 802: 00 breakpoint + 803: 00 breakpoint + 804: 00 breakpoint + 805: 11 addsp 4 + 806: 00 breakpoint + 807: 05 add + 808: 02 pushsp + 809: 00 breakpoint + 80a: 00 breakpoint + 80b: 00 breakpoint + 80c: 00 breakpoint + 80d: 15 addsp 20 + 80e: 00 breakpoint + 80f: 05 add + 810: 02 pushsp + 811: 00 breakpoint + 812: 00 breakpoint + 813: 00 breakpoint + 814: 00 breakpoint + 815: 16 addsp 24 + 816: 00 breakpoint + 817: 05 add + 818: 02 pushsp + 819: 00 breakpoint + 81a: 00 breakpoint + 81b: 00 breakpoint + 81c: 00 breakpoint + 81d: 00 breakpoint + 81e: 01 .byte 1 + 81f: 01 .byte 1 + 820: 00 breakpoint + 821: 05 add + 822: 02 pushsp + 823: 00 breakpoint + 824: 00 breakpoint + 825: 00 breakpoint + 826: 00 breakpoint + 827: 04 poppc + 828: 0c store + 829: bd im 61 + 82a: 00 breakpoint + 82b: 05 add + 82c: 02 pushsp + 82d: 00 breakpoint + 82e: 00 breakpoint + 82f: 00 breakpoint + 830: 00 breakpoint + 831: 15 addsp 20 + 832: 00 breakpoint + 833: 05 add + 834: 02 pushsp + 835: 00 breakpoint + 836: 00 breakpoint + 837: 00 breakpoint + 838: 00 breakpoint + 839: 1d addsp 52 + 83a: 00 breakpoint + 83b: 05 add + 83c: 02 pushsp + 83d: 00 breakpoint + 83e: 00 breakpoint + 83f: 00 breakpoint + 840: 00 breakpoint + 841: 0b nop + 842: 00 breakpoint + 843: 05 add + 844: 02 pushsp + 845: 00 breakpoint + 846: 00 breakpoint + 847: 00 breakpoint + 848: 00 breakpoint + 849: 1f addsp 60 + 84a: 00 breakpoint + 84b: 05 add + 84c: 02 pushsp + 84d: 00 breakpoint + 84e: 00 breakpoint + 84f: 00 breakpoint + 850: 00 breakpoint + 851: 0d popsp + 852: 00 breakpoint + 853: 05 add + 854: 02 pushsp + 855: 00 breakpoint + 856: 00 breakpoint + 857: 00 breakpoint + 858: 00 breakpoint + 859: 15 addsp 20 + 85a: 00 breakpoint + 85b: 05 add + 85c: 02 pushsp + 85d: 00 breakpoint + 85e: 00 breakpoint + 85f: 00 breakpoint + 860: 00 breakpoint + 861: 15 addsp 20 + 862: 00 breakpoint + 863: 05 add + 864: 02 pushsp + 865: 00 breakpoint + 866: 00 breakpoint + 867: 00 breakpoint + 868: 00 breakpoint + 869: 19 addsp 36 + 86a: 00 breakpoint + 86b: 05 add + 86c: 02 pushsp + 86d: 00 breakpoint + 86e: 00 breakpoint + 86f: 00 breakpoint + 870: 00 breakpoint + 871: 00 breakpoint + 872: 01 .byte 1 + 873: 01 .byte 1 + 874: 00 breakpoint + 875: 05 add + 876: 02 pushsp + 877: 00 breakpoint + 878: 00 breakpoint + 879: 00 breakpoint + 87a: 00 breakpoint + 87b: 04 poppc + 87c: 0c store + 87d: d0 im -48 + 87e: 00 breakpoint + 87f: 05 add + 880: 02 pushsp + 881: 00 breakpoint + 882: 00 breakpoint + 883: 00 breakpoint + 884: 00 breakpoint + 885: 20 .byte 32 + 886: 00 breakpoint + 887: 05 add + 888: 02 pushsp + 889: 00 breakpoint + 88a: 00 breakpoint + 88b: 00 breakpoint + 88c: 00 breakpoint + 88d: 16 addsp 24 + 88e: 00 breakpoint + 88f: 05 add + 890: 02 pushsp + 891: 00 breakpoint + 892: 00 breakpoint + 893: 00 breakpoint + 894: 00 breakpoint + 895: 00 breakpoint + 896: 01 .byte 1 + 897: 01 .byte 1 + 898: 00 breakpoint + 899: 05 add + 89a: 02 pushsp + 89b: 00 breakpoint + 89c: 00 breakpoint + 89d: 00 breakpoint + 89e: 00 breakpoint + 89f: 04 poppc + 8a0: 0c store + 8a1: e8 im -24 + 8a2: 00 breakpoint + 8a3: 05 add + 8a4: 02 pushsp + 8a5: 00 breakpoint + 8a6: 00 breakpoint + 8a7: 00 breakpoint + 8a8: 00 breakpoint + 8a9: 15 addsp 20 + 8aa: 00 breakpoint + 8ab: 05 add + 8ac: 02 pushsp + 8ad: 00 breakpoint + 8ae: 00 breakpoint + 8af: 00 breakpoint + 8b0: 00 breakpoint + 8b1: 18 addsp 32 + 8b2: 00 breakpoint + 8b3: 05 add + 8b4: 02 pushsp + 8b5: 00 breakpoint + 8b6: 00 breakpoint + 8b7: 00 breakpoint + 8b8: 00 breakpoint + 8b9: 15 addsp 20 + 8ba: 00 breakpoint + 8bb: 05 add + 8bc: 02 pushsp + 8bd: 00 breakpoint + 8be: 00 breakpoint + 8bf: 00 breakpoint + 8c0: 00 breakpoint + 8c1: 1b addsp 44 + 8c2: 00 breakpoint + 8c3: 05 add + 8c4: 02 pushsp + 8c5: 00 breakpoint + 8c6: 00 breakpoint + 8c7: 00 breakpoint + 8c8: 00 breakpoint + 8c9: 11 addsp 4 + 8ca: 00 breakpoint + 8cb: 05 add + 8cc: 02 pushsp + 8cd: 00 breakpoint + 8ce: 00 breakpoint + 8cf: 00 breakpoint + 8d0: 00 breakpoint + 8d1: 15 addsp 20 + 8d2: 00 breakpoint + 8d3: 05 add + 8d4: 02 pushsp + 8d5: 00 breakpoint + 8d6: 00 breakpoint + 8d7: 00 breakpoint + 8d8: 00 breakpoint + 8d9: 16 addsp 24 + 8da: 00 breakpoint + 8db: 05 add + 8dc: 02 pushsp + 8dd: 00 breakpoint + 8de: 00 breakpoint + 8df: 00 breakpoint + 8e0: 00 breakpoint + 8e1: 00 breakpoint + 8e2: 01 .byte 1 + 8e3: 01 .byte 1 + 8e4: 00 breakpoint + 8e5: 05 add + 8e6: 02 pushsp + 8e7: 00 breakpoint + 8e8: 00 breakpoint + 8e9: 00 breakpoint + 8ea: 00 breakpoint + 8eb: 04 poppc + 8ec: 0c store + 8ed: 03 .byte 3 + 8ee: ec im -20 + 8ef: 01 .byte 1 + 8f0: 01 .byte 1 + 8f1: 00 breakpoint + 8f2: 05 add + 8f3: 02 pushsp + 8f4: 00 breakpoint + 8f5: 00 breakpoint + 8f6: 00 breakpoint + 8f7: 00 breakpoint + 8f8: 15 addsp 20 + 8f9: 00 breakpoint + 8fa: 05 add + 8fb: 02 pushsp + 8fc: 00 breakpoint + 8fd: 00 breakpoint + 8fe: 00 breakpoint + 8ff: 00 breakpoint + 900: 18 addsp 32 + 901: 00 breakpoint + 902: 05 add + 903: 02 pushsp + 904: 00 breakpoint + 905: 00 breakpoint + 906: 00 breakpoint + 907: 00 breakpoint + 908: 15 addsp 20 + 909: 00 breakpoint + 90a: 05 add + 90b: 02 pushsp + 90c: 00 breakpoint + 90d: 00 breakpoint + 90e: 00 breakpoint + 90f: 00 breakpoint + 910: 1b addsp 44 + 911: 00 breakpoint + 912: 05 add + 913: 02 pushsp + 914: 00 breakpoint + 915: 00 breakpoint + 916: 00 breakpoint + 917: 00 breakpoint + 918: 11 addsp 4 + 919: 00 breakpoint + 91a: 05 add + 91b: 02 pushsp + 91c: 00 breakpoint + 91d: 00 breakpoint + 91e: 00 breakpoint + 91f: 00 breakpoint + 920: 15 addsp 20 + 921: 00 breakpoint + 922: 05 add + 923: 02 pushsp + 924: 00 breakpoint + 925: 00 breakpoint + 926: 00 breakpoint + 927: 00 breakpoint + 928: 16 addsp 24 + 929: 00 breakpoint + 92a: 05 add + 92b: 02 pushsp + 92c: 00 breakpoint + 92d: 00 breakpoint + 92e: 00 breakpoint + 92f: 00 breakpoint + 930: 00 breakpoint + 931: 01 .byte 1 + 932: 01 .byte 1 + 933: 00 breakpoint + 934: 05 add + 935: 02 pushsp + 936: 00 breakpoint + 937: 00 breakpoint + 938: 00 breakpoint + 939: 00 breakpoint + 93a: 04 poppc + 93b: 0c store + 93c: 03 .byte 3 + 93d: fd im -3 + 93e: 01 .byte 1 + 93f: 01 .byte 1 + 940: 00 breakpoint + 941: 05 add + 942: 02 pushsp + 943: 00 breakpoint + 944: 00 breakpoint + 945: 00 breakpoint + 946: 00 breakpoint + 947: 15 addsp 20 + 948: 00 breakpoint + 949: 05 add + 94a: 02 pushsp + 94b: 00 breakpoint + 94c: 00 breakpoint + 94d: 00 breakpoint + 94e: 00 breakpoint + 94f: 16 addsp 24 + 950: 00 breakpoint + 951: 05 add + 952: 02 pushsp + 953: 00 breakpoint + 954: 00 breakpoint + 955: 00 breakpoint + 956: 00 breakpoint + 957: 16 addsp 24 + 958: 00 breakpoint + 959: 05 add + 95a: 02 pushsp + 95b: 00 breakpoint + 95c: 00 breakpoint + 95d: 00 breakpoint + 95e: 00 breakpoint + 95f: 12 addsp 8 + 960: 00 breakpoint + 961: 05 add + 962: 02 pushsp + 963: 00 breakpoint + 964: 00 breakpoint + 965: 00 breakpoint + 966: 00 breakpoint + 967: 19 addsp 36 + 968: 00 breakpoint + 969: 05 add + 96a: 02 pushsp + 96b: 00 breakpoint + 96c: 00 breakpoint + 96d: 00 breakpoint + 96e: 00 breakpoint + 96f: 00 breakpoint + 970: 01 .byte 1 + 971: 01 .byte 1 + 972: 00 breakpoint + 973: 05 add + 974: 02 pushsp + 975: 00 breakpoint + 976: 00 breakpoint + 977: 00 breakpoint + 978: 00 breakpoint + 979: 04 poppc + 97a: 0c store + 97b: 03 .byte 3 + 97c: 88 im 8 + 97d: 02 pushsp + 97e: 01 .byte 1 + 97f: 00 breakpoint + 980: 05 add + 981: 02 pushsp + 982: 00 breakpoint + 983: 00 breakpoint + 984: 00 breakpoint + 985: 00 breakpoint + 986: 15 addsp 20 + 987: 00 breakpoint + 988: 05 add + 989: 02 pushsp + 98a: 00 breakpoint + 98b: 00 breakpoint + 98c: 00 breakpoint + 98d: 00 breakpoint + 98e: 15 addsp 20 + 98f: 00 breakpoint + 990: 05 add + 991: 02 pushsp + 992: 00 breakpoint + 993: 00 breakpoint + 994: 00 breakpoint + 995: 00 breakpoint + 996: 15 addsp 20 + 997: 00 breakpoint + 998: 05 add + 999: 02 pushsp + 99a: 00 breakpoint + 99b: 00 breakpoint + 99c: 00 breakpoint + 99d: 00 breakpoint + 99e: 15 addsp 20 + 99f: 00 breakpoint + 9a0: 05 add + 9a1: 02 pushsp + 9a2: 00 breakpoint + 9a3: 00 breakpoint + 9a4: 00 breakpoint + 9a5: 00 breakpoint + 9a6: 15 addsp 20 + 9a7: 00 breakpoint + 9a8: 05 add + 9a9: 02 pushsp + 9aa: 00 breakpoint + 9ab: 00 breakpoint + 9ac: 00 breakpoint + 9ad: 00 breakpoint + 9ae: 15 addsp 20 + 9af: 00 breakpoint + 9b0: 05 add + 9b1: 02 pushsp + 9b2: 00 breakpoint + 9b3: 00 breakpoint + 9b4: 00 breakpoint + 9b5: 00 breakpoint + 9b6: 15 addsp 20 + 9b7: 00 breakpoint + 9b8: 05 add + 9b9: 02 pushsp + 9ba: 00 breakpoint + 9bb: 00 breakpoint + 9bc: 00 breakpoint + 9bd: 00 breakpoint + 9be: 15 addsp 20 + 9bf: 00 breakpoint + 9c0: 05 add + 9c1: 02 pushsp + 9c2: 00 breakpoint + 9c3: 00 breakpoint + 9c4: 00 breakpoint + 9c5: 00 breakpoint + 9c6: 15 addsp 20 + 9c7: 00 breakpoint + 9c8: 05 add + 9c9: 02 pushsp + 9ca: 00 breakpoint + 9cb: 00 breakpoint + 9cc: 00 breakpoint + 9cd: 00 breakpoint + 9ce: 00 breakpoint + 9cf: 01 .byte 1 + 9d0: 01 .byte 1 + 9d1: 00 breakpoint + 9d2: 05 add + 9d3: 02 pushsp + 9d4: 00 breakpoint + 9d5: 00 breakpoint + 9d6: 00 breakpoint + 9d7: 00 breakpoint + 9d8: 04 poppc + 9d9: 0c store + 9da: 03 .byte 3 + 9db: a1 im 33 + 9dc: 02 pushsp + 9dd: 01 .byte 1 + 9de: 00 breakpoint + 9df: 05 add + 9e0: 02 pushsp + 9e1: 00 breakpoint + 9e2: 00 breakpoint + 9e3: 00 breakpoint + 9e4: 00 breakpoint + 9e5: 15 addsp 20 + 9e6: 00 breakpoint + 9e7: 05 add + 9e8: 02 pushsp + 9e9: 00 breakpoint + 9ea: 00 breakpoint + 9eb: 00 breakpoint + 9ec: 00 breakpoint + 9ed: 22 loadh + 9ee: 00 breakpoint + 9ef: 05 add + 9f0: 02 pushsp + 9f1: 00 breakpoint + 9f2: 00 breakpoint + 9f3: 00 breakpoint + 9f4: 00 breakpoint + 9f5: 15 addsp 20 + 9f6: 00 breakpoint + 9f7: 05 add + 9f8: 02 pushsp + 9f9: 00 breakpoint + 9fa: 00 breakpoint + 9fb: 00 breakpoint + 9fc: 00 breakpoint + 9fd: 18 addsp 32 + 9fe: 00 breakpoint + 9ff: 05 add + a00: 02 pushsp + a01: 00 breakpoint + a02: 00 breakpoint + a03: 00 breakpoint + a04: 00 breakpoint + a05: 03 .byte 3 + a06: 72 loadsp 8 + a07: 01 .byte 1 + a08: 00 breakpoint + a09: 05 add + a0a: 02 pushsp + a0b: 00 breakpoint + a0c: 00 breakpoint + a0d: 00 breakpoint + a0e: 00 breakpoint + a0f: 15 addsp 20 + a10: 00 breakpoint + a11: 05 add + a12: 02 pushsp + a13: 00 breakpoint + a14: 00 breakpoint + a15: 00 breakpoint + a16: 00 breakpoint + a17: 15 addsp 20 + a18: 00 breakpoint + a19: 05 add + a1a: 02 pushsp + a1b: 00 breakpoint + a1c: 00 breakpoint + a1d: 00 breakpoint + a1e: 00 breakpoint + a1f: 20 .byte 32 + a20: 00 breakpoint + a21: 05 add + a22: 02 pushsp + a23: 00 breakpoint + a24: 00 breakpoint + a25: 00 breakpoint + a26: 00 breakpoint + a27: 00 breakpoint + a28: 01 .byte 1 + a29: 01 .byte 1 + a2a: 00 breakpoint + a2b: 05 add + a2c: 02 pushsp + a2d: 00 breakpoint + a2e: 00 breakpoint + a2f: 00 breakpoint + a30: 00 breakpoint + a31: 04 poppc + a32: 0c store + a33: 03 .byte 3 + a34: bc im 60 + a35: 02 pushsp + a36: 01 .byte 1 + a37: 00 breakpoint + a38: 05 add + a39: 02 pushsp + a3a: 00 breakpoint + a3b: 00 breakpoint + a3c: 00 breakpoint + a3d: 00 breakpoint + a3e: 15 addsp 20 + a3f: 00 breakpoint + a40: 05 add + a41: 02 pushsp + a42: 00 breakpoint + a43: 00 breakpoint + a44: 00 breakpoint + a45: 00 breakpoint + a46: 19 addsp 36 + a47: 00 breakpoint + a48: 05 add + a49: 02 pushsp + a4a: 00 breakpoint + a4b: 00 breakpoint + a4c: 00 breakpoint + a4d: 00 breakpoint + a4e: 15 addsp 20 + a4f: 00 breakpoint + a50: 05 add + a51: 02 pushsp + a52: 00 breakpoint + a53: 00 breakpoint + a54: 00 breakpoint + a55: 00 breakpoint + a56: 15 addsp 20 + a57: 00 breakpoint + a58: 05 add + a59: 02 pushsp + a5a: 00 breakpoint + a5b: 00 breakpoint + a5c: 00 breakpoint + a5d: 00 breakpoint + a5e: 1b addsp 44 + a5f: 00 breakpoint + a60: 05 add + a61: 02 pushsp + a62: 00 breakpoint + a63: 00 breakpoint + a64: 00 breakpoint + a65: 00 breakpoint + a66: 11 addsp 4 + a67: 00 breakpoint + a68: 05 add + a69: 02 pushsp + a6a: 00 breakpoint + a6b: 00 breakpoint + a6c: 00 breakpoint + a6d: 00 breakpoint + a6e: 15 addsp 20 + a6f: 00 breakpoint + a70: 05 add + a71: 02 pushsp + a72: 00 breakpoint + a73: 00 breakpoint + a74: 00 breakpoint + a75: 00 breakpoint + a76: 16 addsp 24 + a77: 00 breakpoint + a78: 05 add + a79: 02 pushsp + a7a: 00 breakpoint + a7b: 00 breakpoint + a7c: 00 breakpoint + a7d: 00 breakpoint + a7e: 00 breakpoint + a7f: 01 .byte 1 + a80: 01 .byte 1 + a81: 00 breakpoint + a82: 05 add + a83: 02 pushsp + a84: 00 breakpoint + a85: 00 breakpoint + a86: 00 breakpoint + a87: 00 breakpoint + a88: 04 poppc + a89: 0c store + a8a: 03 .byte 3 + a8b: d2 im -46 + a8c: 02 pushsp + a8d: 01 .byte 1 + a8e: 00 breakpoint + a8f: 05 add + a90: 02 pushsp + a91: 00 breakpoint + a92: 00 breakpoint + a93: 00 breakpoint + a94: 00 breakpoint + a95: 23 storeh + a96: 00 breakpoint + a97: 05 add + a98: 02 pushsp + a99: 00 breakpoint + a9a: 00 breakpoint + a9b: 00 breakpoint + a9c: 00 breakpoint + a9d: 03 .byte 3 + a9e: 72 loadsp 8 + a9f: 01 .byte 1 + aa0: 00 breakpoint + aa1: 05 add + aa2: 02 pushsp + aa3: 00 breakpoint + aa4: 00 breakpoint + aa5: 00 breakpoint + aa6: 00 breakpoint + aa7: 24 lessthan + aa8: 00 breakpoint + aa9: 05 add + aaa: 02 pushsp + aab: 00 breakpoint + aac: 00 breakpoint + aad: 00 breakpoint + aae: 00 breakpoint + aaf: 03 .byte 3 + ab0: 74 loadsp 16 + ab1: 01 .byte 1 + ab2: 00 breakpoint + ab3: 05 add + ab4: 02 pushsp + ab5: 00 breakpoint + ab6: 00 breakpoint + ab7: 00 breakpoint + ab8: 00 breakpoint + ab9: 15 addsp 20 + aba: 00 breakpoint + abb: 05 add + abc: 02 pushsp + abd: 00 breakpoint + abe: 00 breakpoint + abf: 00 breakpoint + ac0: 00 breakpoint + ac1: 1f addsp 60 + ac2: 00 breakpoint + ac3: 05 add + ac4: 02 pushsp + ac5: 00 breakpoint + ac6: 00 breakpoint + ac7: 00 breakpoint + ac8: 00 breakpoint + ac9: 00 breakpoint + aca: 01 .byte 1 + acb: 01 .byte 1 + acc: 00 breakpoint + acd: 00 breakpoint + ace: 00 breakpoint + acf: 16 addsp 24 + ad0: 00 breakpoint + ad1: 02 pushsp + ad2: 00 breakpoint + ad3: 00 breakpoint + ad4: 00 breakpoint + ad5: 10 addsp 0 + ad6: 01 .byte 1 + ad7: 01 .byte 1 + ad8: fb im -5 + ad9: 0e .byte 14 + ada: 0a flip + adb: 00 breakpoint + adc: 01 .byte 1 + add: 01 .byte 1 + ade: 01 .byte 1 + adf: 01 .byte 1 + ae0: 00 breakpoint + ae1: 00 breakpoint + ae2: 00 breakpoint + ae3: 01 .byte 1 + ... + +00000ae6 <.Ldebug_line0>: + ae6: 00 breakpoint + ae7: 00 breakpoint + ae8: 01 .byte 1 + ae9: 22 loadh + aea: 00 breakpoint + aeb: 02 pushsp + aec: 00 breakpoint + aed: 00 breakpoint + aee: 00 breakpoint + aef: f8 im -8 + af0: 01 .byte 1 + af1: 01 .byte 1 + af2: f6 im -10 + af3: f5 im -11 + af4: 0a flip + af5: 00 breakpoint + af6: 01 .byte 1 + af7: 01 .byte 1 + af8: 01 .byte 1 + af9: 01 .byte 1 + afa: 00 breakpoint + afb: 00 breakpoint + afc: 00 breakpoint + afd: 01 .byte 1 + afe: 2e eq + aff: 2e eq + b00: 2f neq + b01: 2e eq + b02: 2e eq + b03: 2f neq + b04: 2e eq + b05: 2e eq + b06: 2f neq + b07: 2e eq + b08: 2e eq + b09: 2f neq + b0a: 2e eq + b0b: 2e eq + b0c: 2f neq + b0d: 67 loadsp 92 + b0e: 63 loadsp 76 + b0f: 63 loadsp 76 + b10: 2f neq + b11: 6e loadsp 120 + b12: 65 loadsp 84 + b13: 77 loadsp 28 + b14: 6c loadsp 112 + b15: 69 loadsp 100 + b16: 62 loadsp 72 + b17: 2f neq + b18: 6c loadsp 112 + b19: 69 loadsp 100 + b1a: 62 loadsp 72 + b1b: 63 loadsp 76 + b1c: 2f neq + b1d: 65 loadsp 84 + b1e: 72 loadsp 8 + b1f: 72 loadsp 8 + b20: 6e loadsp 120 + b21: 6f loadsp 124 + b22: 00 breakpoint + b23: 2f neq + b24: 68 loadsp 96 + b25: 6f loadsp 124 + b26: 6d loadsp 116 + b27: 65 loadsp 84 + b28: 2f neq + b29: 6f loadsp 124 + b2a: 79 loadsp 36 + b2b: 76 loadsp 24 + b2c: 69 loadsp 100 + b2d: 6e loadsp 120 + b2e: 64 loadsp 80 + b2f: 2f neq + b30: 74 loadsp 16 + b31: 6f loadsp 124 + b32: 6f loadsp 124 + b33: 6c loadsp 112 + b34: 63 loadsp 76 + b35: 68 loadsp 96 + b36: 61 loadsp 68 + b37: 69 loadsp 100 + b38: 6e loadsp 120 + b39: 2f neq + b3a: 74 loadsp 16 + b3b: 6f loadsp 124 + b3c: 6f loadsp 124 + b3d: 6c loadsp 112 + b3e: 63 loadsp 76 + b3f: 68 loadsp 96 + b40: 61 loadsp 68 + b41: 69 loadsp 100 + b42: 6e loadsp 120 + b43: 2f neq + b44: 67 loadsp 92 + b45: 63 loadsp 76 + b46: 63 loadsp 76 + b47: 2f neq + b48: 6e loadsp 120 + b49: 65 loadsp 84 + b4a: 77 loadsp 28 + b4b: 6c loadsp 112 + b4c: 69 loadsp 100 + b4d: 62 loadsp 72 + b4e: 2f neq + b4f: 6c loadsp 112 + b50: 69 loadsp 100 + b51: 62 loadsp 72 + b52: 63 loadsp 76 + b53: 2f neq + b54: 69 loadsp 100 + b55: 6e loadsp 120 + b56: 63 loadsp 76 + b57: 6c loadsp 112 + b58: 75 loadsp 20 + b59: 64 loadsp 80 + b5a: 65 loadsp 84 + b5b: 00 breakpoint + b5c: 2f neq + b5d: 68 loadsp 96 + b5e: 6f loadsp 124 + b5f: 6d loadsp 116 + b60: 65 loadsp 84 + b61: 2f neq + b62: 6f loadsp 124 + b63: 79 loadsp 36 + b64: 76 loadsp 24 + b65: 69 loadsp 100 + b66: 6e loadsp 120 + b67: 64 loadsp 80 + b68: 2f neq + b69: 74 loadsp 16 + b6a: 6f loadsp 124 + b6b: 6f loadsp 124 + b6c: 6c loadsp 112 + b6d: 63 loadsp 76 + b6e: 68 loadsp 96 + b6f: 61 loadsp 68 + b70: 69 loadsp 100 + b71: 6e loadsp 120 + b72: 2f neq + b73: 74 loadsp 16 + b74: 6f loadsp 124 + b75: 6f loadsp 124 + b76: 6c loadsp 112 + b77: 63 loadsp 76 + b78: 68 loadsp 96 + b79: 61 loadsp 68 + b7a: 69 loadsp 100 + b7b: 6e loadsp 120 + b7c: 2f neq + b7d: 67 loadsp 92 + b7e: 63 loadsp 76 + b7f: 63 loadsp 76 + b80: 62 loadsp 72 + b81: 75 loadsp 20 + b82: 69 loadsp 100 + b83: 6c loadsp 112 + b84: 64 loadsp 80 + b85: 2f neq + b86: 67 loadsp 92 + b87: 63 loadsp 76 + b88: 63 loadsp 76 + b89: 2f neq + b8a: 69 loadsp 100 + b8b: 6e loadsp 120 + b8c: 63 loadsp 76 + b8d: 6c loadsp 112 + b8e: 75 loadsp 20 + b8f: 64 loadsp 80 + b90: 65 loadsp 84 + b91: 00 breakpoint + b92: 00 breakpoint + b93: 65 loadsp 84 + b94: 72 loadsp 8 + b95: 72 loadsp 8 + b96: 6e loadsp 120 + b97: 6f loadsp 124 + b98: 2e eq + b99: 68 loadsp 96 + b9a: 00 breakpoint + b9b: 02 pushsp + b9c: 00 breakpoint + b9d: 00 breakpoint + b9e: 73 loadsp 12 + b9f: 79 loadsp 36 + ba0: 73 loadsp 12 + ba1: 2f neq + ba2: 5f storesp 60 + ba3: 74 loadsp 16 + ba4: 79 loadsp 36 + ba5: 70 loadsp 0 + ba6: 65 loadsp 84 + ba7: 73 loadsp 12 + ba8: 2e eq + ba9: 68 loadsp 96 + baa: 00 breakpoint + bab: 02 pushsp + bac: 00 breakpoint + bad: 00 breakpoint + bae: 73 loadsp 12 + baf: 74 loadsp 16 + bb0: 64 loadsp 80 + bb1: 64 loadsp 80 + bb2: 65 loadsp 84 + bb3: 66 loadsp 88 + bb4: 2e eq + bb5: 68 loadsp 96 + bb6: 00 breakpoint + bb7: 03 .byte 3 + bb8: 00 breakpoint + bb9: 00 breakpoint + bba: 73 loadsp 12 + bbb: 79 loadsp 36 + bbc: 73 loadsp 12 + bbd: 2f neq + bbe: 72 loadsp 8 + bbf: 65 loadsp 84 + bc0: 65 loadsp 84 + bc1: 6e loadsp 120 + bc2: 74 loadsp 16 + bc3: 2e eq + bc4: 68 loadsp 96 + bc5: 00 breakpoint + bc6: 02 pushsp + bc7: 00 breakpoint + bc8: 00 breakpoint + bc9: 6d loadsp 116 + bca: 61 loadsp 68 + bcb: 63 loadsp 76 + bcc: 68 loadsp 96 + bcd: 69 loadsp 100 + bce: 6e loadsp 120 + bcf: 65 loadsp 84 + bd0: 2f neq + bd1: 74 loadsp 16 + bd2: 79 loadsp 36 + bd3: 70 loadsp 0 + bd4: 65 loadsp 84 + bd5: 73 loadsp 12 + bd6: 2e eq + bd7: 68 loadsp 96 + bd8: 00 breakpoint + bd9: 02 pushsp + bda: 00 breakpoint + bdb: 00 breakpoint + bdc: 65 loadsp 84 + bdd: 72 loadsp 8 + bde: 72 loadsp 8 + bdf: 6e loadsp 120 + be0: 6f loadsp 124 + be1: 2e eq + be2: 63 loadsp 76 + be3: 00 breakpoint + be4: 01 .byte 1 + be5: 00 breakpoint + be6: 00 breakpoint + be7: 00 breakpoint + be8: 00 breakpoint + be9: 05 add + bea: 02 pushsp + beb: 00 breakpoint + bec: 00 breakpoint + bed: 00 breakpoint + bee: 00 breakpoint + bef: 04 poppc + bf0: 06 and + bf1: 1f addsp 60 + bf2: 00 breakpoint + bf3: 05 add + bf4: 02 pushsp + bf5: 00 breakpoint + bf6: 00 breakpoint + bf7: 00 breakpoint + bf8: 00 breakpoint + bf9: 15 addsp 20 + bfa: 00 breakpoint + bfb: 05 add + bfc: 02 pushsp + bfd: 00 breakpoint + bfe: 00 breakpoint + bff: 00 breakpoint + c00: 00 breakpoint + c01: 15 addsp 20 + c02: 00 breakpoint + c03: 05 add + c04: 02 pushsp + c05: 00 breakpoint + c06: 00 breakpoint + c07: 00 breakpoint + c08: 00 breakpoint + c09: 00 breakpoint + c0a: 01 .byte 1 + c0b: 01 .byte 1 + c0c: 00 breakpoint + c0d: 00 breakpoint + c0e: 00 breakpoint + c0f: 16 addsp 24 + c10: 00 breakpoint + c11: 02 pushsp + c12: 00 breakpoint + c13: 00 breakpoint + c14: 00 breakpoint + c15: 10 addsp 0 + c16: 01 .byte 1 + c17: 01 .byte 1 + c18: fb im -5 + c19: 0e .byte 14 + c1a: 0a flip + c1b: 00 breakpoint + c1c: 01 .byte 1 + c1d: 01 .byte 1 + c1e: 01 .byte 1 + c1f: 01 .byte 1 + c20: 00 breakpoint + c21: 00 breakpoint + c22: 00 breakpoint + c23: 01 .byte 1 + ... + +00000c26 <.Ldebug_line0>: + c26: 00 breakpoint + c27: 00 breakpoint + c28: 01 .byte 1 + c29: 92 im 18 + c2a: 00 breakpoint + c2b: 02 pushsp + c2c: 00 breakpoint + c2d: 00 breakpoint + c2e: 01 .byte 1 + c2f: 08 load + c30: 01 .byte 1 + c31: 01 .byte 1 + c32: f6 im -10 + c33: f5 im -11 + c34: 0a flip + c35: 00 breakpoint + c36: 01 .byte 1 + c37: 01 .byte 1 + c38: 01 .byte 1 + c39: 01 .byte 1 + c3a: 00 breakpoint + c3b: 00 breakpoint + c3c: 00 breakpoint + c3d: 01 .byte 1 + c3e: 2e eq + c3f: 2e eq + c40: 2f neq + c41: 2e eq + c42: 2e eq + c43: 2f neq + c44: 2e eq + c45: 2e eq + c46: 2f neq + c47: 2e eq + c48: 2e eq + c49: 2f neq + c4a: 2e eq + c4b: 2e eq + c4c: 2f neq + c4d: 67 loadsp 92 + c4e: 63 loadsp 76 + c4f: 63 loadsp 76 + c50: 2f neq + c51: 6e loadsp 120 + c52: 65 loadsp 84 + c53: 77 loadsp 28 + c54: 6c loadsp 112 + c55: 69 loadsp 100 + c56: 62 loadsp 72 + c57: 2f neq + c58: 6c loadsp 112 + c59: 69 loadsp 100 + c5a: 62 loadsp 72 + c5b: 63 loadsp 76 + c5c: 2f neq + c5d: 73 loadsp 12 + c5e: 74 loadsp 16 + c5f: 64 loadsp 80 + c60: 6c loadsp 112 + c61: 69 loadsp 100 + c62: 62 loadsp 72 + c63: 00 breakpoint + c64: 2f neq + c65: 68 loadsp 96 + c66: 6f loadsp 124 + c67: 6d loadsp 116 + c68: 65 loadsp 84 + c69: 2f neq + c6a: 6f loadsp 124 + c6b: 79 loadsp 36 + c6c: 76 loadsp 24 + c6d: 69 loadsp 100 + c6e: 6e loadsp 120 + c6f: 64 loadsp 80 + c70: 2f neq + c71: 74 loadsp 16 + c72: 6f loadsp 124 + c73: 6f loadsp 124 + c74: 6c loadsp 112 + c75: 63 loadsp 76 + c76: 68 loadsp 96 + c77: 61 loadsp 68 + c78: 69 loadsp 100 + c79: 6e loadsp 120 + c7a: 2f neq + c7b: 74 loadsp 16 + c7c: 6f loadsp 124 + c7d: 6f loadsp 124 + c7e: 6c loadsp 112 + c7f: 63 loadsp 76 + c80: 68 loadsp 96 + c81: 61 loadsp 68 + c82: 69 loadsp 100 + c83: 6e loadsp 120 + c84: 2f neq + c85: 67 loadsp 92 + c86: 63 loadsp 76 + c87: 63 loadsp 76 + c88: 2f neq + c89: 6e loadsp 120 + c8a: 65 loadsp 84 + c8b: 77 loadsp 28 + c8c: 6c loadsp 112 + c8d: 69 loadsp 100 + c8e: 62 loadsp 72 + c8f: 2f neq + c90: 6c loadsp 112 + c91: 69 loadsp 100 + c92: 62 loadsp 72 + c93: 63 loadsp 76 + c94: 2f neq + c95: 69 loadsp 100 + c96: 6e loadsp 120 + c97: 63 loadsp 76 + c98: 6c loadsp 112 + c99: 75 loadsp 20 + c9a: 64 loadsp 80 + c9b: 65 loadsp 84 + c9c: 00 breakpoint + c9d: 2f neq + c9e: 68 loadsp 96 + c9f: 6f loadsp 124 + ca0: 6d loadsp 116 + ca1: 65 loadsp 84 + ca2: 2f neq + ca3: 6f loadsp 124 + ca4: 79 loadsp 36 + ca5: 76 loadsp 24 + ca6: 69 loadsp 100 + ca7: 6e loadsp 120 + ca8: 64 loadsp 80 + ca9: 2f neq + caa: 74 loadsp 16 + cab: 6f loadsp 124 + cac: 6f loadsp 124 + cad: 6c loadsp 112 + cae: 63 loadsp 76 + caf: 68 loadsp 96 + cb0: 61 loadsp 68 + cb1: 69 loadsp 100 + cb2: 6e loadsp 120 + cb3: 2f neq + cb4: 74 loadsp 16 + cb5: 6f loadsp 124 + cb6: 6f loadsp 124 + cb7: 6c loadsp 112 + cb8: 63 loadsp 76 + cb9: 68 loadsp 96 + cba: 61 loadsp 68 + cbb: 69 loadsp 100 + cbc: 6e loadsp 120 + cbd: 2f neq + cbe: 67 loadsp 92 + cbf: 63 loadsp 76 + cc0: 63 loadsp 76 + cc1: 62 loadsp 72 + cc2: 75 loadsp 20 + cc3: 69 loadsp 100 + cc4: 6c loadsp 112 + cc5: 64 loadsp 80 + cc6: 2f neq + cc7: 67 loadsp 92 + cc8: 63 loadsp 76 + cc9: 63 loadsp 76 + cca: 2f neq + ccb: 69 loadsp 100 + ccc: 6e loadsp 120 + ccd: 63 loadsp 76 + cce: 6c loadsp 112 + ccf: 75 loadsp 20 + cd0: 64 loadsp 80 + cd1: 65 loadsp 84 + cd2: 00 breakpoint + cd3: 00 breakpoint + cd4: 73 loadsp 12 + cd5: 74 loadsp 16 + cd6: 64 loadsp 80 + cd7: 64 loadsp 80 + cd8: 65 loadsp 84 + cd9: 66 loadsp 88 + cda: 2e eq + cdb: 68 loadsp 96 + cdc: 00 breakpoint + cdd: 03 .byte 3 + cde: 00 breakpoint + cdf: 00 breakpoint + ce0: 73 loadsp 12 + ce1: 79 loadsp 36 + ce2: 73 loadsp 12 + ce3: 2f neq + ce4: 5f storesp 60 + ce5: 74 loadsp 16 + ce6: 79 loadsp 36 + ce7: 70 loadsp 0 + ce8: 65 loadsp 84 + ce9: 73 loadsp 12 + cea: 2e eq + ceb: 68 loadsp 96 + cec: 00 breakpoint + ced: 02 pushsp + cee: 00 breakpoint + cef: 00 breakpoint + cf0: 73 loadsp 12 + cf1: 79 loadsp 36 + cf2: 73 loadsp 12 + cf3: 2f neq + cf4: 72 loadsp 8 + cf5: 65 loadsp 84 + cf6: 65 loadsp 84 + cf7: 6e loadsp 120 + cf8: 74 loadsp 16 + cf9: 2e eq + cfa: 68 loadsp 96 + cfb: 00 breakpoint + cfc: 02 pushsp + cfd: 00 breakpoint + cfe: 00 breakpoint + cff: 73 loadsp 12 + d00: 74 loadsp 16 + d01: 64 loadsp 80 + d02: 6c loadsp 112 + d03: 69 loadsp 100 + d04: 62 loadsp 72 + d05: 2e eq + d06: 68 loadsp 96 + d07: 00 breakpoint + d08: 02 pushsp + d09: 00 breakpoint + d0a: 00 breakpoint + d0b: 73 loadsp 12 + d0c: 79 loadsp 36 + d0d: 73 loadsp 12 + d0e: 2f neq + d0f: 74 loadsp 16 + d10: 79 loadsp 36 + d11: 70 loadsp 0 + d12: 65 loadsp 84 + d13: 73 loadsp 12 + d14: 2e eq + d15: 68 loadsp 96 + d16: 00 breakpoint + d17: 02 pushsp + d18: 00 breakpoint + d19: 00 breakpoint + d1a: 6d loadsp 116 + d1b: 61 loadsp 68 + d1c: 63 loadsp 76 + d1d: 68 loadsp 96 + d1e: 69 loadsp 100 + d1f: 6e loadsp 120 + d20: 65 loadsp 84 + d21: 2f neq + d22: 74 loadsp 16 + d23: 79 loadsp 36 + d24: 70 loadsp 0 + d25: 65 loadsp 84 + d26: 73 loadsp 12 + d27: 2e eq + d28: 68 loadsp 96 + d29: 00 breakpoint + d2a: 02 pushsp + d2b: 00 breakpoint + d2c: 00 breakpoint + d2d: 65 loadsp 84 + d2e: 78 loadsp 32 + d2f: 69 loadsp 100 + d30: 74 loadsp 16 + d31: 2e eq + d32: 63 loadsp 76 + d33: 00 breakpoint + d34: 01 .byte 1 + d35: 00 breakpoint + d36: 00 breakpoint + d37: 00 breakpoint + d38: 00 breakpoint + d39: 05 add + d3a: 02 pushsp + d3b: 00 breakpoint + d3c: 00 breakpoint + d3d: 07 or + d3e: 86 im 6 + d3f: 04 poppc + d40: 07 or + d41: 50 storesp 0 + d42: 00 breakpoint + d43: 05 add + d44: 02 pushsp + d45: 00 breakpoint + d46: 00 breakpoint + d47: 07 or + d48: 8a im 10 + d49: 2c ashiftright + d4a: 00 breakpoint + d4b: 05 add + d4c: 02 pushsp + d4d: 00 breakpoint + d4e: 00 breakpoint + d4f: 07 or + d50: 94 im 20 + d51: 1f addsp 60 + d52: 00 breakpoint + d53: 05 add + d54: 02 pushsp + d55: 00 breakpoint + d56: 00 breakpoint + d57: 07 or + d58: 9a im 26 + d59: 0c store + d5a: 00 breakpoint + d5b: 05 add + d5c: 02 pushsp + d5d: 00 breakpoint + d5e: 00 breakpoint + d5f: 07 or + d60: 9d im 29 + d61: 16 addsp 24 + d62: 00 breakpoint + d63: 05 add + d64: 02 pushsp + d65: 00 breakpoint + d66: 00 breakpoint + d67: 07 or + d68: bc im 60 + d69: 15 addsp 20 + d6a: 00 breakpoint + d6b: 05 add + d6c: 02 pushsp + d6d: 00 breakpoint + d6e: 00 breakpoint + d6f: 07 or + d70: c8 im -56 + d71: 15 addsp 20 + d72: 00 breakpoint + d73: 05 add + d74: 02 pushsp + d75: 00 breakpoint + d76: 00 breakpoint + d77: 07 or + d78: d5 im -43 + d79: 12 addsp 8 + d7a: 00 breakpoint + d7b: 05 add + d7c: 02 pushsp + d7d: 00 breakpoint + d7e: 00 breakpoint + d7f: 07 or + d80: e7 im -25 + d81: 1a addsp 40 + d82: 00 breakpoint + d83: 05 add + d84: 02 pushsp + d85: 00 breakpoint + d86: 00 breakpoint + d87: 07 or + d88: f2 im -14 + d89: 18 addsp 32 + d8a: 00 breakpoint + d8b: 05 add + d8c: 02 pushsp + d8d: 00 breakpoint + d8e: 00 breakpoint + d8f: 07 or + d90: f7 im -9 + d91: 16 addsp 24 + d92: 00 breakpoint + d93: 05 add + d94: 02 pushsp + d95: 00 breakpoint + d96: 00 breakpoint + d97: 07 or + d98: fc im -4 + d99: 0c store + d9a: 00 breakpoint + d9b: 05 add + d9c: 02 pushsp + d9d: 00 breakpoint + d9e: 00 breakpoint + d9f: 08 load + da0: 01 .byte 1 + da1: 10 addsp 0 + da2: 00 breakpoint + da3: 05 add + da4: 02 pushsp + da5: 00 breakpoint + da6: 00 breakpoint + da7: 08 load + da8: 1b addsp 44 + da9: 1f addsp 60 + daa: 00 breakpoint + dab: 05 add + dac: 02 pushsp + dad: 00 breakpoint + dae: 00 breakpoint + daf: 08 load + db0: 23 storeh + db1: 15 addsp 20 + db2: 00 breakpoint + db3: 05 add + db4: 02 pushsp + db5: 00 breakpoint + db6: 00 breakpoint + db7: 08 load + db8: 28 swap + db9: 00 breakpoint + dba: 01 .byte 1 + dbb: 01 .byte 1 + dbc: 00 breakpoint + dbd: 00 breakpoint + dbe: 00 breakpoint + dbf: 16 addsp 24 + dc0: 00 breakpoint + dc1: 02 pushsp + dc2: 00 breakpoint + dc3: 00 breakpoint + dc4: 00 breakpoint + dc5: 10 addsp 0 + dc6: 01 .byte 1 + dc7: 01 .byte 1 + dc8: fb im -5 + dc9: 0e .byte 14 + dca: 0a flip + dcb: 00 breakpoint + dcc: 01 .byte 1 + dcd: 01 .byte 1 + dce: 01 .byte 1 + dcf: 01 .byte 1 + dd0: 00 breakpoint + dd1: 00 breakpoint + dd2: 00 breakpoint + dd3: 01 .byte 1 + ... + +00000dd6 <.Ldebug_line0>: + dd6: 00 breakpoint + dd7: 00 breakpoint + dd8: 01 .byte 1 + dd9: 33 loadb + dda: 00 breakpoint + ddb: 02 pushsp + ddc: 00 breakpoint + ddd: 00 breakpoint + dde: 01 .byte 1 + ddf: 23 storeh + de0: 01 .byte 1 + de1: 01 .byte 1 + de2: f6 im -10 + de3: f5 im -11 + de4: 0a flip + de5: 00 breakpoint + de6: 01 .byte 1 + de7: 01 .byte 1 + de8: 01 .byte 1 + de9: 01 .byte 1 + dea: 00 breakpoint + deb: 00 breakpoint + dec: 00 breakpoint + ded: 01 .byte 1 + dee: 2e eq + def: 2e eq + df0: 2f neq + df1: 2e eq + df2: 2e eq + df3: 2f neq + df4: 2e eq + df5: 2e eq + df6: 2f neq + df7: 2e eq + df8: 2e eq + df9: 2f neq + dfa: 2e eq + dfb: 2e eq + dfc: 2f neq + dfd: 67 loadsp 92 + dfe: 63 loadsp 76 + dff: 63 loadsp 76 + e00: 2f neq + e01: 6e loadsp 120 + e02: 65 loadsp 84 + e03: 77 loadsp 28 + e04: 6c loadsp 112 + e05: 69 loadsp 100 + e06: 62 loadsp 72 + e07: 2f neq + e08: 6c loadsp 112 + e09: 69 loadsp 100 + e0a: 62 loadsp 72 + e0b: 63 loadsp 76 + e0c: 2f neq + e0d: 72 loadsp 8 + e0e: 65 loadsp 84 + e0f: 65 loadsp 84 + e10: 6e loadsp 120 + e11: 74 loadsp 16 + e12: 00 breakpoint + e13: 2f neq + e14: 68 loadsp 96 + e15: 6f loadsp 124 + e16: 6d loadsp 116 + e17: 65 loadsp 84 + e18: 2f neq + e19: 6f loadsp 124 + e1a: 79 loadsp 36 + e1b: 76 loadsp 24 + e1c: 69 loadsp 100 + e1d: 6e loadsp 120 + e1e: 64 loadsp 80 + e1f: 2f neq + e20: 74 loadsp 16 + e21: 6f loadsp 124 + e22: 6f loadsp 124 + e23: 6c loadsp 112 + e24: 63 loadsp 76 + e25: 68 loadsp 96 + e26: 61 loadsp 68 + e27: 69 loadsp 100 + e28: 6e loadsp 120 + e29: 2f neq + e2a: 74 loadsp 16 + e2b: 6f loadsp 124 + e2c: 6f loadsp 124 + e2d: 6c loadsp 112 + e2e: 63 loadsp 76 + e2f: 68 loadsp 96 + e30: 61 loadsp 68 + e31: 69 loadsp 100 + e32: 6e loadsp 120 + e33: 2f neq + e34: 67 loadsp 92 + e35: 63 loadsp 76 + e36: 63 loadsp 76 + e37: 2f neq + e38: 6e loadsp 120 + e39: 65 loadsp 84 + e3a: 77 loadsp 28 + e3b: 6c loadsp 112 + e3c: 69 loadsp 100 + e3d: 62 loadsp 72 + e3e: 2f neq + e3f: 6c loadsp 112 + e40: 69 loadsp 100 + e41: 62 loadsp 72 + e42: 63 loadsp 76 + e43: 2f neq + e44: 69 loadsp 100 + e45: 6e loadsp 120 + e46: 63 loadsp 76 + e47: 6c loadsp 112 + e48: 75 loadsp 20 + e49: 64 loadsp 80 + e4a: 65 loadsp 84 + e4b: 2f neq + e4c: 6d loadsp 116 + e4d: 61 loadsp 68 + e4e: 63 loadsp 76 + e4f: 68 loadsp 96 + e50: 69 loadsp 100 + e51: 6e loadsp 120 + e52: 65 loadsp 84 + e53: 00 breakpoint + e54: 2f neq + e55: 68 loadsp 96 + e56: 6f loadsp 124 + e57: 6d loadsp 116 + e58: 65 loadsp 84 + e59: 2f neq + e5a: 6f loadsp 124 + e5b: 79 loadsp 36 + e5c: 76 loadsp 24 + e5d: 69 loadsp 100 + e5e: 6e loadsp 120 + e5f: 64 loadsp 80 + e60: 2f neq + e61: 74 loadsp 16 + e62: 6f loadsp 124 + e63: 6f loadsp 124 + e64: 6c loadsp 112 + e65: 63 loadsp 76 + e66: 68 loadsp 96 + e67: 61 loadsp 68 + e68: 69 loadsp 100 + e69: 6e loadsp 120 + e6a: 2f neq + e6b: 74 loadsp 16 + e6c: 6f loadsp 124 + e6d: 6f loadsp 124 + e6e: 6c loadsp 112 + e6f: 63 loadsp 76 + e70: 68 loadsp 96 + e71: 61 loadsp 68 + e72: 69 loadsp 100 + e73: 6e loadsp 120 + e74: 2f neq + e75: 67 loadsp 92 + e76: 63 loadsp 76 + e77: 63 loadsp 76 + e78: 2f neq + e79: 6e loadsp 120 + e7a: 65 loadsp 84 + e7b: 77 loadsp 28 + e7c: 6c loadsp 112 + e7d: 69 loadsp 100 + e7e: 62 loadsp 72 + e7f: 2f neq + e80: 6c loadsp 112 + e81: 69 loadsp 100 + e82: 62 loadsp 72 + e83: 63 loadsp 76 + e84: 2f neq + e85: 69 loadsp 100 + e86: 6e loadsp 120 + e87: 63 loadsp 76 + e88: 6c loadsp 112 + e89: 75 loadsp 20 + e8a: 64 loadsp 80 + e8b: 65 loadsp 84 + e8c: 2f neq + e8d: 73 loadsp 12 + e8e: 79 loadsp 36 + e8f: 73 loadsp 12 + e90: 00 breakpoint + e91: 2f neq + e92: 68 loadsp 96 + e93: 6f loadsp 124 + e94: 6d loadsp 116 + e95: 65 loadsp 84 + e96: 2f neq + e97: 6f loadsp 124 + e98: 79 loadsp 36 + e99: 76 loadsp 24 + e9a: 69 loadsp 100 + e9b: 6e loadsp 120 + e9c: 64 loadsp 80 + e9d: 2f neq + e9e: 74 loadsp 16 + e9f: 6f loadsp 124 + ea0: 6f loadsp 124 + ea1: 6c loadsp 112 + ea2: 63 loadsp 76 + ea3: 68 loadsp 96 + ea4: 61 loadsp 68 + ea5: 69 loadsp 100 + ea6: 6e loadsp 120 + ea7: 2f neq + ea8: 74 loadsp 16 + ea9: 6f loadsp 124 + eaa: 6f loadsp 124 + eab: 6c loadsp 112 + eac: 63 loadsp 76 + ead: 68 loadsp 96 + eae: 61 loadsp 68 + eaf: 69 loadsp 100 + eb0: 6e loadsp 120 + eb1: 2f neq + eb2: 67 loadsp 92 + eb3: 63 loadsp 76 + eb4: 63 loadsp 76 + eb5: 62 loadsp 72 + eb6: 75 loadsp 20 + eb7: 69 loadsp 100 + eb8: 6c loadsp 112 + eb9: 64 loadsp 80 + eba: 2f neq + ebb: 67 loadsp 92 + ebc: 63 loadsp 76 + ebd: 63 loadsp 76 + ebe: 2f neq + ebf: 69 loadsp 100 + ec0: 6e loadsp 120 + ec1: 63 loadsp 76 + ec2: 6c loadsp 112 + ec3: 75 loadsp 20 + ec4: 64 loadsp 80 + ec5: 65 loadsp 84 + ec6: 00 breakpoint + ec7: 00 breakpoint + ec8: 5f storesp 60 + ec9: 74 loadsp 16 + eca: 79 loadsp 36 + ecb: 70 loadsp 0 + ecc: 65 loadsp 84 + ecd: 73 loadsp 12 + ece: 2e eq + ecf: 68 loadsp 96 + ed0: 00 breakpoint + ed1: 03 .byte 3 + ed2: 00 breakpoint + ed3: 00 breakpoint + ed4: 73 loadsp 12 + ed5: 74 loadsp 16 + ed6: 64 loadsp 80 + ed7: 64 loadsp 80 + ed8: 65 loadsp 84 + ed9: 66 loadsp 88 + eda: 2e eq + edb: 68 loadsp 96 + edc: 00 breakpoint + edd: 04 poppc + ede: 00 breakpoint + edf: 00 breakpoint + ee0: 72 loadsp 8 + ee1: 65 loadsp 84 + ee2: 65 loadsp 84 + ee3: 6e loadsp 120 + ee4: 74 loadsp 16 + ee5: 2e eq + ee6: 68 loadsp 96 + ee7: 00 breakpoint + ee8: 03 .byte 3 + ee9: 00 breakpoint + eea: 00 breakpoint + eeb: 74 loadsp 16 + eec: 79 loadsp 36 + eed: 70 loadsp 0 + eee: 65 loadsp 84 + eef: 73 loadsp 12 + ef0: 2e eq + ef1: 68 loadsp 96 + ef2: 00 breakpoint + ef3: 02 pushsp + ef4: 00 breakpoint + ef5: 00 breakpoint + ef6: 69 loadsp 100 + ef7: 6d loadsp 116 + ef8: 70 loadsp 0 + ef9: 75 loadsp 20 + efa: 72 loadsp 8 + efb: 65 loadsp 84 + efc: 2e eq + efd: 63 loadsp 76 + efe: 00 breakpoint + eff: 01 .byte 1 + f00: 00 breakpoint + f01: 00 breakpoint + f02: 00 breakpoint + f03: 00 breakpoint + f04: 05 add + f05: 02 pushsp + f06: 00 breakpoint + f07: 00 breakpoint + f08: 00 breakpoint + f09: 00 breakpoint + f0a: 00 breakpoint + f0b: 01 .byte 1 + f0c: 01 .byte 1 + f0d: 00 breakpoint + f0e: 00 breakpoint + f0f: 00 breakpoint + f10: 16 addsp 24 + f11: 00 breakpoint + f12: 02 pushsp + f13: 00 breakpoint + f14: 00 breakpoint + f15: 00 breakpoint + f16: 10 addsp 0 + f17: 01 .byte 1 + f18: 01 .byte 1 + f19: fb im -5 + f1a: 0e .byte 14 + f1b: 0a flip + f1c: 00 breakpoint + f1d: 01 .byte 1 + f1e: 01 .byte 1 + f1f: 01 .byte 1 + f20: 01 .byte 1 + f21: 00 breakpoint + f22: 00 breakpoint + f23: 00 breakpoint + f24: 01 .byte 1 + ... + +00000f27 <.Ldebug_line0>: + f27: 00 breakpoint + f28: 00 breakpoint + f29: 01 .byte 1 + f2a: 84 im 4 + f2b: 00 breakpoint + f2c: 02 pushsp + f2d: 00 breakpoint + f2e: 00 breakpoint + f2f: 00 breakpoint + f30: d8 im -40 + f31: 01 .byte 1 + f32: 01 .byte 1 + f33: f6 im -10 + f34: f5 im -11 + f35: 0a flip + f36: 00 breakpoint + f37: 01 .byte 1 + f38: 01 .byte 1 + f39: 01 .byte 1 + f3a: 01 .byte 1 + f3b: 00 breakpoint + f3c: 00 breakpoint + f3d: 00 breakpoint + f3e: 01 .byte 1 + f3f: 2e eq + f40: 2e eq + f41: 2f neq + f42: 2e eq + f43: 2e eq + f44: 2f neq + f45: 2e eq + f46: 2e eq + f47: 2f neq + f48: 2e eq + f49: 2e eq + f4a: 2f neq + f4b: 2e eq + f4c: 2e eq + f4d: 2f neq + f4e: 67 loadsp 92 + f4f: 63 loadsp 76 + f50: 63 loadsp 76 + f51: 2f neq + f52: 6e loadsp 120 + f53: 65 loadsp 84 + f54: 77 loadsp 28 + f55: 6c loadsp 112 + f56: 69 loadsp 100 + f57: 62 loadsp 72 + f58: 2f neq + f59: 6c loadsp 112 + f5a: 69 loadsp 100 + f5b: 62 loadsp 72 + f5c: 63 loadsp 76 + f5d: 2f neq + f5e: 73 loadsp 12 + f5f: 74 loadsp 16 + f60: 72 loadsp 8 + f61: 69 loadsp 100 + f62: 6e loadsp 120 + f63: 67 loadsp 92 + f64: 00 breakpoint + f65: 2f neq + f66: 68 loadsp 96 + f67: 6f loadsp 124 + f68: 6d loadsp 116 + f69: 65 loadsp 84 + f6a: 2f neq + f6b: 6f loadsp 124 + f6c: 79 loadsp 36 + f6d: 76 loadsp 24 + f6e: 69 loadsp 100 + f6f: 6e loadsp 120 + f70: 64 loadsp 80 + f71: 2f neq + f72: 74 loadsp 16 + f73: 6f loadsp 124 + f74: 6f loadsp 124 + f75: 6c loadsp 112 + f76: 63 loadsp 76 + f77: 68 loadsp 96 + f78: 61 loadsp 68 + f79: 69 loadsp 100 + f7a: 6e loadsp 120 + f7b: 2f neq + f7c: 74 loadsp 16 + f7d: 6f loadsp 124 + f7e: 6f loadsp 124 + f7f: 6c loadsp 112 + f80: 63 loadsp 76 + f81: 68 loadsp 96 + f82: 61 loadsp 68 + f83: 69 loadsp 100 + f84: 6e loadsp 120 + f85: 2f neq + f86: 67 loadsp 92 + f87: 63 loadsp 76 + f88: 63 loadsp 76 + f89: 2f neq + f8a: 6e loadsp 120 + f8b: 65 loadsp 84 + f8c: 77 loadsp 28 + f8d: 6c loadsp 112 + f8e: 69 loadsp 100 + f8f: 62 loadsp 72 + f90: 2f neq + f91: 6c loadsp 112 + f92: 69 loadsp 100 + f93: 62 loadsp 72 + f94: 63 loadsp 76 + f95: 2f neq + f96: 69 loadsp 100 + f97: 6e loadsp 120 + f98: 63 loadsp 76 + f99: 6c loadsp 112 + f9a: 75 loadsp 20 + f9b: 64 loadsp 80 + f9c: 65 loadsp 84 + f9d: 2f neq + f9e: 73 loadsp 12 + f9f: 79 loadsp 36 + fa0: 73 loadsp 12 + fa1: 00 breakpoint + fa2: 2f neq + fa3: 68 loadsp 96 + fa4: 6f loadsp 124 + fa5: 6d loadsp 116 + fa6: 65 loadsp 84 + fa7: 2f neq + fa8: 6f loadsp 124 + fa9: 79 loadsp 36 + faa: 76 loadsp 24 + fab: 69 loadsp 100 + fac: 6e loadsp 120 + fad: 64 loadsp 80 + fae: 2f neq + faf: 74 loadsp 16 + fb0: 6f loadsp 124 + fb1: 6f loadsp 124 + fb2: 6c loadsp 112 + fb3: 63 loadsp 76 + fb4: 68 loadsp 96 + fb5: 61 loadsp 68 + fb6: 69 loadsp 100 + fb7: 6e loadsp 120 + fb8: 2f neq + fb9: 74 loadsp 16 + fba: 6f loadsp 124 + fbb: 6f loadsp 124 + fbc: 6c loadsp 112 + fbd: 63 loadsp 76 + fbe: 68 loadsp 96 + fbf: 61 loadsp 68 + fc0: 69 loadsp 100 + fc1: 6e loadsp 120 + fc2: 2f neq + fc3: 67 loadsp 92 + fc4: 63 loadsp 76 + fc5: 63 loadsp 76 + fc6: 62 loadsp 72 + fc7: 75 loadsp 20 + fc8: 69 loadsp 100 + fc9: 6c loadsp 112 + fca: 64 loadsp 80 + fcb: 2f neq + fcc: 67 loadsp 92 + fcd: 63 loadsp 76 + fce: 63 loadsp 76 + fcf: 2f neq + fd0: 69 loadsp 100 + fd1: 6e loadsp 120 + fd2: 63 loadsp 76 + fd3: 6c loadsp 112 + fd4: 75 loadsp 20 + fd5: 64 loadsp 80 + fd6: 65 loadsp 84 + fd7: 00 breakpoint + fd8: 00 breakpoint + fd9: 5f storesp 60 + fda: 74 loadsp 16 + fdb: 79 loadsp 36 + fdc: 70 loadsp 0 + fdd: 65 loadsp 84 + fde: 73 loadsp 12 + fdf: 2e eq + fe0: 68 loadsp 96 + fe1: 00 breakpoint + fe2: 02 pushsp + fe3: 00 breakpoint + fe4: 00 breakpoint + fe5: 73 loadsp 12 + fe6: 74 loadsp 16 + fe7: 64 loadsp 80 + fe8: 64 loadsp 80 + fe9: 65 loadsp 84 + fea: 66 loadsp 88 + feb: 2e eq + fec: 68 loadsp 96 + fed: 00 breakpoint + fee: 03 .byte 3 + fef: 00 breakpoint + ff0: 00 breakpoint + ff1: 72 loadsp 8 + ff2: 65 loadsp 84 + ff3: 65 loadsp 84 + ff4: 6e loadsp 120 + ff5: 74 loadsp 16 + ff6: 2e eq + ff7: 68 loadsp 96 + ff8: 00 breakpoint + ff9: 02 pushsp + ffa: 00 breakpoint + ffb: 00 breakpoint + ffc: 6d loadsp 116 + ffd: 65 loadsp 84 + ffe: 6d loadsp 116 + fff: 73 loadsp 12 + 1000: 65 loadsp 84 + 1001: 74 loadsp 16 + 1002: 2e eq + 1003: 63 loadsp 76 + 1004: 00 breakpoint + 1005: 01 .byte 1 + 1006: 00 breakpoint + 1007: 00 breakpoint + 1008: 00 breakpoint + 1009: 00 breakpoint + 100a: 05 add + 100b: 02 pushsp + 100c: 00 breakpoint + 100d: 00 breakpoint + 100e: 00 breakpoint + 100f: 00 breakpoint + 1010: 04 poppc + 1011: 04 poppc + 1012: 42 storesp 72 + 1013: 00 breakpoint + 1014: 05 add + 1015: 02 pushsp + 1016: 00 breakpoint + 1017: 00 breakpoint + 1018: 00 breakpoint + 1019: 00 breakpoint + 101a: 1f addsp 60 + 101b: 00 breakpoint + 101c: 05 add + 101d: 02 pushsp + 101e: 00 breakpoint + 101f: 00 breakpoint + 1020: 00 breakpoint + 1021: 00 breakpoint + 1022: 18 addsp 32 + 1023: 00 breakpoint + 1024: 05 add + 1025: 02 pushsp + 1026: 00 breakpoint + 1027: 00 breakpoint + 1028: 00 breakpoint + 1029: 00 breakpoint + 102a: 17 addsp 28 + 102b: 00 breakpoint + 102c: 05 add + 102d: 02 pushsp + 102e: 00 breakpoint + 102f: 00 breakpoint + 1030: 00 breakpoint + 1031: 00 breakpoint + 1032: 3b pushpc + 1033: 00 breakpoint + 1034: 05 add + 1035: 02 pushsp + 1036: 00 breakpoint + 1037: 00 breakpoint + 1038: 00 breakpoint + 1039: 00 breakpoint + 103a: 19 addsp 36 + 103b: 00 breakpoint + 103c: 05 add + 103d: 02 pushsp + 103e: 00 breakpoint + 103f: 00 breakpoint + 1040: 00 breakpoint + 1041: 00 breakpoint + 1042: 03 .byte 3 + 1043: 57 storesp 28 + 1044: 01 .byte 1 + 1045: 00 breakpoint + 1046: 05 add + 1047: 02 pushsp + 1048: 00 breakpoint + 1049: 00 breakpoint + 104a: 00 breakpoint + 104b: 00 breakpoint + 104c: 1a addsp 40 + 104d: 00 breakpoint + 104e: 05 add + 104f: 02 pushsp + 1050: 00 breakpoint + 1051: 00 breakpoint + 1052: 00 breakpoint + 1053: 00 breakpoint + 1054: 15 addsp 20 + 1055: 00 breakpoint + 1056: 05 add + 1057: 02 pushsp + 1058: 00 breakpoint + 1059: 00 breakpoint + 105a: 00 breakpoint + 105b: 00 breakpoint + 105c: 23 storeh + 105d: 00 breakpoint + 105e: 05 add + 105f: 02 pushsp + 1060: 00 breakpoint + 1061: 00 breakpoint + 1062: 00 breakpoint + 1063: 00 breakpoint + 1064: 10 addsp 0 + 1065: 00 breakpoint + 1066: 05 add + 1067: 02 pushsp + 1068: 00 breakpoint + 1069: 00 breakpoint + 106a: 00 breakpoint + 106b: 00 breakpoint + 106c: 15 addsp 20 + 106d: 00 breakpoint + 106e: 05 add + 106f: 02 pushsp + 1070: 00 breakpoint + 1071: 00 breakpoint + 1072: 00 breakpoint + 1073: 00 breakpoint + 1074: 15 addsp 20 + 1075: 00 breakpoint + 1076: 05 add + 1077: 02 pushsp + 1078: 00 breakpoint + 1079: 00 breakpoint + 107a: 00 breakpoint + 107b: 00 breakpoint + 107c: 15 addsp 20 + 107d: 00 breakpoint + 107e: 05 add + 107f: 02 pushsp + 1080: 00 breakpoint + 1081: 00 breakpoint + 1082: 00 breakpoint + 1083: 00 breakpoint + 1084: 15 addsp 20 + 1085: 00 breakpoint + 1086: 05 add + 1087: 02 pushsp + 1088: 00 breakpoint + 1089: 00 breakpoint + 108a: 00 breakpoint + 108b: 00 breakpoint + 108c: 1a addsp 40 + 108d: 00 breakpoint + 108e: 05 add + 108f: 02 pushsp + 1090: 00 breakpoint + 1091: 00 breakpoint + 1092: 00 breakpoint + 1093: 00 breakpoint + 1094: 13 addsp 12 + 1095: 00 breakpoint + 1096: 05 add + 1097: 02 pushsp + 1098: 00 breakpoint + 1099: 00 breakpoint + 109a: 00 breakpoint + 109b: 00 breakpoint + 109c: 15 addsp 20 + 109d: 00 breakpoint + 109e: 05 add + 109f: 02 pushsp + 10a0: 00 breakpoint + 10a1: 00 breakpoint + 10a2: 00 breakpoint + 10a3: 00 breakpoint + 10a4: 17 addsp 28 + 10a5: 00 breakpoint + 10a6: 05 add + 10a7: 02 pushsp + 10a8: 00 breakpoint + 10a9: 00 breakpoint + 10aa: 00 breakpoint + 10ab: 00 breakpoint + 10ac: 00 breakpoint + 10ad: 01 .byte 1 + 10ae: 01 .byte 1 + 10af: 00 breakpoint + 10b0: 00 breakpoint + 10b1: 00 breakpoint + 10b2: 16 addsp 24 + 10b3: 00 breakpoint + 10b4: 02 pushsp + 10b5: 00 breakpoint + 10b6: 00 breakpoint + 10b7: 00 breakpoint + 10b8: 10 addsp 0 + 10b9: 01 .byte 1 + 10ba: 01 .byte 1 + 10bb: fb im -5 + 10bc: 0e .byte 14 + 10bd: 0a flip + 10be: 00 breakpoint + 10bf: 01 .byte 1 + 10c0: 01 .byte 1 + 10c1: 01 .byte 1 + 10c2: 01 .byte 1 + 10c3: 00 breakpoint + 10c4: 00 breakpoint + 10c5: 00 breakpoint + 10c6: 01 .byte 1 + ... + +000010c9 <.Ldebug_line0>: + 10c9: 00 breakpoint + 10ca: 00 breakpoint + 10cb: 01 .byte 1 + 10cc: 32 xor + 10cd: 00 breakpoint + 10ce: 02 pushsp + 10cf: 00 breakpoint + 10d0: 00 breakpoint + 10d1: 00 breakpoint + 10d2: d8 im -40 + 10d3: 01 .byte 1 + 10d4: 01 .byte 1 + 10d5: f6 im -10 + 10d6: f5 im -11 + 10d7: 0a flip + 10d8: 00 breakpoint + 10d9: 01 .byte 1 + 10da: 01 .byte 1 + 10db: 01 .byte 1 + 10dc: 01 .byte 1 + 10dd: 00 breakpoint + 10de: 00 breakpoint + 10df: 00 breakpoint + 10e0: 01 .byte 1 + 10e1: 2e eq + 10e2: 2e eq + 10e3: 2f neq + 10e4: 2e eq + 10e5: 2e eq + 10e6: 2f neq + 10e7: 2e eq + 10e8: 2e eq + 10e9: 2f neq + 10ea: 2e eq + 10eb: 2e eq + 10ec: 2f neq + 10ed: 2e eq + 10ee: 2e eq + 10ef: 2f neq + 10f0: 67 loadsp 92 + 10f1: 63 loadsp 76 + 10f2: 63 loadsp 76 + 10f3: 2f neq + 10f4: 6e loadsp 120 + 10f5: 65 loadsp 84 + 10f6: 77 loadsp 28 + 10f7: 6c loadsp 112 + 10f8: 69 loadsp 100 + 10f9: 62 loadsp 72 + 10fa: 2f neq + 10fb: 6c loadsp 112 + 10fc: 69 loadsp 100 + 10fd: 62 loadsp 72 + 10fe: 63 loadsp 76 + 10ff: 2f neq + 1100: 73 loadsp 12 + 1101: 74 loadsp 16 + 1102: 72 loadsp 8 + 1103: 69 loadsp 100 + 1104: 6e loadsp 120 + 1105: 67 loadsp 92 + 1106: 00 breakpoint + 1107: 2f neq + 1108: 68 loadsp 96 + 1109: 6f loadsp 124 + 110a: 6d loadsp 116 + 110b: 65 loadsp 84 + 110c: 2f neq + 110d: 6f loadsp 124 + 110e: 79 loadsp 36 + 110f: 76 loadsp 24 + 1110: 69 loadsp 100 + 1111: 6e loadsp 120 + 1112: 64 loadsp 80 + 1113: 2f neq + 1114: 74 loadsp 16 + 1115: 6f loadsp 124 + 1116: 6f loadsp 124 + 1117: 6c loadsp 112 + 1118: 63 loadsp 76 + 1119: 68 loadsp 96 + 111a: 61 loadsp 68 + 111b: 69 loadsp 100 + 111c: 6e loadsp 120 + 111d: 2f neq + 111e: 74 loadsp 16 + 111f: 6f loadsp 124 + 1120: 6f loadsp 124 + 1121: 6c loadsp 112 + 1122: 63 loadsp 76 + 1123: 68 loadsp 96 + 1124: 61 loadsp 68 + 1125: 69 loadsp 100 + 1126: 6e loadsp 120 + 1127: 2f neq + 1128: 67 loadsp 92 + 1129: 63 loadsp 76 + 112a: 63 loadsp 76 + 112b: 2f neq + 112c: 6e loadsp 120 + 112d: 65 loadsp 84 + 112e: 77 loadsp 28 + 112f: 6c loadsp 112 + 1130: 69 loadsp 100 + 1131: 62 loadsp 72 + 1132: 2f neq + 1133: 6c loadsp 112 + 1134: 69 loadsp 100 + 1135: 62 loadsp 72 + 1136: 63 loadsp 76 + 1137: 2f neq + 1138: 69 loadsp 100 + 1139: 6e loadsp 120 + 113a: 63 loadsp 76 + 113b: 6c loadsp 112 + 113c: 75 loadsp 20 + 113d: 64 loadsp 80 + 113e: 65 loadsp 84 + 113f: 2f neq + 1140: 73 loadsp 12 + 1141: 79 loadsp 36 + 1142: 73 loadsp 12 + 1143: 00 breakpoint + 1144: 2f neq + 1145: 68 loadsp 96 + 1146: 6f loadsp 124 + 1147: 6d loadsp 116 + 1148: 65 loadsp 84 + 1149: 2f neq + 114a: 6f loadsp 124 + 114b: 79 loadsp 36 + 114c: 76 loadsp 24 + 114d: 69 loadsp 100 + 114e: 6e loadsp 120 + 114f: 64 loadsp 80 + 1150: 2f neq + 1151: 74 loadsp 16 + 1152: 6f loadsp 124 + 1153: 6f loadsp 124 + 1154: 6c loadsp 112 + 1155: 63 loadsp 76 + 1156: 68 loadsp 96 + 1157: 61 loadsp 68 + 1158: 69 loadsp 100 + 1159: 6e loadsp 120 + 115a: 2f neq + 115b: 74 loadsp 16 + 115c: 6f loadsp 124 + 115d: 6f loadsp 124 + 115e: 6c loadsp 112 + 115f: 63 loadsp 76 + 1160: 68 loadsp 96 + 1161: 61 loadsp 68 + 1162: 69 loadsp 100 + 1163: 6e loadsp 120 + 1164: 2f neq + 1165: 67 loadsp 92 + 1166: 63 loadsp 76 + 1167: 63 loadsp 76 + 1168: 62 loadsp 72 + 1169: 75 loadsp 20 + 116a: 69 loadsp 100 + 116b: 6c loadsp 112 + 116c: 64 loadsp 80 + 116d: 2f neq + 116e: 67 loadsp 92 + 116f: 63 loadsp 76 + 1170: 63 loadsp 76 + 1171: 2f neq + 1172: 69 loadsp 100 + 1173: 6e loadsp 120 + 1174: 63 loadsp 76 + 1175: 6c loadsp 112 + 1176: 75 loadsp 20 + 1177: 64 loadsp 80 + 1178: 65 loadsp 84 + 1179: 00 breakpoint + 117a: 00 breakpoint + 117b: 5f storesp 60 + 117c: 74 loadsp 16 + 117d: 79 loadsp 36 + 117e: 70 loadsp 0 + 117f: 65 loadsp 84 + 1180: 73 loadsp 12 + 1181: 2e eq + 1182: 68 loadsp 96 + 1183: 00 breakpoint + 1184: 02 pushsp + 1185: 00 breakpoint + 1186: 00 breakpoint + 1187: 73 loadsp 12 + 1188: 74 loadsp 16 + 1189: 64 loadsp 80 + 118a: 64 loadsp 80 + 118b: 65 loadsp 84 + 118c: 66 loadsp 88 + 118d: 2e eq + 118e: 68 loadsp 96 + 118f: 00 breakpoint + 1190: 03 .byte 3 + 1191: 00 breakpoint + 1192: 00 breakpoint + 1193: 72 loadsp 8 + 1194: 65 loadsp 84 + 1195: 65 loadsp 84 + 1196: 6e loadsp 120 + 1197: 74 loadsp 16 + 1198: 2e eq + 1199: 68 loadsp 96 + 119a: 00 breakpoint + 119b: 02 pushsp + 119c: 00 breakpoint + 119d: 00 breakpoint + 119e: 73 loadsp 12 + 119f: 74 loadsp 16 + 11a0: 72 loadsp 8 + 11a1: 6c loadsp 112 + 11a2: 65 loadsp 84 + 11a3: 6e loadsp 120 + 11a4: 2e eq + 11a5: 63 loadsp 76 + 11a6: 00 breakpoint + 11a7: 01 .byte 1 + 11a8: 00 breakpoint + 11a9: 00 breakpoint + 11aa: 00 breakpoint + 11ab: 00 breakpoint + 11ac: 05 add + 11ad: 02 pushsp + 11ae: 00 breakpoint + 11af: 00 breakpoint + 11b0: 00 breakpoint + 11b1: 00 breakpoint + 11b2: 04 poppc + 11b3: 04 poppc + 11b4: 4e storesp 120 + 11b5: 00 breakpoint + 11b6: 05 add + 11b7: 02 pushsp + 11b8: 00 breakpoint + 11b9: 00 breakpoint + 11ba: 00 breakpoint + 11bb: 00 breakpoint + 11bc: 1d addsp 52 + 11bd: 00 breakpoint + 11be: 05 add + 11bf: 02 pushsp + 11c0: 00 breakpoint + 11c1: 00 breakpoint + 11c2: 00 breakpoint + 11c3: 00 breakpoint + 11c4: 17 addsp 28 + 11c5: 00 breakpoint + 11c6: 05 add + 11c7: 02 pushsp + 11c8: 00 breakpoint + 11c9: 00 breakpoint + 11ca: 00 breakpoint + 11cb: 00 breakpoint + 11cc: 18 addsp 32 + 11cd: 00 breakpoint + 11ce: 05 add + 11cf: 02 pushsp + 11d0: 00 breakpoint + 11d1: 00 breakpoint + 11d2: 00 breakpoint + 11d3: 00 breakpoint + 11d4: 16 addsp 24 + 11d5: 00 breakpoint + 11d6: 05 add + 11d7: 02 pushsp + 11d8: 00 breakpoint + 11d9: 00 breakpoint + 11da: 00 breakpoint + 11db: 00 breakpoint + 11dc: 18 addsp 32 + 11dd: 00 breakpoint + 11de: 05 add + 11df: 02 pushsp + 11e0: 00 breakpoint + 11e1: 00 breakpoint + 11e2: 00 breakpoint + 11e3: 00 breakpoint + 11e4: 18 addsp 32 + 11e5: 00 breakpoint + 11e6: 05 add + 11e7: 02 pushsp + 11e8: 00 breakpoint + 11e9: 00 breakpoint + 11ea: 00 breakpoint + 11eb: 00 breakpoint + 11ec: 15 addsp 20 + 11ed: 00 breakpoint + 11ee: 05 add + 11ef: 02 pushsp + 11f0: 00 breakpoint + 11f1: 00 breakpoint + 11f2: 00 breakpoint + 11f3: 00 breakpoint + 11f4: 16 addsp 24 + 11f5: 00 breakpoint + 11f6: 05 add + 11f7: 02 pushsp + 11f8: 00 breakpoint + 11f9: 00 breakpoint + 11fa: 00 breakpoint + 11fb: 00 breakpoint + 11fc: 00 breakpoint + 11fd: 01 .byte 1 + 11fe: 01 .byte 1 + 11ff: 00 breakpoint + 1200: 00 breakpoint + 1201: 00 breakpoint + 1202: 16 addsp 24 + 1203: 00 breakpoint + 1204: 02 pushsp + 1205: 00 breakpoint + 1206: 00 breakpoint + 1207: 00 breakpoint + 1208: 10 addsp 0 + 1209: 01 .byte 1 + 120a: 01 .byte 1 + 120b: fb im -5 + 120c: 0e .byte 14 + 120d: 0a flip + 120e: 00 breakpoint + 120f: 01 .byte 1 + 1210: 01 .byte 1 + 1211: 01 .byte 1 + 1212: 01 .byte 1 + 1213: 00 breakpoint + 1214: 00 breakpoint + 1215: 00 breakpoint + 1216: 01 .byte 1 + ... +Disassembly of section .debug_frame: + +00000000 <.Lframe0>: + 0: 00 breakpoint + 1: 00 breakpoint + 2: 00 breakpoint + 3: 10 addsp 0 + 4: ff im -1 + 5: ff im -1 + 6: ff im -1 + 7: ff im -1 + 8: 01 .byte 1 + 9: 00 breakpoint + a: 01 .byte 1 + b: 7c loadsp 48 + c: 24 lessthan + d: 0c store + e: 20 .byte 32 + f: 04 poppc + 10: a4 im 36 + 11: 01 .byte 1 + 12: 00 breakpoint + 13: 00 breakpoint + 14: 00 breakpoint + 15: 00 breakpoint + 16: 00 breakpoint + 17: 1c addsp 48 + ... + 20: 00 breakpoint + 21: 00 breakpoint + 22: 00 breakpoint + 23: 29 mult + 24: 04 poppc + 25: 00 breakpoint + 26: 00 breakpoint + 27: 00 breakpoint + 28: 03 .byte 3 + 29: 0e .byte 14 + 2a: 10 addsp 0 + 2b: 04 poppc + 2c: 00 breakpoint + 2d: 00 breakpoint + 2e: 00 breakpoint + 2f: 25 lessthanorequal + 30: 0e .byte 14 + 31: 04 poppc + 32: 00 breakpoint + 33: 00 breakpoint + 34: 00 breakpoint + 35: 00 breakpoint + 36: 00 breakpoint + 37: 1c addsp 48 + ... + 40: 00 breakpoint + 41: 00 breakpoint + 42: 00 breakpoint + 43: 25 lessthanorequal + 44: 04 poppc + 45: 00 breakpoint + 46: 00 breakpoint + 47: 00 breakpoint + 48: 03 .byte 3 + 49: 0e .byte 14 + 4a: 0c store + 4b: 04 poppc + 4c: 00 breakpoint + 4d: 00 breakpoint + 4e: 00 breakpoint + 4f: 21 .byte 33 + 50: 0e .byte 14 + 51: 04 poppc + 52: 00 breakpoint + 53: 00 breakpoint + 54: 00 breakpoint + 55: 00 breakpoint + 56: 00 breakpoint + 57: 0c store + 58: 00 breakpoint + 59: 00 breakpoint + 5a: 00 breakpoint + 5b: 00 breakpoint + 5c: 00 breakpoint + 5d: 00 breakpoint + 5e: 04 poppc + 5f: 5f storesp 60 + 60: 00 breakpoint + 61: 00 breakpoint + 62: 00 breakpoint + 63: 85 im 5 + 64: 00 breakpoint + 65: 00 breakpoint + 66: 00 breakpoint + 67: 1c addsp 48 + ... + 70: 00 breakpoint + 71: 00 breakpoint + 72: 00 breakpoint + 73: 6c loadsp 112 + 74: 04 poppc + 75: 00 breakpoint + 76: 00 breakpoint + 77: 00 breakpoint + 78: 03 .byte 3 + 79: 0e .byte 14 + 7a: 40 storesp 64 + 7b: 04 poppc + 7c: 00 breakpoint + 7d: 00 breakpoint + 7e: 00 breakpoint + 7f: 5b storesp 44 + 80: 0e .byte 14 + 81: 04 poppc + ... + +00000084 <.Lframe0>: + 84: 00 breakpoint + 85: 00 breakpoint + 86: 00 breakpoint + 87: 10 addsp 0 + 88: ff im -1 + 89: ff im -1 + 8a: ff im -1 + 8b: ff im -1 + 8c: 01 .byte 1 + 8d: 00 breakpoint + 8e: 01 .byte 1 + 8f: 7c loadsp 48 + 90: 24 lessthan + 91: 0c store + 92: 20 .byte 32 + 93: 04 poppc + 94: a4 im 36 + 95: 01 .byte 1 + 96: 00 breakpoint + 97: 00 breakpoint + 98: 00 breakpoint + 99: 00 breakpoint + 9a: 00 breakpoint + 9b: 14 addsp 16 + 9c: 00 breakpoint + 9d: 00 breakpoint + 9e: 00 breakpoint + 9f: 84 im 4 + a0: 00 breakpoint + a1: 00 breakpoint + a2: 05 add + a3: 24 lessthan + a4: 00 breakpoint + a5: 00 breakpoint + a6: 00 breakpoint + a7: 2f neq + a8: 04 poppc + a9: 00 breakpoint + aa: 00 breakpoint + ab: 00 breakpoint + ac: 03 .byte 3 + ad: 0e .byte 14 + ae: 0c store + ... + +000000b0 <.Lframe0>: + b0: 00 breakpoint + b1: 00 breakpoint + b2: 00 breakpoint + b3: 10 addsp 0 + b4: ff im -1 + b5: ff im -1 + b6: ff im -1 + b7: ff im -1 + b8: 01 .byte 1 + b9: 00 breakpoint + ba: 01 .byte 1 + bb: 7c loadsp 48 + bc: 24 lessthan + bd: 0c store + be: 20 .byte 32 + bf: 04 poppc + c0: a4 im 36 + c1: 01 .byte 1 + c2: 00 breakpoint + c3: 00 breakpoint + c4: 00 breakpoint + c5: 00 breakpoint + c6: 00 breakpoint + c7: 1c addsp 48 + c8: 00 breakpoint + c9: 00 breakpoint + ca: 00 breakpoint + cb: b0 im 48 + cc: 00 breakpoint + cd: 00 breakpoint + ce: 00 breakpoint + cf: 00 breakpoint + d0: 00 breakpoint + d1: 00 breakpoint + d2: 00 breakpoint + d3: b8 im 56 + d4: 04 poppc + d5: 00 breakpoint + d6: 00 breakpoint + d7: 00 breakpoint + d8: 03 .byte 3 + d9: 0e .byte 14 + da: 18 addsp 32 + db: 04 poppc + dc: 00 breakpoint + dd: 00 breakpoint + de: 00 breakpoint + df: 4d storesp 116 + e0: 0e .byte 14 + e1: 04 poppc + ... + +000000e4 <.Lframe0>: + e4: 00 breakpoint + e5: 00 breakpoint + e6: 00 breakpoint + e7: 10 addsp 0 + e8: ff im -1 + e9: ff im -1 + ea: ff im -1 + eb: ff im -1 + ec: 01 .byte 1 + ed: 00 breakpoint + ee: 01 .byte 1 + ef: 7c loadsp 48 + f0: 24 lessthan + f1: 0c store + f2: 20 .byte 32 + f3: 04 poppc + f4: a4 im 36 + f5: 01 .byte 1 + f6: 00 breakpoint + f7: 00 breakpoint + f8: 00 breakpoint + f9: 00 breakpoint + fa: 00 breakpoint + fb: 14 addsp 16 + fc: 00 breakpoint + fd: 00 breakpoint + fe: 00 breakpoint + ff: e4 im -28 + 100: 00 breakpoint + 101: 00 breakpoint + 102: 07 or + 103: 43 storesp 76 + 104: 00 breakpoint + 105: 00 breakpoint + 106: 00 breakpoint + 107: 6a loadsp 104 + 108: 04 poppc + 109: 00 breakpoint + 10a: 00 breakpoint + 10b: 00 breakpoint + 10c: 03 .byte 3 + 10d: 0e .byte 14 + 10e: 14 addsp 16 + 10f: 00 breakpoint + 110: 00 breakpoint + 111: 00 breakpoint + 112: 00 breakpoint + 113: 0c store + 114: 00 breakpoint + 115: 00 breakpoint + 116: 00 breakpoint + 117: e4 im -28 + 118: 00 breakpoint + 119: 00 breakpoint + 11a: 07 or + 11b: 80 im 0 + 11c: 00 breakpoint + 11d: 00 breakpoint + 11e: 00 breakpoint + 11f: 07 or + 120: 00 breakpoint + 121: 00 breakpoint + 122: 00 breakpoint + 123: 0c store + 124: 00 breakpoint + 125: 00 breakpoint + 126: 00 breakpoint + 127: e4 im -28 + 128: 00 breakpoint + 129: 00 breakpoint + 12a: 07 or + 12b: 83 im 3 + 12c: 00 breakpoint + 12d: 00 breakpoint + 12e: 00 breakpoint + 12f: 07 or + 130: 00 breakpoint + 131: 00 breakpoint + 132: 00 breakpoint + 133: 1c addsp 48 + 134: 00 breakpoint + 135: 00 breakpoint + 136: 00 breakpoint + 137: e4 im -28 + 138: 00 breakpoint + 139: 00 breakpoint + 13a: 00 breakpoint + 13b: 00 breakpoint + 13c: 00 breakpoint + 13d: 00 breakpoint + 13e: 00 breakpoint + 13f: 98 im 24 + 140: 04 poppc + 141: 00 breakpoint + 142: 00 breakpoint + 143: 00 breakpoint + 144: 03 .byte 3 + 145: 0e .byte 14 + 146: 34 storeb + 147: 04 poppc + 148: 00 breakpoint + 149: 00 breakpoint + 14a: 00 breakpoint + 14b: 4e storesp 120 + 14c: 0e .byte 14 + 14d: 04 poppc + 14e: 00 breakpoint + 14f: 00 breakpoint + 150: 00 breakpoint + 151: 00 breakpoint + 152: 00 breakpoint + 153: 1c addsp 48 + 154: 00 breakpoint + 155: 00 breakpoint + 156: 00 breakpoint + 157: e4 im -28 + 158: 00 breakpoint + 159: 00 breakpoint + 15a: 00 breakpoint + 15b: 00 breakpoint + 15c: 00 breakpoint + 15d: 00 breakpoint + 15e: 00 breakpoint + 15f: b4 im 52 + 160: 04 poppc + 161: 00 breakpoint + 162: 00 breakpoint + 163: 00 breakpoint + 164: 03 .byte 3 + 165: 0e .byte 14 + 166: 30 neg + 167: 04 poppc + 168: 00 breakpoint + 169: 00 breakpoint + 16a: 00 breakpoint + 16b: 68 loadsp 96 + 16c: 0e .byte 14 + 16d: 04 poppc + 16e: 00 breakpoint + 16f: 00 breakpoint + 170: 00 breakpoint + 171: 00 breakpoint + 172: 00 breakpoint + 173: 1c addsp 48 + 174: 00 breakpoint + 175: 00 breakpoint + 176: 00 breakpoint + 177: e4 im -28 + 178: 00 breakpoint + 179: 00 breakpoint + 17a: 00 breakpoint + 17b: 00 breakpoint + 17c: 00 breakpoint + 17d: 00 breakpoint + 17e: 00 breakpoint + 17f: 5a storesp 40 + 180: 04 poppc + 181: 00 breakpoint + 182: 00 breakpoint + 183: 00 breakpoint + 184: 03 .byte 3 + 185: 0e .byte 14 + 186: 24 lessthan + 187: 04 poppc + 188: 00 breakpoint + 189: 00 breakpoint + 18a: 00 breakpoint + 18b: 43 storesp 76 + 18c: 0e .byte 14 + 18d: 04 poppc + 18e: 00 breakpoint + 18f: 00 breakpoint + 190: 00 breakpoint + 191: 00 breakpoint + 192: 00 breakpoint + 193: 1c addsp 48 + 194: 00 breakpoint + 195: 00 breakpoint + 196: 00 breakpoint + 197: e4 im -28 + 198: 00 breakpoint + 199: 00 breakpoint + 19a: 00 breakpoint + 19b: 00 breakpoint + 19c: 00 breakpoint + 19d: 00 breakpoint + 19e: 00 breakpoint + 19f: 3d pushspadd + 1a0: 04 poppc + 1a1: 00 breakpoint + 1a2: 00 breakpoint + 1a3: 00 breakpoint + 1a4: 03 .byte 3 + 1a5: 0e .byte 14 + 1a6: 1c addsp 48 + 1a7: 04 poppc + 1a8: 00 breakpoint + 1a9: 00 breakpoint + 1aa: 00 breakpoint + 1ab: 16 addsp 24 + 1ac: 0e .byte 14 + 1ad: 04 poppc + 1ae: 00 breakpoint + 1af: 00 breakpoint + 1b0: 00 breakpoint + 1b1: 00 breakpoint + 1b2: 00 breakpoint + 1b3: 0c store + 1b4: 00 breakpoint + 1b5: 00 breakpoint + 1b6: 00 breakpoint + 1b7: e4 im -28 + 1b8: 00 breakpoint + 1b9: 00 breakpoint + 1ba: 00 breakpoint + 1bb: 00 breakpoint + 1bc: 00 breakpoint + 1bd: 00 breakpoint + 1be: 00 breakpoint + 1bf: 05 add + 1c0: 00 breakpoint + 1c1: 00 breakpoint + 1c2: 00 breakpoint + 1c3: 1c addsp 48 + 1c4: 00 breakpoint + 1c5: 00 breakpoint + 1c6: 00 breakpoint + 1c7: e4 im -28 + 1c8: 00 breakpoint + 1c9: 00 breakpoint + 1ca: 00 breakpoint + 1cb: 00 breakpoint + 1cc: 00 breakpoint + 1cd: 00 breakpoint + 1ce: 00 breakpoint + 1cf: 56 storesp 24 + 1d0: 04 poppc + 1d1: 00 breakpoint + 1d2: 00 breakpoint + 1d3: 00 breakpoint + 1d4: 03 .byte 3 + 1d5: 0e .byte 14 + 1d6: 1c addsp 48 + 1d7: 04 poppc + 1d8: 00 breakpoint + 1d9: 00 breakpoint + 1da: 00 breakpoint + 1db: 3f callpcrel + 1dc: 0e .byte 14 + 1dd: 04 poppc + 1de: 00 breakpoint + 1df: 00 breakpoint + 1e0: 00 breakpoint + 1e1: 00 breakpoint + 1e2: 00 breakpoint + 1e3: 1c addsp 48 + 1e4: 00 breakpoint + 1e5: 00 breakpoint + 1e6: 00 breakpoint + 1e7: e4 im -28 + 1e8: 00 breakpoint + 1e9: 00 breakpoint + 1ea: 00 breakpoint + 1eb: 00 breakpoint + 1ec: 00 breakpoint + 1ed: 00 breakpoint + 1ee: 00 breakpoint + 1ef: 4b storesp 108 + 1f0: 04 poppc + 1f1: 00 breakpoint + 1f2: 00 breakpoint + 1f3: 00 breakpoint + 1f4: 03 .byte 3 + 1f5: 0e .byte 14 + 1f6: 20 .byte 32 + 1f7: 04 poppc + 1f8: 00 breakpoint + 1f9: 00 breakpoint + 1fa: 00 breakpoint + 1fb: 34 storeb + 1fc: 0e .byte 14 + 1fd: 04 poppc + 1fe: 00 breakpoint + 1ff: 00 breakpoint + 200: 00 breakpoint + 201: 00 breakpoint + 202: 00 breakpoint + 203: 1c addsp 48 + 204: 00 breakpoint + 205: 00 breakpoint + 206: 00 breakpoint + 207: e4 im -28 + 208: 00 breakpoint + 209: 00 breakpoint + 20a: 00 breakpoint + 20b: 00 breakpoint + 20c: 00 breakpoint + 20d: 00 breakpoint + 20e: 00 breakpoint + 20f: 3d pushspadd + 210: 04 poppc + 211: 00 breakpoint + 212: 00 breakpoint + 213: 00 breakpoint + 214: 03 .byte 3 + 215: 0e .byte 14 + 216: 1c addsp 48 + 217: 04 poppc + 218: 00 breakpoint + 219: 00 breakpoint + 21a: 00 breakpoint + 21b: 39 poppcrel + 21c: 0e .byte 14 + 21d: 04 poppc + 21e: 00 breakpoint + 21f: 00 breakpoint + 220: 00 breakpoint + 221: 00 breakpoint + 222: 00 breakpoint + 223: 1c addsp 48 + 224: 00 breakpoint + 225: 00 breakpoint + 226: 00 breakpoint + 227: e4 im -28 + 228: 00 breakpoint + 229: 00 breakpoint + 22a: 00 breakpoint + 22b: 00 breakpoint + 22c: 00 breakpoint + 22d: 00 breakpoint + 22e: 00 breakpoint + 22f: 95 im 21 + 230: 04 poppc + 231: 00 breakpoint + 232: 00 breakpoint + 233: 00 breakpoint + 234: 03 .byte 3 + 235: 0e .byte 14 + 236: 18 addsp 32 + 237: 04 poppc + 238: 00 breakpoint + 239: 00 breakpoint + 23a: 00 breakpoint + 23b: 91 im 17 + 23c: 0e .byte 14 + 23d: 04 poppc + 23e: 00 breakpoint + 23f: 00 breakpoint + 240: 00 breakpoint + 241: 00 breakpoint + 242: 00 breakpoint + 243: 1c addsp 48 + 244: 00 breakpoint + 245: 00 breakpoint + 246: 00 breakpoint + 247: e4 im -28 + 248: 00 breakpoint + 249: 00 breakpoint + 24a: 00 breakpoint + 24b: 00 breakpoint + 24c: 00 breakpoint + 24d: 00 breakpoint + 24e: 00 breakpoint + 24f: 58 storesp 32 + 250: 04 poppc + 251: 00 breakpoint + 252: 00 breakpoint + 253: 00 breakpoint + 254: 03 .byte 3 + 255: 0e .byte 14 + 256: 64 loadsp 80 + 257: 04 poppc + 258: 00 breakpoint + 259: 00 breakpoint + 25a: 00 breakpoint + 25b: 21 .byte 33 + 25c: 0e .byte 14 + 25d: 04 poppc + 25e: 00 breakpoint + 25f: 00 breakpoint + 260: 00 breakpoint + 261: 00 breakpoint + 262: 00 breakpoint + 263: 1c addsp 48 + 264: 00 breakpoint + 265: 00 breakpoint + 266: 00 breakpoint + 267: e4 im -28 + 268: 00 breakpoint + 269: 00 breakpoint + 26a: 00 breakpoint + 26b: 00 breakpoint + 26c: 00 breakpoint + 26d: 00 breakpoint + 26e: 00 breakpoint + 26f: 66 loadsp 88 + 270: 04 poppc + 271: 00 breakpoint + 272: 00 breakpoint + 273: 00 breakpoint + 274: 03 .byte 3 + 275: 0e .byte 14 + 276: 64 loadsp 80 + 277: 04 poppc + 278: 00 breakpoint + 279: 00 breakpoint + 27a: 00 breakpoint + 27b: 4f storesp 124 + 27c: 0e .byte 14 + 27d: 04 poppc + 27e: 00 breakpoint + 27f: 00 breakpoint + 280: 00 breakpoint + 281: 00 breakpoint + 282: 00 breakpoint + 283: 1c addsp 48 + 284: 00 breakpoint + 285: 00 breakpoint + 286: 00 breakpoint + 287: e4 im -28 + 288: 00 breakpoint + 289: 00 breakpoint + 28a: 00 breakpoint + 28b: 00 breakpoint + 28c: 00 breakpoint + 28d: 00 breakpoint + 28e: 00 breakpoint + 28f: 3c syscall + 290: 04 poppc + 291: 00 breakpoint + 292: 00 breakpoint + 293: 00 breakpoint + 294: 03 .byte 3 + 295: 0e .byte 14 + 296: 18 addsp 32 + 297: 04 poppc + 298: 00 breakpoint + 299: 00 breakpoint + 29a: 00 breakpoint + 29b: 14 addsp 16 + 29c: 0e .byte 14 + 29d: 04 poppc + ... + +000002a0 <.Lframe0>: + 2a0: 00 breakpoint + 2a1: 00 breakpoint + 2a2: 00 breakpoint + 2a3: 10 addsp 0 + 2a4: ff im -1 + 2a5: ff im -1 + 2a6: ff im -1 + 2a7: ff im -1 + 2a8: 01 .byte 1 + 2a9: 00 breakpoint + 2aa: 01 .byte 1 + 2ab: 7c loadsp 48 + 2ac: 24 lessthan + 2ad: 0c store + 2ae: 20 .byte 32 + 2af: 04 poppc + 2b0: a4 im 36 + 2b1: 01 .byte 1 + 2b2: 00 breakpoint + 2b3: 00 breakpoint + 2b4: 00 breakpoint + 2b5: 00 breakpoint + 2b6: 00 breakpoint + 2b7: 0c store + 2b8: 00 breakpoint + 2b9: 00 breakpoint + 2ba: 02 pushsp + 2bb: a0 im 32 + 2bc: 00 breakpoint + 2bd: 00 breakpoint + 2be: 00 breakpoint + 2bf: 00 breakpoint + 2c0: 00 breakpoint + 2c1: 00 breakpoint + 2c2: 00 breakpoint + 2c3: 09 not + +000002c4 <.Lframe0>: + 2c4: 00 breakpoint + 2c5: 00 breakpoint + 2c6: 00 breakpoint + 2c7: 10 addsp 0 + 2c8: ff im -1 + 2c9: ff im -1 + 2ca: ff im -1 + 2cb: ff im -1 + 2cc: 01 .byte 1 + 2cd: 00 breakpoint + 2ce: 01 .byte 1 + 2cf: 7c loadsp 48 + 2d0: 24 lessthan + 2d1: 0c store + 2d2: 20 .byte 32 + 2d3: 04 poppc + 2d4: a4 im 36 + 2d5: 01 .byte 1 + 2d6: 00 breakpoint + 2d7: 00 breakpoint + 2d8: 00 breakpoint + 2d9: 00 breakpoint + 2da: 00 breakpoint + 2db: 14 addsp 16 + 2dc: 00 breakpoint + 2dd: 00 breakpoint + 2de: 02 pushsp + 2df: c4 im -60 + 2e0: 00 breakpoint + 2e1: 00 breakpoint + 2e2: 07 or + 2e3: 86 im 6 + 2e4: 00 breakpoint + 2e5: 00 breakpoint + 2e6: 00 breakpoint + 2e7: d1 im -47 + 2e8: 04 poppc + 2e9: 00 breakpoint + 2ea: 00 breakpoint + 2eb: 00 breakpoint + 2ec: 03 .byte 3 + 2ed: 0e .byte 14 + 2ee: 2c ashiftright + ... + +000002f0 <.Lframe0>: + 2f0: 00 breakpoint + 2f1: 00 breakpoint + 2f2: 00 breakpoint + 2f3: 10 addsp 0 + 2f4: ff im -1 + 2f5: ff im -1 + 2f6: ff im -1 + 2f7: ff im -1 + 2f8: 01 .byte 1 + 2f9: 00 breakpoint + 2fa: 01 .byte 1 + 2fb: 7c loadsp 48 + 2fc: 24 lessthan + 2fd: 0c store + 2fe: 20 .byte 32 + 2ff: 04 poppc + 300: a4 im 36 + 301: 01 .byte 1 + 302: 00 breakpoint + 303: 00 breakpoint + 304: 00 breakpoint + 305: 00 breakpoint + 306: 00 breakpoint + 307: 1c addsp 48 + 308: 00 breakpoint + 309: 00 breakpoint + 30a: 02 pushsp + 30b: f0 im -16 + 30c: 00 breakpoint + 30d: 00 breakpoint + 30e: 00 breakpoint + 30f: 00 breakpoint + 310: 00 breakpoint + 311: 00 breakpoint + 312: 00 breakpoint + 313: b2 im 50 + 314: 04 poppc + 315: 00 breakpoint + 316: 00 breakpoint + 317: 00 breakpoint + 318: 03 .byte 3 + 319: 0e .byte 14 + 31a: 18 addsp 32 + 31b: 04 poppc + 31c: 00 breakpoint + 31d: 00 breakpoint + 31e: 00 breakpoint + 31f: 4b storesp 108 + 320: 0e .byte 14 + 321: 04 poppc + ... + +00000324 <.Lframe0>: + 324: 00 breakpoint + 325: 00 breakpoint + 326: 00 breakpoint + 327: 10 addsp 0 + 328: ff im -1 + 329: ff im -1 + 32a: ff im -1 + 32b: ff im -1 + 32c: 01 .byte 1 + 32d: 00 breakpoint + 32e: 01 .byte 1 + 32f: 7c loadsp 48 + 330: 24 lessthan + 331: 0c store + 332: 20 .byte 32 + 333: 04 poppc + 334: a4 im 36 + 335: 01 .byte 1 + 336: 00 breakpoint + 337: 00 breakpoint + 338: 00 breakpoint + 339: 00 breakpoint + 33a: 00 breakpoint + 33b: 1c addsp 48 + 33c: 00 breakpoint + 33d: 00 breakpoint + 33e: 03 .byte 3 + 33f: 24 lessthan + 340: 00 breakpoint + 341: 00 breakpoint + 342: 00 breakpoint + 343: 00 breakpoint + 344: 00 breakpoint + 345: 00 breakpoint + 346: 00 breakpoint + 347: 73 loadsp 12 + 348: 04 poppc + 349: 00 breakpoint + 34a: 00 breakpoint + 34b: 00 breakpoint + 34c: 03 .byte 3 + 34d: 0e .byte 14 + 34e: 14 addsp 16 + 34f: 04 poppc + 350: 00 breakpoint + 351: 00 breakpoint + 352: 00 breakpoint + 353: 6f loadsp 124 + 354: 0e .byte 14 + 355: 04 poppc + ... +Disassembly of section .debug_str: + +00000000 <.debug_str>: + 0: 5f storesp 60 + 1: 63 loadsp 76 + 2: 70 loadsp 0 + 3: 75 loadsp 20 + 4: 5f storesp 60 + 5: 63 loadsp 76 + 6: 6f loadsp 124 + 7: 6e loadsp 120 + 8: 66 loadsp 88 + 9: 69 loadsp 100 + a: 67 loadsp 92 + b: 00 breakpoint + c: 6c loadsp 112 + d: 6f loadsp 124 + e: 6e loadsp 120 + f: 67 loadsp 92 + 10: 20 .byte 32 + 11: 6c loadsp 112 + 12: 6f loadsp 124 + 13: 6e loadsp 120 + 14: 67 loadsp 92 + 15: 20 .byte 32 + 16: 75 loadsp 20 + 17: 6e loadsp 120 + 18: 73 loadsp 12 + 19: 69 loadsp 100 + 1a: 67 loadsp 92 + 1b: 6e loadsp 120 + 1c: 65 loadsp 84 + 1d: 64 loadsp 80 + 1e: 20 .byte 32 + 1f: 69 loadsp 100 + 20: 6e loadsp 120 + 21: 74 loadsp 16 + 22: 00 breakpoint + 23: 6c loadsp 112 + 24: 6f loadsp 124 + 25: 6e loadsp 120 + 26: 67 loadsp 92 + 27: 20 .byte 32 + 28: 6c loadsp 112 + 29: 6f loadsp 124 + 2a: 6e loadsp 120 + 2b: 67 loadsp 92 + 2c: 20 .byte 32 + 2d: 69 loadsp 100 + 2e: 6e loadsp 120 + 2f: 74 loadsp 16 + 30: 00 breakpoint + 31: 54 storesp 16 + 32: 49 storesp 100 + 33: 4d storesp 116 + 34: 45 storesp 84 + 35: 52 storesp 8 + 36: 00 breakpoint + 37: 6f loadsp 124 + 38: 75 loadsp 20 + 39: 74 loadsp 16 + 3a: 62 loadsp 72 + 3b: 79 loadsp 36 + 3c: 74 loadsp 16 + 3d: 65 loadsp 84 + 3e: 00 breakpoint + 3f: 5f storesp 60 + 40: 72 loadsp 8 + 41: 65 loadsp 84 + 42: 61 loadsp 68 + 43: 64 loadsp 80 + 44: 43 storesp 76 + 45: 79 loadsp 36 + 46: 63 loadsp 76 + 47: 6c loadsp 112 + 48: 65 loadsp 84 + 49: 73 loadsp 12 + 4a: 00 breakpoint + 4b: 73 loadsp 12 + 4c: 68 loadsp 96 + 4d: 6f loadsp 124 + 4e: 72 loadsp 8 + 4f: 74 loadsp 16 + 50: 20 .byte 32 + 51: 75 loadsp 20 + 52: 6e loadsp 120 + 53: 73 loadsp 12 + 54: 69 loadsp 100 + 55: 67 loadsp 92 + 56: 6e loadsp 120 + 57: 65 loadsp 84 + 58: 64 loadsp 80 + 59: 20 .byte 32 + 5a: 69 loadsp 100 + 5b: 6e loadsp 120 + 5c: 74 loadsp 16 + 5d: 00 breakpoint + 5e: 47 storesp 92 + 5f: 4e storesp 120 + 60: 55 storesp 20 + 61: 20 .byte 32 + 62: 43 storesp 76 + 63: 20 .byte 32 + 64: 33 loadb + 65: 2e eq + 66: 34 storeb + 67: 2e eq + 68: 32 xor + 69: 00 breakpoint + 6a: 5f storesp 60 + 6b: 68 loadsp 96 + 6c: 61 loadsp 68 + 6d: 72 loadsp 8 + 6e: 64 loadsp 80 + 6f: 77 loadsp 28 + 70: 61 loadsp 68 + 71: 72 loadsp 8 + 72: 65 loadsp 84 + 73: 00 breakpoint + 74: 2e eq + 75: 2e eq + 76: 2f neq + 77: 2e eq + 78: 2e eq + 79: 2f neq + 7a: 2e eq + 7b: 2e eq + 7c: 2f neq + 7d: 2e eq + 7e: 2e eq + 7f: 2f neq + 80: 67 loadsp 92 + 81: 63 loadsp 76 + 82: 63 loadsp 76 + 83: 2f neq + 84: 6c loadsp 112 + 85: 69 loadsp 100 + 86: 62 loadsp 72 + 87: 67 loadsp 92 + 88: 6c loadsp 112 + 89: 6f loadsp 124 + 8a: 73 loadsp 12 + 8b: 73 loadsp 12 + 8c: 2f neq + 8d: 7a loadsp 40 + 8e: 70 loadsp 0 + 8f: 75 loadsp 20 + 90: 2f neq + 91: 63 loadsp 76 + 92: 72 loadsp 8 + 93: 74 loadsp 16 + 94: 5f storesp 60 + 95: 69 loadsp 100 + 96: 6f loadsp 124 + 97: 2e eq + 98: 63 loadsp 76 + 99: 00 breakpoint + 9a: 75 loadsp 20 + 9b: 6e loadsp 120 + 9c: 73 loadsp 12 + 9d: 69 loadsp 100 + 9e: 67 loadsp 92 + 9f: 6e loadsp 120 + a0: 65 loadsp 84 + a1: 64 loadsp 80 + a2: 20 .byte 32 + a3: 63 loadsp 76 + a4: 68 loadsp 96 + a5: 61 loadsp 68 + a6: 72 loadsp 8 + a7: 00 breakpoint + a8: 73 loadsp 12 + a9: 68 loadsp 96 + aa: 6f loadsp 124 + ab: 72 loadsp 8 + ac: 74 loadsp 16 + ad: 20 .byte 32 + ae: 69 loadsp 100 + af: 6e loadsp 120 + b0: 74 loadsp 16 + b1: 00 breakpoint + b2: 69 loadsp 100 + b3: 6e loadsp 120 + b4: 62 loadsp 72 + b5: 79 loadsp 36 + b6: 74 loadsp 16 + b7: 65 loadsp 84 + b8: 00 breakpoint + b9: 55 storesp 20 + ba: 41 storesp 68 + bb: 52 storesp 8 + bc: 54 storesp 16 + bd: 00 breakpoint + be: 2f neq + bf: 68 loadsp 96 + c0: 6f loadsp 124 + c1: 6d loadsp 116 + c2: 65 loadsp 84 + c3: 2f neq + c4: 6f loadsp 124 + c5: 79 loadsp 36 + c6: 76 loadsp 24 + c7: 69 loadsp 100 + c8: 6e loadsp 120 + c9: 64 loadsp 80 + ca: 2f neq + cb: 74 loadsp 16 + cc: 6f loadsp 124 + cd: 6f loadsp 124 + ce: 6c loadsp 112 + cf: 63 loadsp 76 + d0: 68 loadsp 96 + d1: 61 loadsp 68 + d2: 69 loadsp 100 + d3: 6e loadsp 120 + d4: 2f neq + d5: 74 loadsp 16 + d6: 6f loadsp 124 + d7: 6f loadsp 124 + d8: 6c loadsp 112 + d9: 63 loadsp 76 + da: 68 loadsp 96 + db: 61 loadsp 68 + dc: 69 loadsp 100 + dd: 6e loadsp 120 + de: 2f neq + df: 67 loadsp 92 + e0: 63 loadsp 76 + e1: 63 loadsp 76 + e2: 62 loadsp 72 + e3: 75 loadsp 20 + e4: 69 loadsp 100 + e5: 6c loadsp 112 + e6: 64 loadsp 80 + e7: 2f neq + e8: 7a loadsp 40 + e9: 70 loadsp 0 + ea: 75 loadsp 20 + eb: 2d call + ec: 65 loadsp 84 + ed: 6c loadsp 112 + ee: 66 loadsp 88 + ef: 2f neq + f0: 6c loadsp 112 + f1: 69 loadsp 100 + f2: 62 loadsp 72 + f3: 67 loadsp 92 + f4: 6c loadsp 112 + f5: 6f loadsp 124 + f6: 73 loadsp 12 + f7: 73 loadsp 12 + f8: 2f neq + f9: 7a loadsp 40 + fa: 70 loadsp 0 + fb: 75 loadsp 20 + fc: 00 breakpoint + fd: 5f storesp 60 + fe: 69 loadsp 100 + ff: 6e loadsp 120 + 100: 69 loadsp 100 + 101: 74 loadsp 16 + 102: 49 storesp 100 + 103: 4f storesp 124 + 104: 00 breakpoint + 105: 63 loadsp 76 + 106: 6c loadsp 112 + 107: 6f loadsp 124 + 108: 63 loadsp 76 + 109: 6b loadsp 108 + 10a: 00 breakpoint + 10b: 63 loadsp 76 + 10c: 6f loadsp 124 + 10d: 75 loadsp 20 + 10e: 6e loadsp 120 + 10f: 74 loadsp 16 + 110: 65 loadsp 84 + 111: 72 loadsp 8 + 112: 00 breakpoint + 113: 2f neq + 114: 63 loadsp 76 + 115: 79 loadsp 36 + 116: 67 loadsp 92 + 117: 64 loadsp 80 + 118: 72 loadsp 8 + 119: 69 loadsp 100 + 11a: 76 loadsp 24 + 11b: 65 loadsp 84 + 11c: 2f neq + 11d: 64 loadsp 80 + 11e: 2f neq + 11f: 52 storesp 8 + 120: 65 loadsp 84 + 121: 73 loadsp 12 + 122: 65 loadsp 84 + 123: 61 loadsp 68 + 124: 72 loadsp 8 + 125: 63 loadsp 76 + 126: 68 loadsp 96 + 127: 2f neq + 128: 43 storesp 76 + 129: 6f loadsp 124 + 12a: 6d loadsp 116 + 12b: 70 loadsp 0 + 12c: 75 loadsp 20 + 12d: 74 loadsp 16 + 12e: 65 loadsp 84 + 12f: 72 loadsp 8 + 130: 5f storesp 60 + 131: 53 storesp 12 + 132: 63 loadsp 76 + 133: 69 loadsp 100 + 134: 65 loadsp 84 + 135: 6e loadsp 120 + 136: 63 loadsp 76 + 137: 65 loadsp 84 + 138: 2f neq + 139: 50 storesp 0 + 13a: 72 loadsp 8 + 13b: 6f loadsp 124 + 13c: 6a loadsp 104 + 13d: 65 loadsp 84 + 13e: 63 loadsp 76 + 13f: 74 loadsp 16 + 140: 73 loadsp 12 + 141: 2f neq + 142: 50 storesp 0 + 143: 72 loadsp 8 + 144: 61 loadsp 68 + 145: 63 loadsp 76 + 146: 74 loadsp 16 + 147: 69 loadsp 100 + 148: 63 loadsp 76 + 149: 65 loadsp 84 + 14a: 2f neq + 14b: 7a loadsp 40 + 14c: 70 loadsp 0 + 14d: 75 loadsp 20 + 14e: 2f neq + 14f: 70 loadsp 0 + 150: 73 loadsp 12 + 151: 73 loadsp 12 + 152: 2f neq + 153: 70 loadsp 0 + 154: 73 loadsp 12 + 155: 73 loadsp 12 + 156: 2f neq + 157: 53 storesp 12 + 158: 57 storesp 28 + 159: 2f neq + 15a: 6f loadsp 124 + 15b: 6e loadsp 120 + 15c: 62 loadsp 72 + 15d: 6f loadsp 124 + 15e: 61 loadsp 68 + 15f: 72 loadsp 8 + 160: 64 loadsp 80 + 161: 2f neq + 162: 48 storesp 96 + 163: 65 loadsp 84 + 164: 61 loadsp 68 + 165: 72 loadsp 8 + 166: 74 loadsp 16 + 167: 62 loadsp 72 + 168: 65 loadsp 84 + 169: 61 loadsp 68 + 16a: 74 loadsp 16 + 16b: 44 storesp 80 + 16c: 65 loadsp 84 + 16d: 6c loadsp 112 + 16e: 61 loadsp 68 + 16f: 79 loadsp 36 + 170: 2f neq + 171: 68 loadsp 96 + 172: 65 loadsp 84 + 173: 61 loadsp 68 + 174: 72 loadsp 8 + 175: 74 loadsp 16 + 176: 62 loadsp 72 + 177: 65 loadsp 84 + 178: 61 loadsp 68 + 179: 74 loadsp 16 + 17a: 64 loadsp 80 + 17b: 65 loadsp 84 + 17c: 6c loadsp 112 + 17d: 61 loadsp 68 + 17e: 79 loadsp 36 + 17f: 2e eq + 180: 63 loadsp 76 + 181: 00 breakpoint + 182: 61 loadsp 68 + 183: 6c loadsp 112 + 184: 69 loadsp 100 + 185: 67 loadsp 92 + 186: 6e loadsp 120 + 187: 65 loadsp 84 + 188: 64 loadsp 80 + 189: 5f storesp 60 + 18a: 64 loadsp 80 + 18b: 73 loadsp 12 + 18c: 74 loadsp 16 + 18d: 00 breakpoint + 18e: 73 loadsp 12 + 18f: 69 loadsp 100 + 190: 7a loadsp 40 + 191: 65 loadsp 84 + 192: 5f storesp 60 + 193: 74 loadsp 16 + 194: 00 breakpoint + 195: 2f neq + 196: 68 loadsp 96 + 197: 6f loadsp 124 + 198: 6d loadsp 116 + 199: 65 loadsp 84 + 19a: 2f neq + 19b: 6f loadsp 124 + 19c: 79 loadsp 36 + 19d: 76 loadsp 24 + 19e: 69 loadsp 100 + 19f: 6e loadsp 120 + 1a0: 64 loadsp 80 + 1a1: 2f neq + 1a2: 74 loadsp 16 + 1a3: 6f loadsp 124 + 1a4: 6f loadsp 124 + 1a5: 6c loadsp 112 + 1a6: 63 loadsp 76 + 1a7: 68 loadsp 96 + 1a8: 61 loadsp 68 + 1a9: 69 loadsp 100 + 1aa: 6e loadsp 120 + 1ab: 2f neq + 1ac: 74 loadsp 16 + 1ad: 6f loadsp 124 + 1ae: 6f loadsp 124 + 1af: 6c loadsp 112 + 1b0: 63 loadsp 76 + 1b1: 68 loadsp 96 + 1b2: 61 loadsp 68 + 1b3: 69 loadsp 100 + 1b4: 6e loadsp 120 + 1b5: 2f neq + 1b6: 67 loadsp 92 + 1b7: 63 loadsp 76 + 1b8: 63 loadsp 76 + 1b9: 62 loadsp 72 + 1ba: 75 loadsp 20 + 1bb: 69 loadsp 100 + 1bc: 6c loadsp 112 + 1bd: 64 loadsp 80 + 1be: 2f neq + 1bf: 7a loadsp 40 + 1c0: 70 loadsp 0 + 1c1: 75 loadsp 20 + 1c2: 2d call + 1c3: 65 loadsp 84 + 1c4: 6c loadsp 112 + 1c5: 66 loadsp 88 + 1c6: 2f neq + 1c7: 6e loadsp 120 + 1c8: 65 loadsp 84 + 1c9: 77 loadsp 28 + 1ca: 6c loadsp 112 + 1cb: 69 loadsp 100 + 1cc: 62 loadsp 72 + 1cd: 2f neq + 1ce: 6c loadsp 112 + 1cf: 69 loadsp 100 + 1d0: 62 loadsp 72 + 1d1: 63 loadsp 76 + 1d2: 2f neq + 1d3: 73 loadsp 12 + 1d4: 74 loadsp 16 + 1d5: 72 loadsp 8 + 1d6: 69 loadsp 100 + 1d7: 6e loadsp 120 + 1d8: 67 loadsp 92 + 1d9: 00 breakpoint + 1da: 61 loadsp 68 + 1db: 6c loadsp 112 + 1dc: 69 loadsp 100 + 1dd: 67 loadsp 92 + 1de: 6e loadsp 120 + 1df: 65 loadsp 84 + 1e0: 64 loadsp 80 + 1e1: 5f storesp 60 + 1e2: 73 loadsp 12 + 1e3: 72 loadsp 8 + 1e4: 63 loadsp 76 + 1e5: 00 breakpoint + 1e6: 73 loadsp 12 + 1e7: 72 loadsp 8 + 1e8: 63 loadsp 76 + 1e9: 30 neg + 1ea: 00 breakpoint + 1eb: 2e eq + 1ec: 2e eq + 1ed: 2f neq + 1ee: 2e eq + 1ef: 2e eq + 1f0: 2f neq + 1f1: 2e eq + 1f2: 2e eq + 1f3: 2f neq + 1f4: 2e eq + 1f5: 2e eq + 1f6: 2f neq + 1f7: 2e eq + 1f8: 2e eq + 1f9: 2f neq + 1fa: 67 loadsp 92 + 1fb: 63 loadsp 76 + 1fc: 63 loadsp 76 + 1fd: 2f neq + 1fe: 6e loadsp 120 + 1ff: 65 loadsp 84 + 200: 77 loadsp 28 + 201: 6c loadsp 112 + 202: 69 loadsp 100 + 203: 62 loadsp 72 + 204: 2f neq + 205: 6c loadsp 112 + 206: 69 loadsp 100 + 207: 62 loadsp 72 + 208: 63 loadsp 76 + 209: 2f neq + 20a: 73 loadsp 12 + 20b: 74 loadsp 16 + 20c: 72 loadsp 8 + 20d: 69 loadsp 100 + 20e: 6e loadsp 120 + 20f: 67 loadsp 92 + 210: 2f neq + 211: 6d loadsp 116 + 212: 65 loadsp 84 + 213: 6d loadsp 116 + 214: 63 loadsp 76 + 215: 70 loadsp 0 + 216: 79 loadsp 36 + 217: 2e eq + 218: 63 loadsp 76 + 219: 00 breakpoint + 21a: 64 loadsp 80 + 21b: 73 loadsp 12 + 21c: 74 loadsp 16 + 21d: 30 neg + 21e: 00 breakpoint + 21f: 6d loadsp 116 + 220: 65 loadsp 84 + 221: 6d loadsp 116 + 222: 63 loadsp 76 + 223: 70 loadsp 0 + 224: 79 loadsp 36 + 225: 00 breakpoint + 226: 6c loadsp 112 + 227: 65 loadsp 84 + 228: 6e loadsp 120 + 229: 30 neg + 22a: 00 breakpoint + 22b: 69 loadsp 100 + 22c: 73 loadsp 12 + 22d: 61 loadsp 68 + 22e: 74 loadsp 16 + 22f: 74 loadsp 16 + 230: 79 loadsp 36 + 231: 00 breakpoint + 232: 5f storesp 60 + 233: 75 loadsp 20 + 234: 73 loadsp 12 + 235: 65 loadsp 84 + 236: 5f storesp 60 + 237: 73 loadsp 12 + 238: 79 loadsp 36 + 239: 73 loadsp 12 + 23a: 63 loadsp 76 + 23b: 61 loadsp 68 + 23c: 6c loadsp 112 + 23d: 6c loadsp 112 + 23e: 00 breakpoint + 23f: 66 loadsp 88 + 240: 69 loadsp 100 + 241: 6f loadsp 124 + 242: 5f storesp 60 + 243: 75 loadsp 20 + 244: 6c loadsp 112 + 245: 6f loadsp 124 + 246: 6e loadsp 120 + 247: 67 loadsp 92 + 248: 5f storesp 60 + 249: 74 loadsp 16 + 24a: 00 breakpoint + 24b: 66 loadsp 88 + 24c: 73 loadsp 12 + 24d: 74 loadsp 16 + 24e: 5f storesp 60 + 24f: 6d loadsp 116 + 250: 74 loadsp 16 + 251: 69 loadsp 100 + 252: 6d loadsp 116 + 253: 65 loadsp 84 + 254: 00 breakpoint + 255: 66 loadsp 88 + 256: 73 loadsp 12 + 257: 74 loadsp 16 + 258: 5f storesp 60 + 259: 63 loadsp 76 + 25a: 74 loadsp 16 + 25b: 69 loadsp 100 + 25c: 6d loadsp 116 + 25d: 65 loadsp 84 + 25e: 00 breakpoint + 25f: 70 loadsp 0 + 260: 61 loadsp 68 + 261: 74 loadsp 16 + 262: 68 loadsp 96 + 263: 00 breakpoint + 264: 5f storesp 60 + 265: 65 loadsp 84 + 266: 78 loadsp 32 + 267: 69 loadsp 100 + 268: 74 loadsp 16 + 269: 00 breakpoint + 26a: 66 loadsp 88 + 26b: 73 loadsp 12 + 26c: 74 loadsp 16 + 26d: 5f storesp 60 + 26e: 6d loadsp 116 + 26f: 6f loadsp 124 + 270: 64 loadsp 80 + 271: 65 loadsp 84 + 272: 00 breakpoint + 273: 5f storesp 60 + 274: 70 loadsp 0 + 275: 72 loadsp 8 + 276: 65 loadsp 84 + 277: 6d loadsp 116 + 278: 61 loadsp 68 + 279: 69 loadsp 100 + 27a: 6e loadsp 120 + 27b: 00 breakpoint + 27c: 5f storesp 60 + 27d: 7a loadsp 40 + 27e: 70 loadsp 0 + 27f: 75 loadsp 20 + 280: 5f storesp 60 + 281: 69 loadsp 100 + 282: 6e loadsp 120 + 283: 74 loadsp 16 + 284: 65 loadsp 84 + 285: 72 loadsp 8 + 286: 72 loadsp 8 + 287: 75 loadsp 20 + 288: 70 loadsp 0 + 289: 74 loadsp 16 + 28a: 00 breakpoint + 28b: 66 loadsp 88 + 28c: 73 loadsp 12 + 28d: 74 loadsp 16 + 28e: 5f storesp 60 + 28f: 69 loadsp 100 + 290: 6e loadsp 120 + 291: 6f loadsp 124 + 292: 00 breakpoint + 293: 66 loadsp 88 + 294: 73 loadsp 12 + 295: 74 loadsp 16 + 296: 5f storesp 60 + 297: 64 loadsp 80 + 298: 65 loadsp 84 + 299: 76 loadsp 24 + 29a: 00 breakpoint + 29b: 73 loadsp 12 + 29c: 74 loadsp 16 + 29d: 5f storesp 60 + 29e: 73 loadsp 12 + 29f: 70 loadsp 0 + 2a0: 61 loadsp 68 + 2a1: 72 loadsp 8 + 2a2: 65 loadsp 84 + 2a3: 33 loadb + 2a4: 00 breakpoint + 2a5: 66 loadsp 88 + 2a6: 69 loadsp 100 + 2a7: 6f loadsp 124 + 2a8: 5f storesp 60 + 2a9: 6d loadsp 116 + 2aa: 6f loadsp 124 + 2ab: 64 loadsp 80 + 2ac: 65 loadsp 84 + 2ad: 5f storesp 60 + 2ae: 74 loadsp 16 + 2af: 00 breakpoint + 2b0: 67 loadsp 92 + 2b1: 69 loadsp 100 + 2b2: 64 loadsp 80 + 2b3: 5f storesp 60 + 2b4: 74 loadsp 16 + 2b5: 00 breakpoint + 2b6: 73 loadsp 12 + 2b7: 74 loadsp 16 + 2b8: 5f storesp 60 + 2b9: 73 loadsp 12 + 2ba: 70 loadsp 0 + 2bb: 61 loadsp 68 + 2bc: 72 loadsp 8 + 2bd: 65 loadsp 84 + 2be: 31 sub + 2bf: 00 breakpoint + 2c0: 73 loadsp 12 + 2c1: 74 loadsp 16 + 2c2: 5f storesp 60 + 2c3: 73 loadsp 12 + 2c4: 70 loadsp 0 + 2c5: 61 loadsp 68 + 2c6: 72 loadsp 8 + 2c7: 65 loadsp 84 + 2c8: 32 xor + 2c9: 00 breakpoint + 2ca: 73 loadsp 12 + 2cb: 74 loadsp 16 + 2cc: 72 loadsp 8 + 2cd: 6c loadsp 112 + 2ce: 65 loadsp 84 + 2cf: 6e loadsp 120 + 2d0: 00 breakpoint + 2d1: 75 loadsp 20 + 2d2: 69 loadsp 100 + 2d3: 64 loadsp 80 + 2d4: 5f storesp 60 + 2d5: 74 loadsp 16 + 2d6: 00 breakpoint + 2d7: 6c loadsp 112 + 2d8: 73 loadsp 12 + 2d9: 65 loadsp 84 + 2da: 65 loadsp 84 + 2db: 6b loadsp 108 + 2dc: 00 breakpoint + 2dd: 66 loadsp 88 + 2de: 73 loadsp 12 + 2df: 74 loadsp 16 + 2e0: 5f storesp 60 + 2e1: 62 loadsp 72 + 2e2: 6c loadsp 112 + 2e3: 6b loadsp 108 + 2e4: 73 loadsp 12 + 2e5: 69 loadsp 100 + 2e6: 7a loadsp 40 + 2e7: 65 loadsp 84 + 2e8: 00 breakpoint + 2e9: 6f loadsp 124 + 2ea: 66 loadsp 88 + 2eb: 66 loadsp 88 + 2ec: 5f storesp 60 + 2ed: 74 loadsp 16 + 2ee: 00 breakpoint + 2ef: 2e eq + 2f0: 2e eq + 2f1: 2f neq + 2f2: 2e eq + 2f3: 2e eq + 2f4: 2f neq + 2f5: 2e eq + 2f6: 2e eq + 2f7: 2f neq + 2f8: 2e eq + 2f9: 2e eq + 2fa: 2f neq + 2fb: 67 loadsp 92 + 2fc: 63 loadsp 76 + 2fd: 63 loadsp 76 + 2fe: 2f neq + 2ff: 6c loadsp 112 + 300: 69 loadsp 100 + 301: 62 loadsp 72 + 302: 67 loadsp 92 + 303: 6c loadsp 112 + 304: 6f loadsp 124 + 305: 73 loadsp 12 + 306: 73 loadsp 12 + 307: 2f neq + 308: 7a loadsp 40 + 309: 70 loadsp 0 + 30a: 75 loadsp 20 + 30b: 2f neq + 30c: 73 loadsp 12 + 30d: 79 loadsp 36 + 30e: 73 loadsp 12 + 30f: 63 loadsp 76 + 310: 61 loadsp 68 + 311: 6c loadsp 112 + 312: 6c loadsp 112 + 313: 73 loadsp 12 + 314: 2e eq + 315: 63 loadsp 76 + 316: 00 breakpoint + 317: 66 loadsp 88 + 318: 73 loadsp 12 + 319: 74 loadsp 16 + 31a: 5f storesp 60 + 31b: 73 loadsp 12 + 31c: 69 loadsp 100 + 31d: 7a loadsp 40 + 31e: 65 loadsp 84 + 31f: 00 breakpoint + 320: 75 loadsp 20 + 321: 6e loadsp 120 + 322: 6c loadsp 112 + 323: 69 loadsp 100 + 324: 6e loadsp 120 + 325: 6b loadsp 108 + 326: 00 breakpoint + 327: 6f loadsp 124 + 328: 70 loadsp 0 + 329: 65 loadsp 84 + 32a: 6e loadsp 120 + 32b: 00 breakpoint + 32c: 66 loadsp 88 + 32d: 73 loadsp 12 + 32e: 74 loadsp 16 + 32f: 5f storesp 60 + 330: 61 loadsp 68 + 331: 74 loadsp 16 + 332: 69 loadsp 100 + 333: 6d loadsp 116 + 334: 65 loadsp 84 + 335: 00 breakpoint + 336: 66 loadsp 88 + 337: 73 loadsp 12 + 338: 74 loadsp 16 + 339: 5f storesp 60 + 33a: 62 loadsp 72 + 33b: 6c loadsp 112 + 33c: 6f loadsp 124 + 33d: 63 loadsp 76 + 33e: 6b loadsp 108 + 33f: 73 loadsp 12 + 340: 00 breakpoint + 341: 64 loadsp 80 + 342: 65 loadsp 84 + 343: 76 loadsp 24 + 344: 5f storesp 60 + 345: 74 loadsp 16 + 346: 00 breakpoint + 347: 6e loadsp 120 + 348: 62 loadsp 72 + 349: 79 loadsp 36 + 34a: 74 loadsp 16 + 34b: 65 loadsp 84 + 34c: 73 loadsp 12 + 34d: 00 breakpoint + 34e: 66 loadsp 88 + 34f: 73 loadsp 12 + 350: 74 loadsp 16 + 351: 5f storesp 60 + 352: 75 loadsp 20 + 353: 69 loadsp 100 + 354: 64 loadsp 80 + 355: 00 breakpoint + 356: 73 loadsp 12 + 357: 74 loadsp 16 + 358: 5f storesp 60 + 359: 73 loadsp 12 + 35a: 70 loadsp 0 + 35b: 61 loadsp 68 + 35c: 72 loadsp 8 + 35d: 65 loadsp 84 + 35e: 34 storeb + 35f: 00 breakpoint + 360: 66 loadsp 88 + 361: 69 loadsp 100 + 362: 6f loadsp 124 + 363: 5f storesp 60 + 364: 74 loadsp 16 + 365: 69 loadsp 100 + 366: 6d loadsp 116 + 367: 65 loadsp 84 + 368: 5f storesp 60 + 369: 74 loadsp 16 + 36a: 00 breakpoint + 36b: 66 loadsp 88 + 36c: 74 loadsp 16 + 36d: 72 loadsp 8 + 36e: 75 loadsp 20 + 36f: 6e loadsp 120 + 370: 63 loadsp 76 + 371: 61 loadsp 68 + 372: 74 loadsp 16 + 373: 65 loadsp 84 + 374: 00 breakpoint + 375: 66 loadsp 88 + 376: 73 loadsp 12 + 377: 74 loadsp 16 + 378: 5f storesp 60 + 379: 72 loadsp 8 + 37a: 64 loadsp 80 + 37b: 65 loadsp 84 + 37c: 76 loadsp 24 + 37d: 00 breakpoint + 37e: 6e loadsp 120 + 37f: 6c loadsp 112 + 380: 69 loadsp 100 + 381: 6e loadsp 120 + 382: 6b loadsp 108 + 383: 5f storesp 60 + 384: 74 loadsp 16 + 385: 00 breakpoint + 386: 63 loadsp 76 + 387: 6f loadsp 124 + 388: 6e loadsp 120 + 389: 76 loadsp 24 + 38a: 65 loadsp 84 + 38b: 72 loadsp 8 + 38c: 74 loadsp 16 + 38d: 00 breakpoint + 38e: 66 loadsp 88 + 38f: 73 loadsp 12 + 390: 74 loadsp 16 + 391: 61 loadsp 68 + 392: 74 loadsp 16 + 393: 00 breakpoint + 394: 77 loadsp 28 + 395: 68 loadsp 96 + 396: 65 loadsp 84 + 397: 6e loadsp 120 + 398: 63 loadsp 76 + 399: 65 loadsp 84 + 39a: 00 breakpoint + 39b: 6d loadsp 116 + 39c: 65 loadsp 84 + 39d: 6d loadsp 116 + 39e: 73 loadsp 12 + 39f: 65 loadsp 84 + 3a0: 74 loadsp 16 + 3a1: 00 breakpoint + 3a2: 66 loadsp 88 + 3a3: 73 loadsp 12 + 3a4: 74 loadsp 16 + 3a5: 5f storesp 60 + 3a6: 67 loadsp 92 + 3a7: 69 loadsp 100 + 3a8: 64 loadsp 80 + 3a9: 00 breakpoint + 3aa: 73 loadsp 12 + 3ab: 74 loadsp 16 + 3ac: 61 loadsp 68 + 3ad: 74 loadsp 16 + 3ae: 75 loadsp 20 + 3af: 73 loadsp 12 + 3b0: 00 breakpoint + 3b1: 66 loadsp 88 + 3b2: 69 loadsp 100 + 3b3: 6f loadsp 124 + 3b4: 5f storesp 60 + 3b5: 73 loadsp 12 + 3b6: 74 loadsp 16 + 3b7: 61 loadsp 68 + 3b8: 74 loadsp 16 + 3b9: 00 breakpoint + 3ba: 63 loadsp 76 + 3bb: 6f loadsp 124 + 3bc: 6e loadsp 120 + 3bd: 76 loadsp 24 + 3be: 00 breakpoint + 3bf: 6c loadsp 112 + 3c0: 65 loadsp 84 + 3c1: 6e loadsp 120 + 3c2: 67 loadsp 92 + 3c3: 74 loadsp 16 + 3c4: 68 loadsp 96 + 3c5: 00 breakpoint + 3c6: 67 loadsp 92 + 3c7: 64 loadsp 80 + 3c8: 62 loadsp 72 + 3c9: 5f storesp 60 + 3ca: 73 loadsp 12 + 3cb: 74 loadsp 16 + 3cc: 61 loadsp 68 + 3cd: 74 loadsp 16 + 3ce: 00 breakpoint + 3cf: 66 loadsp 88 + 3d0: 69 loadsp 100 + 3d1: 6f loadsp 124 + 3d2: 5f storesp 60 + 3d3: 75 loadsp 20 + 3d4: 69 loadsp 100 + 3d5: 6e loadsp 120 + 3d6: 74 loadsp 16 + 3d7: 5f storesp 60 + 3d8: 74 loadsp 16 + 3d9: 00 breakpoint + 3da: 66 loadsp 88 + 3db: 73 loadsp 12 + 3dc: 74 loadsp 16 + 3dd: 5f storesp 60 + 3de: 6e loadsp 120 + 3df: 6c loadsp 112 + 3e0: 69 loadsp 100 + 3e1: 6e loadsp 120 + 3e2: 6b loadsp 108 + 3e3: 00 breakpoint + 3e4: 69 loadsp 100 + 3e5: 6e loadsp 120 + 3e6: 6f loadsp 124 + 3e7: 5f storesp 60 + 3e8: 74 loadsp 16 + 3e9: 00 breakpoint + 3ea: 5f storesp 60 + 3eb: 5f storesp 60 + 3ec: 65 loadsp 84 + 3ed: 72 loadsp 8 + 3ee: 72 loadsp 8 + 3ef: 6e loadsp 120 + 3f0: 6f loadsp 124 + 3f1: 00 breakpoint + 3f2: 5f storesp 60 + 3f3: 5f storesp 60 + 3f4: 73 loadsp 12 + 3f5: 64 loadsp 80 + 3f6: 69 loadsp 100 + 3f7: 64 loadsp 80 + 3f8: 69 loadsp 100 + 3f9: 6e loadsp 120 + 3fa: 69 loadsp 100 + 3fb: 74 loadsp 16 + 3fc: 00 breakpoint + 3fd: 5f storesp 60 + 3fe: 5f storesp 60 + 3ff: 55 storesp 20 + 400: 4c storesp 112 + 401: 6f loadsp 124 + 402: 6e loadsp 120 + 403: 67 loadsp 92 + 404: 00 breakpoint + 405: 5f storesp 60 + 406: 6d loadsp 116 + 407: 62 loadsp 72 + 408: 6c loadsp 112 + 409: 65 loadsp 84 + 40a: 6e loadsp 120 + 40b: 5f storesp 60 + 40c: 73 loadsp 12 + 40d: 74 loadsp 16 + 40e: 61 loadsp 68 + 40f: 74 loadsp 16 + 410: 65 loadsp 84 + 411: 00 breakpoint + 412: 5f storesp 60 + 413: 6c loadsp 112 + 414: 36 mod + 415: 34 storeb + 416: 61 loadsp 68 + 417: 5f storesp 60 + 418: 62 loadsp 72 + 419: 75 loadsp 20 + 41a: 66 loadsp 88 + 41b: 00 breakpoint + 41c: 5f storesp 60 + 41d: 5f storesp 60 + 41e: 77 loadsp 28 + 41f: 63 loadsp 76 + 420: 68 loadsp 96 + 421: 00 breakpoint + 422: 5f storesp 60 + 423: 6c loadsp 112 + 424: 62 loadsp 72 + 425: 66 loadsp 88 + 426: 73 loadsp 12 + 427: 69 loadsp 100 + 428: 7a loadsp 40 + 429: 65 loadsp 84 + 42a: 00 breakpoint + 42b: 5f storesp 60 + 42c: 6c loadsp 112 + 42d: 6f loadsp 124 + 42e: 63 loadsp 76 + 42f: 61 loadsp 68 + 430: 6c loadsp 112 + 431: 74 loadsp 16 + 432: 69 loadsp 100 + 433: 6d loadsp 116 + 434: 65 loadsp 84 + 435: 5f storesp 60 + 436: 62 loadsp 72 + 437: 75 loadsp 20 + 438: 66 loadsp 88 + 439: 00 breakpoint + 43a: 5f storesp 60 + 43b: 5f storesp 60 + 43c: 76 loadsp 24 + 43d: 61 loadsp 68 + 43e: 6c loadsp 112 + 43f: 75 loadsp 20 + 440: 65 loadsp 84 + 441: 00 breakpoint + 442: 5f storesp 60 + 443: 5f storesp 60 + 444: 73 loadsp 12 + 445: 62 loadsp 72 + 446: 75 loadsp 20 + 447: 66 loadsp 88 + 448: 00 breakpoint + 449: 5f storesp 60 + 44a: 72 loadsp 8 + 44b: 65 loadsp 84 + 44c: 73 loadsp 12 + 44d: 75 loadsp 20 + 44e: 6c loadsp 112 + 44f: 74 loadsp 16 + 450: 5f storesp 60 + 451: 6b loadsp 108 + 452: 00 breakpoint + 453: 5f storesp 60 + 454: 6f loadsp 124 + 455: 66 loadsp 88 + 456: 66 loadsp 88 + 457: 73 loadsp 12 + 458: 65 loadsp 84 + 459: 74 loadsp 16 + 45a: 00 breakpoint + 45b: 5f storesp 60 + 45c: 5f storesp 60 + 45d: 73 loadsp 12 + 45e: 66 loadsp 88 + 45f: 00 breakpoint + 460: 5f storesp 60 + 461: 73 loadsp 12 + 462: 69 loadsp 100 + 463: 67 loadsp 92 + 464: 6e loadsp 120 + 465: 61 loadsp 68 + 466: 6c loadsp 112 + 467: 5f storesp 60 + 468: 62 loadsp 72 + 469: 75 loadsp 20 + 46a: 66 loadsp 88 + 46b: 00 breakpoint + 46c: 5f storesp 60 + 46d: 61 loadsp 68 + 46e: 64 loadsp 80 + 46f: 64 loadsp 80 + 470: 00 breakpoint + 471: 5f storesp 60 + 472: 77 loadsp 28 + 473: 72 loadsp 8 + 474: 69 loadsp 100 + 475: 74 loadsp 16 + 476: 65 loadsp 84 + 477: 00 breakpoint + 478: 5f storesp 60 + 479: 5f storesp 60 + 47a: 63 loadsp 76 + 47b: 6f loadsp 124 + 47c: 75 loadsp 20 + 47d: 6e loadsp 120 + 47e: 74 loadsp 16 + 47f: 00 breakpoint + 480: 5f storesp 60 + 481: 6d loadsp 116 + 482: 62 loadsp 72 + 483: 72 loadsp 8 + 484: 6c loadsp 112 + 485: 65 loadsp 84 + 486: 6e loadsp 120 + 487: 5f storesp 60 + 488: 73 loadsp 12 + 489: 74 loadsp 16 + 48a: 61 loadsp 68 + 48b: 74 loadsp 16 + 48c: 65 loadsp 84 + 48d: 00 breakpoint + 48e: 5f storesp 60 + 48f: 67 loadsp 92 + 490: 6c loadsp 112 + 491: 75 loadsp 20 + 492: 65 loadsp 84 + 493: 00 breakpoint + 494: 5f storesp 60 + 495: 6d loadsp 116 + 496: 62 loadsp 72 + 497: 73 loadsp 12 + 498: 72 loadsp 8 + 499: 74 loadsp 16 + 49a: 6f loadsp 124 + 49b: 77 loadsp 28 + 49c: 63 loadsp 76 + 49d: 73 loadsp 12 + 49e: 5f storesp 60 + 49f: 73 loadsp 12 + 4a0: 74 loadsp 16 + 4a1: 61 loadsp 68 + 4a2: 74 loadsp 16 + 4a3: 65 loadsp 84 + 4a4: 00 breakpoint + 4a5: 5f storesp 60 + 4a6: 72 loadsp 8 + 4a7: 34 storeb + 4a8: 38 neqbranch + 4a9: 00 breakpoint + 4aa: 5f storesp 60 + 4ab: 6e loadsp 120 + 4ac: 65 loadsp 84 + 4ad: 78 loadsp 32 + 4ae: 74 loadsp 16 + 4af: 66 loadsp 88 + 4b0: 00 breakpoint + 4b1: 5f storesp 60 + 4b2: 73 loadsp 12 + 4b3: 74 loadsp 16 + 4b4: 72 loadsp 8 + 4b5: 74 loadsp 16 + 4b6: 6f loadsp 124 + 4b7: 6b loadsp 108 + 4b8: 5f storesp 60 + 4b9: 6c loadsp 112 + 4ba: 61 loadsp 68 + 4bb: 73 loadsp 12 + 4bc: 74 loadsp 16 + 4bd: 00 breakpoint + 4be: 5f storesp 60 + 4bf: 65 loadsp 84 + 4c0: 6d loadsp 116 + 4c1: 65 loadsp 84 + 4c2: 72 loadsp 8 + 4c3: 67 loadsp 92 + 4c4: 65 loadsp 84 + 4c5: 6e loadsp 120 + 4c6: 63 loadsp 76 + 4c7: 79 loadsp 36 + 4c8: 00 breakpoint + 4c9: 5f storesp 60 + 4ca: 63 loadsp 76 + 4cb: 76 loadsp 24 + 4cc: 74 loadsp 16 + 4cd: 6c loadsp 112 + 4ce: 65 loadsp 84 + 4cf: 6e loadsp 120 + 4d0: 00 breakpoint + 4d1: 5f storesp 60 + 4d2: 6e loadsp 120 + 4d3: 62 loadsp 72 + 4d4: 75 loadsp 20 + 4d5: 66 loadsp 88 + 4d6: 00 breakpoint + 4d7: 5f storesp 60 + 4d8: 6e loadsp 120 + 4d9: 69 loadsp 100 + 4da: 6f loadsp 124 + 4db: 62 loadsp 72 + 4dc: 73 loadsp 12 + 4dd: 00 breakpoint + 4de: 5f storesp 60 + 4df: 5f storesp 60 + 4e0: 46 storesp 88 + 4e1: 49 storesp 100 + 4e2: 4c storesp 112 + 4e3: 45 storesp 84 + 4e4: 00 breakpoint + 4e5: 5f storesp 60 + 4e6: 6d loadsp 116 + 4e7: 62 loadsp 72 + 4e8: 72 loadsp 8 + 4e9: 74 loadsp 16 + 4ea: 6f loadsp 124 + 4eb: 77 loadsp 28 + 4ec: 63 loadsp 76 + 4ed: 5f storesp 60 + 4ee: 73 loadsp 12 + 4ef: 74 loadsp 16 + 4f0: 61 loadsp 68 + 4f1: 74 loadsp 16 + 4f2: 65 loadsp 84 + 4f3: 00 breakpoint + 4f4: 5f storesp 60 + 4f5: 67 loadsp 92 + 4f6: 65 loadsp 84 + 4f7: 74 loadsp 16 + 4f8: 64 loadsp 80 + 4f9: 61 loadsp 68 + 4fa: 74 loadsp 16 + 4fb: 65 loadsp 84 + 4fc: 5f storesp 60 + 4fd: 65 loadsp 84 + 4fe: 72 loadsp 8 + 4ff: 72 loadsp 8 + 500: 00 breakpoint + 501: 5f storesp 60 + 502: 5f storesp 60 + 503: 74 loadsp 16 + 504: 6d loadsp 116 + 505: 5f storesp 60 + 506: 6d loadsp 116 + 507: 69 loadsp 100 + 508: 6e loadsp 120 + 509: 00 breakpoint + 50a: 5f storesp 60 + 50b: 73 loadsp 12 + 50c: 69 loadsp 100 + 50d: 67 loadsp 92 + 50e: 5f storesp 60 + 50f: 66 loadsp 88 + 510: 75 loadsp 20 + 511: 6e loadsp 120 + 512: 63 loadsp 76 + 513: 00 breakpoint + 514: 5f storesp 60 + 515: 6e loadsp 120 + 516: 6d loadsp 116 + 517: 61 loadsp 68 + 518: 6c loadsp 112 + 519: 6c loadsp 112 + 51a: 6f loadsp 124 + 51b: 63 loadsp 76 + 51c: 00 breakpoint + 51d: 5f storesp 60 + 51e: 66 loadsp 88 + 51f: 72 loadsp 8 + 520: 65 loadsp 84 + 521: 65 loadsp 84 + 522: 6c loadsp 112 + 523: 69 loadsp 100 + 524: 73 loadsp 12 + 525: 74 loadsp 16 + 526: 00 breakpoint + 527: 5f storesp 60 + 528: 66 loadsp 88 + 529: 6e loadsp 120 + 52a: 73 loadsp 12 + 52b: 00 breakpoint + 52c: 5f storesp 60 + 52d: 5f storesp 60 + 52e: 74 loadsp 16 + 52f: 6d loadsp 116 + 530: 5f storesp 60 + 531: 77 loadsp 28 + 532: 64 loadsp 80 + 533: 61 loadsp 68 + 534: 79 loadsp 36 + 535: 00 breakpoint + 536: 5f storesp 60 + 537: 66 loadsp 88 + 538: 6e loadsp 120 + 539: 61 loadsp 68 + 53a: 72 loadsp 8 + 53b: 67 loadsp 92 + 53c: 73 loadsp 12 + 53d: 00 breakpoint + 53e: 5f storesp 60 + 53f: 69 loadsp 100 + 540: 6d loadsp 116 + 541: 70 loadsp 0 + 542: 75 loadsp 20 + 543: 72 loadsp 8 + 544: 65 loadsp 84 + 545: 5f storesp 60 + 546: 70 loadsp 0 + 547: 74 loadsp 16 + 548: 72 loadsp 8 + 549: 00 breakpoint + 54a: 5f storesp 60 + 54b: 69 loadsp 100 + 54c: 6f loadsp 124 + 54d: 62 loadsp 72 + 54e: 73 loadsp 12 + 54f: 00 breakpoint + 550: 5f storesp 60 + 551: 6e loadsp 120 + 552: 65 loadsp 84 + 553: 77 loadsp 28 + 554: 00 breakpoint + 555: 5f storesp 60 + 556: 6c loadsp 112 + 557: 6f loadsp 124 + 558: 63 loadsp 76 + 559: 6b loadsp 108 + 55a: 00 breakpoint + 55b: 5f storesp 60 + 55c: 6d loadsp 116 + 55d: 75 loadsp 20 + 55e: 6c loadsp 112 + 55f: 74 loadsp 16 + 560: 00 breakpoint + 561: 5f storesp 60 + 562: 5f storesp 60 + 563: 74 loadsp 16 + 564: 6d loadsp 116 + 565: 5f storesp 60 + 566: 69 loadsp 100 + 567: 73 loadsp 12 + 568: 64 loadsp 80 + 569: 73 loadsp 12 + 56a: 74 loadsp 16 + 56b: 00 breakpoint + 56c: 5f storesp 60 + 56d: 61 loadsp 68 + 56e: 74 loadsp 16 + 56f: 65 loadsp 84 + 570: 78 loadsp 32 + 571: 69 loadsp 100 + 572: 74 loadsp 16 + 573: 30 neg + 574: 00 breakpoint + 575: 5f storesp 60 + 576: 66 loadsp 88 + 577: 69 loadsp 100 + 578: 6c loadsp 112 + 579: 65 loadsp 84 + 57a: 00 breakpoint + 57b: 5f storesp 60 + 57c: 66 loadsp 88 + 57d: 6e loadsp 120 + 57e: 74 loadsp 16 + 57f: 79 loadsp 36 + 580: 70 loadsp 0 + 581: 65 loadsp 84 + 582: 73 loadsp 12 + 583: 00 breakpoint + 584: 5f storesp 60 + 585: 66 loadsp 88 + 586: 70 loadsp 0 + 587: 6f loadsp 124 + 588: 73 loadsp 12 + 589: 5f storesp 60 + 58a: 74 loadsp 16 + 58b: 00 breakpoint + 58c: 5f storesp 60 + 58d: 66 loadsp 88 + 58e: 6c loadsp 112 + 58f: 6f loadsp 124 + 590: 63 loadsp 76 + 591: 6b loadsp 108 + 592: 5f storesp 60 + 593: 74 loadsp 16 + 594: 00 breakpoint + 595: 5f storesp 60 + 596: 73 loadsp 12 + 597: 74 loadsp 16 + 598: 64 loadsp 80 + 599: 6f loadsp 124 + 59a: 75 loadsp 20 + 59b: 74 loadsp 16 + 59c: 00 breakpoint + 59d: 5f storesp 60 + 59e: 5f storesp 60 + 59f: 74 loadsp 16 + 5a0: 6d loadsp 116 + 5a1: 5f storesp 60 + 5a2: 73 loadsp 12 + 5a3: 65 loadsp 84 + 5a4: 63 loadsp 76 + 5a5: 00 breakpoint + 5a6: 5f storesp 60 + 5a7: 5f storesp 60 + 5a8: 74 loadsp 16 + 5a9: 6d loadsp 116 + 5aa: 5f storesp 60 + 5ab: 68 loadsp 96 + 5ac: 6f loadsp 124 + 5ad: 75 loadsp 20 + 5ae: 72 loadsp 8 + 5af: 00 breakpoint + 5b0: 5f storesp 60 + 5b1: 72 loadsp 8 + 5b2: 65 loadsp 84 + 5b3: 61 loadsp 68 + 5b4: 64 loadsp 80 + 5b5: 00 breakpoint + 5b6: 5f storesp 60 + 5b7: 5f storesp 60 + 5b8: 77 loadsp 28 + 5b9: 63 loadsp 76 + 5ba: 68 loadsp 96 + 5bb: 62 loadsp 72 + 5bc: 00 breakpoint + 5bd: 5f storesp 60 + 5be: 5f storesp 60 + 5bf: 73 loadsp 12 + 5c0: 67 loadsp 92 + 5c1: 6c loadsp 112 + 5c2: 75 loadsp 20 + 5c3: 65 loadsp 84 + 5c4: 00 breakpoint + 5c5: 5f storesp 60 + 5c6: 6f loadsp 124 + 5c7: 6e loadsp 120 + 5c8: 5f storesp 60 + 5c9: 65 loadsp 84 + 5ca: 78 loadsp 32 + 5cb: 69 loadsp 100 + 5cc: 74 loadsp 16 + 5cd: 5f storesp 60 + 5ce: 61 loadsp 68 + 5cf: 72 loadsp 8 + 5d0: 67 loadsp 92 + 5d1: 73 loadsp 12 + 5d2: 00 breakpoint + 5d3: 2e eq + 5d4: 2e eq + 5d5: 2f neq + 5d6: 2e eq + 5d7: 2e eq + 5d8: 2f neq + 5d9: 2e eq + 5da: 2e eq + 5db: 2f neq + 5dc: 2e eq + 5dd: 2e eq + 5de: 2f neq + 5df: 2e eq + 5e0: 2e eq + 5e1: 2f neq + 5e2: 67 loadsp 92 + 5e3: 63 loadsp 76 + 5e4: 63 loadsp 76 + 5e5: 2f neq + 5e6: 6e loadsp 120 + 5e7: 65 loadsp 84 + 5e8: 77 loadsp 28 + 5e9: 6c loadsp 112 + 5ea: 69 loadsp 100 + 5eb: 62 loadsp 72 + 5ec: 2f neq + 5ed: 6c loadsp 112 + 5ee: 69 loadsp 100 + 5ef: 62 loadsp 72 + 5f0: 63 loadsp 76 + 5f1: 2f neq + 5f2: 65 loadsp 84 + 5f3: 72 loadsp 8 + 5f4: 72 loadsp 8 + 5f5: 6e loadsp 120 + 5f6: 6f loadsp 124 + 5f7: 2f neq + 5f8: 65 loadsp 84 + 5f9: 72 loadsp 8 + 5fa: 72 loadsp 8 + 5fb: 6e loadsp 120 + 5fc: 6f loadsp 124 + 5fd: 2e eq + 5fe: 63 loadsp 76 + 5ff: 00 breakpoint + 600: 5f storesp 60 + 601: 63 loadsp 76 + 602: 76 loadsp 24 + 603: 74 loadsp 16 + 604: 62 loadsp 72 + 605: 75 loadsp 20 + 606: 66 loadsp 88 + 607: 00 breakpoint + 608: 5f storesp 60 + 609: 63 loadsp 76 + 60a: 6f loadsp 124 + 60b: 6f loadsp 124 + 60c: 6b loadsp 108 + 60d: 69 loadsp 100 + 60e: 65 loadsp 84 + 60f: 00 breakpoint + 610: 5f storesp 60 + 611: 62 loadsp 72 + 612: 61 loadsp 68 + 613: 73 loadsp 12 + 614: 65 loadsp 84 + 615: 00 breakpoint + 616: 5f storesp 60 + 617: 63 loadsp 76 + 618: 6c loadsp 112 + 619: 6f loadsp 124 + 61a: 73 loadsp 12 + 61b: 65 loadsp 84 + 61c: 00 breakpoint + 61d: 5f storesp 60 + 61e: 67 loadsp 92 + 61f: 61 loadsp 68 + 620: 6d loadsp 116 + 621: 6d loadsp 116 + 622: 61 loadsp 68 + 623: 5f storesp 60 + 624: 73 loadsp 12 + 625: 69 loadsp 100 + 626: 67 loadsp 92 + 627: 6e loadsp 120 + 628: 67 loadsp 92 + 629: 61 loadsp 68 + 62a: 6d loadsp 116 + 62b: 00 breakpoint + 62c: 5f storesp 60 + 62d: 75 loadsp 20 + 62e: 6e loadsp 120 + 62f: 75 loadsp 20 + 630: 73 loadsp 12 + 631: 65 loadsp 84 + 632: 64 loadsp 80 + 633: 5f storesp 60 + 634: 72 loadsp 8 + 635: 61 loadsp 68 + 636: 6e loadsp 120 + 637: 64 loadsp 80 + 638: 00 breakpoint + 639: 5f storesp 60 + 63a: 73 loadsp 12 + 63b: 74 loadsp 16 + 63c: 64 loadsp 80 + 63d: 65 loadsp 84 + 63e: 72 loadsp 8 + 63f: 72 loadsp 8 + 640: 00 breakpoint + 641: 5f storesp 60 + 642: 77 loadsp 28 + 643: 64 loadsp 80 + 644: 73 loadsp 12 + 645: 00 breakpoint + 646: 5f storesp 60 + 647: 5f storesp 60 + 648: 74 loadsp 16 + 649: 6d loadsp 116 + 64a: 5f storesp 60 + 64b: 79 loadsp 36 + 64c: 65 loadsp 84 + 64d: 61 loadsp 68 + 64e: 72 loadsp 8 + 64f: 00 breakpoint + 650: 5f storesp 60 + 651: 75 loadsp 20 + 652: 6e loadsp 120 + 653: 75 loadsp 20 + 654: 73 loadsp 12 + 655: 65 loadsp 84 + 656: 64 loadsp 80 + 657: 00 breakpoint + 658: 77 loadsp 28 + 659: 69 loadsp 100 + 65a: 6e loadsp 120 + 65b: 74 loadsp 16 + 65c: 5f storesp 60 + 65d: 74 loadsp 16 + 65e: 00 breakpoint + 65f: 5f storesp 60 + 660: 5f storesp 60 + 661: 74 loadsp 16 + 662: 6d loadsp 116 + 663: 00 breakpoint + 664: 5f storesp 60 + 665: 5f storesp 60 + 666: 74 loadsp 16 + 667: 6d loadsp 116 + 668: 5f storesp 60 + 669: 6d loadsp 116 + 66a: 64 loadsp 80 + 66b: 61 loadsp 68 + 66c: 79 loadsp 36 + 66d: 00 breakpoint + 66e: 5f storesp 60 + 66f: 63 loadsp 76 + 670: 75 loadsp 20 + 671: 72 loadsp 8 + 672: 72 loadsp 8 + 673: 65 loadsp 84 + 674: 6e loadsp 120 + 675: 74 loadsp 16 + 676: 5f storesp 60 + 677: 63 loadsp 76 + 678: 61 loadsp 68 + 679: 74 loadsp 16 + 67a: 65 loadsp 84 + 67b: 67 loadsp 92 + 67c: 6f loadsp 124 + 67d: 72 loadsp 8 + 67e: 79 loadsp 36 + 67f: 00 breakpoint + 680: 5f storesp 60 + 681: 5f storesp 60 + 682: 63 loadsp 76 + 683: 6c loadsp 112 + 684: 65 loadsp 84 + 685: 61 loadsp 68 + 686: 6e loadsp 120 + 687: 75 loadsp 20 + 688: 70 loadsp 0 + 689: 00 breakpoint + 68a: 2f neq + 68b: 68 loadsp 96 + 68c: 6f loadsp 124 + 68d: 6d loadsp 116 + 68e: 65 loadsp 84 + 68f: 2f neq + 690: 6f loadsp 124 + 691: 79 loadsp 36 + 692: 76 loadsp 24 + 693: 69 loadsp 100 + 694: 6e loadsp 120 + 695: 64 loadsp 80 + 696: 2f neq + 697: 74 loadsp 16 + 698: 6f loadsp 124 + 699: 6f loadsp 124 + 69a: 6c loadsp 112 + 69b: 63 loadsp 76 + 69c: 68 loadsp 96 + 69d: 61 loadsp 68 + 69e: 69 loadsp 100 + 69f: 6e loadsp 120 + 6a0: 2f neq + 6a1: 74 loadsp 16 + 6a2: 6f loadsp 124 + 6a3: 6f loadsp 124 + 6a4: 6c loadsp 112 + 6a5: 63 loadsp 76 + 6a6: 68 loadsp 96 + 6a7: 61 loadsp 68 + 6a8: 69 loadsp 100 + 6a9: 6e loadsp 120 + 6aa: 2f neq + 6ab: 67 loadsp 92 + 6ac: 63 loadsp 76 + 6ad: 63 loadsp 76 + 6ae: 62 loadsp 72 + 6af: 75 loadsp 20 + 6b0: 69 loadsp 100 + 6b1: 6c loadsp 112 + 6b2: 64 loadsp 80 + 6b3: 2f neq + 6b4: 7a loadsp 40 + 6b5: 70 loadsp 0 + 6b6: 75 loadsp 20 + 6b7: 2d call + 6b8: 65 loadsp 84 + 6b9: 6c loadsp 112 + 6ba: 66 loadsp 88 + 6bb: 2f neq + 6bc: 6e loadsp 120 + 6bd: 65 loadsp 84 + 6be: 77 loadsp 28 + 6bf: 6c loadsp 112 + 6c0: 69 loadsp 100 + 6c1: 62 loadsp 72 + 6c2: 2f neq + 6c3: 6c loadsp 112 + 6c4: 69 loadsp 100 + 6c5: 62 loadsp 72 + 6c6: 63 loadsp 76 + 6c7: 2f neq + 6c8: 65 loadsp 84 + 6c9: 72 loadsp 8 + 6ca: 72 loadsp 8 + 6cb: 6e loadsp 120 + 6cc: 6f loadsp 124 + 6cd: 00 breakpoint + 6ce: 5f storesp 60 + 6cf: 6d loadsp 116 + 6d0: 62 loadsp 72 + 6d1: 73 loadsp 12 + 6d2: 74 loadsp 16 + 6d3: 61 loadsp 68 + 6d4: 74 loadsp 16 + 6d5: 65 loadsp 84 + 6d6: 5f storesp 60 + 6d7: 74 loadsp 16 + 6d8: 00 breakpoint + 6d9: 5f storesp 60 + 6da: 42 storesp 72 + 6db: 69 loadsp 100 + 6dc: 67 loadsp 92 + 6dd: 69 loadsp 100 + 6de: 6e loadsp 120 + 6df: 74 loadsp 16 + 6e0: 00 breakpoint + 6e1: 5f storesp 60 + 6e2: 6d loadsp 116 + 6e3: 61 loadsp 68 + 6e4: 78 loadsp 32 + 6e5: 77 loadsp 28 + 6e6: 64 loadsp 80 + 6e7: 73 loadsp 12 + 6e8: 00 breakpoint + 6e9: 5f storesp 60 + 6ea: 6d loadsp 116 + 6eb: 62 loadsp 72 + 6ec: 74 loadsp 16 + 6ed: 6f loadsp 124 + 6ee: 77 loadsp 28 + 6ef: 63 loadsp 76 + 6f0: 5f storesp 60 + 6f1: 73 loadsp 12 + 6f2: 74 loadsp 16 + 6f3: 61 loadsp 68 + 6f4: 74 loadsp 16 + 6f5: 65 loadsp 84 + 6f6: 00 breakpoint + 6f7: 5f storesp 60 + 6f8: 77 loadsp 28 + 6f9: 63 loadsp 76 + 6fa: 73 loadsp 12 + 6fb: 72 loadsp 8 + 6fc: 74 loadsp 16 + 6fd: 6f loadsp 124 + 6fe: 6d loadsp 116 + 6ff: 62 loadsp 72 + 700: 73 loadsp 12 + 701: 5f storesp 60 + 702: 73 loadsp 12 + 703: 74 loadsp 16 + 704: 61 loadsp 68 + 705: 74 loadsp 16 + 706: 65 loadsp 84 + 707: 00 breakpoint + 708: 5f storesp 60 + 709: 73 loadsp 12 + 70a: 65 loadsp 84 + 70b: 65 loadsp 84 + 70c: 64 loadsp 80 + 70d: 00 breakpoint + 70e: 5f storesp 60 + 70f: 72 loadsp 8 + 710: 61 loadsp 68 + 711: 6e loadsp 120 + 712: 64 loadsp 80 + 713: 34 storeb + 714: 38 neqbranch + 715: 00 breakpoint + 716: 5f storesp 60 + 717: 5f storesp 60 + 718: 74 loadsp 16 + 719: 6d loadsp 116 + 71a: 5f storesp 60 + 71b: 79 loadsp 36 + 71c: 64 loadsp 80 + 71d: 61 loadsp 68 + 71e: 79 loadsp 36 + 71f: 00 breakpoint + 720: 5f storesp 60 + 721: 61 loadsp 68 + 722: 74 loadsp 16 + 723: 65 loadsp 84 + 724: 78 loadsp 32 + 725: 69 loadsp 100 + 726: 74 loadsp 16 + 727: 00 breakpoint + 728: 5f storesp 60 + 729: 73 loadsp 12 + 72a: 65 loadsp 84 + 72b: 65 loadsp 84 + 72c: 6b loadsp 108 + 72d: 00 breakpoint + 72e: 5f storesp 60 + 72f: 72 loadsp 8 + 730: 65 loadsp 84 + 731: 73 loadsp 12 + 732: 75 loadsp 20 + 733: 6c loadsp 112 + 734: 74 loadsp 16 + 735: 00 breakpoint + 736: 5f storesp 60 + 737: 5f storesp 60 + 738: 74 loadsp 16 + 739: 6d loadsp 116 + 73a: 5f storesp 60 + 73b: 6d loadsp 116 + 73c: 6f loadsp 124 + 73d: 6e loadsp 120 + 73e: 00 breakpoint + 73f: 5f storesp 60 + 740: 69 loadsp 100 + 741: 6e loadsp 120 + 742: 63 loadsp 76 + 743: 00 breakpoint + 744: 5f storesp 60 + 745: 69 loadsp 100 + 746: 6e loadsp 120 + 747: 64 loadsp 80 + 748: 00 breakpoint + 749: 5f storesp 60 + 74a: 77 loadsp 28 + 74b: 63 loadsp 76 + 74c: 74 loadsp 16 + 74d: 6f loadsp 124 + 74e: 6d loadsp 116 + 74f: 62 loadsp 72 + 750: 5f storesp 60 + 751: 73 loadsp 12 + 752: 74 loadsp 16 + 753: 61 loadsp 68 + 754: 74 loadsp 16 + 755: 65 loadsp 84 + 756: 00 breakpoint + 757: 5f storesp 60 + 758: 70 loadsp 0 + 759: 35 div + 75a: 73 loadsp 12 + 75b: 00 breakpoint + 75c: 5f storesp 60 + 75d: 73 loadsp 12 + 75e: 69 loadsp 100 + 75f: 67 loadsp 92 + 760: 6e loadsp 120 + 761: 00 breakpoint + 762: 5f storesp 60 + 763: 72 loadsp 8 + 764: 61 loadsp 68 + 765: 6e loadsp 120 + 766: 64 loadsp 80 + 767: 5f storesp 60 + 768: 6e loadsp 120 + 769: 65 loadsp 84 + 76a: 78 loadsp 32 + 76b: 74 loadsp 16 + 76c: 00 breakpoint + 76d: 5f storesp 60 + 76e: 73 loadsp 12 + 76f: 74 loadsp 16 + 770: 64 loadsp 80 + 771: 69 loadsp 100 + 772: 6e loadsp 120 + 773: 00 breakpoint + 774: 5f storesp 60 + 775: 63 loadsp 76 + 776: 75 loadsp 20 + 777: 72 loadsp 8 + 778: 72 loadsp 8 + 779: 65 loadsp 84 + 77a: 6e loadsp 120 + 77b: 74 loadsp 16 + 77c: 5f storesp 60 + 77d: 6c loadsp 112 + 77e: 6f loadsp 124 + 77f: 63 loadsp 76 + 780: 61 loadsp 68 + 781: 6c loadsp 112 + 782: 65 loadsp 84 + 783: 00 breakpoint + 784: 5f storesp 60 + 785: 72 loadsp 8 + 786: 65 loadsp 84 + 787: 65 loadsp 84 + 788: 6e loadsp 120 + 789: 74 loadsp 16 + 78a: 00 breakpoint + 78b: 5f storesp 60 + 78c: 77 loadsp 28 + 78d: 63 loadsp 76 + 78e: 72 loadsp 8 + 78f: 74 loadsp 16 + 790: 6f loadsp 124 + 791: 6d loadsp 116 + 792: 62 loadsp 72 + 793: 5f storesp 60 + 794: 73 loadsp 12 + 795: 74 loadsp 16 + 796: 61 loadsp 68 + 797: 74 loadsp 16 + 798: 65 loadsp 84 + 799: 00 breakpoint + 79a: 5f storesp 60 + 79b: 66 loadsp 88 + 79c: 6c loadsp 112 + 79d: 61 loadsp 68 + 79e: 67 loadsp 92 + 79f: 73 loadsp 12 + 7a0: 00 breakpoint + 7a1: 5f storesp 60 + 7a2: 75 loadsp 20 + 7a3: 62 loadsp 72 + 7a4: 75 loadsp 20 + 7a5: 66 loadsp 88 + 7a6: 00 breakpoint + 7a7: 5f storesp 60 + 7a8: 61 loadsp 68 + 7a9: 73 loadsp 12 + 7aa: 63 loadsp 76 + 7ab: 74 loadsp 16 + 7ac: 69 loadsp 100 + 7ad: 6d loadsp 116 + 7ae: 65 loadsp 84 + 7af: 5f storesp 60 + 7b0: 62 loadsp 72 + 7b1: 75 loadsp 20 + 7b2: 66 loadsp 88 + 7b3: 00 breakpoint + 7b4: 5f storesp 60 + 7b5: 5f storesp 60 + 7b6: 73 loadsp 12 + 7b7: 46 storesp 88 + 7b8: 49 storesp 100 + 7b9: 4c storesp 112 + 7ba: 45 storesp 84 + 7bb: 00 breakpoint + 7bc: 2f neq + 7bd: 68 loadsp 96 + 7be: 6f loadsp 124 + 7bf: 6d loadsp 116 + 7c0: 65 loadsp 84 + 7c1: 2f neq + 7c2: 6f loadsp 124 + 7c3: 79 loadsp 36 + 7c4: 76 loadsp 24 + 7c5: 69 loadsp 100 + 7c6: 6e loadsp 120 + 7c7: 64 loadsp 80 + 7c8: 2f neq + 7c9: 74 loadsp 16 + 7ca: 6f loadsp 124 + 7cb: 6f loadsp 124 + 7cc: 6c loadsp 112 + 7cd: 63 loadsp 76 + 7ce: 68 loadsp 96 + 7cf: 61 loadsp 68 + 7d0: 69 loadsp 100 + 7d1: 6e loadsp 120 + 7d2: 2f neq + 7d3: 74 loadsp 16 + 7d4: 6f loadsp 124 + 7d5: 6f loadsp 124 + 7d6: 6c loadsp 112 + 7d7: 63 loadsp 76 + 7d8: 68 loadsp 96 + 7d9: 61 loadsp 68 + 7da: 69 loadsp 100 + 7db: 6e loadsp 120 + 7dc: 2f neq + 7dd: 67 loadsp 92 + 7de: 63 loadsp 76 + 7df: 63 loadsp 76 + 7e0: 62 loadsp 72 + 7e1: 75 loadsp 20 + 7e2: 69 loadsp 100 + 7e3: 6c loadsp 112 + 7e4: 64 loadsp 80 + 7e5: 2f neq + 7e6: 7a loadsp 40 + 7e7: 70 loadsp 0 + 7e8: 75 loadsp 20 + 7e9: 2d call + 7ea: 65 loadsp 84 + 7eb: 6c loadsp 112 + 7ec: 66 loadsp 88 + 7ed: 2f neq + 7ee: 6e loadsp 120 + 7ef: 65 loadsp 84 + 7f0: 77 loadsp 28 + 7f1: 6c loadsp 112 + 7f2: 69 loadsp 100 + 7f3: 62 loadsp 72 + 7f4: 2f neq + 7f5: 6c loadsp 112 + 7f6: 69 loadsp 100 + 7f7: 62 loadsp 72 + 7f8: 63 loadsp 76 + 7f9: 2f neq + 7fa: 73 loadsp 12 + 7fb: 74 loadsp 16 + 7fc: 64 loadsp 80 + 7fd: 6c loadsp 112 + 7fe: 69 loadsp 100 + 7ff: 62 loadsp 72 + 800: 00 breakpoint + 801: 2e eq + 802: 2e eq + 803: 2f neq + 804: 2e eq + 805: 2e eq + 806: 2f neq + 807: 2e eq + 808: 2e eq + 809: 2f neq + 80a: 2e eq + 80b: 2e eq + 80c: 2f neq + 80d: 2e eq + 80e: 2e eq + 80f: 2f neq + 810: 67 loadsp 92 + 811: 63 loadsp 76 + 812: 63 loadsp 76 + 813: 2f neq + 814: 6e loadsp 120 + 815: 65 loadsp 84 + 816: 77 loadsp 28 + 817: 6c loadsp 112 + 818: 69 loadsp 100 + 819: 62 loadsp 72 + 81a: 2f neq + 81b: 6c loadsp 112 + 81c: 69 loadsp 100 + 81d: 62 loadsp 72 + 81e: 63 loadsp 76 + 81f: 2f neq + 820: 73 loadsp 12 + 821: 74 loadsp 16 + 822: 64 loadsp 80 + 823: 6c loadsp 112 + 824: 69 loadsp 100 + 825: 62 loadsp 72 + 826: 2f neq + 827: 65 loadsp 84 + 828: 78 loadsp 32 + 829: 69 loadsp 100 + 82a: 74 loadsp 16 + 82b: 2e eq + 82c: 63 loadsp 76 + 82d: 00 breakpoint + 82e: 63 loadsp 76 + 82f: 6f loadsp 124 + 830: 64 loadsp 80 + 831: 65 loadsp 84 + 832: 00 breakpoint + 833: 69 loadsp 100 + 834: 6d loadsp 116 + 835: 70 loadsp 0 + 836: 75 loadsp 20 + 837: 72 loadsp 8 + 838: 65 loadsp 84 + 839: 5f storesp 60 + 83a: 64 loadsp 80 + 83b: 61 loadsp 68 + 83c: 74 loadsp 16 + 83d: 61 loadsp 68 + 83e: 00 breakpoint + 83f: 2e eq + 840: 2e eq + 841: 2f neq + 842: 2e eq + 843: 2e eq + 844: 2f neq + 845: 2e eq + 846: 2e eq + 847: 2f neq + 848: 2e eq + 849: 2e eq + 84a: 2f neq + 84b: 2e eq + 84c: 2e eq + 84d: 2f neq + 84e: 67 loadsp 92 + 84f: 63 loadsp 76 + 850: 63 loadsp 76 + 851: 2f neq + 852: 6e loadsp 120 + 853: 65 loadsp 84 + 854: 77 loadsp 28 + 855: 6c loadsp 112 + 856: 69 loadsp 100 + 857: 62 loadsp 72 + 858: 2f neq + 859: 6c loadsp 112 + 85a: 69 loadsp 100 + 85b: 62 loadsp 72 + 85c: 63 loadsp 76 + 85d: 2f neq + 85e: 72 loadsp 8 + 85f: 65 loadsp 84 + 860: 65 loadsp 84 + 861: 6e loadsp 120 + 862: 74 loadsp 16 + 863: 2f neq + 864: 69 loadsp 100 + 865: 6d loadsp 116 + 866: 70 loadsp 0 + 867: 75 loadsp 20 + 868: 72 loadsp 8 + 869: 65 loadsp 84 + 86a: 2e eq + 86b: 63 loadsp 76 + 86c: 00 breakpoint + 86d: 2f neq + 86e: 68 loadsp 96 + 86f: 6f loadsp 124 + 870: 6d loadsp 116 + 871: 65 loadsp 84 + 872: 2f neq + 873: 6f loadsp 124 + 874: 79 loadsp 36 + 875: 76 loadsp 24 + 876: 69 loadsp 100 + 877: 6e loadsp 120 + 878: 64 loadsp 80 + 879: 2f neq + 87a: 74 loadsp 16 + 87b: 6f loadsp 124 + 87c: 6f loadsp 124 + 87d: 6c loadsp 112 + 87e: 63 loadsp 76 + 87f: 68 loadsp 96 + 880: 61 loadsp 68 + 881: 69 loadsp 100 + 882: 6e loadsp 120 + 883: 2f neq + 884: 74 loadsp 16 + 885: 6f loadsp 124 + 886: 6f loadsp 124 + 887: 6c loadsp 112 + 888: 63 loadsp 76 + 889: 68 loadsp 96 + 88a: 61 loadsp 68 + 88b: 69 loadsp 100 + 88c: 6e loadsp 120 + 88d: 2f neq + 88e: 67 loadsp 92 + 88f: 63 loadsp 76 + 890: 63 loadsp 76 + 891: 62 loadsp 72 + 892: 75 loadsp 20 + 893: 69 loadsp 100 + 894: 6c loadsp 112 + 895: 64 loadsp 80 + 896: 2f neq + 897: 7a loadsp 40 + 898: 70 loadsp 0 + 899: 75 loadsp 20 + 89a: 2d call + 89b: 65 loadsp 84 + 89c: 6c loadsp 112 + 89d: 66 loadsp 88 + 89e: 2f neq + 89f: 6e loadsp 120 + 8a0: 65 loadsp 84 + 8a1: 77 loadsp 28 + 8a2: 6c loadsp 112 + 8a3: 69 loadsp 100 + 8a4: 62 loadsp 72 + 8a5: 2f neq + 8a6: 6c loadsp 112 + 8a7: 69 loadsp 100 + 8a8: 62 loadsp 72 + 8a9: 63 loadsp 76 + 8aa: 2f neq + 8ab: 72 loadsp 8 + 8ac: 65 loadsp 84 + 8ad: 65 loadsp 84 + 8ae: 6e loadsp 120 + 8af: 74 loadsp 16 + 8b0: 00 breakpoint + 8b1: 61 loadsp 68 + 8b2: 6c loadsp 112 + 8b3: 69 loadsp 100 + 8b4: 67 loadsp 92 + 8b5: 6e loadsp 120 + 8b6: 65 loadsp 84 + 8b7: 64 loadsp 80 + 8b8: 5f storesp 60 + 8b9: 61 loadsp 68 + 8ba: 64 loadsp 80 + 8bb: 64 loadsp 80 + 8bc: 72 loadsp 8 + 8bd: 00 breakpoint + 8be: 2e eq + 8bf: 2e eq + 8c0: 2f neq + 8c1: 2e eq + 8c2: 2e eq + 8c3: 2f neq + 8c4: 2e eq + 8c5: 2e eq + 8c6: 2f neq + 8c7: 2e eq + 8c8: 2e eq + 8c9: 2f neq + 8ca: 2e eq + 8cb: 2e eq + 8cc: 2f neq + 8cd: 67 loadsp 92 + 8ce: 63 loadsp 76 + 8cf: 63 loadsp 76 + 8d0: 2f neq + 8d1: 6e loadsp 120 + 8d2: 65 loadsp 84 + 8d3: 77 loadsp 28 + 8d4: 6c loadsp 112 + 8d5: 69 loadsp 100 + 8d6: 62 loadsp 72 + 8d7: 2f neq + 8d8: 6c loadsp 112 + 8d9: 69 loadsp 100 + 8da: 62 loadsp 72 + 8db: 63 loadsp 76 + 8dc: 2f neq + 8dd: 73 loadsp 12 + 8de: 74 loadsp 16 + 8df: 72 loadsp 8 + 8e0: 69 loadsp 100 + 8e1: 6e loadsp 120 + 8e2: 67 loadsp 92 + 8e3: 2f neq + 8e4: 6d loadsp 116 + 8e5: 65 loadsp 84 + 8e6: 6d loadsp 116 + 8e7: 73 loadsp 12 + 8e8: 65 loadsp 84 + 8e9: 74 loadsp 16 + 8ea: 2e eq + 8eb: 63 loadsp 76 + 8ec: 00 breakpoint + 8ed: 62 loadsp 72 + 8ee: 75 loadsp 20 + 8ef: 66 loadsp 88 + 8f0: 66 loadsp 88 + 8f1: 65 loadsp 84 + 8f2: 72 loadsp 8 + 8f3: 00 breakpoint + 8f4: 2e eq + 8f5: 2e eq + 8f6: 2f neq + 8f7: 2e eq + 8f8: 2e eq + 8f9: 2f neq + 8fa: 2e eq + 8fb: 2e eq + 8fc: 2f neq + 8fd: 2e eq + 8fe: 2e eq + 8ff: 2f neq + 900: 2e eq + 901: 2e eq + 902: 2f neq + 903: 67 loadsp 92 + 904: 63 loadsp 76 + 905: 63 loadsp 76 + 906: 2f neq + 907: 6e loadsp 120 + 908: 65 loadsp 84 + 909: 77 loadsp 28 + 90a: 6c loadsp 112 + 90b: 69 loadsp 100 + 90c: 62 loadsp 72 + 90d: 2f neq + 90e: 6c loadsp 112 + 90f: 69 loadsp 100 + 910: 62 loadsp 72 + 911: 63 loadsp 76 + 912: 2f neq + 913: 73 loadsp 12 + 914: 74 loadsp 16 + 915: 72 loadsp 8 + 916: 69 loadsp 100 + 917: 6e loadsp 120 + 918: 67 loadsp 92 + 919: 2f neq + 91a: 73 loadsp 12 + 91b: 74 loadsp 16 + 91c: 72 loadsp 8 + 91d: 6c loadsp 112 + 91e: 65 loadsp 84 + 91f: 6e loadsp 120 + 920: 2e eq + 921: 63 loadsp 76 + 922: 00 breakpoint + 923: 73 loadsp 12 + 924: 74 loadsp 16 + 925: 61 loadsp 68 + 926: 72 loadsp 8 + 927: 74 loadsp 16 + ... +Disassembly of section .debug_ranges: + +00000000 <.Ldebug_ranges0>: + ...

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.