URL
https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk
Subversion Repositories qaz_libs
Compare Revisions
- This comparison shows the changes necessary to convert path
/qaz_libs/trunk/basal/src/FIFOs
- from Rev 43 to Rev 50
- ↔ Reverse comparison
Rev 43 → Rev 50
/tiny_async_fifo.sv
File deleted
/sync_fifo.sv
25,7 → 25,6
//// //// |
////////////////////////////////////////////////////////////////////// |
|
|
module |
sync_fifo |
#( |
37,11 → 36,9
output wr_full, |
input [W-1:0] wr_data, |
input wr_en, |
|
output rd_empty, |
output [W-1:0] rd_data, |
input rd_en, |
|
output [UB:0] count, |
input clk, |
input reset |
48,12 → 45,21
); |
|
// -------------------------------------------------------------------- |
// |
generate |
begin: fifo_gen |
if(D == 2) |
begin |
assign count = 0; |
reg [UB:0] count_r; |
assign count = count_r; |
|
always_comb |
case({wr_full, rd_empty}) |
2'b0_0: count_r = 1; |
2'b0_1: count_r = 0; |
2'b1_0: count_r = 2; |
2'b1_1: count_r = 'x; // should never happen |
endcase |
|
tiny_sync_fifo #(.W(W)) |
tiny_sync_fifo_i(.*); |
end |
73,7 → 79,6
end |
endgenerate |
|
|
// -------------------------------------------------------------------- |
// synthesis translate_off |
always_ff @(posedge clk) |
85,9 → 90,5
// synthesis translate_on |
// -------------------------------------------------------------------- |
|
|
// -------------------------------------------------------------------- |
// |
endmodule |
|
|