OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /raytrac/branches/fp
    from Rev 189 to Rev 190
    Reverse comparison

Rev 189 → Rev 190

/fadd32.vhd
40,7 → 40,11
end entity;
architecture fadd32_arch of fadd32 is
--! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html ....
attribute altera_attribute : string;
attribute altera_attribute of fadd32_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
--!TBXSTART:STAGE0
signal s0delta : std_logic_vector(7 downto 0);
signal s0a,s0b : std_logic_vector(31 downto 0); -- Float 32 bit
/memblock.vhd
103,7 → 103,7
add_ram_output_register => "OFF",
allow_rwcycle_when_full => "OFF",
intended_device_family => "CycloneIII",
lpm_hint => "RAM_BLOCK_TYPE=M9K",
lpm_hint => "MAXIMUM_DEPTH=8",
almost_full_value => 8,
lpm_numwords => 8,
lpm_showahead => "ON",
112,7 → 112,7
lpm_widthu => 3,
overflow_checking => "ON",
underflow_checking => "ON",
use_eab => "ON"
use_eab => "OFF"
)
port map (
rdreq => dpfifo_rd,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.