OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /raytrac/branches
    from Rev 238 to Rev 239
    Reverse comparison

Rev 238 → Rev 239

/fp_sgdma/arith/wide/arithblock.vhd
98,6 → 98,7
end component;
component fmul32
port (
clk : std_logic;
factor0 : in std_logic_vector(31 downto 0);
factor1 : in std_logic_vector(31 downto 0);
factor2 : in std_logic_vector(31 downto 0);
115,7 → 116,7
p2: out std_logic_vector(31 downto 0);
p3: out std_logic_vector(31 downto 0);
p4: out std_logic_vector(31 downto 0);
p5: out std_logic_vector(31 downto 0);
p5: out std_logic_vector(31 downto 0)
);
end component;
/fp_sgdma/arith/wide/fmul32.vhd
50,7 → 50,7
p2: out std_logic_vector(31 downto 0);
p3: out std_logic_vector(31 downto 0);
p4: out std_logic_vector(31 downto 0);
p5: out std_logic_vector(31 downto 0);
p5: out std_logic_vector(31 downto 0)
);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.