OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /raytrac/trunk/tb
    from Rev 66 to Rev 67
    Reverse comparison

Rev 66 → Rev 67

/msimtest.c
1,6 → 1,6
/*
* msimtest.c
* memoryMaker
*! \file msimtest.c
* \brief Archivo de cabecera de mismtest. Este programa tiene por proposito verificar que los resultados arrojados por la ejecución del testbench sean validos. Este programa está muy mal escrito. Por favor no lo tome como referencia de ninguna manera, esta HORRIBLEMENTE escrito!.
*
* Created by julian on 21/03/11.
* Copyright 2011 __MyCompanyName__. All rights reserved.
/msimtest.h
1,6 → 1,5
/*
* msimtest.h
* memoryMaker
/*! \file msimtest.h
*! \ brief Archivo de cabecera de mismtest. Este programa tiene por proposito verificar que los resultados arrojados por la ejecución del testbench sean validos.
*
* Created by Julian Andres Guarin Reyes on 21/03/11.
* Copyright 2011 __MyCompanyName__. All rights reserved.
17,6 → 16,9
#define MULT_LINES 1539
#define RESULT_LINES 1540
 
 
/*! Estructura para almacenar las mediciones que se hacen en la salida de la memoria, decodificador, multiplicador, restadores producto cruz y sumadores producto punto. */
 
typedef struct altrom {
long long int rom[ROM_SLOTS];
long long int dec[DEC_SLOTS];

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.