OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /raytrac/trunk
    from Rev 115 to Rev 116
    Reverse comparison

Rev 115 → Rev 116

/fpbranch/unrm/shftr.vhd
178,7 → 178,7
s5factorhot25 <= (others => '0');
for i in 24 downto 0 loop
if s5result(i)='1' then
--s5factor <= conv_std_logic_vector(24-i,8);
s5factor <= conv_std_logic_vector(24-i,8);
s5factorhot25(24-i) <= '1';
exit;
end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.