URL
https://opencores.org/ocsvn/raytrac/raytrac/trunk
Subversion Repositories raytrac
Compare Revisions
- This comparison shows the changes necessary to convert path
/raytrac
- from Rev 133 to Rev 134
- ↔ Reverse comparison
Rev 133 → Rev 134
/branches/fp/sm.vhd
0,0 → 1,49
--! @file sm.vhd |
--! @brief Maquina de Estados. Controla la operación interna y genera los mecanismos de sincronización con el exterior (interrupciones). |
--! @author Julián Andrés Guarín Reyes |
-------------------------------------------------------------- |
-- RAYTRAC |
-- Author Julian Andres Guarin |
-- sm.vhd |
-- This file is part of raytrac. |
-- |
-- raytrac is free software: you can redistribute it and/or modify |
-- it under the terms of the GNU General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or |
-- (at your option) any later version. |
-- |
-- raytrac is distributed in the hope that it will be useful, |
-- but WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
-- GNU General Public License for more details. |
-- |
-- You should have received a copy of the GNU General Public License |
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>. |
|
|
library ieee; |
use ieee.std_logic_1164.all; |
|
|
|
entity sm is |
generic ( |
width :integer:= 32; |
widthadmemblock : integer := 9 |
); |
port ( |
|
clk,rst: in std_logic; |
add_rd,add_wr:out std_logic_vector(widthadmemblock-1 downto 0); |
iempty,ifull:in std_logic_vector; |
rd,wr:out std_logic; |
irq:out std_logic |
); |
end entity; |
architecture sm_arch of arch is |
begin |
|
|
|
|
end architecture; |
/branches/fp/dpc.vhd
22,6 → 22,7
|
library ieee; |
use ieee.std_logic_1164.all; |
|
entity dpc is |
generic ( |
width : integer := 32 |