OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /raytrac
    from Rev 158 to Rev 159
    Reverse comparison

Rev 158 → Rev 159

/branches/fp/arithpack.vhd
6,7 → 6,11
library std;
use std.textio.all;
 
 
 
--! Memory Compiler Library
library altera_mf;
use altera_mf.all;
library lpm;
use lpm.all;
 
142,7 → 146,7
generic (
add_ram_output_register :string;
almost_full_value :natural;
allow_wrcycle_when_full :string;
allow_rwcycle_when_full :string;
intended_device_family :string;
lpm_hint :string;
lpm_numwords :natural;
/branches/fp/memblock.vhd
98,7 → 98,7
q0q1 : scfifo --! Debe ir registrada la salida.
generic map (
add_ram_output_register => "OFF",
allow_wrcycle_when_full => "OFF",
allow_rwcycle_when_full => "OFF",
intended_device_family => "CycloneIII",
lpm_hint => "RAM_BLOCK_TYPE=M9K",
almost_full_value => 8,
125,7 → 125,7
qxqyqz : scfifo
generic map (
add_ram_output_register => "OFF",
allow_wrcycle_when_full => "OFF",
allow_rwcycle_when_full => "OFF",
intended_device_family => "Cyclone III",
lpm_hint => "RAM_BLOCK_TYPE=M9K",
almost_full_value => 32,
154,7 → 154,7
qi : scfifo
generic map (
add_ram_output_register => "OFF",
allow_wrcycle_when_full => "OFF",
allow_rwcycle_when_full => "OFF",
intended_device_family => "Cyclone III",
lpm_hint => "RAM_BLOCK_TYPE=M9K",
almost_full_value => 32,
234,7 → 234,7
generic map (
add_ram_output_register => "OFF",
almost_full_value => 480,
allow_wrcycle_when_full => "OFF",
allow_rwcycle_when_full => "OFF",
intended_device_family => "Cyclone III",
lpm_hint => "RAM_BLOCK_TYPE=M9K",
lpm_numwords => 512,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.