OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /raytrac
    from Rev 237 to Rev 238
    Reverse comparison

Rev 237 → Rev 238

/branches/fp_sgdma/arith/single/arithblock.vhd
0,0 → 1,224
--! @file arithblock.vhd
--! @brief Bloque Aritmético de 4 sumadores y 6 multiplicadores.
--! @author Julián Andrés Guarín Reyes
--------------------------------------------------------------
-- RAYTRAC
-- Author Julian Andres Guarin
-- memblock.vhd
-- This file is part of raytrac.
--
-- raytrac is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- raytrac is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR a PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>.
 
 
library ieee;
use ieee.std_logic_1164.all;
use work.arithpack.all;
 
entity arithblock is
port (
clk : in std_logic;
rst : in std_logic;
sign : in std_logic;
factor0 : in std_logic_vector(31 downto 0);
factor1 : in std_logic_vector(31 downto 0);
factor2 : in std_logic_vector(31 downto 0);
factor3 : in std_logic_vector(31 downto 0);
factor4 : in std_logic_vector(31 downto 0);
factor5 : in std_logic_vector(31 downto 0);
factor6 : in std_logic_vector(31 downto 0);
factor7 : in std_logic_vector(31 downto 0);
factor8 : in std_logic_vector(31 downto 0);
factor9 : in std_logic_vector(31 downto 0);
factor10 : in std_logic_vector(31 downto 0);
factor11 : in std_logic_vector(31 downto 0);
--factor : in vectorblock06;
sumando0 : in std_logic_vector(31 downto 0);
sumando1 : in std_logic_vector(31 downto 0);
sumando2 : in std_logic_vector(31 downto 0);
sumando3 : in std_logic_vector(31 downto 0);
sumando4 : in std_logic_vector(31 downto 0);
sumando5 : in std_logic_vector(31 downto 0);
--add32blki : in vectorblock06;
a0 : out std_logic_vector(31 downto 0);
a1 : out std_logic_vector(31 downto 0);
a2 : out std_logic_vector(31 downto 0);
--add32blko : out vectorblock03;
p0 : out std_logic_vector(31 downto 0);
p1 : out std_logic_vector(31 downto 0);
p2 : out std_logic_vector(31 downto 0);
p3 : out std_logic_vector(31 downto 0);
p4 : out std_logic_vector(31 downto 0);
p5 : out std_logic_vector(31 downto 0);
--p : out vectorblock06;
sq32o : out std_logic_vector(31 downto 0);
inv32o : out std_logic_vector(31 downto 0)
);
end entity;
 
architecture arithblock_arch of arithblock is
 
signal sadd32blko_01 : std_logic_vector(31 downto 0);
signal ssq32o : std_logic_vector(31 downto 0);
--! Componentes Aritm&eacute;ticos
component fadd32long
port (
clk : in std_logic;
dpc : in std_logic;
a32 : in std_logic_vector(31 downto 0);
b32 : in std_logic_vector(31 downto 0);
c32 : out std_logic_vector(31 downto 0)
);
end component;
component fmul32
port (
clk : in std_logic;
a32 : in std_logic_vector(31 downto 0);
b32 : in std_logic_vector(31 downto 0);
p32 : out std_logic_vector(31 downto 0)
);
end component;
--! Bloque de Raiz Cuadrada
component sqrt32
port (
clk : in std_logic;
rd32: in std_logic_vector(31 downto 0);
sq32: out std_logic_vector(31 downto 0)
);
end component;
--! Bloque de Inversores.
component invr32
port (
clk : in std_logic;
dvd32 : in std_logic_vector(31 downto 0);
qout32 : out std_logic_vector(31 downto 0)
);
end component;
 
 
begin
 
sq32o <= ssq32o;
a1 <= sadd32blko_01;
 
--!TBXINSTANCESTART
adder_i_0 : fadd32long
port map (
clk => clk,
dpc => sign,
a32 => sumando0,
b32 => sumando1,
c32 => a0
);
--!TBXINSTANCESTART
adder_i_1 : fadd32long
port map (
clk => clk,
dpc => sign,
a32 => sumando2,
b32 => sumando3,
c32 => sadd32blko_01
);
--!TBXINSTANCESTART
adder_i_2 : fadd32long
port map (
clk => clk,
dpc => sign,
a32 => sumando4,
b32 => sumando5,
c32 => a2
);
--!TBXINSTANCESTART
mul_i_0 : fmul32
port map (
clk => clk,
a32 => factor0,
b32 => factor1,
p32 => p0
);
--!TBXINSTANCESTART
mul_i_1 : fmul32
port map (
clk => clk,
a32 => factor2,
b32 => factor3,
p32 => p1
);
--!TBXINSTANCESTART
mul_i_2 : fmul32
port map (
clk => clk,
a32 => factor4,
b32 => factor5,
p32 => p2
);
--!TBXINSTANCESTART
mul_i_3 : fmul32
port map (
clk => clk,
a32 => factor6,
b32 => factor7,
p32 => p3
);
--!TBXINSTANCESTART
mul_i_4 : fmul32
port map (
clk => clk,
a32 => factor8,
b32 => factor9,
p32 => p4
);
--!TBXINSTANCESTART
mul_i_5 : fmul32
port map (
clk => clk,
a32 => factor10,
b32 => factor11,
p32 => p5
);
--!TBXINSTANCESTART
square_root : sqrt32
port map (
clk => clk,
rd32 => sadd32blko_01,
sq32 => ssq32o
);
--!TBXINSTANCESTART
inversion_block : invr32
port map (
clk => clk,
dvd32 => ssq32o,
qout32 => inv32o
);
end architecture;
/branches/fp_sgdma/arith/single/fadd32long.vhd
0,0 → 1,365
------------------------------------------------
--! @file fadd32.vhd
--! @brief RayTrac Floating Point Adder
--! @author Juli&aacute;n Andr&eacute;s Guar&iacute;n Reyes
--------------------------------------------------
 
 
-- RAYTRAC (FP BRANCH)
-- Author Julian Andres Guarin
-- fadd32.vhd
-- This file is part of raytrac.
--
-- raytrac is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- raytrac is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
 
use work.arithpack.all;
 
--! Esta entidad recibe dos n&uacutemeros en formato punto flotante IEEE 754, de precision simple y devuelve las mantissas signadas y corridas, y el exponente correspondiente al resultado antes de normalizarlo al formato float.
--!\nLas 2 mantissas y el exponente entran despues a la entidad add2 que suma las mantissas y entrega el resultado en formato IEEE 754.
entity fadd32long is
port (
clk,dpc : in std_logic;
a32,b32 : in std_logic_vector(31 downto 0);
c32 : out std_logic_vector(31 downto 0)
);
end entity;
architecture fadd32long_arch of fadd32long is
--! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html ....
attribute altera_attribute : string;
attribute altera_attribute of fadd32long_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
--!TBXSTART:STAGE0
signal s0delta : std_logic_vector(8 downto 0);
signal s0a,s0b : std_logic_vector(31 downto 0); -- Float 32 bit
 
--!TBXEND
--!TBXSTART:STAGE1
signal s1zero : std_logic;
signal s1delta : std_logic_vector(5 downto 0);
signal s1exp : std_logic_vector(7 downto 0);
signal s1shifter,s1datab_8x : std_logic_vector(8 downto 0);
signal s1pl,s1datab : std_logic_vector(17 downto 0);
signal s1umantshift,s1umantfixed,s1postshift,s1xorslab : std_logic_vector(23 downto 0);
signal s1ph : std_logic_vector(26 downto 0);
--!TBXEND
--!TBXSTART:STAGE2
signal s2exp : std_logic_vector(7 downto 0);
signal s2xorslab : std_logic_vector(23 downto 0);
signal s2umantshift, s2mantfixed : std_logic_vector(24 downto 0);
--!TBXEND
--!TBXSTART:STAGE3
signal s3exp : std_logic_vector(7 downto 0);
signal s3mantfixed,s3mantshift : std_logic_vector (24 downto 0);
--!TBXEND
--!TBXSTART:STAGE4
signal s4exp : std_logic_vector (7 downto 0);
signal s4xorslab : std_logic_vector (24 downto 0);
signal s4sresult : std_logic_vector (25 downto 0);
--!TBXEND
--!TBXSTART:STAGE5
signal s5tokena,s5tokenb,s5tokenc : std_logic;
signal s5token : std_logic_vector (2 downto 0);
signal s5exp,s5factor : std_logic_vector (7 downto 0);
signal s5factorhot9 : std_logic_vector (8 downto 0);
signal s5factorhot24 : std_logic_vector (23 downto 0);
signal s5result : std_logic_vector (25 downto 0);
--!TBXEND
--!TBXSTART:STAGE6
signal s6exp,s6factor : std_logic_vector(7 downto 0);
signal s6factorhot9,s6datab_4x : std_logic_vector(8 downto 0);
signal s6pl,s6datab : std_logic_vector(17 downto 0);
signal s6postshift : std_logic_vector(22 downto 0);
signal s6result : std_logic_vector(25 downto 0); -- Signed mantissa result
signal s6ph : std_logic_vector(26 downto 0);
--!TBXEND
--!TBXSTART:STAGE7
signal s7sign : std_logic;
signal s7exp,s7factor : std_logic_vector(7 downto 0);
signal s7postshift : std_logic_vector(22 downto 0);
--!TBXEND
--! LPM_MULTIPLIER
component lpm_mult
generic (
lpm_hint : string;
lpm_pipeline : natural;
lpm_representation : string;
lpm_type : string;
lpm_widtha : natural;
lpm_widthb : natural;
lpm_widthp : natural
);
port (
dataa : in std_logic_vector ( lpm_widtha-1 downto 0 );
datab : in std_logic_vector ( lpm_widthb-1 downto 0 );
result : out std_logic_vector( lpm_widthp-1 downto 0 )
);
end component;
begin
process (clk)
begin
if clk'event and clk='1' then
--! Debug Register.
--! datab <= s1zero&"000"&x"00000"&s0b(30 downto 23);
--! datab <= x"00"&s1exp&s0a(30 downto 23)&s0b(30 downto 23);
--!Registro de entrada
s0a <= a32;
s0b(31) <= dpc xor b32(31); --! Importante: Integrar el signo en el operando B
s0b(30 downto 0) <= b32(30 downto 0);
 
--!Etapa 0,Escoger el mayor exponente que sera el resultado desnormalizado, calcula cuanto debe ser el corrimiento de la mantissa con menor exponente y reorganiza los operandos, si el mayor es b, intercambia las posici&oacute;n si el mayor es a las posiciones la mantiene. Zero check.
--!signo,exponente,mantissa
s1delta <= s0delta(8) & (s0delta(8) xor s0delta(4))&(s0delta(8) xor s0delta(3)) & s0delta(2 downto 0);
if s0delta(8)='1' then
s1exp <= s0b(30 downto 23);
s1umantshift <= s0a(31)&s0a(22 downto 0);
s1umantfixed <= s0b(31)&s0b(22 downto 0);
if s0a(30 downto 23)=x"00" then
s1zero <= '0';
else
s1zero <= '1';
end if;
else
if s0b(30 downto 23)=x"00" then
s1zero <= '0';
else
s1zero <= '1';
end if;
s1exp <= s0a(30 downto 23);
s1umantshift <= s0b(31)&s0b(22 downto 0);
s1umantfixed <= s0a(31)&s0a(22 downto 0);
end if;
--! Etapa 1: Denormalizaci&oacute;n de la mantissas.
case s1delta(4 downto 3) is
when "00" => s2umantshift <= s1umantshift(23)&s1postshift(23 downto 0);
when "01" => s2umantshift <= s1umantshift(23)&x"00"&s1postshift(23 downto 8);
when "10" => s2umantshift <= s1umantshift(23)&x"0000"&s1postshift(23 downto 16);
when others => s2umantshift <= (others => '0');
end case;
s2mantfixed <= s1umantfixed(23) & ( ( ('1'&s1umantfixed(22 downto 0)) xor s1xorslab) + ( x"00000"&"000"&s1umantfixed(23) ) );
s2exp <= s1exp;
--! Etapa2: Signar la mantissa denormalizada.
s3mantfixed <= s2mantfixed;
s3mantshift <= s2umantshift(24)& ( ( s2umantshift(23 downto 0) xor s2xorslab) + ( x"00000"&"000"&s2umantshift(24) ) );
s3exp <= s2exp;
--! Etapa 3: Etapa 3 Realizar la suma, entre la mantissa corrida y la fija.
s4sresult <= (s3mantshift(24)&s3mantshift)+(s3mantfixed(24)&s3mantfixed);
s4exp <= s3exp;
--! Etapa 4: Quitar el signo a la mantissa resultante.
s5result <= s4sresult(25)&((s4sresult(24 downto 0) xor s4xorslab) +(x"000000"&s4sresult(25)));
s5exp <= s4exp;
--! Etapa 5: Codificar el corrimiento para la normalizacion de la mantissa resultante.
s6result <= s5result;
s6exp <= s5exp;
s6factor <= s5factor;
s6factorhot9 <= s5factorhot9;
--! Etapa 6: Ejecutar el corrimiento de la mantissa.
s7sign <= s6result(25);
s7exp <= s6exp;
s7factor <= not(s6factor)+1;
s7postshift <= s6postshift;
end if;
end process;
 
--! Etapa 7: Entregar el resultado.
c32(31) <= s7sign;
process(s7exp,s7postshift,s7factor)
begin
c32(30 downto 23) <= s7exp+s7factor;
case s7factor(4 downto 3) is
when "01" => c32(22 downto 0) <= s7postshift(14 downto 00)&x"00";
when "10" => c32(22 downto 0) <= s7postshift(06 downto 00)&x"0000";
when others => c32(22 downto 0) <= s7postshift;
end case;
end process;
--! Combinatorial gremlin, Etapa 0 el corrimiento de la mantissa con menor exponente y reorganiza los operandos,\n
--! si el mayor es b, intercambia las posici&oacute;n si el mayor es a las posiciones la mantiene.
s0delta <= ('0'&s0a(30 downto 23))-('0'&s0b(30 downto 23));
--! Combinatorial Gremlin, Etapa 1 Codificar el factor de corrimiento de denormalizacion y denormalizar la mantissa no fija. Signar la mantissa que se queda fija.
decodeshiftfactor:
process (s1delta(2 downto 0))
begin
case s1delta(2 downto 0) is
when "111" => s1shifter(8 downto 0) <= '0'&s1delta(5)&"00000"&not(s1delta(5))&'0';
when "110" => s1shifter(8 downto 0) <= "00"&s1delta(5)&"000"&not(s1delta(5))&"00";
when "101" => s1shifter(8 downto 0) <= "000"&s1delta(5)&'0'&not(s1delta(5))&"000";
when "100" => s1shifter(8 downto 0) <= '0'&x"10";
when "011" => s1shifter(8 downto 0) <= "000"&not(s1delta(5))&'0'&s1delta(5)&"000";
when "010" => s1shifter(8 downto 0) <= "00"&not(s1delta(5))&"000"&s1delta(5)&"00";
when "001" => s1shifter(8 downto 0) <= '0'&not(s1delta(5))&"00000"&s1delta(5)&'0';
when others => s1shifter(8 downto 0) <= not(s1delta(5))&"0000000"&s1delta(5);
end case;
end process;
s1datab <= s1zero&s1umantshift(22 downto 06);
denormhighshiftermult:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 9,
lpm_widthb => 18,
lpm_widthp => 27
)
port map (
dataa => s1shifter,
datab => s1datab,
result => s1ph
);
s1datab_8x <= s1umantshift(5 downto 0)&"000";
denormlowshiftermult:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 9,
lpm_widthb => 9,
lpm_widthp => 18
)
port map (
dataa => s1shifter,
datab(8 downto 0) => s1datab_8x,
result => s1pl
);
s1postshift(23 downto 7) <= s1ph(25 downto 9);
s1postshift(06 downto 0) <= s1ph(08 downto 2) or s1pl(17 downto 11);
s1xorslab(23 downto 0) <= (others => s1umantfixed(23));
--! Combinatorial Gremlin, Etapa 2: Signar la mantissa denormalizada.
s2xorslab <= (others => s2umantshift(24));
--! Combinatorial Gremlin, Etapa 4: Quitar el signo de la mantissa resultante.
s4xorslab <= (others => s4sresult(25));
--! Combinatorial Gremlin, Etapa 5: Codificar el factor de normalizacion de la mantissa resultante.
normalizerdecodeshift:
process (s5result,s5factorhot24,s5token,s5tokena,s5tokenb,s5tokenc,s5factorhot9)
begin
s5tokena <= not(s5result(24));
s5tokenb <= not(s5result(24));
s5tokenc <= not(s5result(24));
s5factor(7 downto 5) <= (others => s5result(24));
s5factorhot24 <= x"000000";
for i in 23 downto 16 loop
if s5result(i)='1' then
s5factorhot24(23-i) <= s5tokena;
s5tokenb <= '0';
s5tokenc <= '0';
exit;
end if;
end loop;
for i in 15 downto 8 loop
if s5result(i)='1' then
s5factorhot24(23-i) <= s5tokenb;
s5tokenc <= '0';
exit;
end if;
end loop;
for i in 7 downto 0 loop
if s5result(i)='1' then
s5factorhot24(23-i) <= s5tokenc;
exit;
end if;
end loop;
s5token <=s5tokena&s5tokenb&s5tokenc;
case (s5token) is
when "100" => s5factor(4 downto 3) <= "00";
when "110" => s5factor(4 downto 3) <= "01";
when "111" => s5factor(4 downto 3) <= "10";
when others => s5factor(4 downto 3) <= (others => s5result(24));
end case;
s5factorhot9 <= (s5factorhot24(7 downto 0)or s5factorhot24(15 downto 8)or s5factorhot24(23 downto 16)) & s5result(24);
case s5factorhot9 is
when "100000000" => s5factor(2 downto 0) <= "111";
when "010000000" => s5factor(2 downto 0) <= "110";
when "001000000" => s5factor(2 downto 0) <= "101";
when "000100000" => s5factor(2 downto 0) <= "100";
when "000010000" => s5factor(2 downto 0) <= "011";
when "000001000" => s5factor(2 downto 0) <= "010";
when "000000100" => s5factor(2 downto 0) <= "001";
when "000000010" => s5factor(2 downto 0) <= "000";
when others => s5factor (2 downto 0) <= (others => s5result(24));
end case;
end process;
--! Etapa 6: Ejecutar el corrimiento para normalizar la mantissa.
s6datab <= s6result(24 downto 7);
normhighshiftermult:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 9,
lpm_widthb => 18,
lpm_widthp => 27
)
port map (
dataa => s6factorhot9,
datab => s6datab,
result => s6ph
);
s6datab_4x <= s6result(06 downto 0)&"00";
normlowshiftermult:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 9,
lpm_widthb => 9,
lpm_widthp => 18
)
port map (
dataa => s6factorhot9,
datab => s6datab_4x,
result => s6pl
);
s6postshift(22 downto 15) <= s6ph(16 downto 09);
s6postshift(14 downto 06) <= s6ph(08 downto 00) + s6pl(17 downto 09);
s6postshift(05 downto 00) <= s6pl(08 downto 03);
end architecture;
 
/branches/fp_sgdma/arith/single/invr32.vhd
0,0 → 1,128
------------------------------------------------
--! @file finvr32.vhd
--! @brief RayTrac Floating Point Adder
--! @author Juli&aacute;n Andr&eacute;s Guar&iacute;n Reyes
--------------------------------------------------
 
 
-- RAYTRAC (FP BRANCH)
-- Author Julian Andres Guarin
-- finvr32.vhd
-- This file is part of raytrac.
--
-- raytrac is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- raytrac is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.arithpack.all;
 
entity invr32 is
port (
clk : in std_logic;
dvd32 : in xfloat32;
qout32 : out xfloat32
);
end entity;
architecture invr32_arch of invr32 is
 
component altsyncram
generic (
address_aclr_a : string;
clock_enable_input_a : string;
clock_enable_output_a : string;
init_file : string;
intended_device_family : string;
lpm_hint : string;
lpm_type : string;
numwords_a : natural;
operation_mode : string;
outdata_aclr_a : string;
outdata_reg_a : string;
widthad_a : natural;
width_a : natural;
width_byteena_a : natural
);
port (
clock0 : in std_logic ;
rden_a : in std_logic;
address_a : in std_logic_vector (9 downto 0);
q_a : out std_logic_vector (17 downto 0)
);
end component;
 
signal s0sgn : std_logic;
signal s0uexp,s0e129 : std_logic_vector(7 downto 0);
signal s0q : std_logic_vector(17 downto 0);
signal sxprop : std_logic;
begin
altsyncram_component : altsyncram
generic map (
address_aclr_a => "NONE",
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
--init_file => "X:/Tesis/Workspace/hw/rt_lib/arith/src/trunk/fpbranch/invr/meminvr.mif",
init_file => "./meminvr.mif",
intended_device_family => "Cyclone III",
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "altsyncram",
numwords_a => 1024,
operation_mode => "ROM",
outdata_aclr_a => "NONE",
outdata_reg_a => "UNREGISTERED",
widthad_a => 10,
width_a => 18,
width_byteena_a => 1
)
port map (
clock0 => clk,
rden_a => '1',
address_a => dvd32(22 downto 13),
q_a => s0q
);
--! SNAN?
process (clk)
begin
if clk'event and clk='1' then
--!Carga de Operando.
s0sgn <= dvd32(31);
s0uexp <= dvd32(30 downto 23);
end if;
end process;
qout32(31) <= s0sgn;
process (s0e129,s0q)
begin
--! Etapa 0: Calcular direcci&oacute;n a partir del exponente, salida y normalizaci&oacute;n de la mantissa.
if s0q(17)='1' then
qout32(22 downto 7) <= (others => '0');
qout32(30 downto 23) <= s0e129+255;
else
qout32(22 downto 7) <= s0q(15 downto 0);
qout32(30 downto 23) <= s0e129+254;
end if;
 
end process;
--! Combinatorial Gremlin: Etapa 0, calculo del exponente.
process(s0uexp)
begin
for i in 7 downto 0 loop
s0e129(i)<=not(s0uexp(i));
end loop;
end process;
qout32(6 downto 0) <= (others => '0');
 
end architecture;
/branches/fp_sgdma/arith/single/fmul32.vhd
0,0 → 1,199
------------------------------------------------
--! @file fmul32.vhd
--! @brief RayTrac Mantissa Multiplier
--! @author Juli&aacute;n Andr&eacute;s Guar&iacute;n Reyes
--------------------------------------------------
 
 
-- RAYTRAC (FP BRANCH)
-- Author Julian Andres Guarin
-- fmul32.vhd
-- This file is part of raytrac.
--
-- raytrac is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- raytrac is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.arithpack.all;
 
 
entity fmul32 is
port (
clk : in std_logic;
a32,b32 : in xfloat32;
p32 : out xfloat32
);
end entity;
architecture fmul32_arch of fmul32 is
 
--Stage 0 signals
signal s0dataa_alfa,s0dataa_beta,s0dataa_gama,s0datab : std_logic_vector(17 downto 0);
--!TXBXSTART:MULT_STAGE0
signal s0sga,s0sgb,s0zrs : std_logic;
signal s0exp : std_logic_vector(7 downto 0);
signal s0uma,s0umb : std_logic_vector(22 downto 0);
signal s0ac : std_logic_vector(35 downto 0);
--!TBXEND
signal s1sgr,s2sgr:std_logic;
signal s0exa,s0exb,s1exp,s2exp:std_logic_vector(7 downto 0);
signal s0ad,s0bc,s1ad,s1bc:std_logic_vector(23 downto 0);
signal s1ac,s1umu:std_logic_vector(35 downto 0);
signal s2umu:std_logic_vector(24 downto 0);
signal sxprop : std_logic_vector(2 downto 0);
--! LPM_MULTIPLIER
component lpm_mult
generic (
lpm_hint : string;
lpm_pipeline : natural;
lpm_representation : string;
lpm_type : string;
lpm_widtha : natural;
lpm_widthb : natural;
lpm_widthp : natural
);
port (
dataa : in std_logic_vector ( lpm_widtha-1 downto 0 );
datab : in std_logic_vector ( lpm_widthb-1 downto 0 );
result : out std_logic_vector( lpm_widthp-1 downto 0 )
);
end component;
begin
process(clk)
begin
if clk'event and clk='1' then
--! Registro de entrada
s0sga <= a32(31);
s0sgb <= b32(31);
s0exa <= a32(30 downto 23);
s0exb <= b32(30 downto 23);
s0uma <= a32(22 downto 0);
s0umb <= b32(22 downto 0);
--! Etapa 0 multiplicacion de la mantissa, suma de los exponentes y multiplicaci&oacute;n de los signos.
s1sgr <= s0sga xor s0sgb;
s1ad <= s0ad;
s1bc <= s0bc;
s1ac <= s0ac;
s1exp <= s0exp;
--! Etapa 1 Sumas parciales
s2umu <= s1umu(35 downto 11);
s2sgr <= s1sgr;
s2exp <= s1exp;
end if;
end process;
--! Etapa 2 entregar el resultado
p32(31) <= s2sgr;
process (s2exp,s2umu)
begin
p32(30 downto 23) <= s2exp+s2umu(24);
if s2umu(24) ='1' then
p32(22 downto 0) <= s2umu(23 downto 1);
else
p32(22 downto 0) <= s2umu(22 downto 0);
end if;
end process;
--! Combinatorial Gremlin Etapa 0 : multiplicacion de la mantissa, suma de los exponentes y multiplicaci&oacute;n de los signos.
--! Multipliers
s0dataa_alfa <= s0zrs&s0uma(22 downto 6);
s0datab <= s0zrs&s0umb(22 downto 6);
mult18x18ac:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 18,
lpm_widthp => 36
)
port map (
dataa => s0dataa_alfa,
datab => s0datab,
result => s0ac
);
s0dataa_beta <= s0zrs&s0uma(22 downto 6);
mult18x6ad:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_beta,
datab => s0umb(5 downto 0),
result => s0ad
);
s0dataa_gama <= s0zrs&s0umb(22 downto 6);
mult18x6bc:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_gama,
datab => s0uma(5 downto 0),
result => s0bc
);
--! Exponent Addition
process (s0sga,s0sgb,s0exa,s0exb)
 
begin
if s0exa=x"00" or s0exb=x"00" then
s0exp <= (others => '0');
s0zrs <= '0';
else
s0zrs<='1';
s0exp <= s0exa+s0exb+x"81";
end if;
end process;
--! Etapa 1: Suma parcial de la multiplicacion. Suma del exponente
process(s1ac,s1ad,s1bc)
begin
s1umu <= s1ac+s1ad(23 downto 6)+s1bc(23 downto 6);
end process;
end architecture;
/branches/fp_sgdma/arith/single/sqrt32.vhd
0,0 → 1,114
------------------------------------------------
--! @file fsqrt32.vhd
--! @brief RayTrac Floating Point Adder
--! @author Juli&aacute;n Andr&eacute;s Guar&iacute;n Reyes
--------------------------------------------------
 
 
-- RAYTRAC (FP BRANCH)
-- Author Julian Andres Guarin
-- fsqrt32.vhd
-- This file is part of raytrac.
--
-- raytrac is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- raytrac is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.arithpack.all;
entity sqrt32 is
port (
clk : in std_logic;
rd32: in xfloat32;
sq32: out xfloat32
);
end entity;
architecture sqrt32_arch of sqrt32 is
 
component altsyncram
generic (
address_aclr_a : string;
clock_enable_input_a : string;
clock_enable_output_a : string;
init_file : string;
intended_device_family : string;
lpm_hint : string;
lpm_type : string;
numwords_a : natural;
operation_mode : string;
outdata_aclr_a : string;
outdata_reg_a : string;
widthad_a : natural;
width_a : natural;
width_byteena_a : natural
);
port (
clock0 : in std_logic;
rden_a : in std_logic;
address_a : in std_logic_vector (9 downto 0);
q_a : out std_logic_vector (17 downto 0)
);
end component;
 
signal s0sgn : std_logic;
signal s0uexp,s0e129 : std_logic_vector(7 downto 0);
signal s0q : std_logic_vector(17 downto 0);
signal sxprop : std_logic;
begin
--! SNAN?
process (clk)
begin
if clk'event and clk='1' then
--!Carga de Operando.
s0sgn <= rd32(31);
s0uexp <= rd32(30 downto 23);
 
end if;
end process;
--! Etapa 0: Calcular direcci&oacute;n a partir del exponente y el exponente.
sq32(31) <= s0sgn;
sq32(30 downto 23) <= (s0e129(7)&s0e129(7 downto 1))+127;
sq32(22 downto 6) <= s0q(16 downto 0);
--! Combinatorial Gremlin: Etapa 0, calculo del exponente.
s0e129<=s0uexp+("1000000"&s0uexp(0));
sq32(5 downto 0) <= (others => '0');
--! Combinatorial Gremlin, Etapa 0, calcula la ra&iacute;z cuadrada de la mantissa
--! Recuerde que aunque rd32(23) no pertenece a la mantissa indica si el exponente es par o impar, 1 (par) y 0 (impar)
altsyncram_component : altsyncram
generic map (
address_aclr_a => "NONE",
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
--init_file => "X:/Code/Indigo/fp/fp/memsqrt.mif",
init_file => "./memsqrt.mif",
intended_device_family => "Cyclone III",
lpm_hint => "ENABLE_RUNTIME_MOD=NO",
lpm_type => "altsyncram",
numwords_a => 1024,
operation_mode => "ROM",
outdata_aclr_a => "NONE",
outdata_reg_a => "UNREGISTERED",
widthad_a => 10,
width_a => 18,
width_byteena_a => 1
)
port map (rden_a => '1', clock0 => clk, address_a => rd32(23 downto 14), q_a => s0q);
 
end architecture;
/branches/fp_sgdma/arith/memsqrt.mif
0,0 → 1,1035
--RAND MAX: 0x7fff
--MINGW32 VERSION
DEPTH = 1024;
WIDTH = 18;
ADDRESS_RADIX=HEX;
DATA_RADIX=HEX;
CONTENT
BEGIN
 
-- epsilon: 0.001953
000 : 2d413; -- FIXED => 1 . d413 (1 . 54291) FLOAT +1.414207
001 : 2d4c8; -- FIXED => 1 . d4c8 (1 . 54472) FLOAT +1.415588
002 : 2d57d; -- FIXED => 1 . d57d (1 . 54653) FLOAT +1.416969
003 : 2d632; -- FIXED => 1 . d632 (1 . 54834) FLOAT +1.418350
004 : 2d6e6; -- FIXED => 1 . d6e6 (1 . 55014) FLOAT +1.419724
005 : 2d79a; -- FIXED => 1 . d79a (1 . 55194) FLOAT +1.421097
006 : 2d84e; -- FIXED => 1 . d84e (1 . 55374) FLOAT +1.422470
007 : 2d902; -- FIXED => 1 . d902 (1 . 55554) FLOAT +1.423843
008 : 2d9b6; -- FIXED => 1 . d9b6 (1 . 55734) FLOAT +1.425217
009 : 2da69; -- FIXED => 1 . da69 (1 . 55913) FLOAT +1.426582
00a : 2db1d; -- FIXED => 1 . db1d (1 . 56093) FLOAT +1.427956
00b : 2dbd0; -- FIXED => 1 . dbd0 (1 . 56272) FLOAT +1.429321
00c : 2dc83; -- FIXED => 1 . dc83 (1 . 56451) FLOAT +1.430687
00d : 2dd36; -- FIXED => 1 . dd36 (1 . 56630) FLOAT +1.432053
00e : 2dde8; -- FIXED => 1 . dde8 (1 . 56808) FLOAT +1.433411
00f : 2de9b; -- FIXED => 1 . de9b (1 . 56987) FLOAT +1.434776
010 : 2df4d; -- FIXED => 1 . df4d (1 . 57165) FLOAT +1.436134
011 : 2e000; -- FIXED => 1 . e000 (1 . 57344) FLOAT +1.437500
012 : 2e0b2; -- FIXED => 1 . e0b2 (1 . 57522) FLOAT +1.438858
013 : 2e163; -- FIXED => 1 . e163 (1 . 57699) FLOAT +1.440208
014 : 2e215; -- FIXED => 1 . e215 (1 . 57877) FLOAT +1.441566
015 : 2e2c7; -- FIXED => 1 . e2c7 (1 . 58055) FLOAT +1.442924
016 : 2e378; -- FIXED => 1 . e378 (1 . 58232) FLOAT +1.444275
017 : 2e429; -- FIXED => 1 . e429 (1 . 58409) FLOAT +1.445625
018 : 2e4da; -- FIXED => 1 . e4da (1 . 58586) FLOAT +1.446976
019 : 2e58b; -- FIXED => 1 . e58b (1 . 58763) FLOAT +1.448326
01a : 2e63c; -- FIXED => 1 . e63c (1 . 58940) FLOAT +1.449677
01b : 2e6ec; -- FIXED => 1 . e6ec (1 . 59116) FLOAT +1.451019
01c : 2e79c; -- FIXED => 1 . e79c (1 . 59292) FLOAT +1.452362
01d : 2e84d; -- FIXED => 1 . e84d (1 . 59469) FLOAT +1.453712
01e : 2e8fd; -- FIXED => 1 . e8fd (1 . 59645) FLOAT +1.455055
01f : 2e9ac; -- FIXED => 1 . e9ac (1 . 59820) FLOAT +1.456390
020 : 2ea5c; -- FIXED => 1 . ea5c (1 . 59996) FLOAT +1.457733
021 : 2eb0c; -- FIXED => 1 . eb0c (1 . 60172) FLOAT +1.459076
022 : 2ebbb; -- FIXED => 1 . ebbb (1 . 60347) FLOAT +1.460411
023 : 2ec6a; -- FIXED => 1 . ec6a (1 . 60522) FLOAT +1.461746
024 : 2ed19; -- FIXED => 1 . ed19 (1 . 60697) FLOAT +1.463081
025 : 2edc8; -- FIXED => 1 . edc8 (1 . 60872) FLOAT +1.464417
026 : 2ee77; -- FIXED => 1 . ee77 (1 . 61047) FLOAT +1.465752
027 : 2ef26; -- FIXED => 1 . ef26 (1 . 61222) FLOAT +1.467087
028 : 2efd4; -- FIXED => 1 . efd4 (1 . 61396) FLOAT +1.468414
029 : 2f082; -- FIXED => 1 . f082 (1 . 61570) FLOAT +1.469742
02a : 2f130; -- FIXED => 1 . f130 (1 . 61744) FLOAT +1.471069
02b : 2f1de; -- FIXED => 1 . f1de (1 . 61918) FLOAT +1.472397
02c : 2f28c; -- FIXED => 1 . f28c (1 . 62092) FLOAT +1.473724
02d : 2f33a; -- FIXED => 1 . f33a (1 . 62266) FLOAT +1.475052
02e : 2f3e7; -- FIXED => 1 . f3e7 (1 . 62439) FLOAT +1.476372
02f : 2f494; -- FIXED => 1 . f494 (1 . 62612) FLOAT +1.477692
030 : 2f542; -- FIXED => 1 . f542 (1 . 62786) FLOAT +1.479019
031 : 2f5ef; -- FIXED => 1 . f5ef (1 . 62959) FLOAT +1.480339
032 : 2f69b; -- FIXED => 1 . f69b (1 . 63131) FLOAT +1.481651
033 : 2f748; -- FIXED => 1 . f748 (1 . 63304) FLOAT +1.482971
034 : 2f7f5; -- FIXED => 1 . f7f5 (1 . 63477) FLOAT +1.484291
035 : 2f8a1; -- FIXED => 1 . f8a1 (1 . 63649) FLOAT +1.485603
036 : 2f94d; -- FIXED => 1 . f94d (1 . 63821) FLOAT +1.486916
037 : 2f9f9; -- FIXED => 1 . f9f9 (1 . 63993) FLOAT +1.488228
038 : 2faa5; -- FIXED => 1 . faa5 (1 . 64165) FLOAT +1.489540
039 : 2fb51; -- FIXED => 1 . fb51 (1 . 64337) FLOAT +1.490852
03a : 2fbfd; -- FIXED => 1 . fbfd (1 . 64509) FLOAT +1.492165
03b : 2fca8; -- FIXED => 1 . fca8 (1 . 64680) FLOAT +1.493469
03c : 2fd54; -- FIXED => 1 . fd54 (1 . 64852) FLOAT +1.494781
03d : 2fdff; -- FIXED => 1 . fdff (1 . 65023) FLOAT +1.496086
03e : 2feaa; -- FIXED => 1 . feaa (1 . 65194) FLOAT +1.497391
03f : 2ff55; -- FIXED => 1 . ff55 (1 . 65365) FLOAT +1.498695
040 : 30000; -- FIXED => 1 . 10000 (1 . 65536) FLOAT +1.500000
041 : 300aa; -- FIXED => 1 . 100aa (1 . 65706) FLOAT +1.501297
042 : 30155; -- FIXED => 1 . 10155 (1 . 65877) FLOAT +1.502602
043 : 301ff; -- FIXED => 1 . 101ff (1 . 66047) FLOAT +1.503899
044 : 302a9; -- FIXED => 1 . 102a9 (1 . 66217) FLOAT +1.505196
045 : 30353; -- FIXED => 1 . 10353 (1 . 66387) FLOAT +1.506493
046 : 303fd; -- FIXED => 1 . 103fd (1 . 66557) FLOAT +1.507790
047 : 304a7; -- FIXED => 1 . 104a7 (1 . 66727) FLOAT +1.509087
048 : 30550; -- FIXED => 1 . 10550 (1 . 66896) FLOAT +1.510376
049 : 305fa; -- FIXED => 1 . 105fa (1 . 67066) FLOAT +1.511673
04a : 306a3; -- FIXED => 1 . 106a3 (1 . 67235) FLOAT +1.512962
04b : 3074c; -- FIXED => 1 . 1074c (1 . 67404) FLOAT +1.514252
04c : 307f5; -- FIXED => 1 . 107f5 (1 . 67573) FLOAT +1.515541
04d : 3089e; -- FIXED => 1 . 1089e (1 . 67742) FLOAT +1.516830
04e : 30946; -- FIXED => 1 . 10946 (1 . 67910) FLOAT +1.518112
04f : 309ef; -- FIXED => 1 . 109ef (1 . 68079) FLOAT +1.519402
050 : 30a97; -- FIXED => 1 . 10a97 (1 . 68247) FLOAT +1.520683
051 : 30b40; -- FIXED => 1 . 10b40 (1 . 68416) FLOAT +1.521973
052 : 30be8; -- FIXED => 1 . 10be8 (1 . 68584) FLOAT +1.523254
053 : 30c90; -- FIXED => 1 . 10c90 (1 . 68752) FLOAT +1.524536
054 : 30d38; -- FIXED => 1 . 10d38 (1 . 68920) FLOAT +1.525818
055 : 30ddf; -- FIXED => 1 . 10ddf (1 . 69087) FLOAT +1.527092
056 : 30e87; -- FIXED => 1 . 10e87 (1 . 69255) FLOAT +1.528374
057 : 30f2e; -- FIXED => 1 . 10f2e (1 . 69422) FLOAT +1.529648
058 : 30fd6; -- FIXED => 1 . 10fd6 (1 . 69590) FLOAT +1.530930
059 : 3107d; -- FIXED => 1 . 1107d (1 . 69757) FLOAT +1.532204
05a : 31124; -- FIXED => 1 . 11124 (1 . 69924) FLOAT +1.533478
05b : 311cb; -- FIXED => 1 . 111cb (1 . 70091) FLOAT +1.534752
05c : 31271; -- FIXED => 1 . 11271 (1 . 70257) FLOAT +1.536018
05d : 31318; -- FIXED => 1 . 11318 (1 . 70424) FLOAT +1.537292
05e : 313bf; -- FIXED => 1 . 113bf (1 . 70591) FLOAT +1.538567
05f : 31465; -- FIXED => 1 . 11465 (1 . 70757) FLOAT +1.539833
060 : 3150b; -- FIXED => 1 . 1150b (1 . 70923) FLOAT +1.541100
061 : 315b1; -- FIXED => 1 . 115b1 (1 . 71089) FLOAT +1.542366
062 : 31657; -- FIXED => 1 . 11657 (1 . 71255) FLOAT +1.543633
063 : 316fd; -- FIXED => 1 . 116fd (1 . 71421) FLOAT +1.544899
064 : 317a2; -- FIXED => 1 . 117a2 (1 . 71586) FLOAT +1.546158
065 : 31848; -- FIXED => 1 . 11848 (1 . 71752) FLOAT +1.547424
066 : 318ed; -- FIXED => 1 . 118ed (1 . 71917) FLOAT +1.548683
067 : 31993; -- FIXED => 1 . 11993 (1 . 72083) FLOAT +1.549950
068 : 31a38; -- FIXED => 1 . 11a38 (1 . 72248) FLOAT +1.551208
069 : 31add; -- FIXED => 1 . 11add (1 . 72413) FLOAT +1.552467
06a : 31b81; -- FIXED => 1 . 11b81 (1 . 72577) FLOAT +1.553719
06b : 31c26; -- FIXED => 1 . 11c26 (1 . 72742) FLOAT +1.554977
06c : 31ccb; -- FIXED => 1 . 11ccb (1 . 72907) FLOAT +1.556236
06d : 31d6f; -- FIXED => 1 . 11d6f (1 . 73071) FLOAT +1.557487
06e : 31e13; -- FIXED => 1 . 11e13 (1 . 73235) FLOAT +1.558739
06f : 31eb8; -- FIXED => 1 . 11eb8 (1 . 73400) FLOAT +1.559998
070 : 31f5c; -- FIXED => 1 . 11f5c (1 . 73564) FLOAT +1.561249
071 : 32000; -- FIXED => 1 . 12000 (1 . 73728) FLOAT +1.562500
072 : 320a3; -- FIXED => 1 . 120a3 (1 . 73891) FLOAT +1.563744
073 : 32147; -- FIXED => 1 . 12147 (1 . 74055) FLOAT +1.564995
074 : 321ea; -- FIXED => 1 . 121ea (1 . 74218) FLOAT +1.566238
075 : 3228e; -- FIXED => 1 . 1228e (1 . 74382) FLOAT +1.567490
076 : 32331; -- FIXED => 1 . 12331 (1 . 74545) FLOAT +1.568733
077 : 323d4; -- FIXED => 1 . 123d4 (1 . 74708) FLOAT +1.569977
078 : 32477; -- FIXED => 1 . 12477 (1 . 74871) FLOAT +1.571220
079 : 3251a; -- FIXED => 1 . 1251a (1 . 75034) FLOAT +1.572464
07a : 325bd; -- FIXED => 1 . 125bd (1 . 75197) FLOAT +1.573708
07b : 3265f; -- FIXED => 1 . 1265f (1 . 75359) FLOAT +1.574944
07c : 32702; -- FIXED => 1 . 12702 (1 . 75522) FLOAT +1.576187
07d : 327a4; -- FIXED => 1 . 127a4 (1 . 75684) FLOAT +1.577423
07e : 32846; -- FIXED => 1 . 12846 (1 . 75846) FLOAT +1.578659
07f : 328e9; -- FIXED => 1 . 128e9 (1 . 76009) FLOAT +1.579903
080 : 3298b; -- FIXED => 1 . 1298b (1 . 76171) FLOAT +1.581139
081 : 32a2c; -- FIXED => 1 . 12a2c (1 . 76332) FLOAT +1.582367
082 : 32ace; -- FIXED => 1 . 12ace (1 . 76494) FLOAT +1.583603
083 : 32b70; -- FIXED => 1 . 12b70 (1 . 76656) FLOAT +1.584839
084 : 32c11; -- FIXED => 1 . 12c11 (1 . 76817) FLOAT +1.586067
085 : 32cb3; -- FIXED => 1 . 12cb3 (1 . 76979) FLOAT +1.587303
086 : 32d54; -- FIXED => 1 . 12d54 (1 . 77140) FLOAT +1.588531
087 : 32df5; -- FIXED => 1 . 12df5 (1 . 77301) FLOAT +1.589760
088 : 32e96; -- FIXED => 1 . 12e96 (1 . 77462) FLOAT +1.590988
089 : 32f37; -- FIXED => 1 . 12f37 (1 . 77623) FLOAT +1.592216
08a : 32fd7; -- FIXED => 1 . 12fd7 (1 . 77783) FLOAT +1.593437
08b : 33078; -- FIXED => 1 . 13078 (1 . 77944) FLOAT +1.594666
08c : 33118; -- FIXED => 1 . 13118 (1 . 78104) FLOAT +1.595886
08d : 331b9; -- FIXED => 1 . 131b9 (1 . 78265) FLOAT +1.597115
08e : 33259; -- FIXED => 1 . 13259 (1 . 78425) FLOAT +1.598335
08f : 332f9; -- FIXED => 1 . 132f9 (1 . 78585) FLOAT +1.599556
090 : 33399; -- FIXED => 1 . 13399 (1 . 78745) FLOAT +1.600777
091 : 33439; -- FIXED => 1 . 13439 (1 . 78905) FLOAT +1.601997
092 : 334d9; -- FIXED => 1 . 134d9 (1 . 79065) FLOAT +1.603218
093 : 33578; -- FIXED => 1 . 13578 (1 . 79224) FLOAT +1.604431
094 : 33618; -- FIXED => 1 . 13618 (1 . 79384) FLOAT +1.605652
095 : 336b7; -- FIXED => 1 . 136b7 (1 . 79543) FLOAT +1.606865
096 : 33756; -- FIXED => 1 . 13756 (1 . 79702) FLOAT +1.608078
097 : 337f6; -- FIXED => 1 . 137f6 (1 . 79862) FLOAT +1.609299
098 : 33895; -- FIXED => 1 . 13895 (1 . 80021) FLOAT +1.610512
099 : 33933; -- FIXED => 1 . 13933 (1 . 80179) FLOAT +1.611717
09a : 339d2; -- FIXED => 1 . 139d2 (1 . 80338) FLOAT +1.612930
09b : 33a71; -- FIXED => 1 . 13a71 (1 . 80497) FLOAT +1.614143
09c : 33b0f; -- FIXED => 1 . 13b0f (1 . 80655) FLOAT +1.615349
09d : 33bae; -- FIXED => 1 . 13bae (1 . 80814) FLOAT +1.616562
09e : 33c4c; -- FIXED => 1 . 13c4c (1 . 80972) FLOAT +1.617767
09f : 33cea; -- FIXED => 1 . 13cea (1 . 81130) FLOAT +1.618973
0a0 : 33d88; -- FIXED => 1 . 13d88 (1 . 81288) FLOAT +1.620178
0a1 : 33e26; -- FIXED => 1 . 13e26 (1 . 81446) FLOAT +1.621384
0a2 : 33ec4; -- FIXED => 1 . 13ec4 (1 . 81604) FLOAT +1.622589
0a3 : 33f62; -- FIXED => 1 . 13f62 (1 . 81762) FLOAT +1.623795
0a4 : 34000; -- FIXED => 1 . 14000 (1 . 81920) FLOAT +1.625000
0a5 : 3409d; -- FIXED => 1 . 1409d (1 . 82077) FLOAT +1.626198
0a6 : 3413a; -- FIXED => 1 . 1413a (1 . 82234) FLOAT +1.627396
0a7 : 341d8; -- FIXED => 1 . 141d8 (1 . 82392) FLOAT +1.628601
0a8 : 34275; -- FIXED => 1 . 14275 (1 . 82549) FLOAT +1.629799
0a9 : 34312; -- FIXED => 1 . 14312 (1 . 82706) FLOAT +1.630997
0aa : 343af; -- FIXED => 1 . 143af (1 . 82863) FLOAT +1.632195
0ab : 3444b; -- FIXED => 1 . 1444b (1 . 83019) FLOAT +1.633385
0ac : 344e8; -- FIXED => 1 . 144e8 (1 . 83176) FLOAT +1.634583
0ad : 34585; -- FIXED => 1 . 14585 (1 . 83333) FLOAT +1.635780
0ae : 34621; -- FIXED => 1 . 14621 (1 . 83489) FLOAT +1.636971
0af : 346bd; -- FIXED => 1 . 146bd (1 . 83645) FLOAT +1.638161
0b0 : 3475a; -- FIXED => 1 . 1475a (1 . 83802) FLOAT +1.639359
0b1 : 347f6; -- FIXED => 1 . 147f6 (1 . 83958) FLOAT +1.640549
0b2 : 34892; -- FIXED => 1 . 14892 (1 . 84114) FLOAT +1.641739
0b3 : 3492e; -- FIXED => 1 . 1492e (1 . 84270) FLOAT +1.642929
0b4 : 349c9; -- FIXED => 1 . 149c9 (1 . 84425) FLOAT +1.644112
0b5 : 34a65; -- FIXED => 1 . 14a65 (1 . 84581) FLOAT +1.645302
0b6 : 34b01; -- FIXED => 1 . 14b01 (1 . 84737) FLOAT +1.646492
0b7 : 34b9c; -- FIXED => 1 . 14b9c (1 . 84892) FLOAT +1.647675
0b8 : 34c37; -- FIXED => 1 . 14c37 (1 . 85047) FLOAT +1.648857
0b9 : 34cd3; -- FIXED => 1 . 14cd3 (1 . 85203) FLOAT +1.650047
0ba : 34d6e; -- FIXED => 1 . 14d6e (1 . 85358) FLOAT +1.651230
0bb : 34e09; -- FIXED => 1 . 14e09 (1 . 85513) FLOAT +1.652412
0bc : 34ea3; -- FIXED => 1 . 14ea3 (1 . 85667) FLOAT +1.653587
0bd : 34f3e; -- FIXED => 1 . 14f3e (1 . 85822) FLOAT +1.654770
0be : 34fd9; -- FIXED => 1 . 14fd9 (1 . 85977) FLOAT +1.655952
0bf : 35073; -- FIXED => 1 . 15073 (1 . 86131) FLOAT +1.657127
0c0 : 3510e; -- FIXED => 1 . 1510e (1 . 86286) FLOAT +1.658310
0c1 : 351a8; -- FIXED => 1 . 151a8 (1 . 86440) FLOAT +1.659485
0c2 : 35242; -- FIXED => 1 . 15242 (1 . 86594) FLOAT +1.660660
0c3 : 352dc; -- FIXED => 1 . 152dc (1 . 86748) FLOAT +1.661835
0c4 : 35376; -- FIXED => 1 . 15376 (1 . 86902) FLOAT +1.663010
0c5 : 35410; -- FIXED => 1 . 15410 (1 . 87056) FLOAT +1.664185
0c6 : 354aa; -- FIXED => 1 . 154aa (1 . 87210) FLOAT +1.665359
0c7 : 35544; -- FIXED => 1 . 15544 (1 . 87364) FLOAT +1.666534
0c8 : 355dd; -- FIXED => 1 . 155dd (1 . 87517) FLOAT +1.667702
0c9 : 35677; -- FIXED => 1 . 15677 (1 . 87671) FLOAT +1.668877
0ca : 35710; -- FIXED => 1 . 15710 (1 . 87824) FLOAT +1.670044
0cb : 357a9; -- FIXED => 1 . 157a9 (1 . 87977) FLOAT +1.671211
0cc : 35842; -- FIXED => 1 . 15842 (1 . 88130) FLOAT +1.672379
0cd : 358dc; -- FIXED => 1 . 158dc (1 . 88284) FLOAT +1.673553
0ce : 35974; -- FIXED => 1 . 15974 (1 . 88436) FLOAT +1.674713
0cf : 35a0d; -- FIXED => 1 . 15a0d (1 . 88589) FLOAT +1.675880
0d0 : 35aa6; -- FIXED => 1 . 15aa6 (1 . 88742) FLOAT +1.677048
0d1 : 35b3f; -- FIXED => 1 . 15b3f (1 . 88895) FLOAT +1.678215
0d2 : 35bd7; -- FIXED => 1 . 15bd7 (1 . 89047) FLOAT +1.679375
0d3 : 35c6f; -- FIXED => 1 . 15c6f (1 . 89199) FLOAT +1.680534
0d4 : 35d08; -- FIXED => 1 . 15d08 (1 . 89352) FLOAT +1.681702
0d5 : 35da0; -- FIXED => 1 . 15da0 (1 . 89504) FLOAT +1.682861
0d6 : 35e38; -- FIXED => 1 . 15e38 (1 . 89656) FLOAT +1.684021
0d7 : 35ed0; -- FIXED => 1 . 15ed0 (1 . 89808) FLOAT +1.685181
0d8 : 35f68; -- FIXED => 1 . 15f68 (1 . 89960) FLOAT +1.686340
0d9 : 36000; -- FIXED => 1 . 16000 (1 . 90112) FLOAT +1.687500
0da : 36097; -- FIXED => 1 . 16097 (1 . 90263) FLOAT +1.688652
0db : 3612f; -- FIXED => 1 . 1612f (1 . 90415) FLOAT +1.689812
0dc : 361c6; -- FIXED => 1 . 161c6 (1 . 90566) FLOAT +1.690964
0dd : 3625d; -- FIXED => 1 . 1625d (1 . 90717) FLOAT +1.692116
0de : 362f5; -- FIXED => 1 . 162f5 (1 . 90869) FLOAT +1.693275
0df : 3638c; -- FIXED => 1 . 1638c (1 . 91020) FLOAT +1.694427
0e0 : 36423; -- FIXED => 1 . 16423 (1 . 91171) FLOAT +1.695580
0e1 : 364ba; -- FIXED => 1 . 164ba (1 . 91322) FLOAT +1.696732
0e2 : 36551; -- FIXED => 1 . 16551 (1 . 91473) FLOAT +1.697884
0e3 : 365e7; -- FIXED => 1 . 165e7 (1 . 91623) FLOAT +1.699028
0e4 : 3667e; -- FIXED => 1 . 1667e (1 . 91774) FLOAT +1.700180
0e5 : 36715; -- FIXED => 1 . 16715 (1 . 91925) FLOAT +1.701332
0e6 : 367ab; -- FIXED => 1 . 167ab (1 . 92075) FLOAT +1.702477
0e7 : 36841; -- FIXED => 1 . 16841 (1 . 92225) FLOAT +1.703621
0e8 : 368d7; -- FIXED => 1 . 168d7 (1 . 92375) FLOAT +1.704765
0e9 : 3696e; -- FIXED => 1 . 1696e (1 . 92526) FLOAT +1.705917
0ea : 36a04; -- FIXED => 1 . 16a04 (1 . 92676) FLOAT +1.707062
0eb : 36a9a; -- FIXED => 1 . 16a9a (1 . 92826) FLOAT +1.708206
0ec : 36b2f; -- FIXED => 1 . 16b2f (1 . 92975) FLOAT +1.709343
0ed : 36bc5; -- FIXED => 1 . 16bc5 (1 . 93125) FLOAT +1.710487
0ee : 36c5b; -- FIXED => 1 . 16c5b (1 . 93275) FLOAT +1.711632
0ef : 36cf0; -- FIXED => 1 . 16cf0 (1 . 93424) FLOAT +1.712769
0f0 : 36d86; -- FIXED => 1 . 16d86 (1 . 93574) FLOAT +1.713913
0f1 : 36e1b; -- FIXED => 1 . 16e1b (1 . 93723) FLOAT +1.715050
0f2 : 36eb0; -- FIXED => 1 . 16eb0 (1 . 93872) FLOAT +1.716187
0f3 : 36f45; -- FIXED => 1 . 16f45 (1 . 94021) FLOAT +1.717323
0f4 : 36fda; -- FIXED => 1 . 16fda (1 . 94170) FLOAT +1.718460
0f5 : 3706f; -- FIXED => 1 . 1706f (1 . 94319) FLOAT +1.719597
0f6 : 37104; -- FIXED => 1 . 17104 (1 . 94468) FLOAT +1.720734
0f7 : 37199; -- FIXED => 1 . 17199 (1 . 94617) FLOAT +1.721870
0f8 : 3722d; -- FIXED => 1 . 1722d (1 . 94765) FLOAT +1.723000
0f9 : 372c2; -- FIXED => 1 . 172c2 (1 . 94914) FLOAT +1.724136
0fa : 37356; -- FIXED => 1 . 17356 (1 . 95062) FLOAT +1.725266
0fb : 373eb; -- FIXED => 1 . 173eb (1 . 95211) FLOAT +1.726402
0fc : 3747f; -- FIXED => 1 . 1747f (1 . 95359) FLOAT +1.727531
0fd : 37513; -- FIXED => 1 . 17513 (1 . 95507) FLOAT +1.728661
0fe : 375a7; -- FIXED => 1 . 175a7 (1 . 95655) FLOAT +1.729790
0ff : 3763b; -- FIXED => 1 . 1763b (1 . 95803) FLOAT +1.730919
100 : 376cf; -- FIXED => 1 . 176cf (1 . 95951) FLOAT +1.732048
101 : 37763; -- FIXED => 1 . 17763 (1 . 96099) FLOAT +1.733177
102 : 377f6; -- FIXED => 1 . 177f6 (1 . 96246) FLOAT +1.734299
103 : 3788a; -- FIXED => 1 . 1788a (1 . 96394) FLOAT +1.735428
104 : 3791d; -- FIXED => 1 . 1791d (1 . 96541) FLOAT +1.736549
105 : 379b1; -- FIXED => 1 . 179b1 (1 . 96689) FLOAT +1.737679
106 : 37a44; -- FIXED => 1 . 17a44 (1 . 96836) FLOAT +1.738800
107 : 37ad7; -- FIXED => 1 . 17ad7 (1 . 96983) FLOAT +1.739922
108 : 37b6a; -- FIXED => 1 . 17b6a (1 . 97130) FLOAT +1.741043
109 : 37bfd; -- FIXED => 1 . 17bfd (1 . 97277) FLOAT +1.742165
10a : 37c90; -- FIXED => 1 . 17c90 (1 . 97424) FLOAT +1.743286
10b : 37d23; -- FIXED => 1 . 17d23 (1 . 97571) FLOAT +1.744408
10c : 37db6; -- FIXED => 1 . 17db6 (1 . 97718) FLOAT +1.745529
10d : 37e48; -- FIXED => 1 . 17e48 (1 . 97864) FLOAT +1.746643
10e : 37edb; -- FIXED => 1 . 17edb (1 . 98011) FLOAT +1.747765
10f : 37f6d; -- FIXED => 1 . 17f6d (1 . 98157) FLOAT +1.748878
110 : 38000; -- FIXED => 1 . 18000 (1 . 98304) FLOAT +1.750000
111 : 38092; -- FIXED => 1 . 18092 (1 . 98450) FLOAT +1.751114
112 : 38124; -- FIXED => 1 . 18124 (1 . 98596) FLOAT +1.752228
113 : 381b6; -- FIXED => 1 . 181b6 (1 . 98742) FLOAT +1.753342
114 : 38248; -- FIXED => 1 . 18248 (1 . 98888) FLOAT +1.754456
115 : 382da; -- FIXED => 1 . 182da (1 . 99034) FLOAT +1.755569
116 : 3836c; -- FIXED => 1 . 1836c (1 . 99180) FLOAT +1.756683
117 : 383fd; -- FIXED => 1 . 183fd (1 . 99325) FLOAT +1.757790
118 : 3848f; -- FIXED => 1 . 1848f (1 . 99471) FLOAT +1.758904
119 : 38520; -- FIXED => 1 . 18520 (1 . 99616) FLOAT +1.760010
11a : 385b2; -- FIXED => 1 . 185b2 (1 . 99762) FLOAT +1.761124
11b : 38643; -- FIXED => 1 . 18643 (1 . 99907) FLOAT +1.762230
11c : 386d4; -- FIXED => 1 . 186d4 (1 . 100052) FLOAT +1.763336
11d : 38765; -- FIXED => 1 . 18765 (1 . 100197) FLOAT +1.764442
11e : 387f6; -- FIXED => 1 . 187f6 (1 . 100342) FLOAT +1.765549
11f : 38887; -- FIXED => 1 . 18887 (1 . 100487) FLOAT +1.766655
120 : 38918; -- FIXED => 1 . 18918 (1 . 100632) FLOAT +1.767761
121 : 389a9; -- FIXED => 1 . 189a9 (1 . 100777) FLOAT +1.768867
122 : 38a3a; -- FIXED => 1 . 18a3a (1 . 100922) FLOAT +1.769974
123 : 38aca; -- FIXED => 1 . 18aca (1 . 101066) FLOAT +1.771072
124 : 38b5b; -- FIXED => 1 . 18b5b (1 . 101211) FLOAT +1.772179
125 : 38beb; -- FIXED => 1 . 18beb (1 . 101355) FLOAT +1.773277
126 : 38c7c; -- FIXED => 1 . 18c7c (1 . 101500) FLOAT +1.774384
127 : 38d0c; -- FIXED => 1 . 18d0c (1 . 101644) FLOAT +1.775482
128 : 38d9c; -- FIXED => 1 . 18d9c (1 . 101788) FLOAT +1.776581
129 : 38e2c; -- FIXED => 1 . 18e2c (1 . 101932) FLOAT +1.777679
12a : 38ebc; -- FIXED => 1 . 18ebc (1 . 102076) FLOAT +1.778778
12b : 38f4c; -- FIXED => 1 . 18f4c (1 . 102220) FLOAT +1.779877
12c : 38fdc; -- FIXED => 1 . 18fdc (1 . 102364) FLOAT +1.780975
12d : 3906b; -- FIXED => 1 . 1906b (1 . 102507) FLOAT +1.782066
12e : 390fb; -- FIXED => 1 . 190fb (1 . 102651) FLOAT +1.783165
12f : 3918a; -- FIXED => 1 . 1918a (1 . 102794) FLOAT +1.784256
130 : 3921a; -- FIXED => 1 . 1921a (1 . 102938) FLOAT +1.785355
131 : 392a9; -- FIXED => 1 . 192a9 (1 . 103081) FLOAT +1.786446
132 : 39338; -- FIXED => 1 . 19338 (1 . 103224) FLOAT +1.787537
133 : 393c8; -- FIXED => 1 . 193c8 (1 . 103368) FLOAT +1.788635
134 : 39457; -- FIXED => 1 . 19457 (1 . 103511) FLOAT +1.789726
135 : 394e6; -- FIXED => 1 . 194e6 (1 . 103654) FLOAT +1.790817
136 : 39575; -- FIXED => 1 . 19575 (1 . 103797) FLOAT +1.791908
137 : 39603; -- FIXED => 1 . 19603 (1 . 103939) FLOAT +1.792992
138 : 39692; -- FIXED => 1 . 19692 (1 . 104082) FLOAT +1.794083
139 : 39721; -- FIXED => 1 . 19721 (1 . 104225) FLOAT +1.795174
13a : 397af; -- FIXED => 1 . 197af (1 . 104367) FLOAT +1.796257
13b : 3983e; -- FIXED => 1 . 1983e (1 . 104510) FLOAT +1.797348
13c : 398cc; -- FIXED => 1 . 198cc (1 . 104652) FLOAT +1.798431
13d : 3995b; -- FIXED => 1 . 1995b (1 . 104795) FLOAT +1.799522
13e : 399e9; -- FIXED => 1 . 199e9 (1 . 104937) FLOAT +1.800606
13f : 39a77; -- FIXED => 1 . 19a77 (1 . 105079) FLOAT +1.801689
140 : 39b05; -- FIXED => 1 . 19b05 (1 . 105221) FLOAT +1.802773
141 : 39b93; -- FIXED => 1 . 19b93 (1 . 105363) FLOAT +1.803856
142 : 39c21; -- FIXED => 1 . 19c21 (1 . 105505) FLOAT +1.804939
143 : 39caf; -- FIXED => 1 . 19caf (1 . 105647) FLOAT +1.806023
144 : 39d3c; -- FIXED => 1 . 19d3c (1 . 105788) FLOAT +1.807098
145 : 39dca; -- FIXED => 1 . 19dca (1 . 105930) FLOAT +1.808182
146 : 39e57; -- FIXED => 1 . 19e57 (1 . 106071) FLOAT +1.809258
147 : 39ee5; -- FIXED => 1 . 19ee5 (1 . 106213) FLOAT +1.810341
148 : 39f72; -- FIXED => 1 . 19f72 (1 . 106354) FLOAT +1.811417
149 : 3a000; -- FIXED => 1 . 1a000 (1 . 106496) FLOAT +1.812500
14a : 3a08d; -- FIXED => 1 . 1a08d (1 . 106637) FLOAT +1.813576
14b : 3a11a; -- FIXED => 1 . 1a11a (1 . 106778) FLOAT +1.814651
14c : 3a1a7; -- FIXED => 1 . 1a1a7 (1 . 106919) FLOAT +1.815727
14d : 3a234; -- FIXED => 1 . 1a234 (1 . 107060) FLOAT +1.816803
14e : 3a2c1; -- FIXED => 1 . 1a2c1 (1 . 107201) FLOAT +1.817879
14f : 3a34d; -- FIXED => 1 . 1a34d (1 . 107341) FLOAT +1.818947
150 : 3a3da; -- FIXED => 1 . 1a3da (1 . 107482) FLOAT +1.820023
151 : 3a467; -- FIXED => 1 . 1a467 (1 . 107623) FLOAT +1.821098
152 : 3a4f3; -- FIXED => 1 . 1a4f3 (1 . 107763) FLOAT +1.822166
153 : 3a580; -- FIXED => 1 . 1a580 (1 . 107904) FLOAT +1.823242
154 : 3a60c; -- FIXED => 1 . 1a60c (1 . 108044) FLOAT +1.824310
155 : 3a698; -- FIXED => 1 . 1a698 (1 . 108184) FLOAT +1.825378
156 : 3a725; -- FIXED => 1 . 1a725 (1 . 108325) FLOAT +1.826454
157 : 3a7b1; -- FIXED => 1 . 1a7b1 (1 . 108465) FLOAT +1.827522
158 : 3a83d; -- FIXED => 1 . 1a83d (1 . 108605) FLOAT +1.828590
159 : 3a8c9; -- FIXED => 1 . 1a8c9 (1 . 108745) FLOAT +1.829659
15a : 3a955; -- FIXED => 1 . 1a955 (1 . 108885) FLOAT +1.830727
15b : 3a9e0; -- FIXED => 1 . 1a9e0 (1 . 109024) FLOAT +1.831787
15c : 3aa6c; -- FIXED => 1 . 1aa6c (1 . 109164) FLOAT +1.832855
15d : 3aaf8; -- FIXED => 1 . 1aaf8 (1 . 109304) FLOAT +1.833923
15e : 3ab83; -- FIXED => 1 . 1ab83 (1 . 109443) FLOAT +1.834984
15f : 3ac0f; -- FIXED => 1 . 1ac0f (1 . 109583) FLOAT +1.836052
160 : 3ac9a; -- FIXED => 1 . 1ac9a (1 . 109722) FLOAT +1.837112
161 : 3ad25; -- FIXED => 1 . 1ad25 (1 . 109861) FLOAT +1.838173
162 : 3adb1; -- FIXED => 1 . 1adb1 (1 . 110001) FLOAT +1.839241
163 : 3ae3c; -- FIXED => 1 . 1ae3c (1 . 110140) FLOAT +1.840302
164 : 3aec7; -- FIXED => 1 . 1aec7 (1 . 110279) FLOAT +1.841362
165 : 3af52; -- FIXED => 1 . 1af52 (1 . 110418) FLOAT +1.842422
166 : 3afdd; -- FIXED => 1 . 1afdd (1 . 110557) FLOAT +1.843483
167 : 3b068; -- FIXED => 1 . 1b068 (1 . 110696) FLOAT +1.844543
168 : 3b0f2; -- FIXED => 1 . 1b0f2 (1 . 110834) FLOAT +1.845596
169 : 3b17d; -- FIXED => 1 . 1b17d (1 . 110973) FLOAT +1.846657
16a : 3b208; -- FIXED => 1 . 1b208 (1 . 111112) FLOAT +1.847717
16b : 3b292; -- FIXED => 1 . 1b292 (1 . 111250) FLOAT +1.848770
16c : 3b31d; -- FIXED => 1 . 1b31d (1 . 111389) FLOAT +1.849831
16d : 3b3a7; -- FIXED => 1 . 1b3a7 (1 . 111527) FLOAT +1.850883
16e : 3b431; -- FIXED => 1 . 1b431 (1 . 111665) FLOAT +1.851936
16f : 3b4bb; -- FIXED => 1 . 1b4bb (1 . 111803) FLOAT +1.852989
170 : 3b545; -- FIXED => 1 . 1b545 (1 . 111941) FLOAT +1.854042
171 : 3b5d0; -- FIXED => 1 . 1b5d0 (1 . 112080) FLOAT +1.855103
172 : 3b659; -- FIXED => 1 . 1b659 (1 . 112217) FLOAT +1.856148
173 : 3b6e3; -- FIXED => 1 . 1b6e3 (1 . 112355) FLOAT +1.857201
174 : 3b76d; -- FIXED => 1 . 1b76d (1 . 112493) FLOAT +1.858253
175 : 3b7f7; -- FIXED => 1 . 1b7f7 (1 . 112631) FLOAT +1.859306
176 : 3b881; -- FIXED => 1 . 1b881 (1 . 112769) FLOAT +1.860359
177 : 3b90a; -- FIXED => 1 . 1b90a (1 . 112906) FLOAT +1.861404
178 : 3b994; -- FIXED => 1 . 1b994 (1 . 113044) FLOAT +1.862457
179 : 3ba1d; -- FIXED => 1 . 1ba1d (1 . 113181) FLOAT +1.863503
17a : 3baa6; -- FIXED => 1 . 1baa6 (1 . 113318) FLOAT +1.864548
17b : 3bb30; -- FIXED => 1 . 1bb30 (1 . 113456) FLOAT +1.865601
17c : 3bbb9; -- FIXED => 1 . 1bbb9 (1 . 113593) FLOAT +1.866646
17d : 3bc42; -- FIXED => 1 . 1bc42 (1 . 113730) FLOAT +1.867691
17e : 3bccb; -- FIXED => 1 . 1bccb (1 . 113867) FLOAT +1.868736
17f : 3bd54; -- FIXED => 1 . 1bd54 (1 . 114004) FLOAT +1.869781
180 : 3bddd; -- FIXED => 1 . 1bddd (1 . 114141) FLOAT +1.870827
181 : 3be66; -- FIXED => 1 . 1be66 (1 . 114278) FLOAT +1.871872
182 : 3beee; -- FIXED => 1 . 1beee (1 . 114414) FLOAT +1.872910
183 : 3bf77; -- FIXED => 1 . 1bf77 (1 . 114551) FLOAT +1.873955
184 : 3c000; -- FIXED => 1 . 1c000 (1 . 114688) FLOAT +1.875000
185 : 3c088; -- FIXED => 1 . 1c088 (1 . 114824) FLOAT +1.876038
186 : 3c110; -- FIXED => 1 . 1c110 (1 . 114960) FLOAT +1.877075
187 : 3c199; -- FIXED => 1 . 1c199 (1 . 115097) FLOAT +1.878120
188 : 3c221; -- FIXED => 1 . 1c221 (1 . 115233) FLOAT +1.879158
189 : 3c2a9; -- FIXED => 1 . 1c2a9 (1 . 115369) FLOAT +1.880196
18a : 3c331; -- FIXED => 1 . 1c331 (1 . 115505) FLOAT +1.881233
18b : 3c3b9; -- FIXED => 1 . 1c3b9 (1 . 115641) FLOAT +1.882271
18c : 3c441; -- FIXED => 1 . 1c441 (1 . 115777) FLOAT +1.883308
18d : 3c4c9; -- FIXED => 1 . 1c4c9 (1 . 115913) FLOAT +1.884346
18e : 3c551; -- FIXED => 1 . 1c551 (1 . 116049) FLOAT +1.885384
18f : 3c5d9; -- FIXED => 1 . 1c5d9 (1 . 116185) FLOAT +1.886421
190 : 3c660; -- FIXED => 1 . 1c660 (1 . 116320) FLOAT +1.887451
191 : 3c6e8; -- FIXED => 1 . 1c6e8 (1 . 116456) FLOAT +1.888489
192 : 3c770; -- FIXED => 1 . 1c770 (1 . 116592) FLOAT +1.889526
193 : 3c7f7; -- FIXED => 1 . 1c7f7 (1 . 116727) FLOAT +1.890556
194 : 3c87e; -- FIXED => 1 . 1c87e (1 . 116862) FLOAT +1.891586
195 : 3c906; -- FIXED => 1 . 1c906 (1 . 116998) FLOAT +1.892624
196 : 3c98d; -- FIXED => 1 . 1c98d (1 . 117133) FLOAT +1.893654
197 : 3ca14; -- FIXED => 1 . 1ca14 (1 . 117268) FLOAT +1.894684
198 : 3ca9b; -- FIXED => 1 . 1ca9b (1 . 117403) FLOAT +1.895714
199 : 3cb22; -- FIXED => 1 . 1cb22 (1 . 117538) FLOAT +1.896744
19a : 3cba9; -- FIXED => 1 . 1cba9 (1 . 117673) FLOAT +1.897774
19b : 3cc30; -- FIXED => 1 . 1cc30 (1 . 117808) FLOAT +1.898804
19c : 3ccb7; -- FIXED => 1 . 1ccb7 (1 . 117943) FLOAT +1.899834
19d : 3cd3d; -- FIXED => 1 . 1cd3d (1 . 118077) FLOAT +1.900856
19e : 3cdc4; -- FIXED => 1 . 1cdc4 (1 . 118212) FLOAT +1.901886
19f : 3ce4b; -- FIXED => 1 . 1ce4b (1 . 118347) FLOAT +1.902916
1a0 : 3ced1; -- FIXED => 1 . 1ced1 (1 . 118481) FLOAT +1.903938
1a1 : 3cf58; -- FIXED => 1 . 1cf58 (1 . 118616) FLOAT +1.904968
1a2 : 3cfde; -- FIXED => 1 . 1cfde (1 . 118750) FLOAT +1.905991
1a3 : 3d064; -- FIXED => 1 . 1d064 (1 . 118884) FLOAT +1.907013
1a4 : 3d0ea; -- FIXED => 1 . 1d0ea (1 . 119018) FLOAT +1.908035
1a5 : 3d171; -- FIXED => 1 . 1d171 (1 . 119153) FLOAT +1.909065
1a6 : 3d1f7; -- FIXED => 1 . 1d1f7 (1 . 119287) FLOAT +1.910088
1a7 : 3d27d; -- FIXED => 1 . 1d27d (1 . 119421) FLOAT +1.911110
1a8 : 3d303; -- FIXED => 1 . 1d303 (1 . 119555) FLOAT +1.912132
1a9 : 3d388; -- FIXED => 1 . 1d388 (1 . 119688) FLOAT +1.913147
1aa : 3d40e; -- FIXED => 1 . 1d40e (1 . 119822) FLOAT +1.914169
1ab : 3d494; -- FIXED => 1 . 1d494 (1 . 119956) FLOAT +1.915192
1ac : 3d519; -- FIXED => 1 . 1d519 (1 . 120089) FLOAT +1.916206
1ad : 3d59f; -- FIXED => 1 . 1d59f (1 . 120223) FLOAT +1.917229
1ae : 3d625; -- FIXED => 1 . 1d625 (1 . 120357) FLOAT +1.918251
1af : 3d6aa; -- FIXED => 1 . 1d6aa (1 . 120490) FLOAT +1.919266
1b0 : 3d72f; -- FIXED => 1 . 1d72f (1 . 120623) FLOAT +1.920280
1b1 : 3d7b5; -- FIXED => 1 . 1d7b5 (1 . 120757) FLOAT +1.921303
1b2 : 3d83a; -- FIXED => 1 . 1d83a (1 . 120890) FLOAT +1.922318
1b3 : 3d8bf; -- FIXED => 1 . 1d8bf (1 . 121023) FLOAT +1.923332
1b4 : 3d944; -- FIXED => 1 . 1d944 (1 . 121156) FLOAT +1.924347
1b5 : 3d9c9; -- FIXED => 1 . 1d9c9 (1 . 121289) FLOAT +1.925362
1b6 : 3da4e; -- FIXED => 1 . 1da4e (1 . 121422) FLOAT +1.926376
1b7 : 3dad3; -- FIXED => 1 . 1dad3 (1 . 121555) FLOAT +1.927391
1b8 : 3db58; -- FIXED => 1 . 1db58 (1 . 121688) FLOAT +1.928406
1b9 : 3dbdc; -- FIXED => 1 . 1dbdc (1 . 121820) FLOAT +1.929413
1ba : 3dc61; -- FIXED => 1 . 1dc61 (1 . 121953) FLOAT +1.930428
1bb : 3dce5; -- FIXED => 1 . 1dce5 (1 . 122085) FLOAT +1.931435
1bc : 3dd6a; -- FIXED => 1 . 1dd6a (1 . 122218) FLOAT +1.932449
1bd : 3ddee; -- FIXED => 1 . 1ddee (1 . 122350) FLOAT +1.933456
1be : 3de73; -- FIXED => 1 . 1de73 (1 . 122483) FLOAT +1.934471
1bf : 3def7; -- FIXED => 1 . 1def7 (1 . 122615) FLOAT +1.935478
1c0 : 3df7b; -- FIXED => 1 . 1df7b (1 . 122747) FLOAT +1.936485
1c1 : 3e000; -- FIXED => 1 . 1e000 (1 . 122880) FLOAT +1.937500
1c2 : 3e084; -- FIXED => 1 . 1e084 (1 . 123012) FLOAT +1.938507
1c3 : 3e108; -- FIXED => 1 . 1e108 (1 . 123144) FLOAT +1.939514
1c4 : 3e18c; -- FIXED => 1 . 1e18c (1 . 123276) FLOAT +1.940521
1c5 : 3e20f; -- FIXED => 1 . 1e20f (1 . 123407) FLOAT +1.941521
1c6 : 3e293; -- FIXED => 1 . 1e293 (1 . 123539) FLOAT +1.942528
1c7 : 3e317; -- FIXED => 1 . 1e317 (1 . 123671) FLOAT +1.943535
1c8 : 3e39b; -- FIXED => 1 . 1e39b (1 . 123803) FLOAT +1.944542
1c9 : 3e41e; -- FIXED => 1 . 1e41e (1 . 123934) FLOAT +1.945541
1ca : 3e4a2; -- FIXED => 1 . 1e4a2 (1 . 124066) FLOAT +1.946548
1cb : 3e525; -- FIXED => 1 . 1e525 (1 . 124197) FLOAT +1.947548
1cc : 3e5a9; -- FIXED => 1 . 1e5a9 (1 . 124329) FLOAT +1.948555
1cd : 3e62c; -- FIXED => 1 . 1e62c (1 . 124460) FLOAT +1.949554
1ce : 3e6af; -- FIXED => 1 . 1e6af (1 . 124591) FLOAT +1.950554
1cf : 3e733; -- FIXED => 1 . 1e733 (1 . 124723) FLOAT +1.951561
1d0 : 3e7b6; -- FIXED => 1 . 1e7b6 (1 . 124854) FLOAT +1.952560
1d1 : 3e839; -- FIXED => 1 . 1e839 (1 . 124985) FLOAT +1.953560
1d2 : 3e8bc; -- FIXED => 1 . 1e8bc (1 . 125116) FLOAT +1.954559
1d3 : 3e93f; -- FIXED => 1 . 1e93f (1 . 125247) FLOAT +1.955559
1d4 : 3e9c2; -- FIXED => 1 . 1e9c2 (1 . 125378) FLOAT +1.956558
1d5 : 3ea44; -- FIXED => 1 . 1ea44 (1 . 125508) FLOAT +1.957550
1d6 : 3eac7; -- FIXED => 1 . 1eac7 (1 . 125639) FLOAT +1.958549
1d7 : 3eb4a; -- FIXED => 1 . 1eb4a (1 . 125770) FLOAT +1.959549
1d8 : 3ebcd; -- FIXED => 1 . 1ebcd (1 . 125901) FLOAT +1.960548
1d9 : 3ec4f; -- FIXED => 1 . 1ec4f (1 . 126031) FLOAT +1.961540
1da : 3ecd2; -- FIXED => 1 . 1ecd2 (1 . 126162) FLOAT +1.962540
1db : 3ed54; -- FIXED => 1 . 1ed54 (1 . 126292) FLOAT +1.963531
1dc : 3edd6; -- FIXED => 1 . 1edd6 (1 . 126422) FLOAT +1.964523
1dd : 3ee59; -- FIXED => 1 . 1ee59 (1 . 126553) FLOAT +1.965523
1de : 3eedb; -- FIXED => 1 . 1eedb (1 . 126683) FLOAT +1.966515
1df : 3ef5d; -- FIXED => 1 . 1ef5d (1 . 126813) FLOAT +1.967506
1e0 : 3efdf; -- FIXED => 1 . 1efdf (1 . 126943) FLOAT +1.968498
1e1 : 3f061; -- FIXED => 1 . 1f061 (1 . 127073) FLOAT +1.969490
1e2 : 3f0e3; -- FIXED => 1 . 1f0e3 (1 . 127203) FLOAT +1.970482
1e3 : 3f165; -- FIXED => 1 . 1f165 (1 . 127333) FLOAT +1.971474
1e4 : 3f1e7; -- FIXED => 1 . 1f1e7 (1 . 127463) FLOAT +1.972466
1e5 : 3f268; -- FIXED => 1 . 1f268 (1 . 127592) FLOAT +1.973450
1e6 : 3f2ea; -- FIXED => 1 . 1f2ea (1 . 127722) FLOAT +1.974442
1e7 : 3f36c; -- FIXED => 1 . 1f36c (1 . 127852) FLOAT +1.975433
1e8 : 3f3ed; -- FIXED => 1 . 1f3ed (1 . 127981) FLOAT +1.976418
1e9 : 3f46f; -- FIXED => 1 . 1f46f (1 . 128111) FLOAT +1.977409
1ea : 3f4f0; -- FIXED => 1 . 1f4f0 (1 . 128240) FLOAT +1.978394
1eb : 3f572; -- FIXED => 1 . 1f572 (1 . 128370) FLOAT +1.979385
1ec : 3f5f3; -- FIXED => 1 . 1f5f3 (1 . 128499) FLOAT +1.980370
1ed : 3f674; -- FIXED => 1 . 1f674 (1 . 128628) FLOAT +1.981354
1ee : 3f6f5; -- FIXED => 1 . 1f6f5 (1 . 128757) FLOAT +1.982338
1ef : 3f776; -- FIXED => 1 . 1f776 (1 . 128886) FLOAT +1.983322
1f0 : 3f7f7; -- FIXED => 1 . 1f7f7 (1 . 129015) FLOAT +1.984306
1f1 : 3f878; -- FIXED => 1 . 1f878 (1 . 129144) FLOAT +1.985291
1f2 : 3f8f9; -- FIXED => 1 . 1f8f9 (1 . 129273) FLOAT +1.986275
1f3 : 3f97a; -- FIXED => 1 . 1f97a (1 . 129402) FLOAT +1.987259
1f4 : 3f9fb; -- FIXED => 1 . 1f9fb (1 . 129531) FLOAT +1.988243
1f5 : 3fa7c; -- FIXED => 1 . 1fa7c (1 . 129660) FLOAT +1.989227
1f6 : 3fafc; -- FIXED => 1 . 1fafc (1 . 129788) FLOAT +1.990204
1f7 : 3fb7d; -- FIXED => 1 . 1fb7d (1 . 129917) FLOAT +1.991188
1f8 : 3fbfd; -- FIXED => 1 . 1fbfd (1 . 130045) FLOAT +1.992165
1f9 : 3fc7e; -- FIXED => 1 . 1fc7e (1 . 130174) FLOAT +1.993149
1fa : 3fcfe; -- FIXED => 1 . 1fcfe (1 . 130302) FLOAT +1.994125
1fb : 3fd7f; -- FIXED => 1 . 1fd7f (1 . 130431) FLOAT +1.995110
1fc : 3fdff; -- FIXED => 1 . 1fdff (1 . 130559) FLOAT +1.996086
1fd : 3fe7f; -- FIXED => 1 . 1fe7f (1 . 130687) FLOAT +1.997063
1fe : 3feff; -- FIXED => 1 . 1feff (1 . 130815) FLOAT +1.998039
1ff : 3ff7f; -- FIXED => 1 . 1ff7f (1 . 130943) FLOAT +1.999016
200 : 20000; -- FIXED => 1 . 0 (1 . 0) FLOAT +1.000000
201 : 2007f; -- FIXED => 1 . 7f (1 . 127) FLOAT +1.000969
202 : 200ff; -- FIXED => 1 . ff (1 . 255) FLOAT +1.001945
203 : 2017f; -- FIXED => 1 . 17f (1 . 383) FLOAT +1.002922
204 : 201ff; -- FIXED => 1 . 1ff (1 . 511) FLOAT +1.003899
205 : 2027e; -- FIXED => 1 . 27e (1 . 638) FLOAT +1.004868
206 : 202fd; -- FIXED => 1 . 2fd (1 . 765) FLOAT +1.005836
207 : 2037c; -- FIXED => 1 . 37c (1 . 892) FLOAT +1.006805
208 : 203fc; -- FIXED => 1 . 3fc (1 . 1020) FLOAT +1.007782
209 : 2047a; -- FIXED => 1 . 47a (1 . 1146) FLOAT +1.008743
20a : 204f9; -- FIXED => 1 . 4f9 (1 . 1273) FLOAT +1.009712
20b : 20578; -- FIXED => 1 . 578 (1 . 1400) FLOAT +1.010681
20c : 205f7; -- FIXED => 1 . 5f7 (1 . 1527) FLOAT +1.011650
20d : 20675; -- FIXED => 1 . 675 (1 . 1653) FLOAT +1.012611
20e : 206f3; -- FIXED => 1 . 6f3 (1 . 1779) FLOAT +1.013573
20f : 20772; -- FIXED => 1 . 772 (1 . 1906) FLOAT +1.014542
210 : 207f0; -- FIXED => 1 . 7f0 (1 . 2032) FLOAT +1.015503
211 : 2086e; -- FIXED => 1 . 86e (1 . 2158) FLOAT +1.016464
212 : 208ec; -- FIXED => 1 . 8ec (1 . 2284) FLOAT +1.017426
213 : 20969; -- FIXED => 1 . 969 (1 . 2409) FLOAT +1.018379
214 : 209e7; -- FIXED => 1 . 9e7 (1 . 2535) FLOAT +1.019341
215 : 20a64; -- FIXED => 1 . a64 (1 . 2660) FLOAT +1.020294
216 : 20ae2; -- FIXED => 1 . ae2 (1 . 2786) FLOAT +1.021255
217 : 20b5f; -- FIXED => 1 . b5f (1 . 2911) FLOAT +1.022209
218 : 20bdc; -- FIXED => 1 . bdc (1 . 3036) FLOAT +1.023163
219 : 20c59; -- FIXED => 1 . c59 (1 . 3161) FLOAT +1.024117
21a : 20cd6; -- FIXED => 1 . cd6 (1 . 3286) FLOAT +1.025070
21b : 20d53; -- FIXED => 1 . d53 (1 . 3411) FLOAT +1.026024
21c : 20dd0; -- FIXED => 1 . dd0 (1 . 3536) FLOAT +1.026978
21d : 20e4c; -- FIXED => 1 . e4c (1 . 3660) FLOAT +1.027924
21e : 20ec9; -- FIXED => 1 . ec9 (1 . 3785) FLOAT +1.028877
21f : 20f45; -- FIXED => 1 . f45 (1 . 3909) FLOAT +1.029823
220 : 20fc1; -- FIXED => 1 . fc1 (1 . 4033) FLOAT +1.030769
221 : 2103e; -- FIXED => 1 . 103e (1 . 4158) FLOAT +1.031723
222 : 210ba; -- FIXED => 1 . 10ba (1 . 4282) FLOAT +1.032669
223 : 21135; -- FIXED => 1 . 1135 (1 . 4405) FLOAT +1.033607
224 : 211b1; -- FIXED => 1 . 11b1 (1 . 4529) FLOAT +1.034554
225 : 2122d; -- FIXED => 1 . 122d (1 . 4653) FLOAT +1.035500
226 : 212a8; -- FIXED => 1 . 12a8 (1 . 4776) FLOAT +1.036438
227 : 21324; -- FIXED => 1 . 1324 (1 . 4900) FLOAT +1.037384
228 : 2139f; -- FIXED => 1 . 139f (1 . 5023) FLOAT +1.038322
229 : 2141a; -- FIXED => 1 . 141a (1 . 5146) FLOAT +1.039261
22a : 21496; -- FIXED => 1 . 1496 (1 . 5270) FLOAT +1.040207
22b : 21511; -- FIXED => 1 . 1511 (1 . 5393) FLOAT +1.041145
22c : 2158b; -- FIXED => 1 . 158b (1 . 5515) FLOAT +1.042076
22d : 21606; -- FIXED => 1 . 1606 (1 . 5638) FLOAT +1.043015
22e : 21681; -- FIXED => 1 . 1681 (1 . 5761) FLOAT +1.043953
22f : 216fb; -- FIXED => 1 . 16fb (1 . 5883) FLOAT +1.044884
230 : 21776; -- FIXED => 1 . 1776 (1 . 6006) FLOAT +1.045822
231 : 217f0; -- FIXED => 1 . 17f0 (1 . 6128) FLOAT +1.046753
232 : 2186a; -- FIXED => 1 . 186a (1 . 6250) FLOAT +1.047684
233 : 218e5; -- FIXED => 1 . 18e5 (1 . 6373) FLOAT +1.048622
234 : 2195f; -- FIXED => 1 . 195f (1 . 6495) FLOAT +1.049553
235 : 219d8; -- FIXED => 1 . 19d8 (1 . 6616) FLOAT +1.050476
236 : 21a52; -- FIXED => 1 . 1a52 (1 . 6738) FLOAT +1.051407
237 : 21acc; -- FIXED => 1 . 1acc (1 . 6860) FLOAT +1.052338
238 : 21b46; -- FIXED => 1 . 1b46 (1 . 6982) FLOAT +1.053268
239 : 21bbf; -- FIXED => 1 . 1bbf (1 . 7103) FLOAT +1.054192
23a : 21c38; -- FIXED => 1 . 1c38 (1 . 7224) FLOAT +1.055115
23b : 21cb2; -- FIXED => 1 . 1cb2 (1 . 7346) FLOAT +1.056046
23c : 21d2b; -- FIXED => 1 . 1d2b (1 . 7467) FLOAT +1.056969
23d : 21da4; -- FIXED => 1 . 1da4 (1 . 7588) FLOAT +1.057892
23e : 21e1d; -- FIXED => 1 . 1e1d (1 . 7709) FLOAT +1.058815
23f : 21e96; -- FIXED => 1 . 1e96 (1 . 7830) FLOAT +1.059738
240 : 21f0e; -- FIXED => 1 . 1f0e (1 . 7950) FLOAT +1.060654
241 : 21f87; -- FIXED => 1 . 1f87 (1 . 8071) FLOAT +1.061577
242 : 22000; -- FIXED => 1 . 2000 (1 . 8192) FLOAT +1.062500
243 : 22078; -- FIXED => 1 . 2078 (1 . 8312) FLOAT +1.063416
244 : 220f0; -- FIXED => 1 . 20f0 (1 . 8432) FLOAT +1.064331
245 : 22168; -- FIXED => 1 . 2168 (1 . 8552) FLOAT +1.065247
246 : 221e1; -- FIXED => 1 . 21e1 (1 . 8673) FLOAT +1.066170
247 : 22259; -- FIXED => 1 . 2259 (1 . 8793) FLOAT +1.067085
248 : 222d0; -- FIXED => 1 . 22d0 (1 . 8912) FLOAT +1.067993
249 : 22348; -- FIXED => 1 . 2348 (1 . 9032) FLOAT +1.068909
24a : 223c0; -- FIXED => 1 . 23c0 (1 . 9152) FLOAT +1.069824
24b : 22438; -- FIXED => 1 . 2438 (1 . 9272) FLOAT +1.070740
24c : 224af; -- FIXED => 1 . 24af (1 . 9391) FLOAT +1.071648
24d : 22526; -- FIXED => 1 . 2526 (1 . 9510) FLOAT +1.072556
24e : 2259e; -- FIXED => 1 . 259e (1 . 9630) FLOAT +1.073471
24f : 22615; -- FIXED => 1 . 2615 (1 . 9749) FLOAT +1.074379
250 : 2268c; -- FIXED => 1 . 268c (1 . 9868) FLOAT +1.075287
251 : 22703; -- FIXED => 1 . 2703 (1 . 9987) FLOAT +1.076195
252 : 2277a; -- FIXED => 1 . 277a (1 . 10106) FLOAT +1.077103
253 : 227f1; -- FIXED => 1 . 27f1 (1 . 10225) FLOAT +1.078011
254 : 22867; -- FIXED => 1 . 2867 (1 . 10343) FLOAT +1.078911
255 : 228de; -- FIXED => 1 . 28de (1 . 10462) FLOAT +1.079819
256 : 22954; -- FIXED => 1 . 2954 (1 . 10580) FLOAT +1.080719
257 : 229cb; -- FIXED => 1 . 29cb (1 . 10699) FLOAT +1.081627
258 : 22a41; -- FIXED => 1 . 2a41 (1 . 10817) FLOAT +1.082527
259 : 22ab7; -- FIXED => 1 . 2ab7 (1 . 10935) FLOAT +1.083427
25a : 22b2d; -- FIXED => 1 . 2b2d (1 . 11053) FLOAT +1.084328
25b : 22ba3; -- FIXED => 1 . 2ba3 (1 . 11171) FLOAT +1.085228
25c : 22c19; -- FIXED => 1 . 2c19 (1 . 11289) FLOAT +1.086128
25d : 22c8f; -- FIXED => 1 . 2c8f (1 . 11407) FLOAT +1.087029
25e : 22d05; -- FIXED => 1 . 2d05 (1 . 11525) FLOAT +1.087929
25f : 22d7a; -- FIXED => 1 . 2d7a (1 . 11642) FLOAT +1.088821
260 : 22df0; -- FIXED => 1 . 2df0 (1 . 11760) FLOAT +1.089722
261 : 22e65; -- FIXED => 1 . 2e65 (1 . 11877) FLOAT +1.090614
262 : 22edb; -- FIXED => 1 . 2edb (1 . 11995) FLOAT +1.091515
263 : 22f50; -- FIXED => 1 . 2f50 (1 . 12112) FLOAT +1.092407
264 : 22fc5; -- FIXED => 1 . 2fc5 (1 . 12229) FLOAT +1.093300
265 : 2303a; -- FIXED => 1 . 303a (1 . 12346) FLOAT +1.094193
266 : 230af; -- FIXED => 1 . 30af (1 . 12463) FLOAT +1.095085
267 : 23124; -- FIXED => 1 . 3124 (1 . 12580) FLOAT +1.095978
268 : 23199; -- FIXED => 1 . 3199 (1 . 12697) FLOAT +1.096870
269 : 2320d; -- FIXED => 1 . 320d (1 . 12813) FLOAT +1.097755
26a : 23282; -- FIXED => 1 . 3282 (1 . 12930) FLOAT +1.098648
26b : 232f6; -- FIXED => 1 . 32f6 (1 . 13046) FLOAT +1.099533
26c : 2336b; -- FIXED => 1 . 336b (1 . 13163) FLOAT +1.100426
26d : 233df; -- FIXED => 1 . 33df (1 . 13279) FLOAT +1.101311
26e : 23453; -- FIXED => 1 . 3453 (1 . 13395) FLOAT +1.102196
26f : 234c7; -- FIXED => 1 . 34c7 (1 . 13511) FLOAT +1.103081
270 : 2353b; -- FIXED => 1 . 353b (1 . 13627) FLOAT +1.103966
271 : 235af; -- FIXED => 1 . 35af (1 . 13743) FLOAT +1.104851
272 : 23623; -- FIXED => 1 . 3623 (1 . 13859) FLOAT +1.105736
273 : 23696; -- FIXED => 1 . 3696 (1 . 13974) FLOAT +1.106613
274 : 2370a; -- FIXED => 1 . 370a (1 . 14090) FLOAT +1.107498
275 : 2377e; -- FIXED => 1 . 377e (1 . 14206) FLOAT +1.108383
276 : 237f1; -- FIXED => 1 . 37f1 (1 . 14321) FLOAT +1.109261
277 : 23864; -- FIXED => 1 . 3864 (1 . 14436) FLOAT +1.110138
278 : 238d8; -- FIXED => 1 . 38d8 (1 . 14552) FLOAT +1.111023
279 : 2394b; -- FIXED => 1 . 394b (1 . 14667) FLOAT +1.111900
27a : 239be; -- FIXED => 1 . 39be (1 . 14782) FLOAT +1.112778
27b : 23a31; -- FIXED => 1 . 3a31 (1 . 14897) FLOAT +1.113655
27c : 23aa4; -- FIXED => 1 . 3aa4 (1 . 15012) FLOAT +1.114532
27d : 23b17; -- FIXED => 1 . 3b17 (1 . 15127) FLOAT +1.115410
27e : 23b89; -- FIXED => 1 . 3b89 (1 . 15241) FLOAT +1.116280
27f : 23bfc; -- FIXED => 1 . 3bfc (1 . 15356) FLOAT +1.117157
280 : 23c6e; -- FIXED => 1 . 3c6e (1 . 15470) FLOAT +1.118027
281 : 23ce1; -- FIXED => 1 . 3ce1 (1 . 15585) FLOAT +1.118904
282 : 23d53; -- FIXED => 1 . 3d53 (1 . 15699) FLOAT +1.119774
283 : 23dc6; -- FIXED => 1 . 3dc6 (1 . 15814) FLOAT +1.120651
284 : 23e38; -- FIXED => 1 . 3e38 (1 . 15928) FLOAT +1.121521
285 : 23eaa; -- FIXED => 1 . 3eaa (1 . 16042) FLOAT +1.122391
286 : 23f1c; -- FIXED => 1 . 3f1c (1 . 16156) FLOAT +1.123260
287 : 23f8e; -- FIXED => 1 . 3f8e (1 . 16270) FLOAT +1.124130
288 : 24000; -- FIXED => 1 . 4000 (1 . 16384) FLOAT +1.125000
289 : 24071; -- FIXED => 1 . 4071 (1 . 16497) FLOAT +1.125862
28a : 240e3; -- FIXED => 1 . 40e3 (1 . 16611) FLOAT +1.126732
28b : 24154; -- FIXED => 1 . 4154 (1 . 16724) FLOAT +1.127594
28c : 241c6; -- FIXED => 1 . 41c6 (1 . 16838) FLOAT +1.128464
28d : 24237; -- FIXED => 1 . 4237 (1 . 16951) FLOAT +1.129326
28e : 242a9; -- FIXED => 1 . 42a9 (1 . 17065) FLOAT +1.130196
28f : 2431a; -- FIXED => 1 . 431a (1 . 17178) FLOAT +1.131058
290 : 2438b; -- FIXED => 1 . 438b (1 . 17291) FLOAT +1.131920
291 : 243fc; -- FIXED => 1 . 43fc (1 . 17404) FLOAT +1.132782
292 : 2446d; -- FIXED => 1 . 446d (1 . 17517) FLOAT +1.133644
293 : 244de; -- FIXED => 1 . 44de (1 . 17630) FLOAT +1.134506
294 : 2454f; -- FIXED => 1 . 454f (1 . 17743) FLOAT +1.135368
295 : 245bf; -- FIXED => 1 . 45bf (1 . 17855) FLOAT +1.136223
296 : 24630; -- FIXED => 1 . 4630 (1 . 17968) FLOAT +1.137085
297 : 246a0; -- FIXED => 1 . 46a0 (1 . 18080) FLOAT +1.137939
298 : 24711; -- FIXED => 1 . 4711 (1 . 18193) FLOAT +1.138802
299 : 24781; -- FIXED => 1 . 4781 (1 . 18305) FLOAT +1.139656
29a : 247f1; -- FIXED => 1 . 47f1 (1 . 18417) FLOAT +1.140511
29b : 24862; -- FIXED => 1 . 4862 (1 . 18530) FLOAT +1.141373
29c : 248d2; -- FIXED => 1 . 48d2 (1 . 18642) FLOAT +1.142227
29d : 24942; -- FIXED => 1 . 4942 (1 . 18754) FLOAT +1.143082
29e : 249b2; -- FIXED => 1 . 49b2 (1 . 18866) FLOAT +1.143936
29f : 24a22; -- FIXED => 1 . 4a22 (1 . 18978) FLOAT +1.144791
2a0 : 24a91; -- FIXED => 1 . 4a91 (1 . 19089) FLOAT +1.145638
2a1 : 24b01; -- FIXED => 1 . 4b01 (1 . 19201) FLOAT +1.146492
2a2 : 24b71; -- FIXED => 1 . 4b71 (1 . 19313) FLOAT +1.147346
2a3 : 24be0; -- FIXED => 1 . 4be0 (1 . 19424) FLOAT +1.148193
2a4 : 24c50; -- FIXED => 1 . 4c50 (1 . 19536) FLOAT +1.149048
2a5 : 24cbf; -- FIXED => 1 . 4cbf (1 . 19647) FLOAT +1.149895
2a6 : 24d2e; -- FIXED => 1 . 4d2e (1 . 19758) FLOAT +1.150742
2a7 : 24d9d; -- FIXED => 1 . 4d9d (1 . 19869) FLOAT +1.151588
2a8 : 24e0d; -- FIXED => 1 . 4e0d (1 . 19981) FLOAT +1.152443
2a9 : 24e7c; -- FIXED => 1 . 4e7c (1 . 20092) FLOAT +1.153290
2aa : 24eea; -- FIXED => 1 . 4eea (1 . 20202) FLOAT +1.154129
2ab : 24f59; -- FIXED => 1 . 4f59 (1 . 20313) FLOAT +1.154976
2ac : 24fc8; -- FIXED => 1 . 4fc8 (1 . 20424) FLOAT +1.155823
2ad : 25037; -- FIXED => 1 . 5037 (1 . 20535) FLOAT +1.156670
2ae : 250a5; -- FIXED => 1 . 50a5 (1 . 20645) FLOAT +1.157509
2af : 25114; -- FIXED => 1 . 5114 (1 . 20756) FLOAT +1.158356
2b0 : 25182; -- FIXED => 1 . 5182 (1 . 20866) FLOAT +1.159195
2b1 : 251f1; -- FIXED => 1 . 51f1 (1 . 20977) FLOAT +1.160042
2b2 : 2525f; -- FIXED => 1 . 525f (1 . 21087) FLOAT +1.160881
2b3 : 252cd; -- FIXED => 1 . 52cd (1 . 21197) FLOAT +1.161720
2b4 : 2533c; -- FIXED => 1 . 533c (1 . 21308) FLOAT +1.162567
2b5 : 253aa; -- FIXED => 1 . 53aa (1 . 21418) FLOAT +1.163406
2b6 : 25418; -- FIXED => 1 . 5418 (1 . 21528) FLOAT +1.164246
2b7 : 25485; -- FIXED => 1 . 5485 (1 . 21637) FLOAT +1.165077
2b8 : 254f3; -- FIXED => 1 . 54f3 (1 . 21747) FLOAT +1.165916
2b9 : 25561; -- FIXED => 1 . 5561 (1 . 21857) FLOAT +1.166756
2ba : 255cf; -- FIXED => 1 . 55cf (1 . 21967) FLOAT +1.167595
2bb : 2563c; -- FIXED => 1 . 563c (1 . 22076) FLOAT +1.168427
2bc : 256aa; -- FIXED => 1 . 56aa (1 . 22186) FLOAT +1.169266
2bd : 25717; -- FIXED => 1 . 5717 (1 . 22295) FLOAT +1.170097
2be : 25785; -- FIXED => 1 . 5785 (1 . 22405) FLOAT +1.170937
2bf : 257f2; -- FIXED => 1 . 57f2 (1 . 22514) FLOAT +1.171768
2c0 : 2585f; -- FIXED => 1 . 585f (1 . 22623) FLOAT +1.172600
2c1 : 258cc; -- FIXED => 1 . 58cc (1 . 22732) FLOAT +1.173431
2c2 : 25939; -- FIXED => 1 . 5939 (1 . 22841) FLOAT +1.174263
2c3 : 259a6; -- FIXED => 1 . 59a6 (1 . 22950) FLOAT +1.175095
2c4 : 25a13; -- FIXED => 1 . 5a13 (1 . 23059) FLOAT +1.175926
2c5 : 25a80; -- FIXED => 1 . 5a80 (1 . 23168) FLOAT +1.176758
2c6 : 25aed; -- FIXED => 1 . 5aed (1 . 23277) FLOAT +1.177589
2c7 : 25b59; -- FIXED => 1 . 5b59 (1 . 23385) FLOAT +1.178413
2c8 : 25bc6; -- FIXED => 1 . 5bc6 (1 . 23494) FLOAT +1.179245
2c9 : 25c32; -- FIXED => 1 . 5c32 (1 . 23602) FLOAT +1.180069
2ca : 25c9f; -- FIXED => 1 . 5c9f (1 . 23711) FLOAT +1.180901
2cb : 25d0b; -- FIXED => 1 . 5d0b (1 . 23819) FLOAT +1.181725
2cc : 25d77; -- FIXED => 1 . 5d77 (1 . 23927) FLOAT +1.182549
2cd : 25de4; -- FIXED => 1 . 5de4 (1 . 24036) FLOAT +1.183380
2ce : 25e50; -- FIXED => 1 . 5e50 (1 . 24144) FLOAT +1.184204
2cf : 25ebc; -- FIXED => 1 . 5ebc (1 . 24252) FLOAT +1.185028
2d0 : 25f28; -- FIXED => 1 . 5f28 (1 . 24360) FLOAT +1.185852
2d1 : 25f94; -- FIXED => 1 . 5f94 (1 . 24468) FLOAT +1.186676
2d2 : 26000; -- FIXED => 1 . 6000 (1 . 24576) FLOAT +1.187500
2d3 : 2606b; -- FIXED => 1 . 606b (1 . 24683) FLOAT +1.188316
2d4 : 260d7; -- FIXED => 1 . 60d7 (1 . 24791) FLOAT +1.189140
2d5 : 26143; -- FIXED => 1 . 6143 (1 . 24899) FLOAT +1.189964
2d6 : 261ae; -- FIXED => 1 . 61ae (1 . 25006) FLOAT +1.190781
2d7 : 2621a; -- FIXED => 1 . 621a (1 . 25114) FLOAT +1.191605
2d8 : 26285; -- FIXED => 1 . 6285 (1 . 25221) FLOAT +1.192421
2d9 : 262f0; -- FIXED => 1 . 62f0 (1 . 25328) FLOAT +1.193237
2da : 2635b; -- FIXED => 1 . 635b (1 . 25435) FLOAT +1.194054
2db : 263c7; -- FIXED => 1 . 63c7 (1 . 25543) FLOAT +1.194878
2dc : 26432; -- FIXED => 1 . 6432 (1 . 25650) FLOAT +1.195694
2dd : 2649d; -- FIXED => 1 . 649d (1 . 25757) FLOAT +1.196510
2de : 26508; -- FIXED => 1 . 6508 (1 . 25864) FLOAT +1.197327
2df : 26573; -- FIXED => 1 . 6573 (1 . 25971) FLOAT +1.198143
2e0 : 265dd; -- FIXED => 1 . 65dd (1 . 26077) FLOAT +1.198952
2e1 : 26648; -- FIXED => 1 . 6648 (1 . 26184) FLOAT +1.199768
2e2 : 266b3; -- FIXED => 1 . 66b3 (1 . 26291) FLOAT +1.200584
2e3 : 2671d; -- FIXED => 1 . 671d (1 . 26397) FLOAT +1.201393
2e4 : 26788; -- FIXED => 1 . 6788 (1 . 26504) FLOAT +1.202209
2e5 : 267f2; -- FIXED => 1 . 67f2 (1 . 26610) FLOAT +1.203018
2e6 : 2685d; -- FIXED => 1 . 685d (1 . 26717) FLOAT +1.203835
2e7 : 268c7; -- FIXED => 1 . 68c7 (1 . 26823) FLOAT +1.204643
2e8 : 26931; -- FIXED => 1 . 6931 (1 . 26929) FLOAT +1.205452
2e9 : 2699b; -- FIXED => 1 . 699b (1 . 27035) FLOAT +1.206261
2ea : 26a05; -- FIXED => 1 . 6a05 (1 . 27141) FLOAT +1.207069
2eb : 26a6f; -- FIXED => 1 . 6a6f (1 . 27247) FLOAT +1.207878
2ec : 26ad9; -- FIXED => 1 . 6ad9 (1 . 27353) FLOAT +1.208687
2ed : 26b43; -- FIXED => 1 . 6b43 (1 . 27459) FLOAT +1.209496
2ee : 26bad; -- FIXED => 1 . 6bad (1 . 27565) FLOAT +1.210304
2ef : 26c17; -- FIXED => 1 . 6c17 (1 . 27671) FLOAT +1.211113
2f0 : 26c80; -- FIXED => 1 . 6c80 (1 . 27776) FLOAT +1.211914
2f1 : 26cea; -- FIXED => 1 . 6cea (1 . 27882) FLOAT +1.212723
2f2 : 26d53; -- FIXED => 1 . 6d53 (1 . 27987) FLOAT +1.213524
2f3 : 26dbd; -- FIXED => 1 . 6dbd (1 . 28093) FLOAT +1.214333
2f4 : 26e26; -- FIXED => 1 . 6e26 (1 . 28198) FLOAT +1.215134
2f5 : 26e8f; -- FIXED => 1 . 6e8f (1 . 28303) FLOAT +1.215935
2f6 : 26ef9; -- FIXED => 1 . 6ef9 (1 . 28409) FLOAT +1.216743
2f7 : 26f62; -- FIXED => 1 . 6f62 (1 . 28514) FLOAT +1.217545
2f8 : 26fcb; -- FIXED => 1 . 6fcb (1 . 28619) FLOAT +1.218346
2f9 : 27034; -- FIXED => 1 . 7034 (1 . 28724) FLOAT +1.219147
2fa : 2709d; -- FIXED => 1 . 709d (1 . 28829) FLOAT +1.219948
2fb : 27106; -- FIXED => 1 . 7106 (1 . 28934) FLOAT +1.220749
2fc : 2716f; -- FIXED => 1 . 716f (1 . 29039) FLOAT +1.221550
2fd : 271d7; -- FIXED => 1 . 71d7 (1 . 29143) FLOAT +1.222343
2fe : 27240; -- FIXED => 1 . 7240 (1 . 29248) FLOAT +1.223145
2ff : 272a9; -- FIXED => 1 . 72a9 (1 . 29353) FLOAT +1.223946
300 : 27311; -- FIXED => 1 . 7311 (1 . 29457) FLOAT +1.224739
301 : 2737a; -- FIXED => 1 . 737a (1 . 29562) FLOAT +1.225540
302 : 273e2; -- FIXED => 1 . 73e2 (1 . 29666) FLOAT +1.226334
303 : 2744a; -- FIXED => 1 . 744a (1 . 29770) FLOAT +1.227127
304 : 274b3; -- FIXED => 1 . 74b3 (1 . 29875) FLOAT +1.227928
305 : 2751b; -- FIXED => 1 . 751b (1 . 29979) FLOAT +1.228722
306 : 27583; -- FIXED => 1 . 7583 (1 . 30083) FLOAT +1.229515
307 : 275eb; -- FIXED => 1 . 75eb (1 . 30187) FLOAT +1.230309
308 : 27653; -- FIXED => 1 . 7653 (1 . 30291) FLOAT +1.231102
309 : 276bb; -- FIXED => 1 . 76bb (1 . 30395) FLOAT +1.231895
30a : 27723; -- FIXED => 1 . 7723 (1 . 30499) FLOAT +1.232689
30b : 2778b; -- FIXED => 1 . 778b (1 . 30603) FLOAT +1.233482
30c : 277f3; -- FIXED => 1 . 77f3 (1 . 30707) FLOAT +1.234276
30d : 2785a; -- FIXED => 1 . 785a (1 . 30810) FLOAT +1.235062
30e : 278c2; -- FIXED => 1 . 78c2 (1 . 30914) FLOAT +1.235855
30f : 27929; -- FIXED => 1 . 7929 (1 . 31017) FLOAT +1.236641
310 : 27991; -- FIXED => 1 . 7991 (1 . 31121) FLOAT +1.237434
311 : 279f8; -- FIXED => 1 . 79f8 (1 . 31224) FLOAT +1.238220
312 : 27a60; -- FIXED => 1 . 7a60 (1 . 31328) FLOAT +1.239014
313 : 27ac7; -- FIXED => 1 . 7ac7 (1 . 31431) FLOAT +1.239799
314 : 27b2e; -- FIXED => 1 . 7b2e (1 . 31534) FLOAT +1.240585
315 : 27b95; -- FIXED => 1 . 7b95 (1 . 31637) FLOAT +1.241371
316 : 27bfc; -- FIXED => 1 . 7bfc (1 . 31740) FLOAT +1.242157
317 : 27c63; -- FIXED => 1 . 7c63 (1 . 31843) FLOAT +1.242943
318 : 27cca; -- FIXED => 1 . 7cca (1 . 31946) FLOAT +1.243729
319 : 27d31; -- FIXED => 1 . 7d31 (1 . 32049) FLOAT +1.244514
31a : 27d98; -- FIXED => 1 . 7d98 (1 . 32152) FLOAT +1.245300
31b : 27dff; -- FIXED => 1 . 7dff (1 . 32255) FLOAT +1.246086
31c : 27e65; -- FIXED => 1 . 7e65 (1 . 32357) FLOAT +1.246864
31d : 27ecc; -- FIXED => 1 . 7ecc (1 . 32460) FLOAT +1.247650
31e : 27f33; -- FIXED => 1 . 7f33 (1 . 32563) FLOAT +1.248436
31f : 27f99; -- FIXED => 1 . 7f99 (1 . 32665) FLOAT +1.249214
320 : 28000; -- FIXED => 1 . 8000 (1 . 32768) FLOAT +1.250000
321 : 28066; -- FIXED => 1 . 8066 (1 . 32870) FLOAT +1.250778
322 : 280cc; -- FIXED => 1 . 80cc (1 . 32972) FLOAT +1.251556
323 : 28132; -- FIXED => 1 . 8132 (1 . 33074) FLOAT +1.252335
324 : 28199; -- FIXED => 1 . 8199 (1 . 33177) FLOAT +1.253120
325 : 281ff; -- FIXED => 1 . 81ff (1 . 33279) FLOAT +1.253899
326 : 28265; -- FIXED => 1 . 8265 (1 . 33381) FLOAT +1.254677
327 : 282cb; -- FIXED => 1 . 82cb (1 . 33483) FLOAT +1.255455
328 : 28331; -- FIXED => 1 . 8331 (1 . 33585) FLOAT +1.256233
329 : 28397; -- FIXED => 1 . 8397 (1 . 33687) FLOAT +1.257011
32a : 283fc; -- FIXED => 1 . 83fc (1 . 33788) FLOAT +1.257782
32b : 28462; -- FIXED => 1 . 8462 (1 . 33890) FLOAT +1.258560
32c : 284c8; -- FIXED => 1 . 84c8 (1 . 33992) FLOAT +1.259338
32d : 2852d; -- FIXED => 1 . 852d (1 . 34093) FLOAT +1.260109
32e : 28593; -- FIXED => 1 . 8593 (1 . 34195) FLOAT +1.260887
32f : 285f8; -- FIXED => 1 . 85f8 (1 . 34296) FLOAT +1.261658
330 : 2865e; -- FIXED => 1 . 865e (1 . 34398) FLOAT +1.262436
331 : 286c3; -- FIXED => 1 . 86c3 (1 . 34499) FLOAT +1.263206
332 : 28728; -- FIXED => 1 . 8728 (1 . 34600) FLOAT +1.263977
333 : 2878e; -- FIXED => 1 . 878e (1 . 34702) FLOAT +1.264755
334 : 287f3; -- FIXED => 1 . 87f3 (1 . 34803) FLOAT +1.265526
335 : 28858; -- FIXED => 1 . 8858 (1 . 34904) FLOAT +1.266296
336 : 288bd; -- FIXED => 1 . 88bd (1 . 35005) FLOAT +1.267067
337 : 28922; -- FIXED => 1 . 8922 (1 . 35106) FLOAT +1.267838
338 : 28987; -- FIXED => 1 . 8987 (1 . 35207) FLOAT +1.268608
339 : 289ec; -- FIXED => 1 . 89ec (1 . 35308) FLOAT +1.269379
33a : 28a51; -- FIXED => 1 . 8a51 (1 . 35409) FLOAT +1.270149
33b : 28ab5; -- FIXED => 1 . 8ab5 (1 . 35509) FLOAT +1.270912
33c : 28b1a; -- FIXED => 1 . 8b1a (1 . 35610) FLOAT +1.271683
33d : 28b7f; -- FIXED => 1 . 8b7f (1 . 35711) FLOAT +1.272453
33e : 28be3; -- FIXED => 1 . 8be3 (1 . 35811) FLOAT +1.273216
33f : 28c48; -- FIXED => 1 . 8c48 (1 . 35912) FLOAT +1.273987
340 : 28cac; -- FIXED => 1 . 8cac (1 . 36012) FLOAT +1.274750
341 : 28d11; -- FIXED => 1 . 8d11 (1 . 36113) FLOAT +1.275520
342 : 28d75; -- FIXED => 1 . 8d75 (1 . 36213) FLOAT +1.276283
343 : 28dd9; -- FIXED => 1 . 8dd9 (1 . 36313) FLOAT +1.277046
344 : 28e3d; -- FIXED => 1 . 8e3d (1 . 36413) FLOAT +1.277809
345 : 28ea1; -- FIXED => 1 . 8ea1 (1 . 36513) FLOAT +1.278572
346 : 28f06; -- FIXED => 1 . 8f06 (1 . 36614) FLOAT +1.279343
347 : 28f6a; -- FIXED => 1 . 8f6a (1 . 36714) FLOAT +1.280106
348 : 28fce; -- FIXED => 1 . 8fce (1 . 36814) FLOAT +1.280869
349 : 29031; -- FIXED => 1 . 9031 (1 . 36913) FLOAT +1.281624
34a : 29095; -- FIXED => 1 . 9095 (1 . 37013) FLOAT +1.282387
34b : 290f9; -- FIXED => 1 . 90f9 (1 . 37113) FLOAT +1.283150
34c : 2915d; -- FIXED => 1 . 915d (1 . 37213) FLOAT +1.283913
34d : 291c0; -- FIXED => 1 . 91c0 (1 . 37312) FLOAT +1.284668
34e : 29224; -- FIXED => 1 . 9224 (1 . 37412) FLOAT +1.285431
34f : 29288; -- FIXED => 1 . 9288 (1 . 37512) FLOAT +1.286194
350 : 292eb; -- FIXED => 1 . 92eb (1 . 37611) FLOAT +1.286949
351 : 2934f; -- FIXED => 1 . 934f (1 . 37711) FLOAT +1.287712
352 : 293b2; -- FIXED => 1 . 93b2 (1 . 37810) FLOAT +1.288467
353 : 29415; -- FIXED => 1 . 9415 (1 . 37909) FLOAT +1.289223
354 : 29478; -- FIXED => 1 . 9478 (1 . 38008) FLOAT +1.289978
355 : 294dc; -- FIXED => 1 . 94dc (1 . 38108) FLOAT +1.290741
356 : 2953f; -- FIXED => 1 . 953f (1 . 38207) FLOAT +1.291496
357 : 295a2; -- FIXED => 1 . 95a2 (1 . 38306) FLOAT +1.292252
358 : 29605; -- FIXED => 1 . 9605 (1 . 38405) FLOAT +1.293007
359 : 29668; -- FIXED => 1 . 9668 (1 . 38504) FLOAT +1.293762
35a : 296cb; -- FIXED => 1 . 96cb (1 . 38603) FLOAT +1.294518
35b : 2972e; -- FIXED => 1 . 972e (1 . 38702) FLOAT +1.295273
35c : 29790; -- FIXED => 1 . 9790 (1 . 38800) FLOAT +1.296021
35d : 297f3; -- FIXED => 1 . 97f3 (1 . 38899) FLOAT +1.296776
35e : 29856; -- FIXED => 1 . 9856 (1 . 38998) FLOAT +1.297531
35f : 298b8; -- FIXED => 1 . 98b8 (1 . 39096) FLOAT +1.298279
360 : 2991b; -- FIXED => 1 . 991b (1 . 39195) FLOAT +1.299034
361 : 2997e; -- FIXED => 1 . 997e (1 . 39294) FLOAT +1.299789
362 : 299e0; -- FIXED => 1 . 99e0 (1 . 39392) FLOAT +1.300537
363 : 29a42; -- FIXED => 1 . 9a42 (1 . 39490) FLOAT +1.301285
364 : 29aa5; -- FIXED => 1 . 9aa5 (1 . 39589) FLOAT +1.302040
365 : 29b07; -- FIXED => 1 . 9b07 (1 . 39687) FLOAT +1.302788
366 : 29b69; -- FIXED => 1 . 9b69 (1 . 39785) FLOAT +1.303535
367 : 29bcb; -- FIXED => 1 . 9bcb (1 . 39883) FLOAT +1.304283
368 : 29c2d; -- FIXED => 1 . 9c2d (1 . 39981) FLOAT +1.305031
369 : 29c90; -- FIXED => 1 . 9c90 (1 . 40080) FLOAT +1.305786
36a : 29cf2; -- FIXED => 1 . 9cf2 (1 . 40178) FLOAT +1.306534
36b : 29d53; -- FIXED => 1 . 9d53 (1 . 40275) FLOAT +1.307274
36c : 29db5; -- FIXED => 1 . 9db5 (1 . 40373) FLOAT +1.308022
36d : 29e17; -- FIXED => 1 . 9e17 (1 . 40471) FLOAT +1.308769
36e : 29e79; -- FIXED => 1 . 9e79 (1 . 40569) FLOAT +1.309517
36f : 29edb; -- FIXED => 1 . 9edb (1 . 40667) FLOAT +1.310265
370 : 29f3c; -- FIXED => 1 . 9f3c (1 . 40764) FLOAT +1.311005
371 : 29f9e; -- FIXED => 1 . 9f9e (1 . 40862) FLOAT +1.311752
372 : 2a000; -- FIXED => 1 . a000 (1 . 40960) FLOAT +1.312500
373 : 2a061; -- FIXED => 1 . a061 (1 . 41057) FLOAT +1.313240
374 : 2a0c2; -- FIXED => 1 . a0c2 (1 . 41154) FLOAT +1.313980
375 : 2a124; -- FIXED => 1 . a124 (1 . 41252) FLOAT +1.314728
376 : 2a185; -- FIXED => 1 . a185 (1 . 41349) FLOAT +1.315468
377 : 2a1e6; -- FIXED => 1 . a1e6 (1 . 41446) FLOAT +1.316208
378 : 2a248; -- FIXED => 1 . a248 (1 . 41544) FLOAT +1.316956
379 : 2a2a9; -- FIXED => 1 . a2a9 (1 . 41641) FLOAT +1.317696
37a : 2a30a; -- FIXED => 1 . a30a (1 . 41738) FLOAT +1.318436
37b : 2a36b; -- FIXED => 1 . a36b (1 . 41835) FLOAT +1.319176
37c : 2a3cc; -- FIXED => 1 . a3cc (1 . 41932) FLOAT +1.319916
37d : 2a42d; -- FIXED => 1 . a42d (1 . 42029) FLOAT +1.320656
37e : 2a48e; -- FIXED => 1 . a48e (1 . 42126) FLOAT +1.321396
37f : 2a4ef; -- FIXED => 1 . a4ef (1 . 42223) FLOAT +1.322136
380 : 2a54f; -- FIXED => 1 . a54f (1 . 42319) FLOAT +1.322868
381 : 2a5b0; -- FIXED => 1 . a5b0 (1 . 42416) FLOAT +1.323608
382 : 2a611; -- FIXED => 1 . a611 (1 . 42513) FLOAT +1.324348
383 : 2a671; -- FIXED => 1 . a671 (1 . 42609) FLOAT +1.325081
384 : 2a6d2; -- FIXED => 1 . a6d2 (1 . 42706) FLOAT +1.325821
385 : 2a733; -- FIXED => 1 . a733 (1 . 42803) FLOAT +1.326561
386 : 2a793; -- FIXED => 1 . a793 (1 . 42899) FLOAT +1.327293
387 : 2a7f3; -- FIXED => 1 . a7f3 (1 . 42995) FLOAT +1.328026
388 : 2a854; -- FIXED => 1 . a854 (1 . 43092) FLOAT +1.328766
389 : 2a8b4; -- FIXED => 1 . a8b4 (1 . 43188) FLOAT +1.329498
38a : 2a914; -- FIXED => 1 . a914 (1 . 43284) FLOAT +1.330231
38b : 2a975; -- FIXED => 1 . a975 (1 . 43381) FLOAT +1.330971
38c : 2a9d5; -- FIXED => 1 . a9d5 (1 . 43477) FLOAT +1.331703
38d : 2aa35; -- FIXED => 1 . aa35 (1 . 43573) FLOAT +1.332436
38e : 2aa95; -- FIXED => 1 . aa95 (1 . 43669) FLOAT +1.333168
38f : 2aaf5; -- FIXED => 1 . aaf5 (1 . 43765) FLOAT +1.333900
390 : 2ab55; -- FIXED => 1 . ab55 (1 . 43861) FLOAT +1.334633
391 : 2abb5; -- FIXED => 1 . abb5 (1 . 43957) FLOAT +1.335365
392 : 2ac14; -- FIXED => 1 . ac14 (1 . 44052) FLOAT +1.336090
393 : 2ac74; -- FIXED => 1 . ac74 (1 . 44148) FLOAT +1.336823
394 : 2acd4; -- FIXED => 1 . acd4 (1 . 44244) FLOAT +1.337555
395 : 2ad34; -- FIXED => 1 . ad34 (1 . 44340) FLOAT +1.338287
396 : 2ad93; -- FIXED => 1 . ad93 (1 . 44435) FLOAT +1.339012
397 : 2adf3; -- FIXED => 1 . adf3 (1 . 44531) FLOAT +1.339745
398 : 2ae52; -- FIXED => 1 . ae52 (1 . 44626) FLOAT +1.340469
399 : 2aeb2; -- FIXED => 1 . aeb2 (1 . 44722) FLOAT +1.341202
39a : 2af11; -- FIXED => 1 . af11 (1 . 44817) FLOAT +1.341927
39b : 2af71; -- FIXED => 1 . af71 (1 . 44913) FLOAT +1.342659
39c : 2afd0; -- FIXED => 1 . afd0 (1 . 45008) FLOAT +1.343384
39d : 2b02f; -- FIXED => 1 . b02f (1 . 45103) FLOAT +1.344109
39e : 2b08e; -- FIXED => 1 . b08e (1 . 45198) FLOAT +1.344833
39f : 2b0ed; -- FIXED => 1 . b0ed (1 . 45293) FLOAT +1.345558
3a0 : 2b14d; -- FIXED => 1 . b14d (1 . 45389) FLOAT +1.346291
3a1 : 2b1ac; -- FIXED => 1 . b1ac (1 . 45484) FLOAT +1.347015
3a2 : 2b20b; -- FIXED => 1 . b20b (1 . 45579) FLOAT +1.347740
3a3 : 2b26a; -- FIXED => 1 . b26a (1 . 45674) FLOAT +1.348465
3a4 : 2b2c8; -- FIXED => 1 . b2c8 (1 . 45768) FLOAT +1.349182
3a5 : 2b327; -- FIXED => 1 . b327 (1 . 45863) FLOAT +1.349907
3a6 : 2b386; -- FIXED => 1 . b386 (1 . 45958) FLOAT +1.350632
3a7 : 2b3e5; -- FIXED => 1 . b3e5 (1 . 46053) FLOAT +1.351357
3a8 : 2b444; -- FIXED => 1 . b444 (1 . 46148) FLOAT +1.352081
3a9 : 2b4a2; -- FIXED => 1 . b4a2 (1 . 46242) FLOAT +1.352798
3aa : 2b501; -- FIXED => 1 . b501 (1 . 46337) FLOAT +1.353523
3ab : 2b55f; -- FIXED => 1 . b55f (1 . 46431) FLOAT +1.354240
3ac : 2b5be; -- FIXED => 1 . b5be (1 . 46526) FLOAT +1.354965
3ad : 2b61c; -- FIXED => 1 . b61c (1 . 46620) FLOAT +1.355682
3ae : 2b67b; -- FIXED => 1 . b67b (1 . 46715) FLOAT +1.356407
3af : 2b6d9; -- FIXED => 1 . b6d9 (1 . 46809) FLOAT +1.357124
3b0 : 2b737; -- FIXED => 1 . b737 (1 . 46903) FLOAT +1.357841
3b1 : 2b796; -- FIXED => 1 . b796 (1 . 46998) FLOAT +1.358566
3b2 : 2b7f4; -- FIXED => 1 . b7f4 (1 . 47092) FLOAT +1.359283
3b3 : 2b852; -- FIXED => 1 . b852 (1 . 47186) FLOAT +1.360001
3b4 : 2b8b0; -- FIXED => 1 . b8b0 (1 . 47280) FLOAT +1.360718
3b5 : 2b90e; -- FIXED => 1 . b90e (1 . 47374) FLOAT +1.361435
3b6 : 2b96c; -- FIXED => 1 . b96c (1 . 47468) FLOAT +1.362152
3b7 : 2b9ca; -- FIXED => 1 . b9ca (1 . 47562) FLOAT +1.362869
3b8 : 2ba28; -- FIXED => 1 . ba28 (1 . 47656) FLOAT +1.363586
3b9 : 2ba86; -- FIXED => 1 . ba86 (1 . 47750) FLOAT +1.364304
3ba : 2bae3; -- FIXED => 1 . bae3 (1 . 47843) FLOAT +1.365013
3bb : 2bb41; -- FIXED => 1 . bb41 (1 . 47937) FLOAT +1.365730
3bc : 2bb9f; -- FIXED => 1 . bb9f (1 . 48031) FLOAT +1.366447
3bd : 2bbfd; -- FIXED => 1 . bbfd (1 . 48125) FLOAT +1.367165
3be : 2bc5a; -- FIXED => 1 . bc5a (1 . 48218) FLOAT +1.367874
3bf : 2bcb8; -- FIXED => 1 . bcb8 (1 . 48312) FLOAT +1.368591
3c0 : 2bd15; -- FIXED => 1 . bd15 (1 . 48405) FLOAT +1.369301
3c1 : 2bd73; -- FIXED => 1 . bd73 (1 . 48499) FLOAT +1.370018
3c2 : 2bdd0; -- FIXED => 1 . bdd0 (1 . 48592) FLOAT +1.370728
3c3 : 2be2d; -- FIXED => 1 . be2d (1 . 48685) FLOAT +1.371437
3c4 : 2be8b; -- FIXED => 1 . be8b (1 . 48779) FLOAT +1.372154
3c5 : 2bee8; -- FIXED => 1 . bee8 (1 . 48872) FLOAT +1.372864
3c6 : 2bf45; -- FIXED => 1 . bf45 (1 . 48965) FLOAT +1.373573
3c7 : 2bfa2; -- FIXED => 1 . bfa2 (1 . 49058) FLOAT +1.374283
3c8 : 2c000; -- FIXED => 1 . c000 (1 . 49152) FLOAT +1.375000
3c9 : 2c05d; -- FIXED => 1 . c05d (1 . 49245) FLOAT +1.375710
3ca : 2c0ba; -- FIXED => 1 . c0ba (1 . 49338) FLOAT +1.376419
3cb : 2c117; -- FIXED => 1 . c117 (1 . 49431) FLOAT +1.377129
3cc : 2c173; -- FIXED => 1 . c173 (1 . 49523) FLOAT +1.377831
3cd : 2c1d0; -- FIXED => 1 . c1d0 (1 . 49616) FLOAT +1.378540
3ce : 2c22d; -- FIXED => 1 . c22d (1 . 49709) FLOAT +1.379250
3cf : 2c28a; -- FIXED => 1 . c28a (1 . 49802) FLOAT +1.379959
3d0 : 2c2e7; -- FIXED => 1 . c2e7 (1 . 49895) FLOAT +1.380669
3d1 : 2c343; -- FIXED => 1 . c343 (1 . 49987) FLOAT +1.381371
3d2 : 2c3a0; -- FIXED => 1 . c3a0 (1 . 50080) FLOAT +1.382080
3d3 : 2c3fd; -- FIXED => 1 . c3fd (1 . 50173) FLOAT +1.382790
3d4 : 2c459; -- FIXED => 1 . c459 (1 . 50265) FLOAT +1.383492
3d5 : 2c4b6; -- FIXED => 1 . c4b6 (1 . 50358) FLOAT +1.384201
3d6 : 2c512; -- FIXED => 1 . c512 (1 . 50450) FLOAT +1.384903
3d7 : 2c56f; -- FIXED => 1 . c56f (1 . 50543) FLOAT +1.385612
3d8 : 2c5cb; -- FIXED => 1 . c5cb (1 . 50635) FLOAT +1.386314
3d9 : 2c627; -- FIXED => 1 . c627 (1 . 50727) FLOAT +1.387016
3da : 2c683; -- FIXED => 1 . c683 (1 . 50819) FLOAT +1.387718
3db : 2c6e0; -- FIXED => 1 . c6e0 (1 . 50912) FLOAT +1.388428
3dc : 2c73c; -- FIXED => 1 . c73c (1 . 51004) FLOAT +1.389130
3dd : 2c798; -- FIXED => 1 . c798 (1 . 51096) FLOAT +1.389832
3de : 2c7f4; -- FIXED => 1 . c7f4 (1 . 51188) FLOAT +1.390533
3df : 2c850; -- FIXED => 1 . c850 (1 . 51280) FLOAT +1.391235
3e0 : 2c8ac; -- FIXED => 1 . c8ac (1 . 51372) FLOAT +1.391937
3e1 : 2c908; -- FIXED => 1 . c908 (1 . 51464) FLOAT +1.392639
3e2 : 2c964; -- FIXED => 1 . c964 (1 . 51556) FLOAT +1.393341
3e3 : 2c9c0; -- FIXED => 1 . c9c0 (1 . 51648) FLOAT +1.394043
3e4 : 2ca1b; -- FIXED => 1 . ca1b (1 . 51739) FLOAT +1.394737
3e5 : 2ca77; -- FIXED => 1 . ca77 (1 . 51831) FLOAT +1.395439
3e6 : 2cad3; -- FIXED => 1 . cad3 (1 . 51923) FLOAT +1.396141
3e7 : 2cb2f; -- FIXED => 1 . cb2f (1 . 52015) FLOAT +1.396843
3e8 : 2cb8a; -- FIXED => 1 . cb8a (1 . 52106) FLOAT +1.397537
3e9 : 2cbe6; -- FIXED => 1 . cbe6 (1 . 52198) FLOAT +1.398239
3ea : 2cc41; -- FIXED => 1 . cc41 (1 . 52289) FLOAT +1.398933
3eb : 2cc9d; -- FIXED => 1 . cc9d (1 . 52381) FLOAT +1.399635
3ec : 2ccf8; -- FIXED => 1 . ccf8 (1 . 52472) FLOAT +1.400330
3ed : 2cd54; -- FIXED => 1 . cd54 (1 . 52564) FLOAT +1.401031
3ee : 2cdaf; -- FIXED => 1 . cdaf (1 . 52655) FLOAT +1.401726
3ef : 2ce0a; -- FIXED => 1 . ce0a (1 . 52746) FLOAT +1.402420
3f0 : 2ce65; -- FIXED => 1 . ce65 (1 . 52837) FLOAT +1.403114
3f1 : 2cec1; -- FIXED => 1 . cec1 (1 . 52929) FLOAT +1.403816
3f2 : 2cf1c; -- FIXED => 1 . cf1c (1 . 53020) FLOAT +1.404510
3f3 : 2cf77; -- FIXED => 1 . cf77 (1 . 53111) FLOAT +1.405205
3f4 : 2cfd2; -- FIXED => 1 . cfd2 (1 . 53202) FLOAT +1.405899
3f5 : 2d02d; -- FIXED => 1 . d02d (1 . 53293) FLOAT +1.406593
3f6 : 2d088; -- FIXED => 1 . d088 (1 . 53384) FLOAT +1.407288
3f7 : 2d0e3; -- FIXED => 1 . d0e3 (1 . 53475) FLOAT +1.407982
3f8 : 2d13e; -- FIXED => 1 . d13e (1 . 53566) FLOAT +1.408676
3f9 : 2d199; -- FIXED => 1 . d199 (1 . 53657) FLOAT +1.409370
3fa : 2d1f3; -- FIXED => 1 . d1f3 (1 . 53747) FLOAT +1.410057
3fb : 2d24e; -- FIXED => 1 . d24e (1 . 53838) FLOAT +1.410751
3fc : 2d2a9; -- FIXED => 1 . d2a9 (1 . 53929) FLOAT +1.411446
3fd : 2d304; -- FIXED => 1 . d304 (1 . 54020) FLOAT +1.412140
3fe : 2d35e; -- FIXED => 1 . d35e (1 . 54110) FLOAT +1.412827
3ff : 2d3b9; -- FIXED => 1 . d3b9 (1 . 54201) FLOAT +1.413521
END;
/branches/fp_sgdma/arith/meminvr.mif
0,0 → 1,1035
--RAND MAX: 0x7fffffff
--UNIX BASED VERSION
DEPTH = 1024;
WIDTH = 18;
ADDRESS_RADIX=HEX;
DATA_RADIX=HEX;
CONTENT
BEGIN
 
-- epsilon: 0.000977
000 : 20000; -- FIXED => 1 . 0 (1 . 0) FLOAT +1.000000
001 : 1ff80; -- FIXED => 0 . 1ff80 (0 . 130944) FLOAT +0.999023
002 : 1ff00; -- FIXED => 0 . 1ff00 (0 . 130816) FLOAT +0.998047
003 : 1fe81; -- FIXED => 0 . 1fe81 (0 . 130689) FLOAT +0.997078
004 : 1fe01; -- FIXED => 0 . 1fe01 (0 . 130561) FLOAT +0.996101
005 : 1fd83; -- FIXED => 0 . 1fd83 (0 . 130435) FLOAT +0.995140
006 : 1fd04; -- FIXED => 0 . 1fd04 (0 . 130308) FLOAT +0.994171
007 : 1fc86; -- FIXED => 0 . 1fc86 (0 . 130182) FLOAT +0.993210
008 : 1fc07; -- FIXED => 0 . 1fc07 (0 . 130055) FLOAT +0.992241
009 : 1fb8a; -- FIXED => 0 . 1fb8a (0 . 129930) FLOAT +0.991287
00a : 1fb0c; -- FIXED => 0 . 1fb0c (0 . 129804) FLOAT +0.990326
00b : 1fa8e; -- FIXED => 0 . 1fa8e (0 . 129678) FLOAT +0.989365
00c : 1fa11; -- FIXED => 0 . 1fa11 (0 . 129553) FLOAT +0.988411
00d : 1f994; -- FIXED => 0 . 1f994 (0 . 129428) FLOAT +0.987457
00e : 1f918; -- FIXED => 0 . 1f918 (0 . 129304) FLOAT +0.986511
00f : 1f89b; -- FIXED => 0 . 1f89b (0 . 129179) FLOAT +0.985558
010 : 1f81f; -- FIXED => 0 . 1f81f (0 . 129055) FLOAT +0.984612
011 : 1f7a3; -- FIXED => 0 . 1f7a3 (0 . 128931) FLOAT +0.983665
012 : 1f727; -- FIXED => 0 . 1f727 (0 . 128807) FLOAT +0.982719
013 : 1f6ac; -- FIXED => 0 . 1f6ac (0 . 128684) FLOAT +0.981781
014 : 1f631; -- FIXED => 0 . 1f631 (0 . 128561) FLOAT +0.980843
015 : 1f5b6; -- FIXED => 0 . 1f5b6 (0 . 128438) FLOAT +0.979904
016 : 1f53b; -- FIXED => 0 . 1f53b (0 . 128315) FLOAT +0.978966
017 : 1f4c0; -- FIXED => 0 . 1f4c0 (0 . 128192) FLOAT +0.978027
018 : 1f446; -- FIXED => 0 . 1f446 (0 . 128070) FLOAT +0.977097
019 : 1f3cc; -- FIXED => 0 . 1f3cc (0 . 127948) FLOAT +0.976166
01a : 1f352; -- FIXED => 0 . 1f352 (0 . 127826) FLOAT +0.975235
01b : 1f2d8; -- FIXED => 0 . 1f2d8 (0 . 127704) FLOAT +0.974304
01c : 1f25f; -- FIXED => 0 . 1f25f (0 . 127583) FLOAT +0.973381
01d : 1f1e6; -- FIXED => 0 . 1f1e6 (0 . 127462) FLOAT +0.972458
01e : 1f16d; -- FIXED => 0 . 1f16d (0 . 127341) FLOAT +0.971535
01f : 1f0f4; -- FIXED => 0 . 1f0f4 (0 . 127220) FLOAT +0.970612
020 : 1f07c; -- FIXED => 0 . 1f07c (0 . 127100) FLOAT +0.969696
021 : 1f003; -- FIXED => 0 . 1f003 (0 . 126979) FLOAT +0.968773
022 : 1ef8b; -- FIXED => 0 . 1ef8b (0 . 126859) FLOAT +0.967857
023 : 1ef14; -- FIXED => 0 . 1ef14 (0 . 126740) FLOAT +0.966949
024 : 1ee9c; -- FIXED => 0 . 1ee9c (0 . 126620) FLOAT +0.966034
025 : 1ee25; -- FIXED => 0 . 1ee25 (0 . 126501) FLOAT +0.965126
026 : 1edae; -- FIXED => 0 . 1edae (0 . 126382) FLOAT +0.964218
027 : 1ed37; -- FIXED => 0 . 1ed37 (0 . 126263) FLOAT +0.963310
028 : 1ecc0; -- FIXED => 0 . 1ecc0 (0 . 126144) FLOAT +0.962402
029 : 1ec4a; -- FIXED => 0 . 1ec4a (0 . 126026) FLOAT +0.961502
02a : 1ebd3; -- FIXED => 0 . 1ebd3 (0 . 125907) FLOAT +0.960594
02b : 1eb5d; -- FIXED => 0 . 1eb5d (0 . 125789) FLOAT +0.959694
02c : 1eae8; -- FIXED => 0 . 1eae8 (0 . 125672) FLOAT +0.958801
02d : 1ea72; -- FIXED => 0 . 1ea72 (0 . 125554) FLOAT +0.957901
02e : 1e9fd; -- FIXED => 0 . 1e9fd (0 . 125437) FLOAT +0.957008
02f : 1e988; -- FIXED => 0 . 1e988 (0 . 125320) FLOAT +0.956116
030 : 1e913; -- FIXED => 0 . 1e913 (0 . 125203) FLOAT +0.955223
031 : 1e89e; -- FIXED => 0 . 1e89e (0 . 125086) FLOAT +0.954330
032 : 1e829; -- FIXED => 0 . 1e829 (0 . 124969) FLOAT +0.953438
033 : 1e7b5; -- FIXED => 0 . 1e7b5 (0 . 124853) FLOAT +0.952553
034 : 1e741; -- FIXED => 0 . 1e741 (0 . 124737) FLOAT +0.951668
035 : 1e6cd; -- FIXED => 0 . 1e6cd (0 . 124621) FLOAT +0.950783
036 : 1e65a; -- FIXED => 0 . 1e65a (0 . 124506) FLOAT +0.949905
037 : 1e5e6; -- FIXED => 0 . 1e5e6 (0 . 124390) FLOAT +0.949020
038 : 1e573; -- FIXED => 0 . 1e573 (0 . 124275) FLOAT +0.948143
039 : 1e500; -- FIXED => 0 . 1e500 (0 . 124160) FLOAT +0.947266
03a : 1e48d; -- FIXED => 0 . 1e48d (0 . 124045) FLOAT +0.946388
03b : 1e41b; -- FIXED => 0 . 1e41b (0 . 123931) FLOAT +0.945518
03c : 1e3a9; -- FIXED => 0 . 1e3a9 (0 . 123817) FLOAT +0.944649
03d : 1e336; -- FIXED => 0 . 1e336 (0 . 123702) FLOAT +0.943771
03e : 1e2c5; -- FIXED => 0 . 1e2c5 (0 . 123589) FLOAT +0.942909
03f : 1e253; -- FIXED => 0 . 1e253 (0 . 123475) FLOAT +0.942039
040 : 1e1e1; -- FIXED => 0 . 1e1e1 (0 . 123361) FLOAT +0.941170
041 : 1e170; -- FIXED => 0 . 1e170 (0 . 123248) FLOAT +0.940308
042 : 1e0ff; -- FIXED => 0 . 1e0ff (0 . 123135) FLOAT +0.939445
043 : 1e08e; -- FIXED => 0 . 1e08e (0 . 123022) FLOAT +0.938583
044 : 1e01e; -- FIXED => 0 . 1e01e (0 . 122910) FLOAT +0.937729
045 : 1dfad; -- FIXED => 0 . 1dfad (0 . 122797) FLOAT +0.936867
046 : 1df3d; -- FIXED => 0 . 1df3d (0 . 122685) FLOAT +0.936012
047 : 1decd; -- FIXED => 0 . 1decd (0 . 122573) FLOAT +0.935158
048 : 1de5d; -- FIXED => 0 . 1de5d (0 . 122461) FLOAT +0.934303
049 : 1dded; -- FIXED => 0 . 1dded (0 . 122349) FLOAT +0.933449
04a : 1dd7e; -- FIXED => 0 . 1dd7e (0 . 122238) FLOAT +0.932602
04b : 1dd0f; -- FIXED => 0 . 1dd0f (0 . 122127) FLOAT +0.931755
04c : 1dca0; -- FIXED => 0 . 1dca0 (0 . 122016) FLOAT +0.930908
04d : 1dc31; -- FIXED => 0 . 1dc31 (0 . 121905) FLOAT +0.930061
04e : 1dbc2; -- FIXED => 0 . 1dbc2 (0 . 121794) FLOAT +0.929214
04f : 1db54; -- FIXED => 0 . 1db54 (0 . 121684) FLOAT +0.928375
050 : 1dae6; -- FIXED => 0 . 1dae6 (0 . 121574) FLOAT +0.927536
051 : 1da78; -- FIXED => 0 . 1da78 (0 . 121464) FLOAT +0.926697
052 : 1da0a; -- FIXED => 0 . 1da0a (0 . 121354) FLOAT +0.925858
053 : 1d99c; -- FIXED => 0 . 1d99c (0 . 121244) FLOAT +0.925018
054 : 1d92f; -- FIXED => 0 . 1d92f (0 . 121135) FLOAT +0.924187
055 : 1d8c1; -- FIXED => 0 . 1d8c1 (0 . 121025) FLOAT +0.923347
056 : 1d854; -- FIXED => 0 . 1d854 (0 . 120916) FLOAT +0.922516
057 : 1d7e8; -- FIXED => 0 . 1d7e8 (0 . 120808) FLOAT +0.921692
058 : 1d77b; -- FIXED => 0 . 1d77b (0 . 120699) FLOAT +0.920860
059 : 1d70e; -- FIXED => 0 . 1d70e (0 . 120590) FLOAT +0.920029
05a : 1d6a2; -- FIXED => 0 . 1d6a2 (0 . 120482) FLOAT +0.919205
05b : 1d636; -- FIXED => 0 . 1d636 (0 . 120374) FLOAT +0.918381
05c : 1d5ca; -- FIXED => 0 . 1d5ca (0 . 120266) FLOAT +0.917557
05d : 1d55f; -- FIXED => 0 . 1d55f (0 . 120159) FLOAT +0.916740
05e : 1d4f3; -- FIXED => 0 . 1d4f3 (0 . 120051) FLOAT +0.915916
05f : 1d488; -- FIXED => 0 . 1d488 (0 . 119944) FLOAT +0.915100
060 : 1d41d; -- FIXED => 0 . 1d41d (0 . 119837) FLOAT +0.914284
061 : 1d3b2; -- FIXED => 0 . 1d3b2 (0 . 119730) FLOAT +0.913467
062 : 1d347; -- FIXED => 0 . 1d347 (0 . 119623) FLOAT +0.912651
063 : 1d2dd; -- FIXED => 0 . 1d2dd (0 . 119517) FLOAT +0.911842
064 : 1d272; -- FIXED => 0 . 1d272 (0 . 119410) FLOAT +0.911026
065 : 1d208; -- FIXED => 0 . 1d208 (0 . 119304) FLOAT +0.910217
066 : 1d19e; -- FIXED => 0 . 1d19e (0 . 119198) FLOAT +0.909409
067 : 1d134; -- FIXED => 0 . 1d134 (0 . 119092) FLOAT +0.908600
068 : 1d0cb; -- FIXED => 0 . 1d0cb (0 . 118987) FLOAT +0.907799
069 : 1d061; -- FIXED => 0 . 1d061 (0 . 118881) FLOAT +0.906990
06a : 1cff8; -- FIXED => 0 . 1cff8 (0 . 118776) FLOAT +0.906189
06b : 1cf8f; -- FIXED => 0 . 1cf8f (0 . 118671) FLOAT +0.905388
06c : 1cf26; -- FIXED => 0 . 1cf26 (0 . 118566) FLOAT +0.904587
06d : 1cebe; -- FIXED => 0 . 1cebe (0 . 118462) FLOAT +0.903793
06e : 1ce55; -- FIXED => 0 . 1ce55 (0 . 118357) FLOAT +0.902992
06f : 1cded; -- FIXED => 0 . 1cded (0 . 118253) FLOAT +0.902199
070 : 1cd85; -- FIXED => 0 . 1cd85 (0 . 118149) FLOAT +0.901405
071 : 1cd1d; -- FIXED => 0 . 1cd1d (0 . 118045) FLOAT +0.900612
072 : 1ccb5; -- FIXED => 0 . 1ccb5 (0 . 117941) FLOAT +0.899818
073 : 1cc4e; -- FIXED => 0 . 1cc4e (0 . 117838) FLOAT +0.899033
074 : 1cbe6; -- FIXED => 0 . 1cbe6 (0 . 117734) FLOAT +0.898239
075 : 1cb7f; -- FIXED => 0 . 1cb7f (0 . 117631) FLOAT +0.897453
076 : 1cb18; -- FIXED => 0 . 1cb18 (0 . 117528) FLOAT +0.896667
077 : 1cab1; -- FIXED => 0 . 1cab1 (0 . 117425) FLOAT +0.895882
078 : 1ca4b; -- FIXED => 0 . 1ca4b (0 . 117323) FLOAT +0.895103
079 : 1c9e4; -- FIXED => 0 . 1c9e4 (0 . 117220) FLOAT +0.894318
07a : 1c97e; -- FIXED => 0 . 1c97e (0 . 117118) FLOAT +0.893539
07b : 1c918; -- FIXED => 0 . 1c918 (0 . 117016) FLOAT +0.892761
07c : 1c8b2; -- FIXED => 0 . 1c8b2 (0 . 116914) FLOAT +0.891983
07d : 1c84c; -- FIXED => 0 . 1c84c (0 . 116812) FLOAT +0.891205
07e : 1c7e7; -- FIXED => 0 . 1c7e7 (0 . 116711) FLOAT +0.890434
07f : 1c781; -- FIXED => 0 . 1c781 (0 . 116609) FLOAT +0.889656
080 : 1c71c; -- FIXED => 0 . 1c71c (0 . 116508) FLOAT +0.888885
081 : 1c6b7; -- FIXED => 0 . 1c6b7 (0 . 116407) FLOAT +0.888115
082 : 1c652; -- FIXED => 0 . 1c652 (0 . 116306) FLOAT +0.887344
083 : 1c5ed; -- FIXED => 0 . 1c5ed (0 . 116205) FLOAT +0.886574
084 : 1c589; -- FIXED => 0 . 1c589 (0 . 116105) FLOAT +0.885811
085 : 1c524; -- FIXED => 0 . 1c524 (0 . 116004) FLOAT +0.885040
086 : 1c4c0; -- FIXED => 0 . 1c4c0 (0 . 115904) FLOAT +0.884277
087 : 1c45c; -- FIXED => 0 . 1c45c (0 . 115804) FLOAT +0.883514
088 : 1c3f8; -- FIXED => 0 . 1c3f8 (0 . 115704) FLOAT +0.882751
089 : 1c395; -- FIXED => 0 . 1c395 (0 . 115605) FLOAT +0.881996
08a : 1c331; -- FIXED => 0 . 1c331 (0 . 115505) FLOAT +0.881233
08b : 1c2ce; -- FIXED => 0 . 1c2ce (0 . 115406) FLOAT +0.880478
08c : 1c26b; -- FIXED => 0 . 1c26b (0 . 115307) FLOAT +0.879723
08d : 1c208; -- FIXED => 0 . 1c208 (0 . 115208) FLOAT +0.878967
08e : 1c1a5; -- FIXED => 0 . 1c1a5 (0 . 115109) FLOAT +0.878212
08f : 1c142; -- FIXED => 0 . 1c142 (0 . 115010) FLOAT +0.877457
090 : 1c0e0; -- FIXED => 0 . 1c0e0 (0 . 114912) FLOAT +0.876709
091 : 1c07e; -- FIXED => 0 . 1c07e (0 . 114814) FLOAT +0.875961
092 : 1c01c; -- FIXED => 0 . 1c01c (0 . 114716) FLOAT +0.875214
093 : 1bfba; -- FIXED => 0 . 1bfba (0 . 114618) FLOAT +0.874466
094 : 1bf58; -- FIXED => 0 . 1bf58 (0 . 114520) FLOAT +0.873718
095 : 1bef6; -- FIXED => 0 . 1bef6 (0 . 114422) FLOAT +0.872971
096 : 1be95; -- FIXED => 0 . 1be95 (0 . 114325) FLOAT +0.872231
097 : 1be33; -- FIXED => 0 . 1be33 (0 . 114227) FLOAT +0.871483
098 : 1bdd2; -- FIXED => 0 . 1bdd2 (0 . 114130) FLOAT +0.870743
099 : 1bd71; -- FIXED => 0 . 1bd71 (0 . 114033) FLOAT +0.870003
09a : 1bd10; -- FIXED => 0 . 1bd10 (0 . 113936) FLOAT +0.869263
09b : 1bcb0; -- FIXED => 0 . 1bcb0 (0 . 113840) FLOAT +0.868530
09c : 1bc4f; -- FIXED => 0 . 1bc4f (0 . 113743) FLOAT +0.867790
09d : 1bbef; -- FIXED => 0 . 1bbef (0 . 113647) FLOAT +0.867058
09e : 1bb8f; -- FIXED => 0 . 1bb8f (0 . 113551) FLOAT +0.866325
09f : 1bb2f; -- FIXED => 0 . 1bb2f (0 . 113455) FLOAT +0.865593
0a0 : 1bacf; -- FIXED => 0 . 1bacf (0 . 113359) FLOAT +0.864861
0a1 : 1ba6f; -- FIXED => 0 . 1ba6f (0 . 113263) FLOAT +0.864128
0a2 : 1ba10; -- FIXED => 0 . 1ba10 (0 . 113168) FLOAT +0.863403
0a3 : 1b9b1; -- FIXED => 0 . 1b9b1 (0 . 113073) FLOAT +0.862679
0a4 : 1b951; -- FIXED => 0 . 1b951 (0 . 112977) FLOAT +0.861946
0a5 : 1b8f2; -- FIXED => 0 . 1b8f2 (0 . 112882) FLOAT +0.861221
0a6 : 1b894; -- FIXED => 0 . 1b894 (0 . 112788) FLOAT +0.860504
0a7 : 1b835; -- FIXED => 0 . 1b835 (0 . 112693) FLOAT +0.859779
0a8 : 1b7d6; -- FIXED => 0 . 1b7d6 (0 . 112598) FLOAT +0.859055
0a9 : 1b778; -- FIXED => 0 . 1b778 (0 . 112504) FLOAT +0.858337
0aa : 1b71a; -- FIXED => 0 . 1b71a (0 . 112410) FLOAT +0.857620
0ab : 1b6bc; -- FIXED => 0 . 1b6bc (0 . 112316) FLOAT +0.856903
0ac : 1b65e; -- FIXED => 0 . 1b65e (0 . 112222) FLOAT +0.856186
0ad : 1b600; -- FIXED => 0 . 1b600 (0 . 112128) FLOAT +0.855469
0ae : 1b5a2; -- FIXED => 0 . 1b5a2 (0 . 112034) FLOAT +0.854752
0af : 1b545; -- FIXED => 0 . 1b545 (0 . 111941) FLOAT +0.854042
0b0 : 1b4e8; -- FIXED => 0 . 1b4e8 (0 . 111848) FLOAT +0.853333
0b1 : 1b48a; -- FIXED => 0 . 1b48a (0 . 111754) FLOAT +0.852615
0b2 : 1b42e; -- FIXED => 0 . 1b42e (0 . 111662) FLOAT +0.851913
0b3 : 1b3d1; -- FIXED => 0 . 1b3d1 (0 . 111569) FLOAT +0.851204
0b4 : 1b374; -- FIXED => 0 . 1b374 (0 . 111476) FLOAT +0.850494
0b5 : 1b318; -- FIXED => 0 . 1b318 (0 . 111384) FLOAT +0.849792
0b6 : 1b2bb; -- FIXED => 0 . 1b2bb (0 . 111291) FLOAT +0.849083
0b7 : 1b25f; -- FIXED => 0 . 1b25f (0 . 111199) FLOAT +0.848381
0b8 : 1b203; -- FIXED => 0 . 1b203 (0 . 111107) FLOAT +0.847679
0b9 : 1b1a7; -- FIXED => 0 . 1b1a7 (0 . 111015) FLOAT +0.846977
0ba : 1b14b; -- FIXED => 0 . 1b14b (0 . 110923) FLOAT +0.846275
0bb : 1b0f0; -- FIXED => 0 . 1b0f0 (0 . 110832) FLOAT +0.845581
0bc : 1b094; -- FIXED => 0 . 1b094 (0 . 110740) FLOAT +0.844879
0bd : 1b039; -- FIXED => 0 . 1b039 (0 . 110649) FLOAT +0.844185
0be : 1afde; -- FIXED => 0 . 1afde (0 . 110558) FLOAT +0.843491
0bf : 1af83; -- FIXED => 0 . 1af83 (0 . 110467) FLOAT +0.842796
0c0 : 1af28; -- FIXED => 0 . 1af28 (0 . 110376) FLOAT +0.842102
0c1 : 1aecd; -- FIXED => 0 . 1aecd (0 . 110285) FLOAT +0.841408
0c2 : 1ae73; -- FIXED => 0 . 1ae73 (0 . 110195) FLOAT +0.840721
0c3 : 1ae18; -- FIXED => 0 . 1ae18 (0 . 110104) FLOAT +0.840027
0c4 : 1adbe; -- FIXED => 0 . 1adbe (0 . 110014) FLOAT +0.839340
0c5 : 1ad64; -- FIXED => 0 . 1ad64 (0 . 109924) FLOAT +0.838654
0c6 : 1ad0a; -- FIXED => 0 . 1ad0a (0 . 109834) FLOAT +0.837967
0c7 : 1acb0; -- FIXED => 0 . 1acb0 (0 . 109744) FLOAT +0.837280
0c8 : 1ac57; -- FIXED => 0 . 1ac57 (0 . 109655) FLOAT +0.836601
0c9 : 1abfd; -- FIXED => 0 . 1abfd (0 . 109565) FLOAT +0.835915
0ca : 1aba4; -- FIXED => 0 . 1aba4 (0 . 109476) FLOAT +0.835236
0cb : 1ab4a; -- FIXED => 0 . 1ab4a (0 . 109386) FLOAT +0.834549
0cc : 1aaf1; -- FIXED => 0 . 1aaf1 (0 . 109297) FLOAT +0.833870
0cd : 1aa98; -- FIXED => 0 . 1aa98 (0 . 109208) FLOAT +0.833191
0ce : 1aa40; -- FIXED => 0 . 1aa40 (0 . 109120) FLOAT +0.832520
0cf : 1a9e7; -- FIXED => 0 . 1a9e7 (0 . 109031) FLOAT +0.831841
0d0 : 1a98e; -- FIXED => 0 . 1a98e (0 . 108942) FLOAT +0.831161
0d1 : 1a936; -- FIXED => 0 . 1a936 (0 . 108854) FLOAT +0.830490
0d2 : 1a8de; -- FIXED => 0 . 1a8de (0 . 108766) FLOAT +0.829819
0d3 : 1a886; -- FIXED => 0 . 1a886 (0 . 108678) FLOAT +0.829147
0d4 : 1a82e; -- FIXED => 0 . 1a82e (0 . 108590) FLOAT +0.828476
0d5 : 1a7d6; -- FIXED => 0 . 1a7d6 (0 . 108502) FLOAT +0.827805
0d6 : 1a77e; -- FIXED => 0 . 1a77e (0 . 108414) FLOAT +0.827133
0d7 : 1a727; -- FIXED => 0 . 1a727 (0 . 108327) FLOAT +0.826469
0d8 : 1a6d0; -- FIXED => 0 . 1a6d0 (0 . 108240) FLOAT +0.825806
0d9 : 1a678; -- FIXED => 0 . 1a678 (0 . 108152) FLOAT +0.825134
0da : 1a621; -- FIXED => 0 . 1a621 (0 . 108065) FLOAT +0.824471
0db : 1a5ca; -- FIXED => 0 . 1a5ca (0 . 107978) FLOAT +0.823807
0dc : 1a574; -- FIXED => 0 . 1a574 (0 . 107892) FLOAT +0.823151
0dd : 1a51d; -- FIXED => 0 . 1a51d (0 . 107805) FLOAT +0.822487
0de : 1a4c6; -- FIXED => 0 . 1a4c6 (0 . 107718) FLOAT +0.821823
0df : 1a470; -- FIXED => 0 . 1a470 (0 . 107632) FLOAT +0.821167
0e0 : 1a41a; -- FIXED => 0 . 1a41a (0 . 107546) FLOAT +0.820511
0e1 : 1a3c4; -- FIXED => 0 . 1a3c4 (0 . 107460) FLOAT +0.819855
0e2 : 1a36e; -- FIXED => 0 . 1a36e (0 . 107374) FLOAT +0.819199
0e3 : 1a318; -- FIXED => 0 . 1a318 (0 . 107288) FLOAT +0.818542
0e4 : 1a2c2; -- FIXED => 0 . 1a2c2 (0 . 107202) FLOAT +0.817886
0e5 : 1a26d; -- FIXED => 0 . 1a26d (0 . 107117) FLOAT +0.817238
0e6 : 1a217; -- FIXED => 0 . 1a217 (0 . 107031) FLOAT +0.816582
0e7 : 1a1c2; -- FIXED => 0 . 1a1c2 (0 . 106946) FLOAT +0.815933
0e8 : 1a16d; -- FIXED => 0 . 1a16d (0 . 106861) FLOAT +0.815285
0e9 : 1a118; -- FIXED => 0 . 1a118 (0 . 106776) FLOAT +0.814636
0ea : 1a0c3; -- FIXED => 0 . 1a0c3 (0 . 106691) FLOAT +0.813988
0eb : 1a06e; -- FIXED => 0 . 1a06e (0 . 106606) FLOAT +0.813339
0ec : 1a01a; -- FIXED => 0 . 1a01a (0 . 106522) FLOAT +0.812698
0ed : 19fc5; -- FIXED => 0 . 19fc5 (0 . 106437) FLOAT +0.812050
0ee : 19f71; -- FIXED => 0 . 19f71 (0 . 106353) FLOAT +0.811409
0ef : 19f1c; -- FIXED => 0 . 19f1c (0 . 106268) FLOAT +0.810760
0f0 : 19ec8; -- FIXED => 0 . 19ec8 (0 . 106184) FLOAT +0.810120
0f1 : 19e74; -- FIXED => 0 . 19e74 (0 . 106100) FLOAT +0.809479
0f2 : 19e21; -- FIXED => 0 . 19e21 (0 . 106017) FLOAT +0.808846
0f3 : 19dcd; -- FIXED => 0 . 19dcd (0 . 105933) FLOAT +0.808205
0f4 : 19d79; -- FIXED => 0 . 19d79 (0 . 105849) FLOAT +0.807564
0f5 : 19d26; -- FIXED => 0 . 19d26 (0 . 105766) FLOAT +0.806931
0f6 : 19cd3; -- FIXED => 0 . 19cd3 (0 . 105683) FLOAT +0.806297
0f7 : 19c80; -- FIXED => 0 . 19c80 (0 . 105600) FLOAT +0.805664
0f8 : 19c2d; -- FIXED => 0 . 19c2d (0 . 105517) FLOAT +0.805031
0f9 : 19bda; -- FIXED => 0 . 19bda (0 . 105434) FLOAT +0.804398
0fa : 19b87; -- FIXED => 0 . 19b87 (0 . 105351) FLOAT +0.803764
0fb : 19b34; -- FIXED => 0 . 19b34 (0 . 105268) FLOAT +0.803131
0fc : 19ae2; -- FIXED => 0 . 19ae2 (0 . 105186) FLOAT +0.802505
0fd : 19a8f; -- FIXED => 0 . 19a8f (0 . 105103) FLOAT +0.801872
0fe : 19a3d; -- FIXED => 0 . 19a3d (0 . 105021) FLOAT +0.801247
0ff : 199eb; -- FIXED => 0 . 199eb (0 . 104939) FLOAT +0.800621
100 : 19999; -- FIXED => 0 . 19999 (0 . 104857) FLOAT +0.799995
101 : 19947; -- FIXED => 0 . 19947 (0 . 104775) FLOAT +0.799370
102 : 198f6; -- FIXED => 0 . 198f6 (0 . 104694) FLOAT +0.798752
103 : 198a4; -- FIXED => 0 . 198a4 (0 . 104612) FLOAT +0.798126
104 : 19852; -- FIXED => 0 . 19852 (0 . 104530) FLOAT +0.797501
105 : 19801; -- FIXED => 0 . 19801 (0 . 104449) FLOAT +0.796883
106 : 197b0; -- FIXED => 0 . 197b0 (0 . 104368) FLOAT +0.796265
107 : 1975f; -- FIXED => 0 . 1975f (0 . 104287) FLOAT +0.795647
108 : 1970e; -- FIXED => 0 . 1970e (0 . 104206) FLOAT +0.795029
109 : 196bd; -- FIXED => 0 . 196bd (0 . 104125) FLOAT +0.794411
10a : 1966c; -- FIXED => 0 . 1966c (0 . 104044) FLOAT +0.793793
10b : 1961c; -- FIXED => 0 . 1961c (0 . 103964) FLOAT +0.793182
10c : 195cb; -- FIXED => 0 . 195cb (0 . 103883) FLOAT +0.792564
10d : 1957b; -- FIXED => 0 . 1957b (0 . 103803) FLOAT +0.791954
10e : 1952b; -- FIXED => 0 . 1952b (0 . 103723) FLOAT +0.791344
10f : 194db; -- FIXED => 0 . 194db (0 . 103643) FLOAT +0.790733
110 : 1948b; -- FIXED => 0 . 1948b (0 . 103563) FLOAT +0.790123
111 : 1943b; -- FIXED => 0 . 1943b (0 . 103483) FLOAT +0.789513
112 : 193eb; -- FIXED => 0 . 193eb (0 . 103403) FLOAT +0.788902
113 : 1939b; -- FIXED => 0 . 1939b (0 . 103323) FLOAT +0.788292
114 : 1934c; -- FIXED => 0 . 1934c (0 . 103244) FLOAT +0.787689
115 : 192fd; -- FIXED => 0 . 192fd (0 . 103165) FLOAT +0.787086
116 : 192ad; -- FIXED => 0 . 192ad (0 . 103085) FLOAT +0.786476
117 : 1925e; -- FIXED => 0 . 1925e (0 . 103006) FLOAT +0.785873
118 : 1920f; -- FIXED => 0 . 1920f (0 . 102927) FLOAT +0.785271
119 : 191c0; -- FIXED => 0 . 191c0 (0 . 102848) FLOAT +0.784668
11a : 19172; -- FIXED => 0 . 19172 (0 . 102770) FLOAT +0.784073
11b : 19123; -- FIXED => 0 . 19123 (0 . 102691) FLOAT +0.783470
11c : 190d4; -- FIXED => 0 . 190d4 (0 . 102612) FLOAT +0.782867
11d : 19086; -- FIXED => 0 . 19086 (0 . 102534) FLOAT +0.782272
11e : 19038; -- FIXED => 0 . 19038 (0 . 102456) FLOAT +0.781677
11f : 18fea; -- FIXED => 0 . 18fea (0 . 102378) FLOAT +0.781082
120 : 18f9c; -- FIXED => 0 . 18f9c (0 . 102300) FLOAT +0.780487
121 : 18f4e; -- FIXED => 0 . 18f4e (0 . 102222) FLOAT +0.779892
122 : 18f00; -- FIXED => 0 . 18f00 (0 . 102144) FLOAT +0.779297
123 : 18eb2; -- FIXED => 0 . 18eb2 (0 . 102066) FLOAT +0.778702
124 : 18e65; -- FIXED => 0 . 18e65 (0 . 101989) FLOAT +0.778114
125 : 18e17; -- FIXED => 0 . 18e17 (0 . 101911) FLOAT +0.777519
126 : 18dca; -- FIXED => 0 . 18dca (0 . 101834) FLOAT +0.776932
127 : 18d7d; -- FIXED => 0 . 18d7d (0 . 101757) FLOAT +0.776344
128 : 18d30; -- FIXED => 0 . 18d30 (0 . 101680) FLOAT +0.775757
129 : 18ce3; -- FIXED => 0 . 18ce3 (0 . 101603) FLOAT +0.775169
12a : 18c96; -- FIXED => 0 . 18c96 (0 . 101526) FLOAT +0.774582
12b : 18c49; -- FIXED => 0 . 18c49 (0 . 101449) FLOAT +0.773994
12c : 18bfc; -- FIXED => 0 . 18bfc (0 . 101372) FLOAT +0.773407
12d : 18bb0; -- FIXED => 0 . 18bb0 (0 . 101296) FLOAT +0.772827
12e : 18b64; -- FIXED => 0 . 18b64 (0 . 101220) FLOAT +0.772247
12f : 18b17; -- FIXED => 0 . 18b17 (0 . 101143) FLOAT +0.771660
130 : 18acb; -- FIXED => 0 . 18acb (0 . 101067) FLOAT +0.771080
131 : 18a7f; -- FIXED => 0 . 18a7f (0 . 100991) FLOAT +0.770500
132 : 18a33; -- FIXED => 0 . 18a33 (0 . 100915) FLOAT +0.769920
133 : 189e7; -- FIXED => 0 . 189e7 (0 . 100839) FLOAT +0.769341
134 : 1899c; -- FIXED => 0 . 1899c (0 . 100764) FLOAT +0.768768
135 : 18950; -- FIXED => 0 . 18950 (0 . 100688) FLOAT +0.768188
136 : 18904; -- FIXED => 0 . 18904 (0 . 100612) FLOAT +0.767609
137 : 188b9; -- FIXED => 0 . 188b9 (0 . 100537) FLOAT +0.767036
138 : 1886e; -- FIXED => 0 . 1886e (0 . 100462) FLOAT +0.766464
139 : 18823; -- FIXED => 0 . 18823 (0 . 100387) FLOAT +0.765892
13a : 187d8; -- FIXED => 0 . 187d8 (0 . 100312) FLOAT +0.765320
13b : 1878d; -- FIXED => 0 . 1878d (0 . 100237) FLOAT +0.764748
13c : 18742; -- FIXED => 0 . 18742 (0 . 100162) FLOAT +0.764175
13d : 186f7; -- FIXED => 0 . 186f7 (0 . 100087) FLOAT +0.763603
13e : 186ad; -- FIXED => 0 . 186ad (0 . 100013) FLOAT +0.763039
13f : 18662; -- FIXED => 0 . 18662 (0 . 99938) FLOAT +0.762466
140 : 18618; -- FIXED => 0 . 18618 (0 . 99864) FLOAT +0.761902
141 : 185ce; -- FIXED => 0 . 185ce (0 . 99790) FLOAT +0.761337
142 : 18583; -- FIXED => 0 . 18583 (0 . 99715) FLOAT +0.760765
143 : 18539; -- FIXED => 0 . 18539 (0 . 99641) FLOAT +0.760201
144 : 184f0; -- FIXED => 0 . 184f0 (0 . 99568) FLOAT +0.759644
145 : 184a6; -- FIXED => 0 . 184a6 (0 . 99494) FLOAT +0.759079
146 : 1845c; -- FIXED => 0 . 1845c (0 . 99420) FLOAT +0.758514
147 : 18412; -- FIXED => 0 . 18412 (0 . 99346) FLOAT +0.757950
148 : 183c9; -- FIXED => 0 . 183c9 (0 . 99273) FLOAT +0.757393
149 : 18380; -- FIXED => 0 . 18380 (0 . 99200) FLOAT +0.756836
14a : 18336; -- FIXED => 0 . 18336 (0 . 99126) FLOAT +0.756271
14b : 182ed; -- FIXED => 0 . 182ed (0 . 99053) FLOAT +0.755714
14c : 182a4; -- FIXED => 0 . 182a4 (0 . 98980) FLOAT +0.755157
14d : 1825b; -- FIXED => 0 . 1825b (0 . 98907) FLOAT +0.754601
14e : 18212; -- FIXED => 0 . 18212 (0 . 98834) FLOAT +0.754044
14f : 181ca; -- FIXED => 0 . 181ca (0 . 98762) FLOAT +0.753494
150 : 18181; -- FIXED => 0 . 18181 (0 . 98689) FLOAT +0.752937
151 : 18138; -- FIXED => 0 . 18138 (0 . 98616) FLOAT +0.752380
152 : 180f0; -- FIXED => 0 . 180f0 (0 . 98544) FLOAT +0.751831
153 : 180a8; -- FIXED => 0 . 180a8 (0 . 98472) FLOAT +0.751282
154 : 18060; -- FIXED => 0 . 18060 (0 . 98400) FLOAT +0.750732
155 : 18018; -- FIXED => 0 . 18018 (0 . 98328) FLOAT +0.750183
156 : 17fd0; -- FIXED => 0 . 17fd0 (0 . 98256) FLOAT +0.749634
157 : 17f88; -- FIXED => 0 . 17f88 (0 . 98184) FLOAT +0.749084
158 : 17f40; -- FIXED => 0 . 17f40 (0 . 98112) FLOAT +0.748535
159 : 17ef8; -- FIXED => 0 . 17ef8 (0 . 98040) FLOAT +0.747986
15a : 17eb1; -- FIXED => 0 . 17eb1 (0 . 97969) FLOAT +0.747444
15b : 17e69; -- FIXED => 0 . 17e69 (0 . 97897) FLOAT +0.746895
15c : 17e22; -- FIXED => 0 . 17e22 (0 . 97826) FLOAT +0.746353
15d : 17ddb; -- FIXED => 0 . 17ddb (0 . 97755) FLOAT +0.745811
15e : 17d93; -- FIXED => 0 . 17d93 (0 . 97683) FLOAT +0.745262
15f : 17d4c; -- FIXED => 0 . 17d4c (0 . 97612) FLOAT +0.744720
160 : 17d05; -- FIXED => 0 . 17d05 (0 . 97541) FLOAT +0.744179
161 : 17cbf; -- FIXED => 0 . 17cbf (0 . 97471) FLOAT +0.743645
162 : 17c78; -- FIXED => 0 . 17c78 (0 . 97400) FLOAT +0.743103
163 : 17c31; -- FIXED => 0 . 17c31 (0 . 97329) FLOAT +0.742561
164 : 17beb; -- FIXED => 0 . 17beb (0 . 97259) FLOAT +0.742027
165 : 17ba4; -- FIXED => 0 . 17ba4 (0 . 97188) FLOAT +0.741486
166 : 17b5e; -- FIXED => 0 . 17b5e (0 . 97118) FLOAT +0.740952
167 : 17b18; -- FIXED => 0 . 17b18 (0 . 97048) FLOAT +0.740417
168 : 17ad2; -- FIXED => 0 . 17ad2 (0 . 96978) FLOAT +0.739883
169 : 17a8c; -- FIXED => 0 . 17a8c (0 . 96908) FLOAT +0.739349
16a : 17a46; -- FIXED => 0 . 17a46 (0 . 96838) FLOAT +0.738815
16b : 17a00; -- FIXED => 0 . 17a00 (0 . 96768) FLOAT +0.738281
16c : 179ba; -- FIXED => 0 . 179ba (0 . 96698) FLOAT +0.737747
16d : 17975; -- FIXED => 0 . 17975 (0 . 96629) FLOAT +0.737221
16e : 1792f; -- FIXED => 0 . 1792f (0 . 96559) FLOAT +0.736687
16f : 178ea; -- FIXED => 0 . 178ea (0 . 96490) FLOAT +0.736160
170 : 178a4; -- FIXED => 0 . 178a4 (0 . 96420) FLOAT +0.735626
171 : 1785f; -- FIXED => 0 . 1785f (0 . 96351) FLOAT +0.735100
172 : 1781a; -- FIXED => 0 . 1781a (0 . 96282) FLOAT +0.734573
173 : 177d5; -- FIXED => 0 . 177d5 (0 . 96213) FLOAT +0.734047
174 : 17790; -- FIXED => 0 . 17790 (0 . 96144) FLOAT +0.733521
175 : 1774b; -- FIXED => 0 . 1774b (0 . 96075) FLOAT +0.732994
176 : 17706; -- FIXED => 0 . 17706 (0 . 96006) FLOAT +0.732468
177 : 176c2; -- FIXED => 0 . 176c2 (0 . 95938) FLOAT +0.731949
178 : 1767d; -- FIXED => 0 . 1767d (0 . 95869) FLOAT +0.731422
179 : 17639; -- FIXED => 0 . 17639 (0 . 95801) FLOAT +0.730904
17a : 175f5; -- FIXED => 0 . 175f5 (0 . 95733) FLOAT +0.730385
17b : 175b0; -- FIXED => 0 . 175b0 (0 . 95664) FLOAT +0.729858
17c : 1756c; -- FIXED => 0 . 1756c (0 . 95596) FLOAT +0.729340
17d : 17528; -- FIXED => 0 . 17528 (0 . 95528) FLOAT +0.728821
17e : 174e4; -- FIXED => 0 . 174e4 (0 . 95460) FLOAT +0.728302
17f : 174a0; -- FIXED => 0 . 174a0 (0 . 95392) FLOAT +0.727783
180 : 1745d; -- FIXED => 0 . 1745d (0 . 95325) FLOAT +0.727272
181 : 17419; -- FIXED => 0 . 17419 (0 . 95257) FLOAT +0.726753
182 : 173d5; -- FIXED => 0 . 173d5 (0 . 95189) FLOAT +0.726234
183 : 17392; -- FIXED => 0 . 17392 (0 . 95122) FLOAT +0.725723
184 : 1734f; -- FIXED => 0 . 1734f (0 . 95055) FLOAT +0.725212
185 : 1730b; -- FIXED => 0 . 1730b (0 . 94987) FLOAT +0.724693
186 : 172c8; -- FIXED => 0 . 172c8 (0 . 94920) FLOAT +0.724182
187 : 17285; -- FIXED => 0 . 17285 (0 . 94853) FLOAT +0.723671
188 : 17242; -- FIXED => 0 . 17242 (0 . 94786) FLOAT +0.723160
189 : 171ff; -- FIXED => 0 . 171ff (0 . 94719) FLOAT +0.722649
18a : 171bc; -- FIXED => 0 . 171bc (0 . 94652) FLOAT +0.722137
18b : 1717a; -- FIXED => 0 . 1717a (0 . 94586) FLOAT +0.721634
18c : 17137; -- FIXED => 0 . 17137 (0 . 94519) FLOAT +0.721123
18d : 170f5; -- FIXED => 0 . 170f5 (0 . 94453) FLOAT +0.720619
18e : 170b2; -- FIXED => 0 . 170b2 (0 . 94386) FLOAT +0.720108
18f : 17070; -- FIXED => 0 . 17070 (0 . 94320) FLOAT +0.719604
190 : 1702e; -- FIXED => 0 . 1702e (0 . 94254) FLOAT +0.719101
191 : 16feb; -- FIXED => 0 . 16feb (0 . 94187) FLOAT +0.718590
192 : 16fa9; -- FIXED => 0 . 16fa9 (0 . 94121) FLOAT +0.718086
193 : 16f67; -- FIXED => 0 . 16f67 (0 . 94055) FLOAT +0.717583
194 : 16f26; -- FIXED => 0 . 16f26 (0 . 93990) FLOAT +0.717087
195 : 16ee4; -- FIXED => 0 . 16ee4 (0 . 93924) FLOAT +0.716583
196 : 16ea2; -- FIXED => 0 . 16ea2 (0 . 93858) FLOAT +0.716080
197 : 16e60; -- FIXED => 0 . 16e60 (0 . 93792) FLOAT +0.715576
198 : 16e1f; -- FIXED => 0 . 16e1f (0 . 93727) FLOAT +0.715080
199 : 16dde; -- FIXED => 0 . 16dde (0 . 93662) FLOAT +0.714584
19a : 16d9c; -- FIXED => 0 . 16d9c (0 . 93596) FLOAT +0.714081
19b : 16d5b; -- FIXED => 0 . 16d5b (0 . 93531) FLOAT +0.713585
19c : 16d1a; -- FIXED => 0 . 16d1a (0 . 93466) FLOAT +0.713089
19d : 16cd9; -- FIXED => 0 . 16cd9 (0 . 93401) FLOAT +0.712593
19e : 16c98; -- FIXED => 0 . 16c98 (0 . 93336) FLOAT +0.712097
19f : 16c57; -- FIXED => 0 . 16c57 (0 . 93271) FLOAT +0.711601
1a0 : 16c16; -- FIXED => 0 . 16c16 (0 . 93206) FLOAT +0.711105
1a1 : 16bd6; -- FIXED => 0 . 16bd6 (0 . 93142) FLOAT +0.710617
1a2 : 16b95; -- FIXED => 0 . 16b95 (0 . 93077) FLOAT +0.710121
1a3 : 16b54; -- FIXED => 0 . 16b54 (0 . 93012) FLOAT +0.709625
1a4 : 16b14; -- FIXED => 0 . 16b14 (0 . 92948) FLOAT +0.709137
1a5 : 16ad4; -- FIXED => 0 . 16ad4 (0 . 92884) FLOAT +0.708649
1a6 : 16a94; -- FIXED => 0 . 16a94 (0 . 92820) FLOAT +0.708160
1a7 : 16a53; -- FIXED => 0 . 16a53 (0 . 92755) FLOAT +0.707664
1a8 : 16a13; -- FIXED => 0 . 16a13 (0 . 92691) FLOAT +0.707176
1a9 : 169d3; -- FIXED => 0 . 169d3 (0 . 92627) FLOAT +0.706688
1aa : 16993; -- FIXED => 0 . 16993 (0 . 92563) FLOAT +0.706200
1ab : 16954; -- FIXED => 0 . 16954 (0 . 92500) FLOAT +0.705719
1ac : 16914; -- FIXED => 0 . 16914 (0 . 92436) FLOAT +0.705231
1ad : 168d4; -- FIXED => 0 . 168d4 (0 . 92372) FLOAT +0.704742
1ae : 16895; -- FIXED => 0 . 16895 (0 . 92309) FLOAT +0.704262
1af : 16855; -- FIXED => 0 . 16855 (0 . 92245) FLOAT +0.703773
1b0 : 16816; -- FIXED => 0 . 16816 (0 . 92182) FLOAT +0.703293
1b1 : 167d7; -- FIXED => 0 . 167d7 (0 . 92119) FLOAT +0.702812
1b2 : 16798; -- FIXED => 0 . 16798 (0 . 92056) FLOAT +0.702332
1b3 : 16758; -- FIXED => 0 . 16758 (0 . 91992) FLOAT +0.701843
1b4 : 16719; -- FIXED => 0 . 16719 (0 . 91929) FLOAT +0.701363
1b5 : 166db; -- FIXED => 0 . 166db (0 . 91867) FLOAT +0.700890
1b6 : 1669c; -- FIXED => 0 . 1669c (0 . 91804) FLOAT +0.700409
1b7 : 1665d; -- FIXED => 0 . 1665d (0 . 91741) FLOAT +0.699928
1b8 : 1661e; -- FIXED => 0 . 1661e (0 . 91678) FLOAT +0.699448
1b9 : 165e0; -- FIXED => 0 . 165e0 (0 . 91616) FLOAT +0.698975
1ba : 165a1; -- FIXED => 0 . 165a1 (0 . 91553) FLOAT +0.698494
1bb : 16563; -- FIXED => 0 . 16563 (0 . 91491) FLOAT +0.698021
1bc : 16524; -- FIXED => 0 . 16524 (0 . 91428) FLOAT +0.697540
1bd : 164e6; -- FIXED => 0 . 164e6 (0 . 91366) FLOAT +0.697067
1be : 164a8; -- FIXED => 0 . 164a8 (0 . 91304) FLOAT +0.696594
1bf : 1646a; -- FIXED => 0 . 1646a (0 . 91242) FLOAT +0.696121
1c0 : 1642c; -- FIXED => 0 . 1642c (0 . 91180) FLOAT +0.695648
1c1 : 163ee; -- FIXED => 0 . 163ee (0 . 91118) FLOAT +0.695175
1c2 : 163b0; -- FIXED => 0 . 163b0 (0 . 91056) FLOAT +0.694702
1c3 : 16373; -- FIXED => 0 . 16373 (0 . 90995) FLOAT +0.694237
1c4 : 16335; -- FIXED => 0 . 16335 (0 . 90933) FLOAT +0.693764
1c5 : 162f7; -- FIXED => 0 . 162f7 (0 . 90871) FLOAT +0.693291
1c6 : 162ba; -- FIXED => 0 . 162ba (0 . 90810) FLOAT +0.692825
1c7 : 1627c; -- FIXED => 0 . 1627c (0 . 90748) FLOAT +0.692352
1c8 : 1623f; -- FIXED => 0 . 1623f (0 . 90687) FLOAT +0.691887
1c9 : 16202; -- FIXED => 0 . 16202 (0 . 90626) FLOAT +0.691422
1ca : 161c5; -- FIXED => 0 . 161c5 (0 . 90565) FLOAT +0.690956
1cb : 16188; -- FIXED => 0 . 16188 (0 . 90504) FLOAT +0.690491
1cc : 1614b; -- FIXED => 0 . 1614b (0 . 90443) FLOAT +0.690025
1cd : 1610e; -- FIXED => 0 . 1610e (0 . 90382) FLOAT +0.689560
1ce : 160d1; -- FIXED => 0 . 160d1 (0 . 90321) FLOAT +0.689095
1cf : 16094; -- FIXED => 0 . 16094 (0 . 90260) FLOAT +0.688629
1d0 : 16058; -- FIXED => 0 . 16058 (0 . 90200) FLOAT +0.688171
1d1 : 1601b; -- FIXED => 0 . 1601b (0 . 90139) FLOAT +0.687706
1d2 : 15fdf; -- FIXED => 0 . 15fdf (0 . 90079) FLOAT +0.687248
1d3 : 15fa2; -- FIXED => 0 . 15fa2 (0 . 90018) FLOAT +0.686783
1d4 : 15f66; -- FIXED => 0 . 15f66 (0 . 89958) FLOAT +0.686325
1d5 : 15f2a; -- FIXED => 0 . 15f2a (0 . 89898) FLOAT +0.685867
1d6 : 15eed; -- FIXED => 0 . 15eed (0 . 89837) FLOAT +0.685402
1d7 : 15eb1; -- FIXED => 0 . 15eb1 (0 . 89777) FLOAT +0.684944
1d8 : 15e75; -- FIXED => 0 . 15e75 (0 . 89717) FLOAT +0.684486
1d9 : 15e39; -- FIXED => 0 . 15e39 (0 . 89657) FLOAT +0.684029
1da : 15dfd; -- FIXED => 0 . 15dfd (0 . 89597) FLOAT +0.683571
1db : 15dc2; -- FIXED => 0 . 15dc2 (0 . 89538) FLOAT +0.683121
1dc : 15d86; -- FIXED => 0 . 15d86 (0 . 89478) FLOAT +0.682663
1dd : 15d4a; -- FIXED => 0 . 15d4a (0 . 89418) FLOAT +0.682205
1de : 15d0f; -- FIXED => 0 . 15d0f (0 . 89359) FLOAT +0.681755
1df : 15cd3; -- FIXED => 0 . 15cd3 (0 . 89299) FLOAT +0.681297
1e0 : 15c98; -- FIXED => 0 . 15c98 (0 . 89240) FLOAT +0.680847
1e1 : 15c5d; -- FIXED => 0 . 15c5d (0 . 89181) FLOAT +0.680397
1e2 : 15c22; -- FIXED => 0 . 15c22 (0 . 89122) FLOAT +0.679947
1e3 : 15be6; -- FIXED => 0 . 15be6 (0 . 89062) FLOAT +0.679489
1e4 : 15bab; -- FIXED => 0 . 15bab (0 . 89003) FLOAT +0.679039
1e5 : 15b70; -- FIXED => 0 . 15b70 (0 . 88944) FLOAT +0.678589
1e6 : 15b35; -- FIXED => 0 . 15b35 (0 . 88885) FLOAT +0.678139
1e7 : 15afb; -- FIXED => 0 . 15afb (0 . 88827) FLOAT +0.677696
1e8 : 15ac0; -- FIXED => 0 . 15ac0 (0 . 88768) FLOAT +0.677246
1e9 : 15a85; -- FIXED => 0 . 15a85 (0 . 88709) FLOAT +0.676796
1ea : 15a4b; -- FIXED => 0 . 15a4b (0 . 88651) FLOAT +0.676353
1eb : 15a10; -- FIXED => 0 . 15a10 (0 . 88592) FLOAT +0.675903
1ec : 159d6; -- FIXED => 0 . 159d6 (0 . 88534) FLOAT +0.675461
1ed : 1599b; -- FIXED => 0 . 1599b (0 . 88475) FLOAT +0.675011
1ee : 15961; -- FIXED => 0 . 15961 (0 . 88417) FLOAT +0.674568
1ef : 15927; -- FIXED => 0 . 15927 (0 . 88359) FLOAT +0.674126
1f0 : 158ed; -- FIXED => 0 . 158ed (0 . 88301) FLOAT +0.673683
1f1 : 158b3; -- FIXED => 0 . 158b3 (0 . 88243) FLOAT +0.673241
1f2 : 15879; -- FIXED => 0 . 15879 (0 . 88185) FLOAT +0.672798
1f3 : 1583f; -- FIXED => 0 . 1583f (0 . 88127) FLOAT +0.672356
1f4 : 15805; -- FIXED => 0 . 15805 (0 . 88069) FLOAT +0.671913
1f5 : 157cb; -- FIXED => 0 . 157cb (0 . 88011) FLOAT +0.671471
1f6 : 15791; -- FIXED => 0 . 15791 (0 . 87953) FLOAT +0.671028
1f7 : 15758; -- FIXED => 0 . 15758 (0 . 87896) FLOAT +0.670593
1f8 : 1571e; -- FIXED => 0 . 1571e (0 . 87838) FLOAT +0.670151
1f9 : 156e5; -- FIXED => 0 . 156e5 (0 . 87781) FLOAT +0.669716
1fa : 156ac; -- FIXED => 0 . 156ac (0 . 87724) FLOAT +0.669281
1fb : 15672; -- FIXED => 0 . 15672 (0 . 87666) FLOAT +0.668839
1fc : 15639; -- FIXED => 0 . 15639 (0 . 87609) FLOAT +0.668404
1fd : 15600; -- FIXED => 0 . 15600 (0 . 87552) FLOAT +0.667969
1fe : 155c7; -- FIXED => 0 . 155c7 (0 . 87495) FLOAT +0.667534
1ff : 1558e; -- FIXED => 0 . 1558e (0 . 87438) FLOAT +0.667099
200 : 15555; -- FIXED => 0 . 15555 (0 . 87381) FLOAT +0.666664
201 : 1551c; -- FIXED => 0 . 1551c (0 . 87324) FLOAT +0.666229
202 : 154e3; -- FIXED => 0 . 154e3 (0 . 87267) FLOAT +0.665794
203 : 154ab; -- FIXED => 0 . 154ab (0 . 87211) FLOAT +0.665367
204 : 15472; -- FIXED => 0 . 15472 (0 . 87154) FLOAT +0.664932
205 : 15439; -- FIXED => 0 . 15439 (0 . 87097) FLOAT +0.664497
206 : 15401; -- FIXED => 0 . 15401 (0 . 87041) FLOAT +0.664070
207 : 153c8; -- FIXED => 0 . 153c8 (0 . 86984) FLOAT +0.663635
208 : 15390; -- FIXED => 0 . 15390 (0 . 86928) FLOAT +0.663208
209 : 15358; -- FIXED => 0 . 15358 (0 . 86872) FLOAT +0.662781
20a : 15320; -- FIXED => 0 . 15320 (0 . 86816) FLOAT +0.662354
20b : 152e8; -- FIXED => 0 . 152e8 (0 . 86760) FLOAT +0.661926
20c : 152af; -- FIXED => 0 . 152af (0 . 86703) FLOAT +0.661491
20d : 15277; -- FIXED => 0 . 15277 (0 . 86647) FLOAT +0.661064
20e : 15240; -- FIXED => 0 . 15240 (0 . 86592) FLOAT +0.660645
20f : 15208; -- FIXED => 0 . 15208 (0 . 86536) FLOAT +0.660217
210 : 151d0; -- FIXED => 0 . 151d0 (0 . 86480) FLOAT +0.659790
211 : 15198; -- FIXED => 0 . 15198 (0 . 86424) FLOAT +0.659363
212 : 15161; -- FIXED => 0 . 15161 (0 . 86369) FLOAT +0.658943
213 : 15129; -- FIXED => 0 . 15129 (0 . 86313) FLOAT +0.658516
214 : 150f2; -- FIXED => 0 . 150f2 (0 . 86258) FLOAT +0.658096
215 : 150ba; -- FIXED => 0 . 150ba (0 . 86202) FLOAT +0.657669
216 : 15083; -- FIXED => 0 . 15083 (0 . 86147) FLOAT +0.657249
217 : 1504c; -- FIXED => 0 . 1504c (0 . 86092) FLOAT +0.656830
218 : 15015; -- FIXED => 0 . 15015 (0 . 86037) FLOAT +0.656410
219 : 14fdd; -- FIXED => 0 . 14fdd (0 . 85981) FLOAT +0.655983
21a : 14fa6; -- FIXED => 0 . 14fa6 (0 . 85926) FLOAT +0.655563
21b : 14f6f; -- FIXED => 0 . 14f6f (0 . 85871) FLOAT +0.655144
21c : 14f38; -- FIXED => 0 . 14f38 (0 . 85816) FLOAT +0.654724
21d : 14f02; -- FIXED => 0 . 14f02 (0 . 85762) FLOAT +0.654312
21e : 14ecb; -- FIXED => 0 . 14ecb (0 . 85707) FLOAT +0.653893
21f : 14e94; -- FIXED => 0 . 14e94 (0 . 85652) FLOAT +0.653473
220 : 14e5e; -- FIXED => 0 . 14e5e (0 . 85598) FLOAT +0.653061
221 : 14e27; -- FIXED => 0 . 14e27 (0 . 85543) FLOAT +0.652641
222 : 14df1; -- FIXED => 0 . 14df1 (0 . 85489) FLOAT +0.652229
223 : 14dba; -- FIXED => 0 . 14dba (0 . 85434) FLOAT +0.651810
224 : 14d84; -- FIXED => 0 . 14d84 (0 . 85380) FLOAT +0.651398
225 : 14d4d; -- FIXED => 0 . 14d4d (0 . 85325) FLOAT +0.650978
226 : 14d17; -- FIXED => 0 . 14d17 (0 . 85271) FLOAT +0.650566
227 : 14ce1; -- FIXED => 0 . 14ce1 (0 . 85217) FLOAT +0.650154
228 : 14cab; -- FIXED => 0 . 14cab (0 . 85163) FLOAT +0.649742
229 : 14c75; -- FIXED => 0 . 14c75 (0 . 85109) FLOAT +0.649330
22a : 14c3f; -- FIXED => 0 . 14c3f (0 . 85055) FLOAT +0.648918
22b : 14c09; -- FIXED => 0 . 14c09 (0 . 85001) FLOAT +0.648506
22c : 14bd3; -- FIXED => 0 . 14bd3 (0 . 84947) FLOAT +0.648094
22d : 14b9e; -- FIXED => 0 . 14b9e (0 . 84894) FLOAT +0.647690
22e : 14b68; -- FIXED => 0 . 14b68 (0 . 84840) FLOAT +0.647278
22f : 14b32; -- FIXED => 0 . 14b32 (0 . 84786) FLOAT +0.646866
230 : 14afd; -- FIXED => 0 . 14afd (0 . 84733) FLOAT +0.646461
231 : 14ac7; -- FIXED => 0 . 14ac7 (0 . 84679) FLOAT +0.646049
232 : 14a92; -- FIXED => 0 . 14a92 (0 . 84626) FLOAT +0.645645
233 : 14a5d; -- FIXED => 0 . 14a5d (0 . 84573) FLOAT +0.645241
234 : 14a27; -- FIXED => 0 . 14a27 (0 . 84519) FLOAT +0.644829
235 : 149f2; -- FIXED => 0 . 149f2 (0 . 84466) FLOAT +0.644424
236 : 149bd; -- FIXED => 0 . 149bd (0 . 84413) FLOAT +0.644020
237 : 14988; -- FIXED => 0 . 14988 (0 . 84360) FLOAT +0.643616
238 : 14953; -- FIXED => 0 . 14953 (0 . 84307) FLOAT +0.643211
239 : 1491e; -- FIXED => 0 . 1491e (0 . 84254) FLOAT +0.642807
23a : 148e9; -- FIXED => 0 . 148e9 (0 . 84201) FLOAT +0.642403
23b : 148b5; -- FIXED => 0 . 148b5 (0 . 84149) FLOAT +0.642006
23c : 14880; -- FIXED => 0 . 14880 (0 . 84096) FLOAT +0.641602
23d : 1484b; -- FIXED => 0 . 1484b (0 . 84043) FLOAT +0.641197
23e : 14817; -- FIXED => 0 . 14817 (0 . 83991) FLOAT +0.640800
23f : 147e2; -- FIXED => 0 . 147e2 (0 . 83938) FLOAT +0.640396
240 : 147ae; -- FIXED => 0 . 147ae (0 . 83886) FLOAT +0.639999
241 : 14779; -- FIXED => 0 . 14779 (0 . 83833) FLOAT +0.639595
242 : 14745; -- FIXED => 0 . 14745 (0 . 83781) FLOAT +0.639198
243 : 14711; -- FIXED => 0 . 14711 (0 . 83729) FLOAT +0.638802
244 : 146dc; -- FIXED => 0 . 146dc (0 . 83676) FLOAT +0.638397
245 : 146a8; -- FIXED => 0 . 146a8 (0 . 83624) FLOAT +0.638000
246 : 14674; -- FIXED => 0 . 14674 (0 . 83572) FLOAT +0.637604
247 : 14640; -- FIXED => 0 . 14640 (0 . 83520) FLOAT +0.637207
248 : 1460c; -- FIXED => 0 . 1460c (0 . 83468) FLOAT +0.636810
249 : 145d8; -- FIXED => 0 . 145d8 (0 . 83416) FLOAT +0.636414
24a : 145a5; -- FIXED => 0 . 145a5 (0 . 83365) FLOAT +0.636024
24b : 14571; -- FIXED => 0 . 14571 (0 . 83313) FLOAT +0.635628
24c : 1453d; -- FIXED => 0 . 1453d (0 . 83261) FLOAT +0.635231
24d : 1450a; -- FIXED => 0 . 1450a (0 . 83210) FLOAT +0.634842
24e : 144d6; -- FIXED => 0 . 144d6 (0 . 83158) FLOAT +0.634445
24f : 144a2; -- FIXED => 0 . 144a2 (0 . 83106) FLOAT +0.634048
250 : 1446f; -- FIXED => 0 . 1446f (0 . 83055) FLOAT +0.633659
251 : 1443c; -- FIXED => 0 . 1443c (0 . 83004) FLOAT +0.633270
252 : 14408; -- FIXED => 0 . 14408 (0 . 82952) FLOAT +0.632874
253 : 143d5; -- FIXED => 0 . 143d5 (0 . 82901) FLOAT +0.632484
254 : 143a2; -- FIXED => 0 . 143a2 (0 . 82850) FLOAT +0.632095
255 : 1436f; -- FIXED => 0 . 1436f (0 . 82799) FLOAT +0.631706
256 : 1433c; -- FIXED => 0 . 1433c (0 . 82748) FLOAT +0.631317
257 : 14309; -- FIXED => 0 . 14309 (0 . 82697) FLOAT +0.630928
258 : 142d6; -- FIXED => 0 . 142d6 (0 . 82646) FLOAT +0.630539
259 : 142a3; -- FIXED => 0 . 142a3 (0 . 82595) FLOAT +0.630150
25a : 14270; -- FIXED => 0 . 14270 (0 . 82544) FLOAT +0.629761
25b : 1423d; -- FIXED => 0 . 1423d (0 . 82493) FLOAT +0.629372
25c : 1420b; -- FIXED => 0 . 1420b (0 . 82443) FLOAT +0.628990
25d : 141d8; -- FIXED => 0 . 141d8 (0 . 82392) FLOAT +0.628601
25e : 141a6; -- FIXED => 0 . 141a6 (0 . 82342) FLOAT +0.628220
25f : 14173; -- FIXED => 0 . 14173 (0 . 82291) FLOAT +0.627831
260 : 14141; -- FIXED => 0 . 14141 (0 . 82241) FLOAT +0.627449
261 : 1410e; -- FIXED => 0 . 1410e (0 . 82190) FLOAT +0.627060
262 : 140dc; -- FIXED => 0 . 140dc (0 . 82140) FLOAT +0.626678
263 : 140aa; -- FIXED => 0 . 140aa (0 . 82090) FLOAT +0.626297
264 : 14078; -- FIXED => 0 . 14078 (0 . 82040) FLOAT +0.625916
265 : 14046; -- FIXED => 0 . 14046 (0 . 81990) FLOAT +0.625534
266 : 14014; -- FIXED => 0 . 14014 (0 . 81940) FLOAT +0.625153
267 : 13fe2; -- FIXED => 0 . 13fe2 (0 . 81890) FLOAT +0.624771
268 : 13fb0; -- FIXED => 0 . 13fb0 (0 . 81840) FLOAT +0.624390
269 : 13f7e; -- FIXED => 0 . 13f7e (0 . 81790) FLOAT +0.624008
26a : 13f4c; -- FIXED => 0 . 13f4c (0 . 81740) FLOAT +0.623627
26b : 13f1a; -- FIXED => 0 . 13f1a (0 . 81690) FLOAT +0.623245
26c : 13ee8; -- FIXED => 0 . 13ee8 (0 . 81640) FLOAT +0.622864
26d : 13eb7; -- FIXED => 0 . 13eb7 (0 . 81591) FLOAT +0.622490
26e : 13e85; -- FIXED => 0 . 13e85 (0 . 81541) FLOAT +0.622108
26f : 13e54; -- FIXED => 0 . 13e54 (0 . 81492) FLOAT +0.621735
270 : 13e22; -- FIXED => 0 . 13e22 (0 . 81442) FLOAT +0.621353
271 : 13df1; -- FIXED => 0 . 13df1 (0 . 81393) FLOAT +0.620979
272 : 13dc0; -- FIXED => 0 . 13dc0 (0 . 81344) FLOAT +0.620605
273 : 13d8e; -- FIXED => 0 . 13d8e (0 . 81294) FLOAT +0.620224
274 : 13d5d; -- FIXED => 0 . 13d5d (0 . 81245) FLOAT +0.619850
275 : 13d2c; -- FIXED => 0 . 13d2c (0 . 81196) FLOAT +0.619476
276 : 13cfb; -- FIXED => 0 . 13cfb (0 . 81147) FLOAT +0.619102
277 : 13cca; -- FIXED => 0 . 13cca (0 . 81098) FLOAT +0.618729
278 : 13c99; -- FIXED => 0 . 13c99 (0 . 81049) FLOAT +0.618355
279 : 13c68; -- FIXED => 0 . 13c68 (0 . 81000) FLOAT +0.617981
27a : 13c37; -- FIXED => 0 . 13c37 (0 . 80951) FLOAT +0.617607
27b : 13c06; -- FIXED => 0 . 13c06 (0 . 80902) FLOAT +0.617233
27c : 13bd6; -- FIXED => 0 . 13bd6 (0 . 80854) FLOAT +0.616867
27d : 13ba5; -- FIXED => 0 . 13ba5 (0 . 80805) FLOAT +0.616493
27e : 13b74; -- FIXED => 0 . 13b74 (0 . 80756) FLOAT +0.616119
27f : 13b44; -- FIXED => 0 . 13b44 (0 . 80708) FLOAT +0.615753
280 : 13b13; -- FIXED => 0 . 13b13 (0 . 80659) FLOAT +0.615379
281 : 13ae3; -- FIXED => 0 . 13ae3 (0 . 80611) FLOAT +0.615013
282 : 13ab2; -- FIXED => 0 . 13ab2 (0 . 80562) FLOAT +0.614639
283 : 13a82; -- FIXED => 0 . 13a82 (0 . 80514) FLOAT +0.614273
284 : 13a52; -- FIXED => 0 . 13a52 (0 . 80466) FLOAT +0.613907
285 : 13a22; -- FIXED => 0 . 13a22 (0 . 80418) FLOAT +0.613541
286 : 139f1; -- FIXED => 0 . 139f1 (0 . 80369) FLOAT +0.613167
287 : 139c1; -- FIXED => 0 . 139c1 (0 . 80321) FLOAT +0.612801
288 : 13991; -- FIXED => 0 . 13991 (0 . 80273) FLOAT +0.612434
289 : 13961; -- FIXED => 0 . 13961 (0 . 80225) FLOAT +0.612068
28a : 13931; -- FIXED => 0 . 13931 (0 . 80177) FLOAT +0.611702
28b : 13901; -- FIXED => 0 . 13901 (0 . 80129) FLOAT +0.611336
28c : 138d2; -- FIXED => 0 . 138d2 (0 . 80082) FLOAT +0.610977
28d : 138a2; -- FIXED => 0 . 138a2 (0 . 80034) FLOAT +0.610611
28e : 13872; -- FIXED => 0 . 13872 (0 . 79986) FLOAT +0.610245
28f : 13843; -- FIXED => 0 . 13843 (0 . 79939) FLOAT +0.609886
290 : 13813; -- FIXED => 0 . 13813 (0 . 79891) FLOAT +0.609520
291 : 137e3; -- FIXED => 0 . 137e3 (0 . 79843) FLOAT +0.609154
292 : 137b4; -- FIXED => 0 . 137b4 (0 . 79796) FLOAT +0.608795
293 : 13785; -- FIXED => 0 . 13785 (0 . 79749) FLOAT +0.608437
294 : 13755; -- FIXED => 0 . 13755 (0 . 79701) FLOAT +0.608070
295 : 13726; -- FIXED => 0 . 13726 (0 . 79654) FLOAT +0.607712
296 : 136f7; -- FIXED => 0 . 136f7 (0 . 79607) FLOAT +0.607353
297 : 136c8; -- FIXED => 0 . 136c8 (0 . 79560) FLOAT +0.606995
298 : 13698; -- FIXED => 0 . 13698 (0 . 79512) FLOAT +0.606628
299 : 13669; -- FIXED => 0 . 13669 (0 . 79465) FLOAT +0.606270
29a : 1363a; -- FIXED => 0 . 1363a (0 . 79418) FLOAT +0.605911
29b : 1360b; -- FIXED => 0 . 1360b (0 . 79371) FLOAT +0.605553
29c : 135dc; -- FIXED => 0 . 135dc (0 . 79324) FLOAT +0.605194
29d : 135ae; -- FIXED => 0 . 135ae (0 . 79278) FLOAT +0.604843
29e : 1357f; -- FIXED => 0 . 1357f (0 . 79231) FLOAT +0.604485
29f : 13550; -- FIXED => 0 . 13550 (0 . 79184) FLOAT +0.604126
2a0 : 13521; -- FIXED => 0 . 13521 (0 . 79137) FLOAT +0.603767
2a1 : 134f3; -- FIXED => 0 . 134f3 (0 . 79091) FLOAT +0.603416
2a2 : 134c4; -- FIXED => 0 . 134c4 (0 . 79044) FLOAT +0.603058
2a3 : 13496; -- FIXED => 0 . 13496 (0 . 78998) FLOAT +0.602707
2a4 : 13467; -- FIXED => 0 . 13467 (0 . 78951) FLOAT +0.602348
2a5 : 13439; -- FIXED => 0 . 13439 (0 . 78905) FLOAT +0.601997
2a6 : 1340a; -- FIXED => 0 . 1340a (0 . 78858) FLOAT +0.601639
2a7 : 133dc; -- FIXED => 0 . 133dc (0 . 78812) FLOAT +0.601288
2a8 : 133ae; -- FIXED => 0 . 133ae (0 . 78766) FLOAT +0.600937
2a9 : 13380; -- FIXED => 0 . 13380 (0 . 78720) FLOAT +0.600586
2aa : 13351; -- FIXED => 0 . 13351 (0 . 78673) FLOAT +0.600227
2ab : 13323; -- FIXED => 0 . 13323 (0 . 78627) FLOAT +0.599876
2ac : 132f5; -- FIXED => 0 . 132f5 (0 . 78581) FLOAT +0.599525
2ad : 132c7; -- FIXED => 0 . 132c7 (0 . 78535) FLOAT +0.599174
2ae : 13299; -- FIXED => 0 . 13299 (0 . 78489) FLOAT +0.598824
2af : 1326c; -- FIXED => 0 . 1326c (0 . 78444) FLOAT +0.598480
2b0 : 1323e; -- FIXED => 0 . 1323e (0 . 78398) FLOAT +0.598129
2b1 : 13210; -- FIXED => 0 . 13210 (0 . 78352) FLOAT +0.597778
2b2 : 131e2; -- FIXED => 0 . 131e2 (0 . 78306) FLOAT +0.597427
2b3 : 131b5; -- FIXED => 0 . 131b5 (0 . 78261) FLOAT +0.597084
2b4 : 13187; -- FIXED => 0 . 13187 (0 . 78215) FLOAT +0.596733
2b5 : 13159; -- FIXED => 0 . 13159 (0 . 78169) FLOAT +0.596382
2b6 : 1312c; -- FIXED => 0 . 1312c (0 . 78124) FLOAT +0.596039
2b7 : 130fe; -- FIXED => 0 . 130fe (0 . 78078) FLOAT +0.595688
2b8 : 130d1; -- FIXED => 0 . 130d1 (0 . 78033) FLOAT +0.595345
2b9 : 130a4; -- FIXED => 0 . 130a4 (0 . 77988) FLOAT +0.595001
2ba : 13076; -- FIXED => 0 . 13076 (0 . 77942) FLOAT +0.594650
2bb : 13049; -- FIXED => 0 . 13049 (0 . 77897) FLOAT +0.594307
2bc : 1301c; -- FIXED => 0 . 1301c (0 . 77852) FLOAT +0.593964
2bd : 12fef; -- FIXED => 0 . 12fef (0 . 77807) FLOAT +0.593620
2be : 12fc2; -- FIXED => 0 . 12fc2 (0 . 77762) FLOAT +0.593277
2bf : 12f95; -- FIXED => 0 . 12f95 (0 . 77717) FLOAT +0.592934
2c0 : 12f68; -- FIXED => 0 . 12f68 (0 . 77672) FLOAT +0.592590
2c1 : 12f3b; -- FIXED => 0 . 12f3b (0 . 77627) FLOAT +0.592247
2c2 : 12f0e; -- FIXED => 0 . 12f0e (0 . 77582) FLOAT +0.591904
2c3 : 12ee1; -- FIXED => 0 . 12ee1 (0 . 77537) FLOAT +0.591560
2c4 : 12eb4; -- FIXED => 0 . 12eb4 (0 . 77492) FLOAT +0.591217
2c5 : 12e88; -- FIXED => 0 . 12e88 (0 . 77448) FLOAT +0.590881
2c6 : 12e5b; -- FIXED => 0 . 12e5b (0 . 77403) FLOAT +0.590538
2c7 : 12e2e; -- FIXED => 0 . 12e2e (0 . 77358) FLOAT +0.590195
2c8 : 12e02; -- FIXED => 0 . 12e02 (0 . 77314) FLOAT +0.589859
2c9 : 12dd5; -- FIXED => 0 . 12dd5 (0 . 77269) FLOAT +0.589516
2ca : 12da9; -- FIXED => 0 . 12da9 (0 . 77225) FLOAT +0.589180
2cb : 12d7c; -- FIXED => 0 . 12d7c (0 . 77180) FLOAT +0.588837
2cc : 12d50; -- FIXED => 0 . 12d50 (0 . 77136) FLOAT +0.588501
2cd : 12d24; -- FIXED => 0 . 12d24 (0 . 77092) FLOAT +0.588165
2ce : 12cf8; -- FIXED => 0 . 12cf8 (0 . 77048) FLOAT +0.587830
2cf : 12ccb; -- FIXED => 0 . 12ccb (0 . 77003) FLOAT +0.587486
2d0 : 12c9f; -- FIXED => 0 . 12c9f (0 . 76959) FLOAT +0.587151
2d1 : 12c73; -- FIXED => 0 . 12c73 (0 . 76915) FLOAT +0.586815
2d2 : 12c47; -- FIXED => 0 . 12c47 (0 . 76871) FLOAT +0.586479
2d3 : 12c1b; -- FIXED => 0 . 12c1b (0 . 76827) FLOAT +0.586143
2d4 : 12bef; -- FIXED => 0 . 12bef (0 . 76783) FLOAT +0.585808
2d5 : 12bc3; -- FIXED => 0 . 12bc3 (0 . 76739) FLOAT +0.585472
2d6 : 12b97; -- FIXED => 0 . 12b97 (0 . 76695) FLOAT +0.585136
2d7 : 12b6c; -- FIXED => 0 . 12b6c (0 . 76652) FLOAT +0.584808
2d8 : 12b40; -- FIXED => 0 . 12b40 (0 . 76608) FLOAT +0.584473
2d9 : 12b14; -- FIXED => 0 . 12b14 (0 . 76564) FLOAT +0.584137
2da : 12ae8; -- FIXED => 0 . 12ae8 (0 . 76520) FLOAT +0.583801
2db : 12abd; -- FIXED => 0 . 12abd (0 . 76477) FLOAT +0.583473
2dc : 12a91; -- FIXED => 0 . 12a91 (0 . 76433) FLOAT +0.583138
2dd : 12a66; -- FIXED => 0 . 12a66 (0 . 76390) FLOAT +0.582809
2de : 12a3a; -- FIXED => 0 . 12a3a (0 . 76346) FLOAT +0.582474
2df : 12a0f; -- FIXED => 0 . 12a0f (0 . 76303) FLOAT +0.582146
2e0 : 129e4; -- FIXED => 0 . 129e4 (0 . 76260) FLOAT +0.581818
2e1 : 129b8; -- FIXED => 0 . 129b8 (0 . 76216) FLOAT +0.581482
2e2 : 1298d; -- FIXED => 0 . 1298d (0 . 76173) FLOAT +0.581154
2e3 : 12962; -- FIXED => 0 . 12962 (0 . 76130) FLOAT +0.580826
2e4 : 12937; -- FIXED => 0 . 12937 (0 . 76087) FLOAT +0.580498
2e5 : 1290c; -- FIXED => 0 . 1290c (0 . 76044) FLOAT +0.580170
2e6 : 128e0; -- FIXED => 0 . 128e0 (0 . 76000) FLOAT +0.579834
2e7 : 128b5; -- FIXED => 0 . 128b5 (0 . 75957) FLOAT +0.579506
2e8 : 1288b; -- FIXED => 0 . 1288b (0 . 75915) FLOAT +0.579185
2e9 : 12860; -- FIXED => 0 . 12860 (0 . 75872) FLOAT +0.578857
2ea : 12835; -- FIXED => 0 . 12835 (0 . 75829) FLOAT +0.578529
2eb : 1280a; -- FIXED => 0 . 1280a (0 . 75786) FLOAT +0.578201
2ec : 127df; -- FIXED => 0 . 127df (0 . 75743) FLOAT +0.577873
2ed : 127b4; -- FIXED => 0 . 127b4 (0 . 75700) FLOAT +0.577545
2ee : 1278a; -- FIXED => 0 . 1278a (0 . 75658) FLOAT +0.577225
2ef : 1275f; -- FIXED => 0 . 1275f (0 . 75615) FLOAT +0.576897
2f0 : 12735; -- FIXED => 0 . 12735 (0 . 75573) FLOAT +0.576576
2f1 : 1270a; -- FIXED => 0 . 1270a (0 . 75530) FLOAT +0.576248
2f2 : 126e0; -- FIXED => 0 . 126e0 (0 . 75488) FLOAT +0.575928
2f3 : 126b5; -- FIXED => 0 . 126b5 (0 . 75445) FLOAT +0.575600
2f4 : 1268b; -- FIXED => 0 . 1268b (0 . 75403) FLOAT +0.575279
2f5 : 12660; -- FIXED => 0 . 12660 (0 . 75360) FLOAT +0.574951
2f6 : 12636; -- FIXED => 0 . 12636 (0 . 75318) FLOAT +0.574631
2f7 : 1260c; -- FIXED => 0 . 1260c (0 . 75276) FLOAT +0.574310
2f8 : 125e2; -- FIXED => 0 . 125e2 (0 . 75234) FLOAT +0.573990
2f9 : 125b8; -- FIXED => 0 . 125b8 (0 . 75192) FLOAT +0.573669
2fa : 1258d; -- FIXED => 0 . 1258d (0 . 75149) FLOAT +0.573341
2fb : 12563; -- FIXED => 0 . 12563 (0 . 75107) FLOAT +0.573021
2fc : 12539; -- FIXED => 0 . 12539 (0 . 75065) FLOAT +0.572701
2fd : 1250f; -- FIXED => 0 . 1250f (0 . 75023) FLOAT +0.572380
2fe : 124e5; -- FIXED => 0 . 124e5 (0 . 74981) FLOAT +0.572060
2ff : 124bc; -- FIXED => 0 . 124bc (0 . 74940) FLOAT +0.571747
300 : 12492; -- FIXED => 0 . 12492 (0 . 74898) FLOAT +0.571426
301 : 12468; -- FIXED => 0 . 12468 (0 . 74856) FLOAT +0.571106
302 : 1243e; -- FIXED => 0 . 1243e (0 . 74814) FLOAT +0.570786
303 : 12415; -- FIXED => 0 . 12415 (0 . 74773) FLOAT +0.570473
304 : 123eb; -- FIXED => 0 . 123eb (0 . 74731) FLOAT +0.570152
305 : 123c1; -- FIXED => 0 . 123c1 (0 . 74689) FLOAT +0.569832
306 : 12398; -- FIXED => 0 . 12398 (0 . 74648) FLOAT +0.569519
307 : 1236e; -- FIXED => 0 . 1236e (0 . 74606) FLOAT +0.569199
308 : 12345; -- FIXED => 0 . 12345 (0 . 74565) FLOAT +0.568886
309 : 1231c; -- FIXED => 0 . 1231c (0 . 74524) FLOAT +0.568573
30a : 122f2; -- FIXED => 0 . 122f2 (0 . 74482) FLOAT +0.568253
30b : 122c9; -- FIXED => 0 . 122c9 (0 . 74441) FLOAT +0.567940
30c : 122a0; -- FIXED => 0 . 122a0 (0 . 74400) FLOAT +0.567627
30d : 12276; -- FIXED => 0 . 12276 (0 . 74358) FLOAT +0.567307
30e : 1224d; -- FIXED => 0 . 1224d (0 . 74317) FLOAT +0.566994
30f : 12224; -- FIXED => 0 . 12224 (0 . 74276) FLOAT +0.566681
310 : 121fb; -- FIXED => 0 . 121fb (0 . 74235) FLOAT +0.566368
311 : 121d2; -- FIXED => 0 . 121d2 (0 . 74194) FLOAT +0.566055
312 : 121a9; -- FIXED => 0 . 121a9 (0 . 74153) FLOAT +0.565742
313 : 12180; -- FIXED => 0 . 12180 (0 . 74112) FLOAT +0.565430
314 : 12157; -- FIXED => 0 . 12157 (0 . 74071) FLOAT +0.565117
315 : 1212e; -- FIXED => 0 . 1212e (0 . 74030) FLOAT +0.564804
316 : 12105; -- FIXED => 0 . 12105 (0 . 73989) FLOAT +0.564491
317 : 120dd; -- FIXED => 0 . 120dd (0 . 73949) FLOAT +0.564186
318 : 120b4; -- FIXED => 0 . 120b4 (0 . 73908) FLOAT +0.563873
319 : 1208b; -- FIXED => 0 . 1208b (0 . 73867) FLOAT +0.563560
31a : 12063; -- FIXED => 0 . 12063 (0 . 73827) FLOAT +0.563255
31b : 1203a; -- FIXED => 0 . 1203a (0 . 73786) FLOAT +0.562943
31c : 12012; -- FIXED => 0 . 12012 (0 . 73746) FLOAT +0.562637
31d : 11fe9; -- FIXED => 0 . 11fe9 (0 . 73705) FLOAT +0.562325
31e : 11fc1; -- FIXED => 0 . 11fc1 (0 . 73665) FLOAT +0.562019
31f : 11f98; -- FIXED => 0 . 11f98 (0 . 73624) FLOAT +0.561707
320 : 11f70; -- FIXED => 0 . 11f70 (0 . 73584) FLOAT +0.561401
321 : 11f47; -- FIXED => 0 . 11f47 (0 . 73543) FLOAT +0.561089
322 : 11f1f; -- FIXED => 0 . 11f1f (0 . 73503) FLOAT +0.560783
323 : 11ef7; -- FIXED => 0 . 11ef7 (0 . 73463) FLOAT +0.560478
324 : 11ecf; -- FIXED => 0 . 11ecf (0 . 73423) FLOAT +0.560173
325 : 11ea7; -- FIXED => 0 . 11ea7 (0 . 73383) FLOAT +0.559868
326 : 11e7f; -- FIXED => 0 . 11e7f (0 . 73343) FLOAT +0.559563
327 : 11e56; -- FIXED => 0 . 11e56 (0 . 73302) FLOAT +0.559250
328 : 11e2e; -- FIXED => 0 . 11e2e (0 . 73262) FLOAT +0.558945
329 : 11e06; -- FIXED => 0 . 11e06 (0 . 73222) FLOAT +0.558640
32a : 11ddf; -- FIXED => 0 . 11ddf (0 . 73183) FLOAT +0.558342
32b : 11db7; -- FIXED => 0 . 11db7 (0 . 73143) FLOAT +0.558037
32c : 11d8f; -- FIXED => 0 . 11d8f (0 . 73103) FLOAT +0.557732
32d : 11d67; -- FIXED => 0 . 11d67 (0 . 73063) FLOAT +0.557426
32e : 11d3f; -- FIXED => 0 . 11d3f (0 . 73023) FLOAT +0.557121
32f : 11d18; -- FIXED => 0 . 11d18 (0 . 72984) FLOAT +0.556824
330 : 11cf0; -- FIXED => 0 . 11cf0 (0 . 72944) FLOAT +0.556519
331 : 11cc8; -- FIXED => 0 . 11cc8 (0 . 72904) FLOAT +0.556213
332 : 11ca1; -- FIXED => 0 . 11ca1 (0 . 72865) FLOAT +0.555916
333 : 11c79; -- FIXED => 0 . 11c79 (0 . 72825) FLOAT +0.555611
334 : 11c52; -- FIXED => 0 . 11c52 (0 . 72786) FLOAT +0.555313
335 : 11c2a; -- FIXED => 0 . 11c2a (0 . 72746) FLOAT +0.555008
336 : 11c03; -- FIXED => 0 . 11c03 (0 . 72707) FLOAT +0.554710
337 : 11bdb; -- FIXED => 0 . 11bdb (0 . 72667) FLOAT +0.554405
338 : 11bb4; -- FIXED => 0 . 11bb4 (0 . 72628) FLOAT +0.554108
339 : 11b8d; -- FIXED => 0 . 11b8d (0 . 72589) FLOAT +0.553810
33a : 11b66; -- FIXED => 0 . 11b66 (0 . 72550) FLOAT +0.553513
33b : 11b3e; -- FIXED => 0 . 11b3e (0 . 72510) FLOAT +0.553207
33c : 11b17; -- FIXED => 0 . 11b17 (0 . 72471) FLOAT +0.552910
33d : 11af0; -- FIXED => 0 . 11af0 (0 . 72432) FLOAT +0.552612
33e : 11ac9; -- FIXED => 0 . 11ac9 (0 . 72393) FLOAT +0.552315
33f : 11aa2; -- FIXED => 0 . 11aa2 (0 . 72354) FLOAT +0.552017
340 : 11a7b; -- FIXED => 0 . 11a7b (0 . 72315) FLOAT +0.551720
341 : 11a54; -- FIXED => 0 . 11a54 (0 . 72276) FLOAT +0.551422
342 : 11a2d; -- FIXED => 0 . 11a2d (0 . 72237) FLOAT +0.551125
343 : 11a06; -- FIXED => 0 . 11a06 (0 . 72198) FLOAT +0.550827
344 : 119e0; -- FIXED => 0 . 119e0 (0 . 72160) FLOAT +0.550537
345 : 119b9; -- FIXED => 0 . 119b9 (0 . 72121) FLOAT +0.550240
346 : 11992; -- FIXED => 0 . 11992 (0 . 72082) FLOAT +0.549942
347 : 1196b; -- FIXED => 0 . 1196b (0 . 72043) FLOAT +0.549644
348 : 11945; -- FIXED => 0 . 11945 (0 . 72005) FLOAT +0.549355
349 : 1191e; -- FIXED => 0 . 1191e (0 . 71966) FLOAT +0.549057
34a : 118f8; -- FIXED => 0 . 118f8 (0 . 71928) FLOAT +0.548767
34b : 118d1; -- FIXED => 0 . 118d1 (0 . 71889) FLOAT +0.548470
34c : 118ab; -- FIXED => 0 . 118ab (0 . 71851) FLOAT +0.548180
34d : 11884; -- FIXED => 0 . 11884 (0 . 71812) FLOAT +0.547882
34e : 1185e; -- FIXED => 0 . 1185e (0 . 71774) FLOAT +0.547592
34f : 11837; -- FIXED => 0 . 11837 (0 . 71735) FLOAT +0.547295
350 : 11811; -- FIXED => 0 . 11811 (0 . 71697) FLOAT +0.547005
351 : 117eb; -- FIXED => 0 . 117eb (0 . 71659) FLOAT +0.546715
352 : 117c4; -- FIXED => 0 . 117c4 (0 . 71620) FLOAT +0.546417
353 : 1179e; -- FIXED => 0 . 1179e (0 . 71582) FLOAT +0.546127
354 : 11778; -- FIXED => 0 . 11778 (0 . 71544) FLOAT +0.545837
355 : 11752; -- FIXED => 0 . 11752 (0 . 71506) FLOAT +0.545547
356 : 1172c; -- FIXED => 0 . 1172c (0 . 71468) FLOAT +0.545258
357 : 11706; -- FIXED => 0 . 11706 (0 . 71430) FLOAT +0.544968
358 : 116e0; -- FIXED => 0 . 116e0 (0 . 71392) FLOAT +0.544678
359 : 116ba; -- FIXED => 0 . 116ba (0 . 71354) FLOAT +0.544388
35a : 11694; -- FIXED => 0 . 11694 (0 . 71316) FLOAT +0.544098
35b : 1166e; -- FIXED => 0 . 1166e (0 . 71278) FLOAT +0.543808
35c : 11648; -- FIXED => 0 . 11648 (0 . 71240) FLOAT +0.543518
35d : 11623; -- FIXED => 0 . 11623 (0 . 71203) FLOAT +0.543236
35e : 115fd; -- FIXED => 0 . 115fd (0 . 71165) FLOAT +0.542946
35f : 115d7; -- FIXED => 0 . 115d7 (0 . 71127) FLOAT +0.542656
360 : 115b1; -- FIXED => 0 . 115b1 (0 . 71089) FLOAT +0.542366
361 : 1158c; -- FIXED => 0 . 1158c (0 . 71052) FLOAT +0.542084
362 : 11566; -- FIXED => 0 . 11566 (0 . 71014) FLOAT +0.541794
363 : 11541; -- FIXED => 0 . 11541 (0 . 70977) FLOAT +0.541512
364 : 1151b; -- FIXED => 0 . 1151b (0 . 70939) FLOAT +0.541222
365 : 114f6; -- FIXED => 0 . 114f6 (0 . 70902) FLOAT +0.540939
366 : 114d0; -- FIXED => 0 . 114d0 (0 . 70864) FLOAT +0.540649
367 : 114ab; -- FIXED => 0 . 114ab (0 . 70827) FLOAT +0.540367
368 : 11485; -- FIXED => 0 . 11485 (0 . 70789) FLOAT +0.540077
369 : 11460; -- FIXED => 0 . 11460 (0 . 70752) FLOAT +0.539795
36a : 1143b; -- FIXED => 0 . 1143b (0 . 70715) FLOAT +0.539513
36b : 11416; -- FIXED => 0 . 11416 (0 . 70678) FLOAT +0.539230
36c : 113f0; -- FIXED => 0 . 113f0 (0 . 70640) FLOAT +0.538940
36d : 113cb; -- FIXED => 0 . 113cb (0 . 70603) FLOAT +0.538658
36e : 113a6; -- FIXED => 0 . 113a6 (0 . 70566) FLOAT +0.538376
36f : 11381; -- FIXED => 0 . 11381 (0 . 70529) FLOAT +0.538094
370 : 1135c; -- FIXED => 0 . 1135c (0 . 70492) FLOAT +0.537811
371 : 11337; -- FIXED => 0 . 11337 (0 . 70455) FLOAT +0.537529
372 : 11312; -- FIXED => 0 . 11312 (0 . 70418) FLOAT +0.537247
373 : 112ed; -- FIXED => 0 . 112ed (0 . 70381) FLOAT +0.536964
374 : 112c8; -- FIXED => 0 . 112c8 (0 . 70344) FLOAT +0.536682
375 : 112a3; -- FIXED => 0 . 112a3 (0 . 70307) FLOAT +0.536400
376 : 1127f; -- FIXED => 0 . 1127f (0 . 70271) FLOAT +0.536125
377 : 1125a; -- FIXED => 0 . 1125a (0 . 70234) FLOAT +0.535843
378 : 11235; -- FIXED => 0 . 11235 (0 . 70197) FLOAT +0.535561
379 : 11210; -- FIXED => 0 . 11210 (0 . 70160) FLOAT +0.535278
37a : 111ec; -- FIXED => 0 . 111ec (0 . 70124) FLOAT +0.535004
37b : 111c7; -- FIXED => 0 . 111c7 (0 . 70087) FLOAT +0.534721
37c : 111a3; -- FIXED => 0 . 111a3 (0 . 70051) FLOAT +0.534447
37d : 1117e; -- FIXED => 0 . 1117e (0 . 70014) FLOAT +0.534164
37e : 11159; -- FIXED => 0 . 11159 (0 . 69977) FLOAT +0.533882
37f : 11135; -- FIXED => 0 . 11135 (0 . 69941) FLOAT +0.533607
380 : 11111; -- FIXED => 0 . 11111 (0 . 69905) FLOAT +0.533333
381 : 110ec; -- FIXED => 0 . 110ec (0 . 69868) FLOAT +0.533051
382 : 110c8; -- FIXED => 0 . 110c8 (0 . 69832) FLOAT +0.532776
383 : 110a4; -- FIXED => 0 . 110a4 (0 . 69796) FLOAT +0.532501
384 : 1107f; -- FIXED => 0 . 1107f (0 . 69759) FLOAT +0.532219
385 : 1105b; -- FIXED => 0 . 1105b (0 . 69723) FLOAT +0.531944
386 : 11037; -- FIXED => 0 . 11037 (0 . 69687) FLOAT +0.531670
387 : 11013; -- FIXED => 0 . 11013 (0 . 69651) FLOAT +0.531395
388 : 10fef; -- FIXED => 0 . 10fef (0 . 69615) FLOAT +0.531120
389 : 10fca; -- FIXED => 0 . 10fca (0 . 69578) FLOAT +0.530838
38a : 10fa6; -- FIXED => 0 . 10fa6 (0 . 69542) FLOAT +0.530563
38b : 10f82; -- FIXED => 0 . 10f82 (0 . 69506) FLOAT +0.530289
38c : 10f5e; -- FIXED => 0 . 10f5e (0 . 69470) FLOAT +0.530014
38d : 10f3a; -- FIXED => 0 . 10f3a (0 . 69434) FLOAT +0.529739
38e : 10f17; -- FIXED => 0 . 10f17 (0 . 69399) FLOAT +0.529472
38f : 10ef3; -- FIXED => 0 . 10ef3 (0 . 69363) FLOAT +0.529198
390 : 10ecf; -- FIXED => 0 . 10ecf (0 . 69327) FLOAT +0.528923
391 : 10eab; -- FIXED => 0 . 10eab (0 . 69291) FLOAT +0.528648
392 : 10e87; -- FIXED => 0 . 10e87 (0 . 69255) FLOAT +0.528374
393 : 10e64; -- FIXED => 0 . 10e64 (0 . 69220) FLOAT +0.528107
394 : 10e40; -- FIXED => 0 . 10e40 (0 . 69184) FLOAT +0.527832
395 : 10e1c; -- FIXED => 0 . 10e1c (0 . 69148) FLOAT +0.527557
396 : 10df9; -- FIXED => 0 . 10df9 (0 . 69113) FLOAT +0.527290
397 : 10dd5; -- FIXED => 0 . 10dd5 (0 . 69077) FLOAT +0.527016
398 : 10db2; -- FIXED => 0 . 10db2 (0 . 69042) FLOAT +0.526749
399 : 10d8e; -- FIXED => 0 . 10d8e (0 . 69006) FLOAT +0.526474
39a : 10d6b; -- FIXED => 0 . 10d6b (0 . 68971) FLOAT +0.526207
39b : 10d47; -- FIXED => 0 . 10d47 (0 . 68935) FLOAT +0.525932
39c : 10d24; -- FIXED => 0 . 10d24 (0 . 68900) FLOAT +0.525665
39d : 10d00; -- FIXED => 0 . 10d00 (0 . 68864) FLOAT +0.525391
39e : 10cdd; -- FIXED => 0 . 10cdd (0 . 68829) FLOAT +0.525124
39f : 10cba; -- FIXED => 0 . 10cba (0 . 68794) FLOAT +0.524857
3a0 : 10c97; -- FIXED => 0 . 10c97 (0 . 68759) FLOAT +0.524590
3a1 : 10c73; -- FIXED => 0 . 10c73 (0 . 68723) FLOAT +0.524315
3a2 : 10c50; -- FIXED => 0 . 10c50 (0 . 68688) FLOAT +0.524048
3a3 : 10c2d; -- FIXED => 0 . 10c2d (0 . 68653) FLOAT +0.523781
3a4 : 10c0a; -- FIXED => 0 . 10c0a (0 . 68618) FLOAT +0.523514
3a5 : 10be7; -- FIXED => 0 . 10be7 (0 . 68583) FLOAT +0.523247
3a6 : 10bc4; -- FIXED => 0 . 10bc4 (0 . 68548) FLOAT +0.522980
3a7 : 10ba1; -- FIXED => 0 . 10ba1 (0 . 68513) FLOAT +0.522713
3a8 : 10b7e; -- FIXED => 0 . 10b7e (0 . 68478) FLOAT +0.522446
3a9 : 10b5b; -- FIXED => 0 . 10b5b (0 . 68443) FLOAT +0.522179
3aa : 10b38; -- FIXED => 0 . 10b38 (0 . 68408) FLOAT +0.521912
3ab : 10b15; -- FIXED => 0 . 10b15 (0 . 68373) FLOAT +0.521645
3ac : 10af2; -- FIXED => 0 . 10af2 (0 . 68338) FLOAT +0.521378
3ad : 10ad0; -- FIXED => 0 . 10ad0 (0 . 68304) FLOAT +0.521118
3ae : 10aad; -- FIXED => 0 . 10aad (0 . 68269) FLOAT +0.520851
3af : 10a8a; -- FIXED => 0 . 10a8a (0 . 68234) FLOAT +0.520584
3b0 : 10a68; -- FIXED => 0 . 10a68 (0 . 68200) FLOAT +0.520325
3b1 : 10a45; -- FIXED => 0 . 10a45 (0 . 68165) FLOAT +0.520058
3b2 : 10a22; -- FIXED => 0 . 10a22 (0 . 68130) FLOAT +0.519791
3b3 : 10a00; -- FIXED => 0 . 10a00 (0 . 68096) FLOAT +0.519531
3b4 : 109dd; -- FIXED => 0 . 109dd (0 . 68061) FLOAT +0.519264
3b5 : 109bb; -- FIXED => 0 . 109bb (0 . 68027) FLOAT +0.519005
3b6 : 10998; -- FIXED => 0 . 10998 (0 . 67992) FLOAT +0.518738
3b7 : 10976; -- FIXED => 0 . 10976 (0 . 67958) FLOAT +0.518478
3b8 : 10953; -- FIXED => 0 . 10953 (0 . 67923) FLOAT +0.518211
3b9 : 10931; -- FIXED => 0 . 10931 (0 . 67889) FLOAT +0.517952
3ba : 1090f; -- FIXED => 0 . 1090f (0 . 67855) FLOAT +0.517693
3bb : 108ec; -- FIXED => 0 . 108ec (0 . 67820) FLOAT +0.517426
3bc : 108ca; -- FIXED => 0 . 108ca (0 . 67786) FLOAT +0.517166
3bd : 108a8; -- FIXED => 0 . 108a8 (0 . 67752) FLOAT +0.516907
3be : 10886; -- FIXED => 0 . 10886 (0 . 67718) FLOAT +0.516647
3bf : 10864; -- FIXED => 0 . 10864 (0 . 67684) FLOAT +0.516388
3c0 : 10842; -- FIXED => 0 . 10842 (0 . 67650) FLOAT +0.516129
3c1 : 1081f; -- FIXED => 0 . 1081f (0 . 67615) FLOAT +0.515862
3c2 : 107fd; -- FIXED => 0 . 107fd (0 . 67581) FLOAT +0.515602
3c3 : 107db; -- FIXED => 0 . 107db (0 . 67547) FLOAT +0.515343
3c4 : 107b9; -- FIXED => 0 . 107b9 (0 . 67513) FLOAT +0.515083
3c5 : 10798; -- FIXED => 0 . 10798 (0 . 67480) FLOAT +0.514832
3c6 : 10776; -- FIXED => 0 . 10776 (0 . 67446) FLOAT +0.514572
3c7 : 10754; -- FIXED => 0 . 10754 (0 . 67412) FLOAT +0.514313
3c8 : 10732; -- FIXED => 0 . 10732 (0 . 67378) FLOAT +0.514053
3c9 : 10710; -- FIXED => 0 . 10710 (0 . 67344) FLOAT +0.513794
3ca : 106ee; -- FIXED => 0 . 106ee (0 . 67310) FLOAT +0.513535
3cb : 106cd; -- FIXED => 0 . 106cd (0 . 67277) FLOAT +0.513283
3cc : 106ab; -- FIXED => 0 . 106ab (0 . 67243) FLOAT +0.513023
3cd : 10689; -- FIXED => 0 . 10689 (0 . 67209) FLOAT +0.512764
3ce : 10668; -- FIXED => 0 . 10668 (0 . 67176) FLOAT +0.512512
3cf : 10646; -- FIXED => 0 . 10646 (0 . 67142) FLOAT +0.512253
3d0 : 10624; -- FIXED => 0 . 10624 (0 . 67108) FLOAT +0.511993
3d1 : 10603; -- FIXED => 0 . 10603 (0 . 67075) FLOAT +0.511742
3d2 : 105e1; -- FIXED => 0 . 105e1 (0 . 67041) FLOAT +0.511482
3d3 : 105c0; -- FIXED => 0 . 105c0 (0 . 67008) FLOAT +0.511230
3d4 : 1059e; -- FIXED => 0 . 1059e (0 . 66974) FLOAT +0.510971
3d5 : 1057d; -- FIXED => 0 . 1057d (0 . 66941) FLOAT +0.510719
3d6 : 1055c; -- FIXED => 0 . 1055c (0 . 66908) FLOAT +0.510468
3d7 : 1053a; -- FIXED => 0 . 1053a (0 . 66874) FLOAT +0.510208
3d8 : 10519; -- FIXED => 0 . 10519 (0 . 66841) FLOAT +0.509956
3d9 : 104f8; -- FIXED => 0 . 104f8 (0 . 66808) FLOAT +0.509705
3da : 104d6; -- FIXED => 0 . 104d6 (0 . 66774) FLOAT +0.509445
3db : 104b5; -- FIXED => 0 . 104b5 (0 . 66741) FLOAT +0.509193
3dc : 10494; -- FIXED => 0 . 10494 (0 . 66708) FLOAT +0.508942
3dd : 10473; -- FIXED => 0 . 10473 (0 . 66675) FLOAT +0.508690
3de : 10452; -- FIXED => 0 . 10452 (0 . 66642) FLOAT +0.508438
3df : 10431; -- FIXED => 0 . 10431 (0 . 66609) FLOAT +0.508186
3e0 : 10410; -- FIXED => 0 . 10410 (0 . 66576) FLOAT +0.507935
3e1 : 103ef; -- FIXED => 0 . 103ef (0 . 66543) FLOAT +0.507683
3e2 : 103ce; -- FIXED => 0 . 103ce (0 . 66510) FLOAT +0.507431
3e3 : 103ad; -- FIXED => 0 . 103ad (0 . 66477) FLOAT +0.507179
3e4 : 1038c; -- FIXED => 0 . 1038c (0 . 66444) FLOAT +0.506927
3e5 : 1036b; -- FIXED => 0 . 1036b (0 . 66411) FLOAT +0.506676
3e6 : 1034a; -- FIXED => 0 . 1034a (0 . 66378) FLOAT +0.506424
3e7 : 10329; -- FIXED => 0 . 10329 (0 . 66345) FLOAT +0.506172
3e8 : 10309; -- FIXED => 0 . 10309 (0 . 66313) FLOAT +0.505928
3e9 : 102e8; -- FIXED => 0 . 102e8 (0 . 66280) FLOAT +0.505676
3ea : 102c7; -- FIXED => 0 . 102c7 (0 . 66247) FLOAT +0.505424
3eb : 102a6; -- FIXED => 0 . 102a6 (0 . 66214) FLOAT +0.505173
3ec : 10286; -- FIXED => 0 . 10286 (0 . 66182) FLOAT +0.504929
3ed : 10265; -- FIXED => 0 . 10265 (0 . 66149) FLOAT +0.504677
3ee : 10245; -- FIXED => 0 . 10245 (0 . 66117) FLOAT +0.504433
3ef : 10224; -- FIXED => 0 . 10224 (0 . 66084) FLOAT +0.504181
3f0 : 10204; -- FIXED => 0 . 10204 (0 . 66052) FLOAT +0.503937
3f1 : 101e3; -- FIXED => 0 . 101e3 (0 . 66019) FLOAT +0.503685
3f2 : 101c3; -- FIXED => 0 . 101c3 (0 . 65987) FLOAT +0.503441
3f3 : 101a2; -- FIXED => 0 . 101a2 (0 . 65954) FLOAT +0.503189
3f4 : 10182; -- FIXED => 0 . 10182 (0 . 65922) FLOAT +0.502945
3f5 : 10161; -- FIXED => 0 . 10161 (0 . 65889) FLOAT +0.502693
3f6 : 10141; -- FIXED => 0 . 10141 (0 . 65857) FLOAT +0.502449
3f7 : 10121; -- FIXED => 0 . 10121 (0 . 65825) FLOAT +0.502205
3f8 : 10101; -- FIXED => 0 . 10101 (0 . 65793) FLOAT +0.501961
3f9 : 100e0; -- FIXED => 0 . 100e0 (0 . 65760) FLOAT +0.501709
3fa : 100c0; -- FIXED => 0 . 100c0 (0 . 65728) FLOAT +0.501465
3fb : 100a0; -- FIXED => 0 . 100a0 (0 . 65696) FLOAT +0.501221
3fc : 10080; -- FIXED => 0 . 10080 (0 . 65664) FLOAT +0.500977
3fd : 10060; -- FIXED => 0 . 10060 (0 . 65632) FLOAT +0.500732
3fe : 10040; -- FIXED => 0 . 10040 (0 . 65600) FLOAT +0.500488
3ff : 10020; -- FIXED => 0 . 10020 (0 . 65568) FLOAT +0.500244
END;
/branches/fp_sgdma/arith/wide/arithblock.vhd
0,0 → 1,219
--! @file arithblock.vhd
--! @brief Bloque Aritmético de 4 sumadores y 6 multiplicadores.
--! @author Juli&aacute;n Andr&eacute;s Guar&iacute;n Reyes
--------------------------------------------------------------
-- RAYTRAC
-- Author Julian Andres Guarin
-- memblock.vhd
-- This file is part of raytrac.
--
-- raytrac is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- raytrac is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR a PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>.
 
 
library ieee;
use ieee.std_logic_1164.all;
use work.arithpack.all;
 
entity arithblock is
port (
clk : in std_logic;
rst : in std_logic;
sign : in std_logic;
factor0 : in std_logic_vector(31 downto 0);
factor1 : in std_logic_vector(31 downto 0);
factor2 : in std_logic_vector(31 downto 0);
factor3 : in std_logic_vector(31 downto 0);
factor4 : in std_logic_vector(31 downto 0);
factor5 : in std_logic_vector(31 downto 0);
factor6 : in std_logic_vector(31 downto 0);
factor7 : in std_logic_vector(31 downto 0);
factor8 : in std_logic_vector(31 downto 0);
factor9 : in std_logic_vector(31 downto 0);
factor10 : in std_logic_vector(31 downto 0);
factor11 : in std_logic_vector(31 downto 0);
--factor : in vectorblock06;
sumando0 : in std_logic_vector(31 downto 0);
sumando1 : in std_logic_vector(31 downto 0);
sumando2 : in std_logic_vector(31 downto 0);
sumando3 : in std_logic_vector(31 downto 0);
sumando4 : in std_logic_vector(31 downto 0);
sumando5 : in std_logic_vector(31 downto 0);
--add32blki : in vectorblock06;
a0 : out std_logic_vector(31 downto 0);
a1 : out std_logic_vector(31 downto 0);
a2 : out std_logic_vector(31 downto 0);
--add32blko : out vectorblock03;
p0 : out std_logic_vector(31 downto 0);
p1 : out std_logic_vector(31 downto 0);
p2 : out std_logic_vector(31 downto 0);
p3 : out std_logic_vector(31 downto 0);
p4 : out std_logic_vector(31 downto 0);
p5 : out std_logic_vector(31 downto 0);
--p : out vectorblock06;
sq32o : out std_logic_vector(31 downto 0);
inv32o : out std_logic_vector(31 downto 0)
);
end entity;
 
architecture arithblock_arch of arithblock is
 
--! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html ....
attribute altera_attribute : string;
attribute altera_attribute of arithblock_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
 
 
signal sadd32blko_01 : std_logic_vector(31 downto 0);
signal ssq32o : std_logic_vector(31 downto 0);
--! Componentes Aritm&eacute;ticos
component fadd32long
port (
clk : in std_logic;
dpc : in std_logic;
a32 : in std_logic_vector(31 downto 0);
b32 : in std_logic_vector(31 downto 0);
c32 : out std_logic_vector(31 downto 0)
);
end component;
component fmul32
port (
factor0 : in std_logic_vector(31 downto 0);
factor1 : in std_logic_vector(31 downto 0);
factor2 : in std_logic_vector(31 downto 0);
factor3 : in std_logic_vector(31 downto 0);
factor4 : in std_logic_vector(31 downto 0);
factor5 : in std_logic_vector(31 downto 0);
factor6 : in std_logic_vector(31 downto 0);
factor7 : in std_logic_vector(31 downto 0);
factor8 : in std_logic_vector(31 downto 0);
factor9 : in std_logic_vector(31 downto 0);
factor10: in std_logic_vector(31 downto 0);
factor11: in std_logic_vector(31 downto 0);
p0: out std_logic_vector(31 downto 0);
p1: out std_logic_vector(31 downto 0);
p2: out std_logic_vector(31 downto 0);
p3: out std_logic_vector(31 downto 0);
p4: out std_logic_vector(31 downto 0);
p5: out std_logic_vector(31 downto 0);
);
end component;
--! Bloque de Raiz Cuadrada
component sqrt32
port (
clk : in std_logic;
rd32: in std_logic_vector(31 downto 0);
sq32: out std_logic_vector(31 downto 0)
);
end component;
--! Bloque de Inversores.
component invr32
port (
clk : in std_logic;
dvd32 : in std_logic_vector(31 downto 0);
qout32 : out std_logic_vector(31 downto 0)
);
end component;
 
 
begin
 
sq32o <= ssq32o;
a1 <= sadd32blko_01;
 
--!TBXINSTANCESTART
adder_i_0 : fadd32long
port map (
clk => clk,
dpc => sign,
a32 => sumando0,
b32 => sumando1,
c32 => a0
);
--!TBXINSTANCESTART
adder_i_1 : fadd32long
port map (
clk => clk,
dpc => sign,
a32 => sumando2,
b32 => sumando3,
c32 => sadd32blko_01
);
--!TBXINSTANCESTART
adder_i_2 : fadd32long
port map (
clk => clk,
dpc => sign,
a32 => sumando4,
b32 => sumando5,
c32 => a2
);
--!TBXINSTANCESTART
mul_i_0 : fmul32
port map (
clk => clk,
factor0 => factor0,
factor1 => factor1,
factor2 => factor2,
factor3 => factor3,
factor4 => factor4,
factor5 => factor5,
factor6 => factor6,
factor7 => factor7,
factor8 => factor8,
factor9 => factor9,
factor10 => factor10,
factor11 => factor11,
p0 => p0,
p1 => p1,
p2 => p2,
p3 => p3,
p4 => p4,
p5 => p5
);
--!TBXINSTANCESTART
square_root : sqrt32
port map (
clk => clk,
rd32 => sadd32blko_01,
sq32 => ssq32o
);
--!TBXINSTANCESTART
inversion_block : invr32
port map (
clk => clk,
dvd32 => ssq32o,
qout32 => inv32o
);
end architecture;
/branches/fp_sgdma/arith/wide/fmul32.vhd
0,0 → 1,760
------------------------------------------------
--! @file fmul32.vhd
--! @brief RayTrac Mantissa Multiplier
--! @author Juli&aacute;n Andr&eacute;s Guar&iacute;n Reyes
--------------------------------------------------
 
 
-- RAYTRAC (FP BRANCH)
-- Author Julian Andres Guarin
-- fmul32.vhd
-- This file is part of raytrac.
--
-- raytrac is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- raytrac is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with raytrac. If not, see <http://www.gnu.org/licenses/>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.arithpack.all;
 
 
entity fmul32 is
port (
clk : in std_logic;
factor0 : in std_logic_vector(31 downto 0);
factor1 : in std_logic_vector(31 downto 0);
factor2 : in std_logic_vector(31 downto 0);
factor3 : in std_logic_vector(31 downto 0);
factor4 : in std_logic_vector(31 downto 0);
factor5 : in std_logic_vector(31 downto 0);
factor6 : in std_logic_vector(31 downto 0);
factor7 : in std_logic_vector(31 downto 0);
factor8 : in std_logic_vector(31 downto 0);
factor9 : in std_logic_vector(31 downto 0);
factor10: in std_logic_vector(31 downto 0);
factor11: in std_logic_vector(31 downto 0);
p0: out std_logic_vector(31 downto 0);
p1: out std_logic_vector(31 downto 0);
p2: out std_logic_vector(31 downto 0);
p3: out std_logic_vector(31 downto 0);
p4: out std_logic_vector(31 downto 0);
p5: out std_logic_vector(31 downto 0);
);
end entity;
architecture fmul32_arch of fmul32 is
 
--! Altera Compiler Directive, to avoid m9k autoinferring thanks to the guys at http://www.alteraforum.com/forum/archive/index.php/t-30784.html ....
attribute altera_attribute : string;
attribute altera_attribute of fmul32_arch : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF";
--Stage 0 signals
signal s0dataa_alfa_p0,s0dataa_beta_p0,s0dataa_gama_p0,s0datab_p0 : std_logic_vector(17 downto 0);
signal s0dataa_alfa_p1,s0dataa_beta_p1,s0dataa_gama_p1,s0datab_p1 : std_logic_vector(17 downto 0);
signal s0dataa_alfa_p2,s0dataa_beta_p2,s0dataa_gama_p2,s0datab_p2 : std_logic_vector(17 downto 0);
signal s0dataa_alfa_p3,s0dataa_beta_p3,s0dataa_gama_p3,s0datab_p3 : std_logic_vector(17 downto 0);
signal s0dataa_alfa_p4,s0dataa_beta_p4,s0dataa_gama_p4,s0datab_p4 : std_logic_vector(17 downto 0);
signal s0dataa_alfa_p5,s0dataa_beta_p5,s0dataa_gama_p5,s0datab_p5 : std_logic_vector(17 downto 0);
--!TXBXSTART:MULT_STAGE0
signal s0sga_p0,s0sgb_p0,s0zrs_p0 : std_logic;
signal s0sga_p1,s0sgb_p1,s0zrs_p1 : std_logic;
signal s0sga_p2,s0sgb_p2,s0zrs_p2 : std_logic;
signal s0sga_p3,s0sgb_p3,s0zrs_p3 : std_logic;
signal s0sga_p4,s0sgb_p4,s0zrs_p4 : std_logic;
signal s0sga_p5,s0sgb_p5,s0zrs_p5 : std_logic;
signal s0exp_p0 : std_logic_vector(7 downto 0);
signal s0exp_p1 : std_logic_vector(7 downto 0);
signal s0exp_p2 : std_logic_vector(7 downto 0);
signal s0exp_p3 : std_logic_vector(7 downto 0);
signal s0exp_p4 : std_logic_vector(7 downto 0);
signal s0exp_p5 : std_logic_vector(7 downto 0);
signal s0uma_p0,s0umb_p0 : std_logic_vector(22 downto 0);
signal s0uma_p1,s0umb_p1 : std_logic_vector(22 downto 0);
signal s0uma_p2,s0umb_p2 : std_logic_vector(22 downto 0);
signal s0uma_p3,s0umb_p3 : std_logic_vector(22 downto 0);
signal s0uma_p4,s0umb_p4 : std_logic_vector(22 downto 0);
signal s0uma_p5,s0umb_p5 : std_logic_vector(22 downto 0);
signal s0ac_p0 : std_logic_vector(35 downto 0);
signal s0ac_p1 : std_logic_vector(35 downto 0);
signal s0ac_p2 : std_logic_vector(35 downto 0);
signal s0ac_p3 : std_logic_vector(35 downto 0);
signal s0ac_p4 : std_logic_vector(35 downto 0);
signal s0ac_p5 : std_logic_vector(35 downto 0);
--!TBXEND
signal s1sgr_p0,s2sgr_p0:std_logic;
signal s1sgr_p1,s2sgr_p1:std_logic;
signal s1sgr_p2,s2sgr_p2:std_logic;
signal s1sgr_p3,s2sgr_p3:std_logic;
signal s1sgr_p4,s2sgr_p4:std_logic;
signal s1sgr_p5,s2sgr_p5:std_logic;
signal s0exa_p0,s0exb_p0,s1exp_p0,s2exp_p0:std_logic_vector(7 downto 0);
signal s0exa_p1,s0exb_p1,s1exp_p1,s2exp_p1:std_logic_vector(7 downto 0);
signal s0exa_p2,s0exb_p2,s1exp_p2,s2exp_p2:std_logic_vector(7 downto 0);
signal s0exa_p3,s0exb_p3,s1exp_p3,s2exp_p3:std_logic_vector(7 downto 0);
signal s0exa_p4,s0exb_p4,s1exp_p4,s2exp_p4:std_logic_vector(7 downto 0);
signal s0exa_p5,s0exb_p5,s1exp_p5,s2exp_p5:std_logic_vector(7 downto 0);
signal s0ad_p0,s0bc_p0,s1ad_p0,s1bc_p0:std_logic_vector(23 downto 0);
signal s0ad_p1,s0bc_p1,s1ad_p1,s1bc_p1:std_logic_vector(23 downto 0);
signal s0ad_p2,s0bc_p2,s1ad_p2,s1bc_p2:std_logic_vector(23 downto 0);
signal s0ad_p3,s0bc_p3,s1ad_p3,s1bc_p3:std_logic_vector(23 downto 0);
signal s0ad_p4,s0bc_p4,s1ad_p4,s1bc_p4:std_logic_vector(23 downto 0);
signal s0ad_p5,s0bc_p5,s1ad_p5,s1bc_p5:std_logic_vector(23 downto 0);
signal s1ac_p0,s1umu_p0:std_logic_vector(35 downto 0);
signal s1ac_p1,s1umu_p1:std_logic_vector(35 downto 0);
signal s1ac_p2,s1umu_p2:std_logic_vector(35 downto 0);
signal s1ac_p3,s1umu_p3:std_logic_vector(35 downto 0);
signal s1ac_p4,s1umu_p4:std_logic_vector(35 downto 0);
signal s1ac_p5,s1umu_p5:std_logic_vector(35 downto 0);
signal s2umu_p0:std_logic_vector(24 downto 0);
signal s2umu_p1:std_logic_vector(24 downto 0);
signal s2umu_p2:std_logic_vector(24 downto 0);
signal s2umu_p3:std_logic_vector(24 downto 0);
signal s2umu_p4:std_logic_vector(24 downto 0);
signal s2umu_p5:std_logic_vector(24 downto 0);
--! LPM_MULTIPLIER
component lpm_mult
generic (
lpm_hint : string;
lpm_pipeline : natural;
lpm_representation : string;
lpm_type : string;
lpm_widtha : natural;
lpm_widthb : natural;
lpm_widthp : natural
);
port (
dataa : in std_logic_vector ( lpm_widtha-1 downto 0 );
datab : in std_logic_vector ( lpm_widthb-1 downto 0 );
result : out std_logic_vector( lpm_widthp-1 downto 0 )
);
end component;
begin
process(clk)
begin
if clk'event and clk='1' then
--! Registro de entrada
s0sga_p0 <= factor0(31);
s0sga_p1 <= factor2(31);
s0sga_p2 <= factor4(31);
s0sga_p3 <= factor6(31);
s0sga_p4 <= factor8(31);
s0sga_p5 <= factor10(31);
 
s0sgb_p0 <= factor1(31);
s0sgb_p1 <= factor3(31);
s0sgb_p2 <= factor5(31);
s0sgb_p3 <= factor7(31);
s0sgb_p4 <= factor9(31);
s0sgb_p5 <= factor11(31);
 
s0exa_p0 <= factor0(30 downto 23);
s0exa_p1 <= factor2(30 downto 23);
s0exa_p2 <= factor4(30 downto 23);
s0exa_p3 <= factor6(30 downto 23);
s0exa_p4 <= factor8(30 downto 23);
s0exa_p5 <= factor10(30 downto 23);
 
s0exb_p0 <= factor1(30 downto 23);
s0exb_p1 <= factor3(30 downto 23);
s0exb_p2 <= factor5(30 downto 23);
s0exb_p3 <= factor7(30 downto 23);
s0exb_p4 <= factor9(30 downto 23);
s0exb_p5 <= factor11(30 downto 23);
 
s0uma_p0 <= factor0(22 downto 0);
s0uma_p1 <= factor2(22 downto 0);
s0uma_p2 <= factor4(22 downto 0);
s0uma_p3 <= factor6(22 downto 0);
s0uma_p4 <= factor8(22 downto 0);
s0uma_p5 <= factor10(22 downto 0);
 
s0umb_p0 <= factor1(22 downto 0);
s0umb_p1 <= factor3(22 downto 0);
s0umb_p2 <= factor5(22 downto 0);
s0umb_p3 <= factor7(22 downto 0);
s0umb_p4 <= factor9(22 downto 0);
s0umb_p5 <= factor11(22 downto 0);
 
--! Etapa 0 multiplicacion de la mantissa, suma de los exponentes y multiplicaci&oacute;n de los signos.
s1sgr_p0 <= s0sga_p0 xor s0sgb_p0;
s1sgr_p1 <= s0sga_p1 xor s0sgb_p1;
s1sgr_p2 <= s0sga_p2 xor s0sgb_p2;
s1sgr_p3 <= s0sga_p3 xor s0sgb_p3;
s1sgr_p4 <= s0sga_p4 xor s0sgb_p4;
s1sgr_p5 <= s0sga_p5 xor s0sgb_p5;
 
s1ad_p0 <= s0ad_p0;
s1ad_p1 <= s0ad_p1;
s1ad_p2 <= s0ad_p2;
s1ad_p3 <= s0ad_p3;
s1ad_p4 <= s0ad_p4;
s1ad_p5 <= s0ad_p5;
s1bc_p0 <= s0bc_p0;
s1bc_p1 <= s0bc_p1;
s1bc_p2 <= s0bc_p2;
s1bc_p3 <= s0bc_p3;
s1bc_p4 <= s0bc_p4;
s1bc_p5 <= s0bc_p5;
 
s1ac_p0 <= s0ac_p0;
s1ac_p1 <= s0ac_p1;
s1ac_p2 <= s0ac_p2;
s1ac_p3 <= s0ac_p3;
s1ac_p4 <= s0ac_p4;
s1ac_p5 <= s0ac_p5;
 
s1exp_p0 <= s0exp_p0;
s1exp_p1 <= s0exp_p1;
s1exp_p2 <= s0exp_p2;
s1exp_p3 <= s0exp_p3;
s1exp_p4 <= s0exp_p4;
s1exp_p5 <= s0exp_p5;
--! Etapa 1 Sumas parciales
s2umu_p0 <= s1umu_p0(35 downto 11);
s2umu_p1 <= s1umu_p1(35 downto 11);
s2umu_p2 <= s1umu_p2(35 downto 11);
s2umu_p3 <= s1umu_p3(35 downto 11);
s2umu_p4 <= s1umu_p4(35 downto 11);
s2umu_p5 <= s1umu_p5(35 downto 11);
s2sgr_p0 <= s1sgr_p0;
s2sgr_p1 <= s1sgr_p1;
s2sgr_p2 <= s1sgr_p2;
s2sgr_p3 <= s1sgr_p3;
s2sgr_p4 <= s1sgr_p4;
s2sgr_p5 <= s1sgr_p5;
 
s2exp_p0 <= s1exp_p0;
s2exp_p1 <= s1exp_p1;
s2exp_p2 <= s1exp_p2;
s2exp_p3 <= s1exp_p3;
s2exp_p4 <= s1exp_p4;
s2exp_p5 <= s1exp_p5;
end if;
end process;
--! Etapa 2 entregar el resultado
p0(31) <= s2sgr_p0;
p1(31) <= s2sgr_p1;
p2(31) <= s2sgr_p2;
p3(31) <= s2sgr_p3;
p4(31) <= s2sgr_p4;
p5(31) <= s2sgr_p5;
 
process (
s2exp_p0,
s2exp_p1,
s2exp_p2,
s2exp_p3,
s2exp_p4,
s2exp_p5,
 
s2umu_p0,
s2umu_p1,
s2umu_p2,
s2umu_p3,
s2umu_p4,
s2umu_p5
)
begin
 
p0(30 downto 23) <= s2exp_p0+s2umu_p0(24);
p1(30 downto 23) <= s2exp_p1+s2umu_p1(24);
p2(30 downto 23) <= s2exp_p2+s2umu_p2(24);
p3(30 downto 23) <= s2exp_p3+s2umu_p3(24);
p4(30 downto 23) <= s2exp_p4+s2umu_p4(24);
p5(30 downto 23) <= s2exp_p5+s2umu_p5(24);
 
if s2umu_p0(24) ='1' then
p0(22 downto 0) <= s2umu_p0(23 downto 1);
else
p0(22 downto 0) <= s2umu_p0(22 downto 0);
end if;
if s2umu_p1(24) ='1' then
p1(22 downto 0) <= s2umu_p1(23 downto 1);
elsd
p1(22 downto 0) <= s2umu_p1(22 downto 0);
end if;
if s2umu_p2(24) ='1' then
p2(22 downto 0) <= s2umu_p2(23 downto 1);
else
p2(22 downto 0) <= s2umu_p2(22 downto 0);
end if;
if s2umu_p3(24) ='1' then
p3(22 downto 0) <= s2umu_p3(23 downto 1);
else
p3(22 downto 0) <= s2umu_p3(22 downto 0);
end if;
if s2umu_p4(24) ='1' then
p4(22 downto 0) <= s2umu_p4(23 downto 1);
else
p4(22 downto 0) <= s2umu_p4(22 downto 0);
end if;
if s2umu_p5(24) ='1' then
p5(22 downto 0) <= s2umu_p5(23 downto 1);
else
p5(22 downto 0) <= s2umu_p5(22 downto 0);
end if;
end process;
--! Combinatorial Gremlin Etapa 0 : multiplicacion de la mantissa, suma de los exponentes y multiplicaci&oacute;n de los signos.
--! Multipliers
s0dataa_alfa_p0 <= s0zrs_p0&s0uma_p0(22 downto 6);
s0dataa_alfa_p1 <= s0zrs_p1&s0uma_p1(22 downto 6);
s0dataa_alfa_p2 <= s0zrs_p2&s0uma_p2(22 downto 6);
s0dataa_alfa_p3 <= s0zrs_p3&s0uma_p3(22 downto 6);
s0dataa_alfa_p4 <= s0zrs_p4&s0uma_p4(22 downto 6);
s0dataa_alfa_p5 <= s0zrs_p5&s0uma_p5(22 downto 6);
 
s0datab_p0 <= s0zrs_p0&s0umb_p0(22 downto 6);
s0datab_p1 <= s0zrs_p1&s0umb_p1(22 downto 6);
s0datab_p2 <= s0zrs_p2&s0umb_p2(22 downto 6);
s0datab_p3 <= s0zrs_p3&s0umb_p3(22 downto 6);
s0datab_p4 <= s0zrs_p4&s0umb_p4(22 downto 6);
s0datab_p5 <= s0zrs_p5&s0umb_p5(22 downto 6);
 
mult18x18ac0:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 18,
lpm_widthp => 36
)
port map (
dataa => s0dataa_alfa_p0,
datab => s0datab_p0,
result => s0ac_p0
);
 
mult18x18ac1:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 18,
lpm_widthp => 36
)
port map (
dataa => s0dataa_alfa_p1,
datab => s0datab_p1,
result => s0ac_p1
);
 
mult18x18ac2:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 18,
lpm_widthp => 36
)
port map (
dataa => s0dataa_alfa_p2,
datab => s0datab_p2,
result => s0ac_p2
);
 
mult18x18ac3:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 18,
lpm_widthp => 36
)
port map (
dataa => s0dataa_alfa_p3,
datab => s0datab_p3,
result => s0ac_p3
);
 
mult18x18ac4:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 18,
lpm_widthp => 36
)
port map (
dataa => s0dataa_alfa_p4,
datab => s0datab_p4,
result => s0ac_p4
);
mult18x18ac5:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 18,
lpm_widthp => 36
)
port map (
dataa => s0dataa_alfa_p5,
datab => s0datab_p5,
result => s0ac_p5
);
 
s0dataa_beta_p0 <= s0zrs_p0&s0uma_p0(22 downto 6);
s0dataa_beta_p1 <= s0zrs_p1&s0uma_p1(22 downto 6);
s0dataa_beta_p2 <= s0zrs_p2&s0uma_p2(22 downto 6);
s0dataa_beta_p3 <= s0zrs_p3&s0uma_p3(22 downto 6);
s0dataa_beta_p4 <= s0zrs_p4&s0uma_p4(22 downto 6);
s0dataa_beta_p5 <= s0zrs_p5&s0uma_p5(22 downto 6);
 
mult18x6ad0:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_beta_p0,
datab => s0umb_p0(5 downto 0),
result => s0ad_p0
);
 
 
mult18x6ad1:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_beta_p1,
datab => s0umb_p1(5 downto 0),
result => s0ad_p1
);
 
mult18x6ad2:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_beta_p2,
datab => s0umb_p2(5 downto 0),
result => s0ad_p2
);
 
mult18x6ad3:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_beta_p3,
datab => s0umb_p3(5 downto 0),
result => s0ad_p3
);
 
mult18x6ad4:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_beta_p4,
datab => s0umb_p4(5 downto 0),
result => s0ad_p4
);
 
mult18x6ad5:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_beta_p5,
datab => s0umb_p5(5 downto 0),
result => s0ad_p5
);
 
 
s0dataa_gama_p0 <= s0zrs_p0&s0umb_p0(22 downto 6);
s0dataa_gama_p1 <= s0zrs_p0&s0umb_p1(22 downto 6);
s0dataa_gama_p2 <= s0zrs_p0&s0umb_p2(22 downto 6);
s0dataa_gama_p3 <= s0zrs_p0&s0umb_p3(22 downto 6);
s0dataa_gama_p4 <= s0zrs_p0&s0umb_p4(22 downto 6);
s0dataa_gama_p5 <= s0zrs_p0&s0umb_p5(22 downto 6);
 
mult18x6bc0:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_gama_p0,
datab => s0uma_p0(5 downto 0),
result => s0bc_p0
);
mult18x6bc0:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_gama_p0,
datab => s0uma_p0(5 downto 0),
result => s0bc_p0
);
mult18x6bc0:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_gama_p0,
datab => s0uma_p0(5 downto 0),
result => s0bc_p0
);
mult18x6bc0:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_gama_p0,
datab => s0uma_p0(5 downto 0),
result => s0bc_p0
);
mult18x6bc0:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_gama_p0,
datab => s0uma_p0(5 downto 0),
result => s0bc_p0
);
mult18x6bc0:lpm_mult
generic map (
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=9",
lpm_pipeline => 0,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 18,
lpm_widthb => 6,
lpm_widthp => 24
)
port map (
dataa => s0dataa_gama_p0,
datab => s0uma_p0(5 downto 0),
result => s0bc_p0
);
--! Exponent Addition
process (
s0sga_p0,
s0sga_p1,
s0sga_p2,
s0sga_p3,
s0sga_p4,
s0sga_p5,
 
s0sgb_p0,
s0sgb_p1,
s0sgb_p2,
s0sgb_p3,
s0sgb_p4,
s0sgb_p5,
 
s0exa_p0,
s0exa_p1,
s0exa_p2,
s0exa_p3,
s0exa_p4,
s0exa_p5,
 
s0exb_p0,
s0exb_p1,
s0exb_p2,
s0exb_p3,
s0exb_p4,
s0exb_p5
)
 
begin
if s0exa_p0=x"00" or s0exb_p0=x"00" then
s0exp_p0 <= (others => '0');
s0zrs_p0 <= '0';
else
s0zrs_p0<='1';
s0exp_p0 <= s0exa_p0+s0exb_p0+x"81";
end if;
if s0exa_p1=x"00" or s0exb_p1=x"00" then
s0exp_p1 <= (others => '0');
s0zrs_p1 <= '0';
else
s0zrs_p1<='1';
s0exp_p1 <= s0exa_p1+s0exb_p1+x"81";
end if;
if s0exa_p2=x"00" or s0exb_p2=x"00" then
s0exp_p2 <= (others => '0');
s0zrs_p2 <= '0';
else
s0zrs_p2<='1';
s0exp_p2 <= s0exa_p2+s0exb_p2+x"81";
end if;
if s0exa_p3=x"00" or s0exb_p3=x"00" then
s0exp_p3 <= (others => '0');
s0zrs_p3 <= '0';
else
s0zrs_p3<='1';
s0exp_p3 <= s0exa_p3+s0exb_p3+x"81";
end if;
if s0exa_p4=x"00" or s0exb_p4=x"00" then
s0exp_p4 <= (others => '0');
s0zrs_p4 <= '0';
else
s0zrs_p4<='1';
s0exp_p4 <= s0exa_p4+s0exb_p4+x"81";
end if;
if s0exa_p5=x"00" or s0exb_p5=x"00" then
s0exp_p5 <= (others => '0');
s0zrs_p5 <= '0';
else
s0zrs_p5<='1';
s0exp_p5 <= s0exa_p5+s0exb_p5+x"81";
end if;
end process;
--! Etapa 1: Suma parcial de la multiplicacion. Suma del exponente
process(
s1ac_p0,
s1ac_p1,
s1ac_p2,
s1ac_p3,
s1ac_p4,
s1ac_p5,
s1ad_p0,
s1ad_p1,
s1ad_p2,
s1ad_p3,
s1ad_p4,
s1ad_p5,
s1bc_p0,
s1bc_p1,
s1bc_p2,
s1bc_p3,
s1bc_p4,
s1bc_p5
)
begin
 
s1umu_p0 <= s1ac_p0+s1ad_p0(23 downto 6)+s1bc_p0(23 downto 6);
s1umu_p1 <= s1ac_p1+s1ad_p1(23 downto 6)+s1bc_p1(23 downto 6);
s1umu_p2 <= s1ac_p2+s1ad_p2(23 downto 6)+s1bc_p2(23 downto 6);
s1umu_p3 <= s1ac_p3+s1ad_p3(23 downto 6)+s1bc_p3(23 downto 6);
s1umu_p4 <= s1ac_p4+s1ad_p4(23 downto 6)+s1bc_p4(23 downto 6);
s1umu_p5 <= s1ac_p5+s1ad_p5(23 downto 6)+s1bc_p5(23 downto 6);
 
end process;
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.