OpenCores
URL https://opencores.org/ocsvn/rf6809/rf6809/trunk

Subversion Repositories rf6809

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /rf6809
    from Rev 13 to Rev 14
    Reverse comparison

Rev 13 → Rev 14

/trunk/software/boot/boot_rom.asm
172,6 → 172,7
SerRcvXoff EQU $140
SerRcvBuf EQU $BFF000 ; 4kB serial recieve buffer
 
farflag EQU $15F
asmbuf EQU $160 ; to $17F
 
QNdx0 EQU $780
190,6 → 191,7
CharOutVec EQU $800
CharInVec EQU $804
CmdPromptJI EQU $808
MonErrVec EQU $80C
 
; Register save area for monitor
mon_DSAVE EQU $900
350,6 → 352,16
lda #FIRST_CORE
sta IOFocusID ; core #2 has focus
sta RunningID
; Clear IO focus list
ldx #0
st9:
clr IOFocusList,x
inx
cmpx #16
blo st9
lda #24
sta IOFocusList+FIRST_CORE
 
lda #$0CE
sta ScreenColor
sta CharColor
1282,6 → 1294,10
lbsr DisplayString
ldd #CmdPrompt
std CmdPromptJI
ldd #DisplayErr
std MonErrVec
ldd #$63FF ; default app stack
std mon_SSAVE
Monitor:
leas $6FFF ; reset stack pointer
clrb ; turn off keyboard echo
1367,21 → 1383,29
bra Monitor
PromptD:
cmpb #'D'
bne PromptF
bne PromptColon
bsr MonGetch
cmpb #'R'
bne DumpMemory
bra DumpRegs
PromptColon:
cmpb #':'
bne PromptF
lbra EditMemory
PromptF:
cmpb #'F'
bne PromptJ
bsr MonGetch
cmpb #'I'
bne Monitor
bne PromptFL
bsr MonGetch
cmpb #'G'
bne Monitor
jmp $FE0000
PromptFL:
cmpb #'L'
bne Monitor
lbra DumpIOFocusList
PromptJ:
cmpb #'J'
lbeq jump_to_code
1463,7 → 1487,10
ldd mon_r2
sbcb mon_r1+1
sbca mon_r1
lbcs DisplayErr
lbcc grng1
jsr [MonErrVec]
lbra Monitor
grng1:
rts
 
shl_numwka:
1574,9 → 1601,8
rts
 
DisplayErr:
ldx #msgErr
clrd
bsr DisplayStringDX
ldd #msgErr
lbsr DisplayString
jmp Monitor
 
DisplayStringDX
1592,13 → 1618,13
fcb "? = Display help",CR,LF
fcb "CLS = clear screen",CR,LF
; db "S = Boot from SD Card",CR,LF
; db ": = Edit memory bytes",CR,LF
fcb ": = Edit memory bytes",CR,LF
; db "L = Load sector",CR,LF
; db "W = Write sector",CR,LF
fcb "DR = Dump registers",CR,LF
fcb "D = Dump memory",CR,LF
; db "F = Fill memory",CR,LF
; db "FL = Dump I/O Focus List",CR,LF
fcb "FL = Dump I/O Focus List",CR,LF
fcb "FIG = start FIG Forth",CR,LF
; db "KILL n = kill task #n",CR,LF
; db "B = start tiny basic",CR,LF
1613,6 → 1639,7
; db "TO = Dump timeout list",CR,LF
fcb "TI = display date/time",CR,LF
; db "TEMP = display temperature",CR,LF
fcb "U = unassemble",CR,LF
; db "P = Piano",CR,LF,0
fcb 0
 
1631,7 → 1658,7
; Dump Memory
;
; Usage:
; $D FFFC12 8
; $D FFFC12 FFFC20
;
; Dump formatted to look like:
; :FFFC12 012 012 012 012 555 666 777 888
1639,7 → 1666,7
;------------------------------------------------------------------------------
 
DumpMemory:
bsr GetTwoParams
bsr GetRange
ldy #0
ldy mon_r1+2
dmpm2:
1691,6 → 1718,51
lbra Monitor
 
;------------------------------------------------------------------------------
; Edit Memory
;
; Usage:
; $$:FFFC12 8 "Hello World!" 0
;
; Dump formatted to look like:
; :FFFC12 012 012 012 012 555 666 777 888
;
;------------------------------------------------------------------------------
 
EditMemory:
ldu #8 ; set max byte count
lbsr GetHexNumber ; get the start address
ldx mon_numwka+2
EditMem2:
lbsr ignBlanks ; skip over blanks
lbsr GetHexNumber ; get the byte value
tstb ; check for valid value
bmi EditMem1 ; if invalid, quit
ldb mon_numwka+3 ; get value
stb ,x+ ; update memory at address
leau -1,u ; decremeent byte count
cmpu #0
bne EditMem2 ; go back for annother byte
EditMem1:
lbsr MonGetch ; see if a string is being entered
cmpb #'"'
bne EditMem3 ; no string, we're done
ldu #40 ; string must be less than 40 chars
EditMem4:
lbsr MonGetch ; look for close quote
cmpb #'"'
bne EditMem6 ; end of string?
ldu #8 ; reset the byte count
bra EditMem2
EditMem6:
stb ,x+ ; store the character in memory
leau -1,u ; decrement byte count
cmpu #0
bhi EditMem4 ; max 40 chars
EditMem3:
lbra Monitor
 
;------------------------------------------------------------------------------
; Dump Registers
;
; Usage:
1742,11 → 1814,11
bsr GetHexNumber
sei
lds mon_SSAVE
ldd #<jtc_exit
ldd #<jtc_exit ; setup stack for RTS back to monitor
pshs d
ldb #>jtc_exit
pshs b
ldd mon_numwka+2
ldd mon_numwka+2 ; get the address parameter
pshs d
ldb mon_numwka+1
pshs b
1784,11 → 1856,34
std CharInVec
ldd #DisplayChar
std CharOutVec
ldd DisplayErr
std MonErrVec
; todo set according to coreid
lbra DumpRegs ; now go do a register dump
 
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
 
DumpIOFocusList:
ldx #0
dfl2:
ldb IOFocusList,x
cmpb #24
bne dfl1
tfr x,d
lbsr DispByteAsHex
ldb #' '
lbsr OUTCH
dfl1:
inx
cmpx #16
blo dfl2
lbsr CRLF
lbra Monitor
 
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
swi3_rout:
sei
puls a
/trunk/software/boot/boot_rom.lst
1,4 → 1,4
0 error(s), 1406 warning(s) unlisted in pass 1
0 error(s), 1534 warning(s) unlisted in pass 1
; ============================================================================
; __
; \\__/ o\ (C) 2013-2022 Robert Finch, Waterloo
173,6 → 173,7
SerRcvXoff EQU $140
SerRcvBuf EQU $BFF000 ; 4kB serial recieve buffer
farflag EQU $15F
asmbuf EQU $160 ; to $17F
QNdx0 EQU $780
191,6 → 192,7
CharOutVec EQU $800
CharInVec EQU $804
CmdPromptJI EQU $808
MonErrVec EQU $80C
; Register save area for monitor
mon_DSAVE EQU $900
247,18 → 249,18
00FFD2CC 012 nop
00FFD2CD 012 nop
HEX2
00FFD2CE 017001125 lbsr DispByteAsHex
00FFD2CE 017001139 lbsr DispByteAsHex
00FFD2D1 039 rts
HEX4
00FFD2D2 017001118 lbsr DispWordAsHex
00FFD2D2 01700112C lbsr DispWordAsHex
00FFD2D5 039 rts
org $FFD300
ClearScreenJmp
00FFD300 016000F0E lbra ClearScreen
00FFD300 016000F22 lbra ClearScreen
org $FFD308
HomeCursorJmp
00FFD308 016000F79 lbra HomeCursor
00FFD308 016000F8D lbra HomeCursor
org $FFD400
299,21 → 301,21
00FFD441 06EC04 jmp ,u
org $FFE000
00FFE000 FFF8AC FDB Monitor
00FFE000 FFF92E FDB Monitor
00FFE002 FFE022 FDB DumRts ; NEXTCMD
00FFE004 FFECDA FDB INCH
00FFE006 FFECF8 FDB INCHE
00FFE008 FFECFC FDB INCHEK
00FFE00A FFED12 FDB OUTCH
00FFE00C FFE3DA FDB PDATA
00FFE00E FFE3CD FDB PCRLF
00FFE010 FFE3C9 FDB PSTRNG
00FFE00C FFE3EE FDB PDATA
00FFE00E FFE3E1 FDB PCRLF
00FFE010 FFE3DD FDB PSTRNG
00FFE012 FFE022 FDB DumRts ; LRA
00FFE014 FFE022 FDB DumRts
00FFE016 FFE022 FDB DumRts
00FFE018 FFE022 FDB DumRts
00FFE01A FFE022 FDB DumRts ; VINIZ
00FFE01C FFE2E1 FDB DisplayChar ; VOUTCH
00FFE01C FFE2F5 FDB DisplayChar ; VOUTCH
00FFE01E FFE022 FDB DumRts ; ACINIZ
00FFE020 FFE022 FDB DumRts ; AOUTCH
345,89 → 347,99
; tfr d,x
; jmp ,x ; jump to the BIOS now in local RAM
st7:
00FFE046 08D145 bsr Delay3s ; give some time for devices to reset
00FFE046 08D159 bsr Delay3s ; give some time for devices to reset
00FFE048 0860AA lda #$AA
00FFE04A 0150B7FFFE60001 sta LEDS
00FFE04F 086001 lda #FIRST_CORE
00FFE051 0B7FF0010 sta IOFocusID ; core #2 has focus
00FFE054 0B7800000 sta RunningID
00FFE057 0860CE lda #$0CE
00FFE059 097113 sta ScreenColor
00FFE05B 097112 sta CharColor
00FFE05D 08D1B2 bsr ClearScreen
00FFE05F 0CCFFE2E1 ldd #DisplayChar
00FFE062 0FD000800 std CharOutVec
00FFE065 0CCFFEBE4 ldd #SerialPeekCharDirect
00FFE068 0FD000804 std CharInVec
00FFE06B 0C6018 ldb #24 ; request IO focus
00FFE06D 017000CE9 lbsr OSCall
00FFE070 0150F6FFFFFFFE0 ldb COREID
00FFE075 0C1001 cmpb #FIRST_CORE
00FFE077 02700D beq init
00FFE079 020046 bra skip_init
00FFE07B 0200AE bra multi_sieve
; Clear IO focus list
00FFE057 08E000000 ldx #0
st9:
00FFE05A 06F80A000FF0000 clr IOFocusList,x
00FFE05F 030001 inx
00FFE061 08C000010 cmpx #16
00FFE064 025FF4 blo st9
00FFE066 086018 lda #24
00FFE068 0B7FF0001 sta IOFocusList+FIRST_CORE
00FFE06B 0860CE lda #$0CE
00FFE06D 097113 sta ScreenColor
00FFE06F 097112 sta CharColor
00FFE071 08D1B2 bsr ClearScreen
00FFE073 0CCFFE2F5 ldd #DisplayChar
00FFE076 0FD000800 std CharOutVec
00FFE079 0CCFFEBE4 ldd #SerialPeekCharDirect
00FFE07C 0FD000804 std CharInVec
00FFE07F 0C6018 ldb #24 ; request IO focus
00FFE081 017000CD5 lbsr OSCall
00FFE084 0150F6FFFFFFFE0 ldb COREID
00FFE089 0C1001 cmpb #FIRST_CORE
00FFE08B 02700D beq init
00FFE08D 020046 bra skip_init
00FFE08F 0200AE bra multi_sieve
st3:
00FFE07D 0860FF lda #$FF
00FFE07F 0150B7FFFE60001 sta LEDS
00FFE084 020FF7 bra st3
00FFE091 0860FF lda #$FF
00FFE093 0150B7FFFE60001 sta LEDS
00FFE098 020FF7 bra st3
; initialize interrupt controller
; first, zero out all the vectors
init:
00FFE086 01700042A lbsr rtc_read ; get clock values
00FFE089 08E000127 ldx #kbdHeadRcv
00FFE08C 0C6020 ldb #32 ; number of bytes to zero out
00FFE09A 01700042A lbsr rtc_read ; get clock values
00FFE09D 08E000127 ldx #kbdHeadRcv
00FFE0A0 0C6020 ldb #32 ; number of bytes to zero out
init1:
00FFE08E 06F800 clr ,x+
00FFE090 05A decb
00FFE091 026FFB bne init1
00FFE093 01700038E lbsr TimerInit
00FFE096 017000AD6 lbsr InitSerial
00FFE099 08E000080 ldx #128
00FFE09C 086001 lda #1 ; set irq(bit0), clear firq (bit1), disable int (bit 6), clear edge sense(bit 7)
00FFE09E 0C6001 ldb #FIRST_CORE ; serving core id
00FFE0A2 06F800 clr ,x+
00FFE0A4 05A decb
00FFE0A5 026FFB bne init1
00FFE0A7 01700038E lbsr TimerInit
00FFE0AA 017000AC2 lbsr InitSerial
00FFE0AD 08E000080 ldx #128
00FFE0B0 086001 lda #1 ; set irq(bit0), clear firq (bit1), disable int (bit 6), clear edge sense(bit 7)
00FFE0B2 0C6001 ldb #FIRST_CORE ; serving core id
st1:
00FFE0A0 06F809E3F000 clr PIC,x ; cause code
00FFE0A4 0A7809E3F001 sta PIC+1,x
00FFE0A8 0E7809E3F002 stb PIC+2,x
00FFE0AC 030004 leax 4,x
00FFE0AE 08C000100 cmpx #256
00FFE0B1 025FED blo st1
00FFE0B4 06F809E3F000 clr PIC,x ; cause code
00FFE0B8 0A7809E3F001 sta PIC+1,x
00FFE0BC 0E7809E3F002 stb PIC+2,x
00FFE0C0 030004 leax 4,x
00FFE0C2 08C000100 cmpx #256
00FFE0C5 025FED blo st1
; lda #4 ; make the timer interrupt edge sensitive
; sta PIC+4 ; reg #4 is the edge sensitivity setting
; sta PIC ; reg #0 is interrupt enable
00FFE0B3 086081 lda #$81 ; make irq edge sensitive
00FFE0B5 0150B7FFFE3F0FD sta PIC+$FD
00FFE0BA 08601F lda #31 ; enable timer interrupt
00FFE0C7 086081 lda #$81 ; make irq edge sensitive
00FFE0C9 0150B7FFFE3F0FD sta PIC+$FD
00FFE0CE 08601F lda #31 ; enable timer interrupt
; sta PIC+9
00FFE0BC 0C6001 ldb #1
00FFE0BE 0F7EF1000 stb OUTSEMA+SEMAABS ; set semaphore to 1 available slot
00FFE0D0 0C6001 ldb #1
00FFE0D2 0F7EF1000 stb OUTSEMA+SEMAABS ; set semaphore to 1 available slot
skip_init:
00FFE0C1 01C0EF andcc #$EF ; unmask irq
00FFE0C3 086038 lda #56
00FFE0C5 0150B7FFFE0DF00 sta TEXTREG+TEXT_COLS
00FFE0CA 08601D lda #29
00FFE0CC 0150B7FFFE0DF01 sta TEXTREG+TEXT_ROWS
00FFE0D1 08D13E bsr ClearScreen
00FFE0D3 08D1AF bsr HomeCursor
00FFE0D5 086005 lda #5
00FFE0D7 0150B7FFFE60001 sta LEDS
00FFE0DC 0CCFFE0F4 ldd #msgStartup
00FFE0DF 08D2C1 bsr DisplayString
00FFE0E1 08E000000 ldx #0
00FFE0E4 0CC000000 ldd #0
00FFE0E7 017000C32 lbsr ShowSprites
00FFE0EA 017000853 lbsr KeybdInit
00FFE0ED 0DC124 ldd KeybdID
00FFE0EF 08D2FC bsr DispWordAsHex
00FFE0F1 07EFFF89A jmp MonitorStart
00FFE0D5 01C0EF andcc #$EF ; unmask irq
00FFE0D7 086038 lda #56
00FFE0D9 0150B7FFFE0DF00 sta TEXTREG+TEXT_COLS
00FFE0DE 08601D lda #29
00FFE0E0 0150B7FFFE0DF01 sta TEXTREG+TEXT_ROWS
00FFE0E5 08D13E bsr ClearScreen
00FFE0E7 08D1AF bsr HomeCursor
00FFE0E9 086005 lda #5
00FFE0EB 0150B7FFFE60001 sta LEDS
00FFE0F0 0CCFFE108 ldd #msgStartup
00FFE0F3 08D2C1 bsr DisplayString
00FFE0F5 08E000000 ldx #0
00FFE0F8 0CC000000 ldd #0
00FFE0FB 017000C1E lbsr ShowSprites
00FFE0FE 01700083F lbsr KeybdInit
00FFE101 0DC124 ldd KeybdID
00FFE103 08D2FC bsr DispWordAsHex
00FFE105 07EFFF910 jmp MonitorStart
msgStartup
00FFE0F4 072066036038030039020 fcb "rf6809 12-bit System Starting.",CR,LF,0
00FFE0FB 03103202D062069074020
00FFE102 05307907307406506D020
00FFE109 05307406107207406906E
00FFE110 06702E00D00A000
00FFE108 072066036038030039020 fcb "rf6809 12-bit System Starting.",CR,LF,0
00FFE10F 03103202D062069074020
00FFE116 05307907307406506D020
00FFE11D 05307406107207406906E
00FFE124 06702E00D00A000
;------------------------------------------------------------------------------
; The checkpoint register must be cleared within 1 second or a NMI interrupt
439,8 → 451,8
;------------------------------------------------------------------------------
checkpoint:
00FFE115 01507FFFFFFFFE1 clr $FFFFFFFE1 ; writing any value will do
00FFE11A 039 rts
00FFE129 01507FFFFFFFFE1 clr $FFFFFFFE1 ; writing any value will do
00FFE12E 039 rts
;------------------------------------------------------------------------------
; Copy the system ROM to local RAM
453,14 → 465,14
;------------------------------------------------------------------------------
romToRam:
00FFE11B 08EFFC000 ldx #$FFC000
00FFE11E 18E00C000 ldy #$00C000
00FFE12F 08EFFC000 ldx #$FFC000
00FFE132 18E00C000 ldy #$00C000
romToRam1:
00FFE121 0EC801 ldd ,x++
00FFE123 0EDA01 std ,y++
00FFE125 08C000000 cmpx #0
00FFE128 026FF7 bne romToRam1
00FFE12A 039 rts
00FFE135 0EC801 ldd ,x++
00FFE137 0EDA01 std ,y++
00FFE139 08C000000 cmpx #0
00FFE13C 026FF7 bne romToRam1
00FFE13E 039 rts
;------------------------------------------------------------------------------
; Multi-core sieve program.
471,36 → 483,36
; core number minus two.
;
multi_sieve:
00FFE12B 086050 lda #'P' ; indicate prime
00FFE12D 0150F6FFFFFFFE0 ldb COREID ; find out which core we are
00FFE132 0C0001 subb #FIRST_CORE
00FFE134 08E000000 ldx #0 ; start at first char of screen
00FFE137 03A abx
00FFE13F 086050 lda #'P' ; indicate prime
00FFE141 0150F6FFFFFFFE0 ldb COREID ; find out which core we are
00FFE146 0C0001 subb #FIRST_CORE
00FFE148 08E000000 ldx #0 ; start at first char of screen
00FFE14B 03A abx
multi_sieve3:
00FFE138 0A7809E00000 sta TEXTSCR,x ; store 'P'
00FFE13C 030008 leax 8,x ; advance to next position
00FFE13E 08C000FFF cmpx #4095
00FFE141 025FF5 blo multi_sieve3
00FFE143 0BDFFE115 jsr checkpoint
00FFE14C 0A7809E00000 sta TEXTSCR,x ; store 'P'
00FFE150 030008 leax 8,x ; advance to next position
00FFE152 08C000FFF cmpx #4095
00FFE155 025FF5 blo multi_sieve3
00FFE157 0BDFFE129 jsr checkpoint
*** warning 1: Long branch within short branch range could be optimized
00FFE146 0CB002 addb #2 ; start sieve at 2 (core id)
00FFE148 08604E lda #'N' ; flag position value of 'N' for non-prime
00FFE15A 0CB002 addb #2 ; start sieve at 2 (core id)
00FFE15C 08604E lda #'N' ; flag position value of 'N' for non-prime
multi_sieve2:
00FFE14A 08E000000 ldx #0
00FFE14D 03A abx ; skip the first position - might be prime
00FFE15E 08E000000 ldx #0
00FFE161 03A abx ; skip the first position - might be prime
multi_sieve1:
00FFE14E 03A abx ; increment
00FFE14F 0A7809E00000 sta TEXTSCR,x
00FFE153 08C000FFF cmpx #4095
00FFE156 025FF6 blo multi_sieve1
00FFE158 0BDFFE115 jsr checkpoint
00FFE162 03A abx ; increment
00FFE163 0A7809E00000 sta TEXTSCR,x
00FFE167 08C000FFF cmpx #4095
00FFE16A 025FF6 blo multi_sieve1
00FFE16C 0BDFFE129 jsr checkpoint
*** warning 1: Long branch within short branch range could be optimized
00FFE15B 0CB008 addb #8 ; number of cores working on it
00FFE15D 0C1FF0 cmpb #4080
00FFE15F 025FE9 blo multi_sieve2
00FFE16F 0CB008 addb #8 ; number of cores working on it
00FFE171 0C1FF0 cmpb #4080
00FFE173 025FE9 blo multi_sieve2
multi_sieve4: ; hang machine
00FFE161 013 sync
00FFE162 016001747 lbra Monitor
00FFE175 013 sync
00FFE176 0160017B5 lbra Monitor
;------------------------------------------------------------------------------
; Single core sieve.
507,28 → 519,28
;------------------------------------------------------------------------------
sieve:
00FFE165 086050 lda #'P' ; indicate prime
00FFE167 08E000000 ldx #0 ; start at first char of screen
00FFE179 086050 lda #'P' ; indicate prime
00FFE17B 08E000000 ldx #0 ; start at first char of screen
sieve3:
00FFE16A 0A7809E00000 sta TEXTSCR,x ; store 'P'
00FFE16E 030001 inx ; advance to next position
00FFE170 08C000FFF cmpx #4095
00FFE173 025FF5 blo sieve3
00FFE175 0C6002 ldb #2 ; start sieve at 2
00FFE177 08604E lda #'N' ; flag position value of 'N' for non-prime
00FFE17E 0A7809E00000 sta TEXTSCR,x ; store 'P'
00FFE182 030001 inx ; advance to next position
00FFE184 08C000FFF cmpx #4095
00FFE187 025FF5 blo sieve3
00FFE189 0C6002 ldb #2 ; start sieve at 2
00FFE18B 08604E lda #'N' ; flag position value of 'N' for non-prime
sieve2:
00FFE179 08E000000 ldx #0
00FFE17C 03A abx ; skip the first position - might be prime
00FFE18D 08E000000 ldx #0
00FFE190 03A abx ; skip the first position - might be prime
sieve1:
00FFE17D 03A abx ; increment
00FFE17E 0A7809E00000 sta TEXTSCR,x
00FFE182 08C000FFF cmpx #4095
00FFE185 025FC7 blo multi_sieve1
00FFE187 05C incb ; number of cores working on it
00FFE188 0C1FF0 cmpb #4080
00FFE18A 025FED blo sieve2
00FFE191 03A abx ; increment
00FFE192 0A7809E00000 sta TEXTSCR,x
00FFE196 08C000FFF cmpx #4095
00FFE199 025FC7 blo multi_sieve1
00FFE19B 05C incb ; number of cores working on it
00FFE19C 0C1FF0 cmpb #4080
00FFE19E 025FED blo sieve2
sieve4: ; hang machine
00FFE18C 039 rts
00FFE1A0 039 rts
;------------------------------------------------------------------------------
; Three second delay for user convenience and to allow some devices time to
536,30 → 548,30
;------------------------------------------------------------------------------
Delay3s:
00FFE18D 0CC895440 ldd #9000000
00FFE1A1 0CC895440 ldd #9000000
dly3s1:
00FFE190 0C10FF cmpb #$FF
00FFE192 026000 bne dly3s2
00FFE1A4 0C10FF cmpb #$FF
00FFE1A6 026000 bne dly3s2
dly3s2:
00FFE194 0150B7FFFE60001 sta LEDS
00FFE199 083000001 subd #1
00FFE19C 026FF2 bne dly3s1
00FFE19E 039 rts
00FFE1A8 0150B7FFFE60001 sta LEDS
00FFE1AD 083000001 subd #1
00FFE1B0 026FF2 bne dly3s1
00FFE1B2 039 rts
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
ShiftLeft5:
00FFE19F 058 aslb
00FFE1A0 049 rola
00FFE1A1 058 aslb
00FFE1A2 049 rola
00FFE1A3 058 aslb
00FFE1A4 049 rola
00FFE1A5 058 aslb
00FFE1A6 049 rola
00FFE1A7 058 aslb
00FFE1A8 049 rola
00FFE1A9 039 rts
00FFE1B3 058 aslb
00FFE1B4 049 rola
00FFE1B5 058 aslb
00FFE1B6 049 rola
00FFE1B7 058 aslb
00FFE1B8 049 rola
00FFE1B9 058 aslb
00FFE1BA 049 rola
00FFE1BB 058 aslb
00FFE1BC 049 rola
00FFE1BD 039 rts
;------------------------------------------------------------------------------
; Parameters:
567,74 → 579,74
;------------------------------------------------------------------------------
;
CopyVirtualScreenToScreen:
00FFE1AA 034076 pshs d,x,y,u
00FFE1BE 034076 pshs d,x,y,u
; Compute virtual screen location for core passed in accb.
00FFE1AC 01F098 tfr b,a
00FFE1AE 048 asla
00FFE1AF 048 asla
00FFE1B0 048 asla
00FFE1B1 048 asla
00FFE1B2 08AC00 ora #$C00
00FFE1B4 05F clrb
00FFE1B5 01F001 tfr d,x
00FFE1B7 034006 pshs d
00FFE1B9 18EE00000 ldy #TEXTSCR
00FFE1BC 0CE00032C ldu #56*29/2
00FFE1C0 01F098 tfr b,a
00FFE1C2 048 asla
00FFE1C3 048 asla
00FFE1C4 048 asla
00FFE1C5 048 asla
00FFE1C6 08AC00 ora #$C00
00FFE1C8 05F clrb
00FFE1C9 01F001 tfr d,x
00FFE1CB 034006 pshs d
00FFE1CD 18EE00000 ldy #TEXTSCR
00FFE1D0 0CE00032C ldu #56*29/2
cv2s1:
00FFE1BF 0EC801 ldd ,x++
00FFE1C1 0EDA01 std ,y++
00FFE1C3 0335FF leau -1,u
00FFE1C5 283000000 cmpu #0
00FFE1C8 026FF5 bne cv2s1
00FFE1D3 0EC801 ldd ,x++
00FFE1D5 0EDA01 std ,y++
00FFE1D7 0335FF leau -1,u
00FFE1D9 283000000 cmpu #0
00FFE1DC 026FF5 bne cv2s1
; reset the cursor position in the text controller
00FFE1CA 035010 puls x
00FFE1CC 0E6808110 ldb CursorRow,x
00FFE1CF 086038 lda #56
00FFE1D1 03D mul
00FFE1D2 01F002 tfr d,y
00FFE1D4 0E6808111 ldb CursorCol,x
00FFE1D7 01F021 tfr y,x
00FFE1D9 03A abx
00FFE1DA 0150BFFFFE0DF22 stx TEXTREG+TEXT_CURPOS
00FFE1DF 0350F6 puls d,x,y,u,pc
00FFE1DE 035010 puls x
00FFE1E0 0E6808110 ldb CursorRow,x
00FFE1E3 086038 lda #56
00FFE1E5 03D mul
00FFE1E6 01F002 tfr d,y
00FFE1E8 0E6808111 ldb CursorCol,x
00FFE1EB 01F021 tfr y,x
00FFE1ED 03A abx
00FFE1EE 0150BFFFFE0DF22 stx TEXTREG+TEXT_CURPOS
00FFE1F3 0350F6 puls d,x,y,u,pc
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
;
CopyScreenToVirtualScreen:
00FFE1E1 034076 pshs d,x,y,u
00FFE1E3 08D08D bsr GetScreenLocation
00FFE1E5 01F002 tfr d,y
00FFE1E7 08EE00000 ldx #TEXTSCR
00FFE1EA 0CE00032C ldu #56*29/2
00FFE1F5 034076 pshs d,x,y,u
00FFE1F7 08D08D bsr GetScreenLocation
00FFE1F9 01F002 tfr d,y
00FFE1FB 08EE00000 ldx #TEXTSCR
00FFE1FE 0CE00032C ldu #56*29/2
cs2v1:
00FFE1ED 0EC801 ldd ,x++
00FFE1EF 0EDA01 std ,y++
00FFE1F1 0335FF leau -1,u
00FFE1F3 283000000 cmpu #0
00FFE1F6 026FF5 bne cs2v1
00FFE1F8 0350F6 puls d,x,y,u,pc
00FFE201 0EC801 ldd ,x++
00FFE203 0EDA01 std ,y++
00FFE205 0335FF leau -1,u
00FFE207 283000000 cmpu #0
00FFE20A 026FF5 bne cs2v1
00FFE20C 0350F6 puls d,x,y,u,pc
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
00FFE1FA 054045058054053043052 fcb "TEXTSCR "
00FFE201 020
00FFE202 FFE20C fcw TextOpen
00FFE204 FFE20D fcw TextClose
00FFE206 FFE20E fcw TextRead
00FFE208 FFE20F fcw TextWrite
00FFE20A FFE210 fcw TextSeek
00FFE20E 054045058054053043052 fcb "TEXTSCR "
00FFE215 020
00FFE216 FFE220 fcw TextOpen
00FFE218 FFE221 fcw TextClose
00FFE21A FFE222 fcw TextRead
00FFE21C FFE223 fcw TextWrite
00FFE21E FFE224 fcw TextSeek
TextOpen:
00FFE20C 039 rts
00FFE220 039 rts
TextClose:
00FFE20D 039 rts
00FFE221 039 rts
TextRead:
00FFE20E 039 rts
00FFE222 039 rts
TextWrite:
00FFE20F 039 rts
00FFE223 039 rts
TextSeek:
00FFE210 039 rts
00FFE224 039 rts
;------------------------------------------------------------------------------
; Clear the screen and the screen color memory
646,29 → 658,29
;------------------------------------------------------------------------------
ClearScreen:
00FFE211 034076 pshs d,x,y,u
00FFE213 08E000658 ldx #56*29
00FFE216 01F013 tfr x,u
00FFE218 08D058 bsr GetScreenLocation
00FFE21A 01F002 tfr d,y
00FFE21C 0C6020 ldb #' ' ; space char
00FFE225 034076 pshs d,x,y,u
00FFE227 08E000658 ldx #56*29
00FFE22A 01F013 tfr x,u
00FFE22C 08D058 bsr GetScreenLocation
00FFE22E 01F002 tfr d,y
00FFE230 0C6020 ldb #' ' ; space char
cs1:
00FFE21E 0E7A00 stb ,y+ ; set text to space
00FFE220 0301FF leax -1,x ; decrement x
00FFE222 026FFA bne cs1
00FFE224 0150F6FFFFFFFE0 ldb COREID ; update colors only if we have focus
00FFE229 0F1FF0010 cmpb IOFocusID
00FFE22C 02000D bra cs3
00FFE22E 18EE02000 ldy #TEXTSCR+$2000
00FFE232 0E7A00 stb ,y+ ; set text to space
00FFE234 0301FF leax -1,x ; decrement x
00FFE236 026FFA bne cs1
00FFE238 0150F6FFFFFFFE0 ldb COREID ; update colors only if we have focus
00FFE23D 0F1FF0010 cmpb IOFocusID
00FFE240 02000D bra cs3
00FFE242 18EE02000 ldy #TEXTSCR+$2000
; lda CharColor
00FFE231 0860CE lda #$0CE
00FFE233 01F031 tfr u,x ; get back count
00FFE245 0860CE lda #$0CE
00FFE247 01F031 tfr u,x ; get back count
cs2:
00FFE235 0A7A00 sta ,y+
00FFE237 0301FF dex ; decrement x
00FFE239 026FFA bne cs2
00FFE249 0A7A00 sta ,y+
00FFE24B 0301FF dex ; decrement x
00FFE24D 026FFA bne cs2
cs3:
00FFE23B 0350F6 puls d,x,y,u,pc
00FFE24F 0350F6 puls d,x,y,u,pc
;------------------------------------------------------------------------------
; Scroll text on the screen upwards
678,20 → 690,20
;------------------------------------------------------------------------------
ScrollUp:
00FFE23D 034076 pshs d,x,y,u
00FFE23F 18E00032B ldy #(56*29-1)/2 ; y = num chars/2 to move
00FFE242 08D02E bsr GetScreenLocation
00FFE244 01F001 tfr d,x
00FFE246 01F003 tfr d,u
00FFE248 030038 leax 56,x ; x = index to source row
00FFE251 034076 pshs d,x,y,u
00FFE253 18E00032B ldy #(56*29-1)/2 ; y = num chars/2 to move
00FFE256 08D02E bsr GetScreenLocation
00FFE258 01F001 tfr d,x
00FFE25A 01F003 tfr d,u
00FFE25C 030038 leax 56,x ; x = index to source row
scrup1:
00FFE24A 0EC801 ldd ,x++ ; move 2 characters
00FFE24C 0EDC01 std ,u++
00FFE24E 0313FF dey
00FFE250 026FF8 bne scrup1
00FFE252 08601D lda #29
00FFE254 08D002 bsr BlankLine
00FFE256 0350F6 puls d,x,y,u,pc
00FFE25E 0EC801 ldd ,x++ ; move 2 characters
00FFE260 0EDC01 std ,u++
00FFE262 0313FF dey
00FFE264 026FF8 bne scrup1
00FFE266 08601D lda #29
00FFE268 08D002 bsr BlankLine
00FFE26A 0350F6 puls d,x,y,u,pc
;------------------------------------------------------------------------------
; Blank out a line on the display
703,21 → 715,21
;------------------------------------------------------------------------------
BlankLine:
00FFE258 034016 pshs d,x
00FFE25A 034002 pshs a
00FFE25C 08D014 bsr GetScreenLocation
00FFE25E 01F001 tfr d,x
00FFE260 035002 puls a
00FFE262 0C6038 ldb #56 ; b = # chars to blank out from video controller
00FFE264 03D mul ; d = screen index (row# * #cols)
00FFE265 03080B leax d,x
00FFE267 086020 lda #' '
00FFE269 0C6038 ldb #56 ; b = # chars to blank out from video controller
00FFE26C 034016 pshs d,x
00FFE26E 034002 pshs a
00FFE270 08D014 bsr GetScreenLocation
00FFE272 01F001 tfr d,x
00FFE274 035002 puls a
00FFE276 0C6038 ldb #56 ; b = # chars to blank out from video controller
00FFE278 03D mul ; d = screen index (row# * #cols)
00FFE279 03080B leax d,x
00FFE27B 086020 lda #' '
00FFE27D 0C6038 ldb #56 ; b = # chars to blank out from video controller
blnkln1:
00FFE26B 0A7800 sta ,x+
00FFE26D 05A decb
00FFE26E 026FFB bne blnkln1
00FFE270 035096 puls d,x,pc
00FFE27F 0A7800 sta ,x+
00FFE281 05A decb
00FFE282 026FFB bne blnkln1
00FFE284 035096 puls d,x,pc
;------------------------------------------------------------------------------
; Get the location of the screen memory. The location
730,14 → 742,14
;------------------------------------------------------------------------------
GetScreenLocation:
00FFE272 0150B6FFFFFFFE0 lda COREID ; which core are we?
00FFE277 0B1FF0010 cmpa IOFocusID ; do we have the IO focus
00FFE27A 026004 bne gsl1 ; no, go pick virtual screen address
00FFE27C 0CCE00000 ldd #TEXTSCR ; yes, we update the real screen
00FFE27F 039 rts
00FFE286 0150B6FFFFFFFE0 lda COREID ; which core are we?
00FFE28B 0B1FF0010 cmpa IOFocusID ; do we have the IO focus
00FFE28E 026004 bne gsl1 ; no, go pick virtual screen address
00FFE290 0CCE00000 ldd #TEXTSCR ; yes, we update the real screen
00FFE293 039 rts
gsl1:
00FFE280 0CC007800 ldd #$7800
00FFE283 039 rts
00FFE294 0CC007800 ldd #$7800
00FFE297 039 rts
;------------------------------------------------------------------------------
; HomeCursor
748,16 → 760,16
;------------------------------------------------------------------------------
HomeCursor:
00FFE284 034016 pshs d,x
00FFE286 00F110 clr CursorRow
00FFE288 00F111 clr CursorCol
00FFE28A 0150F6FFFFFFFE0 ldb COREID
00FFE28F 0F1FF0010 cmpb IOFocusID
00FFE292 026006 bne hc1
00FFE294 04F clra
00FFE295 0150B7FFFE0DF22 sta TEXTREG+TEXT_CURPOS
00FFE298 034016 pshs d,x
00FFE29A 00F110 clr CursorRow
00FFE29C 00F111 clr CursorCol
00FFE29E 0150F6FFFFFFFE0 ldb COREID
00FFE2A3 0F1FF0010 cmpb IOFocusID
00FFE2A6 026006 bne hc1
00FFE2A8 04F clra
00FFE2A9 0150B7FFFE0DF22 sta TEXTREG+TEXT_CURPOS
hc1:
00FFE29A 035096 puls d,x,pc
00FFE2AE 035096 puls d,x,pc
;------------------------------------------------------------------------------
; Update the cursor position in the text controller based on the
768,20 → 780,20
;------------------------------------------------------------------------------
;
UpdateCursorPos:
00FFE29C 034016 pshs d,x
00FFE29E 0150F6FFFFFFFE0 ldb COREID ; update cursor position in text controller
00FFE2A3 0F1FF0010 cmpb IOFocusID ; only for the task with the output focus
00FFE2A6 026014 bne ucp1
00FFE2A8 096110 lda CursorRow
00FFE2AA 08403F anda #$3F ; limit of 63 rows
00FFE2AC 0150F6FFFE0DF00 ldb TEXTREG+TEXT_COLS
00FFE2B1 03D mul
00FFE2B2 01F001 tfr d,x
00FFE2B4 0D6111 ldb CursorCol
00FFE2B6 03A abx
00FFE2B7 0150BFFFFE0DF22 stx TEXTREG+TEXT_CURPOS
00FFE2B0 034016 pshs d,x
00FFE2B2 0150F6FFFFFFFE0 ldb COREID ; update cursor position in text controller
00FFE2B7 0F1FF0010 cmpb IOFocusID ; only for the task with the output focus
00FFE2BA 026014 bne ucp1
00FFE2BC 096110 lda CursorRow
00FFE2BE 08403F anda #$3F ; limit of 63 rows
00FFE2C0 0150F6FFFE0DF00 ldb TEXTREG+TEXT_COLS
00FFE2C5 03D mul
00FFE2C6 01F001 tfr d,x
00FFE2C8 0D6111 ldb CursorCol
00FFE2CA 03A abx
00FFE2CB 0150BFFFFE0DF22 stx TEXTREG+TEXT_CURPOS
ucp1:
00FFE2BC 035096 puls d,x,pc
00FFE2D0 035096 puls d,x,pc
;------------------------------------------------------------------------------
; Calculate screen memory location from CursorRow,CursorCol.
794,22 → 806,22
;------------------------------------------------------------------------------
;
CalcScreenLoc:
00FFE2BE 034010 pshs x
00FFE2C0 096110 lda CursorRow
00FFE2C2 0C6038 ldb #56
00FFE2C4 03D mul
00FFE2C5 01F001 tfr d,x
00FFE2C7 0D6111 ldb CursorCol
00FFE2C9 03A abx
00FFE2CA 0150F6FFFFFFFE0 ldb COREID ; update cursor position in text controller
00FFE2CF 0F1FF0010 cmpb IOFocusID ; only for the task with the output focus
00FFE2D2 026005 bne csl1
00FFE2D4 0150BFFFFE0DF22 stx TEXTREG+TEXT_CURPOS
00FFE2D2 034010 pshs x
00FFE2D4 096110 lda CursorRow
00FFE2D6 0C6038 ldb #56
00FFE2D8 03D mul
00FFE2D9 01F001 tfr d,x
00FFE2DB 0D6111 ldb CursorCol
00FFE2DD 03A abx
00FFE2DE 0150F6FFFFFFFE0 ldb COREID ; update cursor position in text controller
00FFE2E3 0F1FF0010 cmpb IOFocusID ; only for the task with the output focus
00FFE2E6 026005 bne csl1
00FFE2E8 0150BFFFFE0DF22 stx TEXTREG+TEXT_CURPOS
csl1:
00FFE2D9 08DF97 bsr GetScreenLocation
00FFE2DB 03080B leax d,x
00FFE2DD 01F010 tfr x,d
00FFE2DF 035090 puls x,pc
00FFE2ED 08DF97 bsr GetScreenLocation
00FFE2EF 03080B leax d,x
00FFE2F1 01F010 tfr x,d
00FFE2F3 035090 puls x,pc
;------------------------------------------------------------------------------
; Display a character on the screen.
823,104 → 835,104
;------------------------------------------------------------------------------
;
DisplayChar:
00FFE2E1 017000924 lbsr SerialPutChar
00FFE2E4 034016 pshs d,x
00FFE2E6 0C100D cmpb #CR ; carriage return ?
00FFE2E8 026007 bne dccr
00FFE2EA 00F111 clr CursorCol ; just set cursor column to zero on a CR
00FFE2EC 08DFAE bsr UpdateCursorPos
00FFE2F5 017000910 lbsr SerialPutChar
00FFE2F8 034016 pshs d,x
00FFE2FA 0C100D cmpb #CR ; carriage return ?
00FFE2FC 026007 bne dccr
00FFE2FE 00F111 clr CursorCol ; just set cursor column to zero on a CR
00FFE300 08DFAE bsr UpdateCursorPos
dcx14:
00FFE2EE 01600008C lbra dcx4
00FFE302 01600008C lbra dcx4
dccr:
00FFE2F1 0C1091 cmpb #$91 ; cursor right ?
00FFE2F3 02600D bne dcx6
00FFE2F5 096111 lda CursorCol
00FFE2F7 081038 cmpa #56
00FFE2F9 024003 bhs dcx7
00FFE2FB 04C inca
00FFE2FC 097111 sta CursorCol
00FFE305 0C1091 cmpb #$91 ; cursor right ?
00FFE307 02600D bne dcx6
00FFE309 096111 lda CursorCol
00FFE30B 081038 cmpa #56
00FFE30D 024003 bhs dcx7
00FFE30F 04C inca
00FFE310 097111 sta CursorCol
dcx7:
00FFE2FE 08DF9C bsr UpdateCursorPos
00FFE300 035096 puls d,x,pc
00FFE312 08DF9C bsr UpdateCursorPos
00FFE314 035096 puls d,x,pc
dcx6:
00FFE302 0C1090 cmpb #$90 ; cursor up ?
00FFE304 026009 bne dcx8
00FFE306 096110 lda CursorRow
00FFE308 027FF4 beq dcx7
00FFE30A 04A deca
00FFE30B 097110 sta CursorRow
00FFE30D 020FEF bra dcx7
00FFE316 0C1090 cmpb #$90 ; cursor up ?
00FFE318 026009 bne dcx8
00FFE31A 096110 lda CursorRow
00FFE31C 027FF4 beq dcx7
00FFE31E 04A deca
00FFE31F 097110 sta CursorRow
00FFE321 020FEF bra dcx7
dcx8:
00FFE30F 0C1093 cmpb #$93 ; cursor left ?
00FFE311 026009 bne dcx9
00FFE313 096111 lda CursorCol
00FFE315 027FE7 beq dcx7
00FFE317 04A deca
00FFE318 097111 sta CursorCol
00FFE31A 020FE2 bra dcx7
00FFE323 0C1093 cmpb #$93 ; cursor left ?
00FFE325 026009 bne dcx9
00FFE327 096111 lda CursorCol
00FFE329 027FE7 beq dcx7
00FFE32B 04A deca
00FFE32C 097111 sta CursorCol
00FFE32E 020FE2 bra dcx7
dcx9:
00FFE31C 0C1092 cmpb #$92 ; cursor down ?
00FFE31E 02600B bne dcx10
00FFE320 096110 lda CursorRow
00FFE322 08101D cmpa #29
00FFE324 027FD8 beq dcx7
00FFE326 04C inca
00FFE327 097110 sta CursorRow
00FFE329 020FD3 bra dcx7
00FFE330 0C1092 cmpb #$92 ; cursor down ?
00FFE332 02600B bne dcx10
00FFE334 096110 lda CursorRow
00FFE336 08101D cmpa #29
00FFE338 027FD8 beq dcx7
00FFE33A 04C inca
00FFE33B 097110 sta CursorRow
00FFE33D 020FD3 bra dcx7
dcx10:
00FFE32B 0C1094 cmpb #$94 ; cursor home ?
00FFE32D 02600C bne dcx11
00FFE32F 096111 lda CursorCol
00FFE331 027004 beq dcx12
00FFE333 00F111 clr CursorCol
00FFE335 020FC7 bra dcx7
00FFE33F 0C1094 cmpb #$94 ; cursor home ?
00FFE341 02600C bne dcx11
00FFE343 096111 lda CursorCol
00FFE345 027004 beq dcx12
00FFE347 00F111 clr CursorCol
00FFE349 020FC7 bra dcx7
dcx12:
00FFE337 00F110 clr CursorRow
00FFE339 020FC3 bra dcx7
00FFE34B 00F110 clr CursorRow
00FFE34D 020FC3 bra dcx7
dcx11:
00FFE33B 0C1099 cmpb #$99 ; delete ?
00FFE33D 026008 bne dcx13
00FFE33F 08DF7D bsr CalcScreenLoc
00FFE341 01F001 tfr d,x
00FFE343 096111 lda CursorCol ; acc = cursor column
00FFE345 020011 bra dcx5
00FFE34F 0C1099 cmpb #$99 ; delete ?
00FFE351 026008 bne dcx13
00FFE353 08DF7D bsr CalcScreenLoc
00FFE355 01F001 tfr d,x
00FFE357 096111 lda CursorCol ; acc = cursor column
00FFE359 020011 bra dcx5
dcx13
00FFE347 0C1008 cmpb #CTRLH ; backspace ?
00FFE349 02601E bne dcx3
00FFE34B 096111 lda CursorCol
00FFE34D 02702E beq dcx4
00FFE34F 04A deca
00FFE350 097111 sta CursorCol
00FFE352 08DF6A bsr CalcScreenLoc
00FFE354 01F001 tfr d,x
00FFE356 096111 lda CursorCol
00FFE35B 0C1008 cmpb #CTRLH ; backspace ?
00FFE35D 02601E bne dcx3
00FFE35F 096111 lda CursorCol
00FFE361 02702E beq dcx4
00FFE363 04A deca
00FFE364 097111 sta CursorCol
00FFE366 08DF6A bsr CalcScreenLoc
00FFE368 01F001 tfr d,x
00FFE36A 096111 lda CursorCol
dcx5:
00FFE358 0E6001 ldb 1,x
00FFE35A 0E7801 stb ,x++
00FFE35C 04C inca
00FFE35D 081038 cmpa #56
00FFE35F 025FF7 blo dcx5
00FFE361 0C6020 ldb #' '
00FFE363 0301FF dex
00FFE365 0E7804 stb ,x
00FFE367 020014 bra dcx4
00FFE36C 0E6001 ldb 1,x
00FFE36E 0E7801 stb ,x++
00FFE370 04C inca
00FFE371 081038 cmpa #56
00FFE373 025FF7 blo dcx5
00FFE375 0C6020 ldb #' '
00FFE377 0301FF dex
00FFE379 0E7804 stb ,x
00FFE37B 020014 bra dcx4
dcx3:
00FFE369 0C100A cmpb #LF ; linefeed ?
00FFE36B 02700E beq dclf
00FFE36D 034004 pshs b
00FFE36F 08DF4D bsr CalcScreenLoc
00FFE371 01F001 tfr d,x
00FFE373 035004 puls b
00FFE375 0E7804 stb ,x
00FFE37D 0C100A cmpb #LF ; linefeed ?
00FFE37F 02700E beq dclf
00FFE381 034004 pshs b
00FFE383 08DF4D bsr CalcScreenLoc
00FFE385 01F001 tfr d,x
00FFE387 035004 puls b
00FFE389 0E7804 stb ,x
; ToDo character color
; lda CharColor
; sta $2000,x
00FFE377 08D006 bsr IncCursorPos
00FFE379 020002 bra dcx4
00FFE38B 08D006 bsr IncCursorPos
00FFE38D 020002 bra dcx4
dclf:
00FFE37B 08D011 bsr IncCursorRow
00FFE38F 08D011 bsr IncCursorRow
dcx4:
00FFE37D 035096 puls d,x,pc
00FFE391 035096 puls d,x,pc
;------------------------------------------------------------------------------
; Increment the cursor position, scroll the screen if needed.
930,29 → 942,29
;------------------------------------------------------------------------------
IncCursorPos:
00FFE37F 034016 pshs d,x
00FFE381 096111 lda CursorCol
00FFE383 04C inca
00FFE384 097111 sta CursorCol
00FFE386 081038 cmpa #56
00FFE388 025014 blo icc1
00FFE38A 00F111 clr CursorCol ; column = 0
00FFE38C 020002 bra icr1
00FFE393 034016 pshs d,x
00FFE395 096111 lda CursorCol
00FFE397 04C inca
00FFE398 097111 sta CursorCol
00FFE39A 081038 cmpa #56
00FFE39C 025014 blo icc1
00FFE39E 00F111 clr CursorCol ; column = 0
00FFE3A0 020002 bra icr1
IncCursorRow:
00FFE38E 034016 pshs d,x
00FFE3A2 034016 pshs d,x
icr1:
00FFE390 096110 lda CursorRow
00FFE392 04C inca
00FFE393 097110 sta CursorRow
00FFE395 08101D cmpa #29
00FFE397 025005 blo icc1
00FFE399 04A deca ; backup the cursor row, we are scrolling up
00FFE39A 097110 sta CursorRow
00FFE39C 08DE9F bsr ScrollUp
00FFE3A4 096110 lda CursorRow
00FFE3A6 04C inca
00FFE3A7 097110 sta CursorRow
00FFE3A9 08101D cmpa #29
00FFE3AB 025005 blo icc1
00FFE3AD 04A deca ; backup the cursor row, we are scrolling up
00FFE3AE 097110 sta CursorRow
00FFE3B0 08DE9F bsr ScrollUp
icc1:
00FFE39E 08DEFC bsr UpdateCursorPos
00FFE3B2 08DEFC bsr UpdateCursorPos
icc2:
00FFE3A0 035096 puls d,x,pc
00FFE3B4 035096 puls d,x,pc
;------------------------------------------------------------------------------
; Display a string on the screen.
964,99 → 976,99
;------------------------------------------------------------------------------
;
DisplayString:
00FFE3A2 034016 pshs d,x
00FFE3A4 01F001 tfr d,x
00FFE3B6 034016 pshs d,x
00FFE3B8 01F001 tfr d,x
dspj2: ; lock semaphore for access
00FFE3A6 0B6EF0001 lda OUTSEMA+1
00FFE3A9 027FFB beq dspj2
00FFE3BA 0B6EF0001 lda OUTSEMA+1
00FFE3BD 027FFB beq dspj2
dspj1B:
00FFE3AB 0E6800 ldb ,x+ ; move string char into acc
00FFE3AD 027005 beq dsretB ; is it end of string ?
00FFE3AF 017000960 lbsr OUTCH ; display character
00FFE3B2 020FF7 bra dspj1B
00FFE3BF 0E6800 ldb ,x+ ; move string char into acc
00FFE3C1 027005 beq dsretB ; is it end of string ?
00FFE3C3 01700094C lbsr OUTCH ; display character
00FFE3C6 020FF7 bra dspj1B
dsretB:
00FFE3B4 07FEF0001 clr OUTSEMA+1 ; unlock semaphore
00FFE3B7 035096 puls d,x,pc
00FFE3C8 07FEF0001 clr OUTSEMA+1 ; unlock semaphore
00FFE3CB 035096 puls d,x,pc
DisplayStringCRLF:
00FFE3B9 034006 pshs d
00FFE3BB 08DFE5 bsr DisplayString
00FFE3BD 0C600D ldb #CR
00FFE3BF 017000950 lbsr OUTCH
00FFE3C2 0C600A ldb #LF
00FFE3C4 01700094B lbsr OUTCH
00FFE3C7 035086 puls d,pc
00FFE3CD 034006 pshs d
00FFE3CF 08DFE5 bsr DisplayString
00FFE3D1 0C600D ldb #CR
00FFE3D3 01700093C lbsr OUTCH
00FFE3D6 0C600A ldb #LF
00FFE3D8 017000937 lbsr OUTCH
00FFE3DB 035086 puls d,pc
;
; PRINT CR, LF, STRING
;
PSTRNG
00FFE3C9 08D002 BSR PCRLF
00FFE3CB 02000D BRA PDATA
00FFE3DD 08D002 BSR PCRLF
00FFE3DF 02000D BRA PDATA
PCRLF
00FFE3CD 034010 PSHS X
00FFE3CF 08EFFE3E1 LDX #CRLFST
00FFE3D2 08D006 BSR PDATA
00FFE3D4 035010 PULS X
00FFE3D6 039 RTS
00FFE3E1 034010 PSHS X
00FFE3E3 08EFFE3F5 LDX #CRLFST
00FFE3E6 08D006 BSR PDATA
00FFE3E8 035010 PULS X
00FFE3EA 039 RTS
PRINT
00FFE3D7 0BDFFED12 JSR OUTCH
00FFE3EB 0BDFFED12 JSR OUTCH
PDATA
00FFE3DA 0E6800 LDB ,X+
00FFE3DC 0C1004 CMPB #$04
00FFE3DE 026FF7 BNE PRINT
00FFE3E0 039 RTS
00FFE3EE 0E6800 LDB ,X+
00FFE3F0 0C1004 CMPB #$04
00FFE3F2 026FF7 BNE PRINT
00FFE3F4 039 RTS
CRLFST
00FFE3E1 00D00A004 fcb CR,LF,4
00FFE3F5 00D00A004 fcb CR,LF,4
DispDWordAsHex:
00FFE3E4 08D007 bsr DispWordAsHex
00FFE3E6 01E001 exg d,x
00FFE3E8 08D003 bsr DispWordAsHex
00FFE3EA 01E001 exg d,x
00FFE3EC 039 rts
00FFE3F8 08D007 bsr DispWordAsHex
00FFE3FA 01E001 exg d,x
00FFE3FC 08D003 bsr DispWordAsHex
00FFE3FE 01E001 exg d,x
00FFE400 039 rts
DispWordAsHex:
00FFE3ED 01E089 exg a,b
00FFE3EF 08D005 bsr DispByteAsHex
00FFE3F1 01E089 exg a,b
00FFE3F3 08D001 bsr DispByteAsHex
00FFE3F5 039 rts
00FFE401 01E089 exg a,b
00FFE403 08D005 bsr DispByteAsHex
00FFE405 01E089 exg a,b
00FFE407 08D001 bsr DispByteAsHex
00FFE409 039 rts
DispByteAsHex:
00FFE3F6 034004 pshs b
00FFE3F8 054 lsrb
00FFE3F9 054 lsrb
00FFE3FA 054 lsrb
00FFE3FB 054 lsrb
00FFE3FC 054 lsrb
00FFE3FD 054 lsrb
00FFE3FE 054 lsrb
00FFE3FF 054 lsrb
00FFE400 08D00C bsr DispNyb
00FFE402 035004 puls b
00FFE404 034004 pshs b
00FFE406 054 lsrb
00FFE407 054 lsrb
00FFE408 054 lsrb
00FFE409 054 lsrb
00FFE40A 08D002 bsr DispNyb
00FFE40C 035004 puls b
00FFE40A 034004 pshs b
00FFE40C 054 lsrb
00FFE40D 054 lsrb
00FFE40E 054 lsrb
00FFE40F 054 lsrb
00FFE410 054 lsrb
00FFE411 054 lsrb
00FFE412 054 lsrb
00FFE413 054 lsrb
00FFE414 08D00C bsr DispNyb
00FFE416 035004 puls b
00FFE418 034004 pshs b
00FFE41A 054 lsrb
00FFE41B 054 lsrb
00FFE41C 054 lsrb
00FFE41D 054 lsrb
00FFE41E 08D002 bsr DispNyb
00FFE420 035004 puls b
DispNyb
00FFE40E 034004 pshs b
00FFE410 0C400F andb #$0F
00FFE412 0C100A cmpb #10
00FFE414 025007 blo DispNyb1
00FFE416 0CB037 addb #'A'-10
00FFE418 0170008F7 lbsr OUTCH
00FFE41B 035084 puls b,pc
00FFE422 034004 pshs b
00FFE424 0C400F andb #$0F
00FFE426 0C100A cmpb #10
00FFE428 025007 blo DispNyb1
00FFE42A 0CB037 addb #'A'-10
00FFE42C 0170008E3 lbsr OUTCH
00FFE42F 035084 puls b,pc
DispNyb1
00FFE41D 0CB030 addb #'0'
00FFE41F 0170008F0 lbsr OUTCH
00FFE422 035084 puls b,pc
00FFE431 0CB030 addb #'0'
00FFE433 0170008DC lbsr OUTCH
00FFE436 035084 puls b,pc
;==============================================================================
; Timer
1088,32 → 1100,32
; ============================================================================
;
TimerInit:
00FFE424 0CC061A80 ldd #$61A80 ; compare to 400000 (100 Hz assuming 40MHz clock)
00FFE427 0150F7FFFE60014 stb VIA+VIA_T3CMPL
00FFE42C 0150B7FFFE60015 sta VIA+VIA_T3CMPH
00FFE431 01507FFFFE60012 clr VIA+VIA_T3LL
00FFE436 01507FFFFE60013 clr VIA+VIA_T3LH
00FFE43B 0150B6FFFE6000B lda VIA+VIA_ACR ; set continuous mode for timer
00FFE440 08A100 ora #$100
00FFE442 0150B7FFFE6000B sta VIA+VIA_ACR ; enable timer #3 interrupts
00FFE447 086810 lda #$810
00FFE449 0150B7FFFE6000E sta VIA+VIA_IER
00FFE44E 039 rts
00FFE438 0CC061A80 ldd #$61A80 ; compare to 400000 (100 Hz assuming 40MHz clock)
00FFE43B 0150F7FFFE60014 stb VIA+VIA_T3CMPL
00FFE440 0150B7FFFE60015 sta VIA+VIA_T3CMPH
00FFE445 01507FFFFE60012 clr VIA+VIA_T3LL
00FFE44A 01507FFFFE60013 clr VIA+VIA_T3LH
00FFE44F 0150B6FFFE6000B lda VIA+VIA_ACR ; set continuous mode for timer
00FFE454 08A100 ora #$100
00FFE456 0150B7FFFE6000B sta VIA+VIA_ACR ; enable timer #3 interrupts
00FFE45B 086810 lda #$810
00FFE45D 0150B7FFFE6000E sta VIA+VIA_IER
00FFE462 039 rts
TimerIRQ:
; Reset the edge sense circuit in the PIC
00FFE44F 08601F lda #31 ; Timer is IRQ #31
00FFE451 0B7FF0011 sta IrqSource ; stuff a byte indicating the IRQ source for PEEK()
00FFE454 0150B7FFFE3F010 sta PIC+16 ; register 16 is edge sense reset reg
00FFE459 0150B6FFFE6000D lda VIA+VIA_IFR
00FFE45E 02A011 bpl notTimerIRQ
00FFE460 085800 bita #$800
00FFE462 02700D beq notTimerIRQ
00FFE464 01507FFFFE60012 clr VIA+VIA_T3LL
00FFE469 01507FFFFE60013 clr VIA+VIA_T3LH
00FFE46E 07CE00037 inc $E00037 ; update timer IRQ screen flag
00FFE463 08601F lda #31 ; Timer is IRQ #31
00FFE465 0B7FF0011 sta IrqSource ; stuff a byte indicating the IRQ source for PEEK()
00FFE468 0150B7FFFE3F010 sta PIC+16 ; register 16 is edge sense reset reg
00FFE46D 0150B6FFFE6000D lda VIA+VIA_IFR
00FFE472 02A011 bpl notTimerIRQ
00FFE474 085800 bita #$800
00FFE476 02700D beq notTimerIRQ
00FFE478 01507FFFFE60012 clr VIA+VIA_T3LL
00FFE47D 01507FFFFE60013 clr VIA+VIA_T3LH
00FFE482 07CE00037 inc $E00037 ; update timer IRQ screen flag
notTimerIRQ:
00FFE471 039 rts
00FFE485 039 rts
1177,11 → 1189,11
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
_i2c_init:
00FFE472 034004 pshs b
00FFE474 0C6004 ldb #4 ; setup prescale for 400kHz clock
00FFE476 0E7804 stb I2C_PREL,x
00FFE478 06F001 clr I2C_PREH,x
00FFE47A 035084 puls b,pc
00FFE486 034004 pshs b
00FFE488 0C6004 ldb #4 ; setup prescale for 400kHz clock
00FFE48A 0E7804 stb I2C_PREL,x
00FFE48C 06F001 clr I2C_PREH,x
00FFE48E 035084 puls b,pc
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
; Wait for I2C transfer to complete
1191,12 → 1203,12
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
i2c_wait_tip:
00FFE47C 034004 pshs b
00FFE490 034004 pshs b
i2cw1:
00FFE47E 0E6004 ldb I2C_STAT,x ; would use lvb, but lb is okay since its the I/O area
00FFE480 0C5001 bitb #1 ; wait for tip to clear
00FFE482 026FFA bne i2cw1
00FFE484 035084 puls b,pc
00FFE492 0E6004 ldb I2C_STAT,x ; would use lvb, but lb is okay since its the I/O area
00FFE494 0C5001 bitb #1 ; wait for tip to clear
00FFE496 026FFA bne i2cw1
00FFE498 035084 puls b,pc
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
; Write command to i2c
1208,11 → 1220,11
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
i2c_wr_cmd:
00FFE486 0E7003 stb I2C_TXR,x
00FFE488 0A7004 sta I2C_CMD,x
00FFE48A 08DFF0 bsr i2c_wait_tip
00FFE48C 0E6004 ldb I2C_STAT,x
00FFE48E 039 rts
00FFE49A 0E7003 stb I2C_TXR,x
00FFE49C 0A7004 sta I2C_CMD,x
00FFE49E 08DFF0 bsr i2c_wait_tip
00FFE4A0 0E6004 ldb I2C_STAT,x
00FFE4A2 039 rts
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
; Parameters
1223,30 → 1235,30
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
_i2c_xmit1:
00FFE48F 034006 pshs d ; save data value
00FFE491 034006 pshs d ; and save it again
00FFE493 0C6001 ldb #1
00FFE495 0E7002 stb I2C_CTRL,x ; enable the core
00FFE497 0C6076 ldb #$76 ; set slave address = %0111011
00FFE499 086090 lda #$90 ; set STA, WR
00FFE49B 08DFE9 bsr i2c_wr_cmd
00FFE49D 08D00A bsr i2c_wait_rx_nack
00FFE49F 035006 puls d ; get back data value
00FFE4A1 086050 lda #$50 ; set STO, WR
00FFE4A3 08DFE1 bsr i2c_wr_cmd
00FFE4A5 08D002 bsr i2c_wait_rx_nack
00FFE4A7 035086 puls d,pc
00FFE4A3 034006 pshs d ; save data value
00FFE4A5 034006 pshs d ; and save it again
00FFE4A7 0C6001 ldb #1
00FFE4A9 0E7002 stb I2C_CTRL,x ; enable the core
00FFE4AB 0C6076 ldb #$76 ; set slave address = %0111011
00FFE4AD 086090 lda #$90 ; set STA, WR
00FFE4AF 08DFE9 bsr i2c_wr_cmd
00FFE4B1 08D00A bsr i2c_wait_rx_nack
00FFE4B3 035006 puls d ; get back data value
00FFE4B5 086050 lda #$50 ; set STO, WR
00FFE4B7 08DFE1 bsr i2c_wr_cmd
00FFE4B9 08D002 bsr i2c_wait_rx_nack
00FFE4BB 035086 puls d,pc
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
i2c_wait_rx_nack:
00FFE4A9 034004 pshs b ; save off accb
00FFE4BD 034004 pshs b ; save off accb
i2cwr1:
00FFE4AB 0E6004 ldb I2C_STAT,x ; wait for RXack = 0
00FFE4AD 0C5080 bitb #$80 ; test for nack
00FFE4AF 026FFA bne i2cwr1
00FFE4B1 035084 puls b,pc
00FFE4BF 0E6004 ldb I2C_STAT,x ; wait for RXack = 0
00FFE4C1 0C5080 bitb #$80 ; test for nack
00FFE4C3 026FFA bne i2cwr1
00FFE4C5 035084 puls b,pc
; ============================================================================
; __
1301,50 → 1313,50
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
rtc_read:
00FFE4B3 08EE30500 ldx #RTC
00FFE4B6 18E007FC0 ldy #RTCBuf
00FFE4B9 0C6080 ldb #$80
00FFE4BB 0E7002 stb I2C_CTRL,x ; enable I2C
00FFE4BD 0CC0900DE ldd #$900DE ; read address, write op, STA + wr bit
00FFE4C0 08DFC4 bsr i2c_wr_cmd
00FFE4C2 0C5080 bitb #$80
00FFE4C4 02603C bne rtc_rxerr
00FFE4C6 0CC010000 ldd #$10000 ; address zero, wr bit
00FFE4C9 08DFBB bsr i2c_wr_cmd
00FFE4CB 0C5080 bitb #$80
00FFE4CD 026033 bne rtc_rxerr
00FFE4CF 0CC0900DF ldd #$900DF ; read address, read op, STA + wr bit
00FFE4D2 08DFB2 bsr i2c_wr_cmd
00FFE4D4 0C5080 bitb #$80
00FFE4D6 02602A bne rtc_rxerr
00FFE4C7 08EE30500 ldx #RTC
00FFE4CA 18E007FC0 ldy #RTCBuf
00FFE4CD 0C6080 ldb #$80
00FFE4CF 0E7002 stb I2C_CTRL,x ; enable I2C
00FFE4D1 0CC0900DE ldd #$900DE ; read address, write op, STA + wr bit
00FFE4D4 08DFC4 bsr i2c_wr_cmd
00FFE4D6 0C5080 bitb #$80
00FFE4D8 02603C bne rtc_rxerr
00FFE4DA 0CC010000 ldd #$10000 ; address zero, wr bit
00FFE4DD 08DFBB bsr i2c_wr_cmd
00FFE4DF 0C5080 bitb #$80
00FFE4E1 026033 bne rtc_rxerr
00FFE4E3 0CC0900DF ldd #$900DF ; read address, read op, STA + wr bit
00FFE4E6 08DFB2 bsr i2c_wr_cmd
00FFE4E8 0C5080 bitb #$80
00FFE4EA 02602A bne rtc_rxerr
00FFE4D8 05F clrb
00FFE4EC 05F clrb
rtcr0001:
00FFE4D9 086020 lda #$20
00FFE4DB 0A7004 sta I2C_CMD,x ; rd bit
00FFE4DD 08DF9D bsr i2c_wait_tip
00FFE4DF 08DFC8 bsr i2c_wait_rx_nack
00FFE4E1 0A6004 lda I2C_STAT,x
00FFE4E3 085080 bita #$80
00FFE4E5 02601B bne rtc_rxerr
00FFE4E7 0A6003 lda I2C_RXR,x
00FFE4E9 0A7A07 sta b,y
00FFE4EB 05C incb
00FFE4EC 0C105F cmpb #$5F
00FFE4EE 025FE9 blo rtcr0001
00FFE4F0 086068 lda #$68
00FFE4F2 0A7004 sta I2C_CMD,x ; STO, rd bit + nack
00FFE4F4 08DF86 bsr i2c_wait_tip
00FFE4F6 0A6004 lda I2C_STAT,x
00FFE4F8 085080 bita #$80
00FFE4FA 026006 bne rtc_rxerr
00FFE4FC 0A6003 lda I2C_RXR,x
00FFE4FE 0A7A07 sta b,y
00FFE500 04F05F clrd ; return 0
00FFE4ED 086020 lda #$20
00FFE4EF 0A7004 sta I2C_CMD,x ; rd bit
00FFE4F1 08DF9D bsr i2c_wait_tip
00FFE4F3 08DFC8 bsr i2c_wait_rx_nack
00FFE4F5 0A6004 lda I2C_STAT,x
00FFE4F7 085080 bita #$80
00FFE4F9 02601B bne rtc_rxerr
00FFE4FB 0A6003 lda I2C_RXR,x
00FFE4FD 0A7A07 sta b,y
00FFE4FF 05C incb
00FFE500 0C105F cmpb #$5F
00FFE502 025FE9 blo rtcr0001
00FFE504 086068 lda #$68
00FFE506 0A7004 sta I2C_CMD,x ; STO, rd bit + nack
00FFE508 08DF86 bsr i2c_wait_tip
00FFE50A 0A6004 lda I2C_STAT,x
00FFE50C 085080 bita #$80
00FFE50E 026006 bne rtc_rxerr
00FFE510 0A6003 lda I2C_RXR,x
00FFE512 0A7A07 sta b,y
00FFE514 04F05F clrd ; return 0
rtc_rxerr:
00FFE502 06F002 clr I2C_CTRL,x ; disable I2C and return status
00FFE504 04F clra
00FFE505 039 rts
00FFE516 06F002 clr I2C_CTRL,x ; disable I2C and return status
00FFE518 04F clra
00FFE519 039 rts
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
; Write the real-time-clock chip.
1359,40 → 1371,40
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
rtc_write:
00FFE506 08EE30500 ldx #RTC
00FFE509 18E007FC0 ldy #RTCBuf
00FFE51A 08EE30500 ldx #RTC
00FFE51D 18E007FC0 ldy #RTCBuf
00FFE50C 0C6080 ldb #$80
00FFE50E 0E7002 stb I2C_CTRL,x ; enable I2C
00FFE510 0CC0900DE ldd #$900DE ; read address, write op, STA + wr bit
00FFE513 08DF71 bsr i2c_wr_cmd
00FFE515 0C5080 bitb #$80
00FFE517 026FE9 bne rtc_rxerr
00FFE519 0CC010000 ldd #$10000 ; address zero, wr bit
00FFE51C 08DF68 bsr i2c_wr_cmd
00FFE51E 0C5080 bitb #$80
00FFE520 026FE0 bne rtc_rxerr
00FFE520 0C6080 ldb #$80
00FFE522 0E7002 stb I2C_CTRL,x ; enable I2C
00FFE524 0CC0900DE ldd #$900DE ; read address, write op, STA + wr bit
00FFE527 08DF71 bsr i2c_wr_cmd
00FFE529 0C5080 bitb #$80
00FFE52B 026FE9 bne rtc_rxerr
00FFE52D 0CC010000 ldd #$10000 ; address zero, wr bit
00FFE530 08DF68 bsr i2c_wr_cmd
00FFE532 0C5080 bitb #$80
00FFE534 026FE0 bne rtc_rxerr
00FFE522 0C6000 ldb #0
00FFE536 0C6000 ldb #0
rtcw0001:
00FFE524 034004 pshs b
00FFE526 0E6A05 ldb b,y
00FFE528 086010 lda #$10
00FFE52A 08DF5A bsr i2c_wr_cmd
00FFE52C 0C5080 bitb #$80
00FFE52E 035004 puls b
00FFE530 026FD0 bne rtc_rxerr
00FFE532 05C incb
00FFE533 0C105F cmpb #$5F
00FFE535 025FED blo rtcw0001
00FFE537 0E6A05 ldb b,y
00FFE539 086050 lda #$50 ; STO, wr bit
00FFE53B 08DF49 bsr i2c_wr_cmd
00FFE53D 0C5080 bitb #$80
00FFE53F 026FC1 bne rtc_rxerr
00FFE541 04F05F clrd ; return 0
00FFE543 06F002 clr I2C_CTRL,x ; disable I2C and return status
00FFE545 039 rts
00FFE538 034004 pshs b
00FFE53A 0E6A05 ldb b,y
00FFE53C 086010 lda #$10
00FFE53E 08DF5A bsr i2c_wr_cmd
00FFE540 0C5080 bitb #$80
00FFE542 035004 puls b
00FFE544 026FD0 bne rtc_rxerr
00FFE546 05C incb
00FFE547 0C105F cmpb #$5F
00FFE549 025FED blo rtcw0001
00FFE54B 0E6A05 ldb b,y
00FFE54D 086050 lda #$50 ; STO, wr bit
00FFE54F 08DF49 bsr i2c_wr_cmd
00FFE551 0C5080 bitb #$80
00FFE553 026FC1 bne rtc_rxerr
00FFE555 04F05F clrd ; return 0
00FFE557 06F002 clr I2C_CTRL,x ; disable I2C and return status
00FFE559 039 rts
;==============================================================================
; Keyboard I/O
1898,7 → 1910,7
00FFE984 026FC3 bne kbdi0002
.keybdErr:
00FFE986 0CCFFE9AE ldd #msgBadKeybd
00FFE989 017FFFA2D lbsr DisplayStringCRLF
00FFE989 017FFFA41 lbsr DisplayStringCRLF
*** warning 1: Long branch within short branch range could be optimized
00FFE98C 020014 bra ledxit
kbdi0004:
2304,7 → 2316,7
00FFEB85 026FFB bne sini1
00FFEB87 0C6009 ldb #$09 ; dtr,rts active, rxint enabled, no parity
00FFEB89 0150F7FFFE30102 stb ACIA+ACIA_CMD
00FFEB8E 0C601E ldb #$1E ; baud 9600, 1 stop bit, 8 bit, internal baud gen
00FFEB8E 0C601F ldb #$1F ; baud 9600, 1 stop bit, 8 bit, internal baud gen
00FFEB90 0150F7FFFE30103 stb ACIA+ACIA_CTRL
00FFEB95 0C60A6 ldb #$0A6 ; diable fifos, reset fifos
00FFEB97 0150F7FFFE3010B stb ACIA+ACIA_CTRL2
2568,7 → 2580,7
SerialOutputTest:
00FFECA5 034006 pshs d
00FFECA7 0CCFFECC5 ldd #msgSerialTest
00FFECAA 017FFF6F5 lbsr DisplayString
00FFECAA 017FFF709 lbsr DisplayString
*** warning 1: Long branch within short branch range could be optimized
00FFECAD 08DEC0 bsr SerialInit
sotst1:
2636,7 → 2648,7
*** warning 1: Long branch within short branch range could be optimized
00FFED0C 020003 bra INCHEK1
INCHEK2:
00FFED0E 017FFF5D0 lbsr DisplayChar
00FFED0E 017FFF5E4 lbsr DisplayChar
*** warning 1: Long branch within short branch range could be optimized
INCHEK1:
00FFED11 039 rts
2726,7 → 2738,7
00FFED89 08EFF0000 ldx #IOFocusList
00FFED8C 03A abx
00FFED8D 06F804 clr ,x ; clear the request indicator
00FFED8F 017FFF44F lbsr CopyScreenToVirtualScreen
00FFED8F 017FFF463 lbsr CopyScreenToVirtualScreen
*** warning 1: Long branch within short branch range could be optimized
00FFED92 0F1FF0010 cmpb IOFocusID ; are we the one with the focus?
00FFED95 126FFFFD1 lbne oscx
2749,7 → 2761,7
00FFEDA9 0A7804 sta ,x
riof1:
00FFEDAB 0F7FF0010 stb IOFocusID
00FFEDAE 017FFF3F9 lbsr CopyVirtualScreenToScreen
00FFEDAE 017FFF40D lbsr CopyVirtualScreenToScreen
*** warning 1: Long branch within short branch range could be optimized
00FFEDB1 016FFFFB5 lbra oscx
*** warning 1: Long branch within short branch range could be optimized
2806,6 → 2818,7
EXT EQU 6
IMMW EQU 7
FAREXT EQU 8
TFREXG EQU 9
distbl1:
; 00 to 0F
2841,8 → 2854,8
00FFEE3B 020020020020000 fcb " ", 0
00FFEE40 04104E044043003 fcb "ANDC", IMMB
00FFEE45 053045058020000 fcb "SEX ", 0
00FFEE4A 045058047020003 fcb "EXG ", IMMB
00FFEE4F 054046052020003 fcb "TFR ", IMMB
00FFEE4A 045058047020009 fcb "EXG ", TFREXG
00FFEE4F 054046052020009 fcb "TFR ", TFREXG
; 20 to 2F
00FFEE54 042052041020004 fcb "BRA ", SREL
3184,708 → 3197,769
00FFF3AF 04304D050053006 fcb "CMPS", EXT
disassem:
00FFF3B4 0170005FE lbsr GetTwoParams
00FFF3B7 017FFDD18 lbsr CRLF
00FFF3B4 00F15F clr farflag
00FFF3B6 0170006AD lbsr GetRange
00FFF3B9 017FFDD16 lbsr CRLF
*** warning 1: Long branch within short branch range could be optimized
00FFF3BA 1BE000922 ldy mon_r1+2
00FFF3BC 1BE000922 ldy mon_r1+2
disLoop1:
00FFF3BD 01F020 tfr y,d
00FFF3BF 017FFF02B lbsr DispWordAsHex
00FFF3BF 01F020 tfr y,d
00FFF3C1 017FFF03D lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF3C2 0C6020 ldb #' '
00FFF3C4 017FFF94B lbsr OUTCH
00FFF3C4 0C6020 ldb #' '
00FFF3C6 017FFF949 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF3C7 0E6A00 ldb ,y+
00FFF3C9 0C5300 bitb #$300
00FFF3CB 126000400 lbne dis1
00FFF3C9 0E6A00 ldb ,y+
00FFF3CB 0C5300 bitb #$300
00FFF3CD 12600041F lbne dis1
00FFF3D0 0C40FF andb #$FF ; mask off extra bits
00FFF3D2 0C1015 cmpb #$15
00FFF3D4 026004 bne dis20
00FFF3D6 0D715F stb farflag
00FFF3D8 020FE5 bra disLoop1
dis20:
00FFF3CE 08EFFEDB4 ldx #distbl1
00FFF3DA 08EFFEDB4 ldx #distbl1
dis23:
00FFF3D1 086005 lda #5
00FFF3D3 03D mul
00FFF3D4 03A abx
00FFF3D5 0E6800 ldb ,x+
00FFF3D7 017FFF938 lbsr OUTCH
00FFF3DD 086005 lda #5
00FFF3DF 03D mul
00FFF3E0 03A abx
00FFF3E1 0E6800 ldb ,x+
00FFF3E3 017FFF92C lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF3DA 0E6800 ldb ,x+
00FFF3DC 017FFF933 lbsr OUTCH
00FFF3E6 0E6800 ldb ,x+
00FFF3E8 017FFF927 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF3DF 0E6800 ldb ,x+
00FFF3E1 017FFF92E lbsr OUTCH
00FFF3EB 0E6800 ldb ,x+
00FFF3ED 017FFF922 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF3E4 0E6800 ldb ,x+
00FFF3E6 017FFF929 lbsr OUTCH
00FFF3F0 0E6800 ldb ,x+
00FFF3F2 017FFF91D lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF3E9 0C6020 ldb #' '
00FFF3EB 017FFF924 lbsr OUTCH
00FFF3F5 0C6020 ldb #' '
00FFF3F7 017FFF918 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF3EE 0E6800 ldb ,x+
00FFF3F0 12700042E lbeq disNextLine
00FFF3F3 0C1001 cmpb #DIRECT
00FFF3F5 026008 bne disNotDirect
00FFF3F7 0E6A00 ldb ,y+
00FFF3F9 017FFEFFA lbsr DispByteAsHex
00FFF3FA 0E6800 ldb ,x+
00FFF3FC 127000443 lbeq disNextLine
00FFF3FF 0C1001 cmpb #DIRECT
00FFF401 026008 bne disNotDirect
00FFF403 0E6A00 ldb ,y+
00FFF405 017FFF002 lbsr DispByteAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF3FC 016000422 lbra disNextLine
00FFF408 016000437 lbra disNextLine
disNotDirect:
00FFF3FF 0C1002 cmpb #LSREL
00FFF401 02600C bne disNotLRel
00FFF40B 0C1002 cmpb #LSREL
00FFF40D 02600C bne disNotLRel
dis21:
00FFF403 0ECA01 ldd ,y++
00FFF40F 0ECA01 ldd ,y++
dis2:
00FFF405 030A0B leax d,y
00FFF407 01F010 tfr x,d
00FFF409 017FFEFE1 lbsr DispWordAsHex
00FFF411 030A0B leax d,y
00FFF413 01F010 tfr x,d
00FFF415 017FFEFE9 lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF40C 016000412 lbra disNextLine
00FFF418 016000427 lbra disNextLine
disNotLRel:
00FFF40F 0C1004 cmpb #SREL
00FFF411 026005 bne disNotRel
00FFF413 0E6A00 ldb ,y+
00FFF415 04F clra
00FFF416 020FED bra dis2
00FFF41B 0C1004 cmpb #SREL
00FFF41D 026005 bne disNotRel
00FFF41F 0E6A00 ldb ,y+
00FFF421 04F clra
00FFF422 020FED bra dis2
disNotRel:
00FFF418 0C1005 cmpb #NDX
00FFF41A 026385 bne disNotNdx
00FFF41C 0E6A00 ldb ,y+
00FFF41E 0C5800 bitb #$800
00FFF420 02601E bne disNot9 ; test for offset 9 mode
00FFF422 034004 pshs b
00FFF424 0C41FF andb #$1FF ; mask to offset bits
00FFF426 04F clra ;
00FFF427 0C5100 bitb #$100 ; test for negative offset
00FFF429 027003 beq dis3
00FFF42B 04A deca ; sign extend offset
00FFF42C 0CAE00 orb #$E00
00FFF424 0C1005 cmpb #NDX
00FFF426 026385 bne disNotNdx
00FFF428 0E6A00 ldb ,y+
00FFF42A 0C5800 bitb #$800
00FFF42C 02601E bne disNot9 ; test for offset 9 mode
00FFF42E 034004 pshs b
00FFF430 0C41FF andb #$1FF ; mask to offset bits
00FFF432 04F clra ;
00FFF433 0C5100 bitb #$100 ; test for negative offset
00FFF435 027003 beq dis3
00FFF437 04A deca ; sign extend offset
00FFF438 0CAE00 orb #$E00
dis3:
00FFF42E 017FFEFBC lbsr DispWordAsHex
00FFF43A 017FFEFC4 lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF431 0C602C ldb #','
00FFF433 017FFF8DC lbsr OUTCH
00FFF43D 0C602C ldb #','
00FFF43F 017FFF8D0 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF436 035004 puls b
00FFF438 08D3F7 bsr disNdxReg
00FFF43A 017FFF8D5 lbsr OUTCH
00FFF442 035004 puls b
00FFF444 08D42E bsr disNdxReg
00FFF446 017FFF8C9 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF43D 0160003E1 lbra disNextLine
00FFF449 0160003F6 lbra disNextLine
disNot9:
00FFF440 034004 pshs b
00FFF442 0C5100 bitb #$100 ; check if indirect
00FFF444 026005 bne dis4
00FFF446 0C605B ldb #'['
00FFF448 017FFF8C7 lbsr OUTCH
00FFF44C 034004 pshs b
00FFF44E 0C5100 bitb #$100 ; check if indirect
00FFF450 027005 beq dis4
00FFF452 0C605B ldb #'['
00FFF454 017FFF8BB lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis4:
00FFF44B 0E6E04 ldb ,s ; get back b
00FFF44D 0C400F andb #15
00FFF44F 026030 bne disNotRplus
00FFF451 0E6E04 ldb ,s
00FFF453 0C5080 bitb #$80 ; outer indexed?
00FFF455 026005 bne dis5
00FFF457 0C605D ldb #']'
00FFF459 017FFF8B6 lbsr OUTCH
00FFF457 0E6E04 ldb ,s ; get back b
00FFF459 0C400F andb #15
00FFF45B 026030 bne disNotRplus
00FFF45D 0E6E04 ldb ,s
00FFF45F 0C5080 bitb #$80 ; outer indexed?
00FFF461 027005 beq dis5
00FFF463 0C605D ldb #']'
00FFF465 017FFF8AA lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis5:
00FFF45C 0C602C ldb #','
00FFF45E 017FFF8B1 lbsr OUTCH
00FFF468 0C602C ldb #','
00FFF46A 017FFF8A5 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF461 0E6E04 ldb ,s
00FFF463 08D3CC bsr disNdxReg
00FFF465 017FFF8AA lbsr OUTCH
00FFF46D 0E6E04 ldb ,s
00FFF46F 08D403 bsr disNdxReg
00FFF471 017FFF89E lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF468 0C602B ldb #'+'
00FFF46A 017FFF8A5 lbsr OUTCH
00FFF474 0C602B ldb #'+'
00FFF476 017FFF899 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF46D 035004 puls b
00FFF46F 0C5100 bitb #$100
00FFF471 1260003AD lbne disNextLine
00FFF474 0C5080 bitb #$80
00FFF476 1260003A8 lbne disNextLine
00FFF479 0C605D ldb #']'
00FFF47B 017FFF894 lbsr OUTCH
00FFF479 035004 puls b
00FFF47B 0C5100 bitb #$100
00FFF47D 1270003C2 lbeq disNextLine
00FFF480 0C5080 bitb #$80
00FFF482 1260003BD lbne disNextLine
00FFF485 0C605D ldb #']'
00FFF487 017FFF888 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF47E 0160003A0 lbra disNextLine
00FFF48A 0160003B5 lbra disNextLine
disNotRplus:
00FFF481 0C1001 cmpb #1
00FFF483 026033 bne disNotRplusplus
00FFF485 0E6E04 ldb ,s
00FFF487 0C5080 bitb #$80 ; outer indexed?
00FFF489 026005 bne dis6
00FFF48B 0C605D ldb #']'
00FFF48D 017FFF882 lbsr OUTCH
00FFF48D 0C1001 cmpb #1
00FFF48F 026033 bne disNotRplusplus
00FFF491 0E6E04 ldb ,s
00FFF493 0C5080 bitb #$80 ; outer indexed?
00FFF495 027005 beq dis6
00FFF497 0C605D ldb #']'
00FFF499 017FFF876 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis6:
00FFF490 0C602C ldb #','
00FFF492 017FFF87D lbsr OUTCH
00FFF49C 0C602C ldb #','
00FFF49E 017FFF871 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF495 0E6E04 ldb ,s
00FFF497 08D398 bsr disNdxReg
00FFF499 017FFF876 lbsr OUTCH
00FFF4A1 0E6E04 ldb ,s
00FFF4A3 08D3CF bsr disNdxReg
00FFF4A5 017FFF86A lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF49C 0C602B ldb #'+'
00FFF49E 017FFF871 lbsr OUTCH
00FFF4A8 0C602B ldb #'+'
00FFF4AA 017FFF865 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF4A1 017FFF86E lbsr OUTCH
00FFF4AD 017FFF862 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF4A4 035004 puls b
00FFF4A6 0C5100 bitb #$100
00FFF4A8 126000376 lbne disNextLine
00FFF4AB 0C5080 bitb #$80
00FFF4AD 126000371 lbne disNextLine
00FFF4B0 0C605D ldb #']'
00FFF4B2 017FFF85D lbsr OUTCH
00FFF4B0 035004 puls b
00FFF4B2 0C5100 bitb #$100
00FFF4B4 12700038B lbeq disNextLine
00FFF4B7 0C5080 bitb #$80
00FFF4B9 126000386 lbne disNextLine
00FFF4BC 0C605D ldb #']'
00FFF4BE 017FFF851 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF4B5 016000369 lbra disNextLine
00FFF4C1 01600037E lbra disNextLine
disNotRplusplus:
00FFF4B8 0C1002 cmpb #2
00FFF4BA 026030 bne disNotRminus
00FFF4BC 0E6E04 ldb ,s
00FFF4BE 0C5080 bitb #$80 ; outer indexed?
00FFF4C0 026005 bne dis7
00FFF4C2 0C605D ldb #']'
00FFF4C4 017FFF84B lbsr OUTCH
00FFF4C4 0C1002 cmpb #2
00FFF4C6 026030 bne disNotRminus
00FFF4C8 0E6E04 ldb ,s
00FFF4CA 0C5080 bitb #$80 ; outer indexed?
00FFF4CC 027005 beq dis7
00FFF4CE 0C605D ldb #']'
00FFF4D0 017FFF83F lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis7:
00FFF4C7 0C602C ldb #','
00FFF4C9 017FFF846 lbsr OUTCH
00FFF4D3 0C602C ldb #','
00FFF4D5 017FFF83A lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF4CC 0E6E04 ldb ,s
00FFF4CE 08D361 bsr disNdxReg
00FFF4D0 017FFF83F lbsr OUTCH
00FFF4D8 0E6E04 ldb ,s
00FFF4DA 08D398 bsr disNdxReg
00FFF4DC 017FFF833 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF4D3 0C602D ldb #'-'
00FFF4D5 017FFF83A lbsr OUTCH
00FFF4DF 0C602D ldb #'-'
00FFF4E1 017FFF82E lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF4D8 035004 puls b
00FFF4DA 0C5100 bitb #$100
00FFF4DC 126000342 lbne disNextLine
00FFF4DF 0C5080 bitb #$80
00FFF4E1 12600033D lbne disNextLine
00FFF4E4 0C605D ldb #']'
00FFF4E6 017FFF829 lbsr OUTCH
00FFF4E4 035004 puls b
00FFF4E6 0C5100 bitb #$100
00FFF4E8 127000357 lbeq disNextLine
00FFF4EB 0C5080 bitb #$80
00FFF4ED 126000352 lbne disNextLine
00FFF4F0 0C605D ldb #']'
00FFF4F2 017FFF81D lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF4E9 016000335 lbra disNextLine
00FFF4F5 01600034A lbra disNextLine
disNotRminus:
00FFF4EC 0C1003 cmpb #3
00FFF4EE 026033 bne disNotRminusminus
00FFF4F0 0E6E04 ldb ,s
00FFF4F2 0C5080 bitb #$80 ; outer indexed?
00FFF4F4 026005 bne dis8
00FFF4F6 0C605D ldb #']'
00FFF4F8 017FFF817 lbsr OUTCH
00FFF4F8 0C1003 cmpb #3
00FFF4FA 026033 bne disNotRminusminus
00FFF4FC 0E6E04 ldb ,s
00FFF4FE 0C5080 bitb #$80 ; outer indexed?
00FFF500 027005 beq dis8
00FFF502 0C605D ldb #']'
00FFF504 017FFF80B lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis8:
00FFF4FB 0C602C ldb #','
00FFF4FD 017FFF812 lbsr OUTCH
00FFF507 0C602C ldb #','
00FFF509 017FFF806 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF500 0E6E04 ldb ,s
00FFF502 08D32D bsr disNdxReg
00FFF504 017FFF80B lbsr OUTCH
00FFF50C 0E6E04 ldb ,s
00FFF50E 08D364 bsr disNdxReg
00FFF510 017FFF7FF lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF507 0C602D ldb #'-'
00FFF509 017FFF806 lbsr OUTCH
00FFF513 0C602D ldb #'-'
00FFF515 017FFF7FA lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF50C 017FFF803 lbsr OUTCH
00FFF518 017FFF7F7 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF50F 035004 puls b
00FFF511 0C5100 bitb #$100
00FFF513 12600030B lbne disNextLine
00FFF516 0C5080 bitb #$80
00FFF518 126000306 lbne disNextLine
00FFF51B 0C605D ldb #']'
00FFF51D 017FFF7F2 lbsr OUTCH
00FFF51B 035004 puls b
00FFF51D 0C5100 bitb #$100
00FFF51F 127000320 lbeq disNextLine
00FFF522 0C5080 bitb #$80
00FFF524 12600031B lbne disNextLine
00FFF527 0C605D ldb #']'
00FFF529 017FFF7E6 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF520 0160002FE lbra disNextLine
00FFF52C 016000313 lbra disNextLine
disNotRminusminus:
00FFF523 0C1004 cmpb #4
00FFF525 02602B bne disNotR
00FFF527 0E6E04 ldb ,s
00FFF529 0C5080 bitb #$80 ; outer indexed?
00FFF52B 026005 bne dis9
00FFF52D 0C605D ldb #']'
00FFF52F 017FFF7E0 lbsr OUTCH
00FFF52F 0C1004 cmpb #4
00FFF531 02602B bne disNotR
00FFF533 0E6E04 ldb ,s
00FFF535 0C5080 bitb #$80 ; outer indexed?
00FFF537 027005 beq dis9
00FFF539 0C605D ldb #']'
00FFF53B 017FFF7D4 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis9:
00FFF532 0C602C ldb #','
00FFF534 017FFF7DB lbsr OUTCH
00FFF53E 0C602C ldb #','
00FFF540 017FFF7CF lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF537 0E6E04 ldb ,s
00FFF539 08D2F6 bsr disNdxReg
00FFF53B 017FFF7D4 lbsr OUTCH
00FFF543 0E6E04 ldb ,s
00FFF545 08D32D bsr disNdxReg
00FFF547 017FFF7C8 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF53E 035004 puls b
00FFF540 0C5100 bitb #$100
00FFF542 1260002DC lbne disNextLine
00FFF545 0C5080 bitb #$80
00FFF547 1260002D7 lbne disNextLine
00FFF54A 0C605D ldb #']'
00FFF54C 017FFF7C3 lbsr OUTCH
00FFF54A 035004 puls b
00FFF54C 0C5100 bitb #$100
00FFF54E 1270002F1 lbeq disNextLine
00FFF551 0C5080 bitb #$80
00FFF553 1260002EC lbne disNextLine
00FFF556 0C605D ldb #']'
00FFF558 017FFF7B7 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF54F 0160002CF lbra disNextLine
00FFF55B 0160002E4 lbra disNextLine
disNotR:
00FFF552 0C1005 cmpb #5
00FFF554 026038 bne disNotBOffs
00FFF556 0C6042 ldb #'B'
00FFF558 017FFF7B7 lbsr OUTCH
00FFF55E 0C1005 cmpb #5
00FFF560 026038 bne disNotBOffs
00FFF562 0C6042 ldb #'B'
00FFF564 017FFF7AB lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF55B 0E6E04 ldb ,s
00FFF55D 0C5080 bitb #$80 ; outer indexed?
00FFF55F 026005 bne dis10
00FFF561 0C605D ldb #']'
00FFF563 017FFF7AC lbsr OUTCH
00FFF567 0E6E04 ldb ,s
00FFF569 0C5080 bitb #$80 ; outer indexed?
00FFF56B 027005 beq dis10
00FFF56D 0C605D ldb #']'
00FFF56F 017FFF7A0 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis10:
00FFF566 0C602C ldb #','
00FFF568 017FFF7A7 lbsr OUTCH
00FFF572 0C602C ldb #','
00FFF574 017FFF79B lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF56B 0E6E04 ldb ,s
00FFF56D 08D2C2 bsr disNdxReg
00FFF56F 017FFF7A0 lbsr OUTCH
00FFF577 0E6E04 ldb ,s
00FFF579 08D2F9 bsr disNdxReg
00FFF57B 017FFF794 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF572 0C602D ldb #'-'
00FFF574 017FFF79B lbsr OUTCH
00FFF57E 0C602D ldb #'-'
00FFF580 017FFF78F lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF577 017FFF798 lbsr OUTCH
00FFF583 017FFF78C lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF57A 035004 puls b
00FFF57C 0C5100 bitb #$100
00FFF57E 1260002A0 lbne disNextLine
00FFF581 0C5080 bitb #$80
00FFF583 12600029B lbne disNextLine
00FFF586 0C605D ldb #']'
00FFF588 017FFF787 lbsr OUTCH
00FFF586 035004 puls b
00FFF588 0C5100 bitb #$100
00FFF58A 1270002B5 lbeq disNextLine
00FFF58D 0C5080 bitb #$80
00FFF58F 1260002B0 lbne disNextLine
00FFF592 0C605D ldb #']'
00FFF594 017FFF77B lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF58B 016000293 lbra disNextLine
00FFF597 0160002A8 lbra disNextLine
disNotBOffs:
00FFF58E 0C1006 cmpb #6
00FFF590 026038 bne disNotAOffs
00FFF592 0C6041 ldb #'A'
00FFF594 017FFF77B lbsr OUTCH
00FFF59A 0C1006 cmpb #6
00FFF59C 026038 bne disNotAOffs
00FFF59E 0C6041 ldb #'A'
00FFF5A0 017FFF76F lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF597 0E6E04 ldb ,s
00FFF599 0C5080 bitb #$80 ; outer indexed?
00FFF59B 026005 bne dis11
00FFF59D 0C605D ldb #']'
00FFF59F 017FFF770 lbsr OUTCH
00FFF5A3 0E6E04 ldb ,s
00FFF5A5 0C5080 bitb #$80 ; outer indexed?
00FFF5A7 027005 beq dis11
00FFF5A9 0C605D ldb #']'
00FFF5AB 017FFF764 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis11:
00FFF5A2 0C602C ldb #','
00FFF5A4 017FFF76B lbsr OUTCH
00FFF5AE 0C602C ldb #','
00FFF5B0 017FFF75F lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF5A7 0E6E04 ldb ,s
00FFF5A9 08D286 bsr disNdxReg
00FFF5AB 017FFF764 lbsr OUTCH
00FFF5B3 0E6E04 ldb ,s
00FFF5B5 08D2BD bsr disNdxReg
00FFF5B7 017FFF758 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF5AE 0C602D ldb #'-'
00FFF5B0 017FFF75F lbsr OUTCH
00FFF5BA 0C602D ldb #'-'
00FFF5BC 017FFF753 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF5B3 017FFF75C lbsr OUTCH
00FFF5BF 017FFF750 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF5B6 035004 puls b
00FFF5B8 0C5100 bitb #$100
00FFF5BA 126000264 lbne disNextLine
00FFF5BD 0C5080 bitb #$80
00FFF5BF 12600025F lbne disNextLine
00FFF5C2 0C605D ldb #']'
00FFF5C4 017FFF74B lbsr OUTCH
00FFF5C2 035004 puls b
00FFF5C4 0C5100 bitb #$100
00FFF5C6 127000279 lbeq disNextLine
00FFF5C9 0C5080 bitb #$80
00FFF5CB 126000274 lbne disNextLine
00FFF5CE 0C605D ldb #']'
00FFF5D0 017FFF73F lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF5C7 016000257 lbra disNextLine
00FFF5D3 01600026C lbra disNextLine
disNotAOffs:
00FFF5CA 0C1008 cmpb #8
00FFF5CC 026039 bne disNotBO
00FFF5CE 0E6A00 ldb ,y+
00FFF5D0 01D sex
00FFF5D1 017FFEE19 lbsr DispWordAsHex
00FFF5D6 0C1008 cmpb #8
00FFF5D8 026039 bne disNotBO
00FFF5DA 0E6A00 ldb ,y+
00FFF5DC 01D sex
00FFF5DD 017FFEE21 lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF5D4 0E6E04 ldb ,s
00FFF5D6 0C5080 bitb #$80 ; outer indexed?
00FFF5D8 026005 bne dis12
00FFF5DA 0C605D ldb #']'
00FFF5DC 017FFF733 lbsr OUTCH
00FFF5E0 0E6E04 ldb ,s
00FFF5E2 0C5080 bitb #$80 ; outer indexed?
00FFF5E4 027005 beq dis12
00FFF5E6 0C605D ldb #']'
00FFF5E8 017FFF727 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis12:
00FFF5DF 0C602C ldb #','
00FFF5E1 017FFF72E lbsr OUTCH
00FFF5EB 0C602C ldb #','
00FFF5ED 017FFF722 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF5E4 0E6E04 ldb ,s
00FFF5E6 08D249 bsr disNdxReg
00FFF5E8 017FFF727 lbsr OUTCH
00FFF5F0 0E6E04 ldb ,s
00FFF5F2 08D280 bsr disNdxReg
00FFF5F4 017FFF71B lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF5EB 0C602D ldb #'-'
00FFF5ED 017FFF722 lbsr OUTCH
00FFF5F7 0C602D ldb #'-'
00FFF5F9 017FFF716 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF5F0 017FFF71F lbsr OUTCH
00FFF5FC 017FFF713 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF5F3 035004 puls b
00FFF5F5 0C5100 bitb #$100
00FFF5F7 126000227 lbne disNextLine
00FFF5FA 0C5080 bitb #$80
00FFF5FC 126000222 lbne disNextLine
00FFF5FF 0C605D ldb #']'
00FFF601 017FFF70E lbsr OUTCH
00FFF5FF 035004 puls b
00FFF601 0C5100 bitb #$100
00FFF603 12700023C lbeq disNextLine
00FFF606 0C5080 bitb #$80
00FFF608 126000237 lbne disNextLine
00FFF60B 0C605D ldb #']'
00FFF60D 017FFF702 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF604 01600021A lbra disNextLine
00FFF610 01600022F lbra disNextLine
disNotBO:
00FFF607 0C1009 cmpb #9
00FFF609 026038 bne disNotWO
00FFF60B 0ECA01 ldd ,y++
00FFF60D 017FFEDDD lbsr DispWordAsHex
00FFF613 0C1009 cmpb #9
00FFF615 026038 bne disNotWO
00FFF617 0ECA01 ldd ,y++
00FFF619 017FFEDE5 lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF610 0E6E04 ldb ,s
00FFF612 0C5080 bitb #$80 ; outer indexed?
00FFF614 026005 bne dis13
00FFF616 0C605D ldb #']'
00FFF618 017FFF6F7 lbsr OUTCH
00FFF61C 0E6E04 ldb ,s
00FFF61E 0C5080 bitb #$80 ; outer indexed?
00FFF620 027005 beq dis13
00FFF622 0C605D ldb #']'
00FFF624 017FFF6EB lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis13:
00FFF61B 0C602C ldb #','
00FFF61D 017FFF6F2 lbsr OUTCH
00FFF627 0C602C ldb #','
00FFF629 017FFF6E6 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF620 0E6E04 ldb ,s
00FFF622 08D20D bsr disNdxReg
00FFF624 017FFF6EB lbsr OUTCH
00FFF62C 0E6E04 ldb ,s
00FFF62E 08D244 bsr disNdxReg
00FFF630 017FFF6DF lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF627 0C602D ldb #'-'
00FFF629 017FFF6E6 lbsr OUTCH
00FFF633 0C602D ldb #'-'
00FFF635 017FFF6DA lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF62C 017FFF6E3 lbsr OUTCH
00FFF638 017FFF6D7 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF62F 035004 puls b
00FFF631 0C5100 bitb #$100
00FFF633 1260001EB lbne disNextLine
00FFF636 0C5080 bitb #$80
00FFF638 1260001E6 lbne disNextLine
00FFF63B 0C605D ldb #']'
00FFF63D 017FFF6D2 lbsr OUTCH
00FFF63B 035004 puls b
00FFF63D 0C5100 bitb #$100
00FFF63F 127000200 lbeq disNextLine
00FFF642 0C5080 bitb #$80
00FFF644 1260001FB lbne disNextLine
00FFF647 0C605D ldb #']'
00FFF649 017FFF6C6 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF640 0160001DE lbra disNextLine
00FFF64C 0160001F3 lbra disNextLine
disNotWO:
00FFF643 0C100A cmpb #10
00FFF645 02603D bne disNotTO
00FFF647 0E6A01 ldb ,y++
00FFF649 017FFEDAA lbsr DispByteAsHex
00FFF64F 0C100A cmpb #10
00FFF651 02603D bne disNotTO
00FFF653 0E6A01 ldb ,y++
00FFF655 017FFEDB2 lbsr DispByteAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF64C 0ECA01 ldd ,y++
00FFF64E 017FFED9C lbsr DispWordAsHex
00FFF658 0ECA01 ldd ,y++
00FFF65A 017FFEDA4 lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF651 0E6E04 ldb ,s
00FFF653 0C5080 bitb #$80 ; outer indexed?
00FFF655 026005 bne dis14
00FFF657 0C605D ldb #']'
00FFF659 017FFF6B6 lbsr OUTCH
00FFF65D 0E6E04 ldb ,s
00FFF65F 0C5080 bitb #$80 ; outer indexed?
00FFF661 027005 beq dis14
00FFF663 0C605D ldb #']'
00FFF665 017FFF6AA lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis14:
00FFF65C 0C602C ldb #','
00FFF65E 017FFF6B1 lbsr OUTCH
00FFF668 0C602C ldb #','
00FFF66A 017FFF6A5 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF661 0E6E04 ldb ,s
00FFF663 08D1CC bsr disNdxReg
00FFF665 017FFF6AA lbsr OUTCH
00FFF66D 0E6E04 ldb ,s
00FFF66F 08D203 bsr disNdxReg
00FFF671 017FFF69E lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF668 0C602D ldb #'-'
00FFF66A 017FFF6A5 lbsr OUTCH
00FFF674 0C602D ldb #'-'
00FFF676 017FFF699 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF66D 017FFF6A2 lbsr OUTCH
00FFF679 017FFF696 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF670 035004 puls b
00FFF672 0C5100 bitb #$100
00FFF674 1260001AA lbne disNextLine
00FFF677 0C5080 bitb #$80
00FFF679 1260001A5 lbne disNextLine
00FFF67C 0C605D ldb #']'
00FFF67E 017FFF691 lbsr OUTCH
00FFF67C 035004 puls b
00FFF67E 0C5100 bitb #$100
00FFF680 1270001BF lbeq disNextLine
00FFF683 0C5080 bitb #$80
00FFF685 1260001BA lbne disNextLine
00FFF688 0C605D ldb #']'
00FFF68A 017FFF685 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF681 01600019D lbra disNextLine
00FFF68D 0160001B2 lbra disNextLine
disNotTO:
00FFF684 0C100B cmpb #11
00FFF686 026038 bne disNotDOffs
00FFF688 0C6044 ldb #'D'
00FFF68A 017FFF685 lbsr OUTCH
00FFF690 0C100B cmpb #11
00FFF692 026038 bne disNotDOffs
00FFF694 0C6044 ldb #'D'
00FFF696 017FFF679 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF68D 0E6E04 ldb ,s
00FFF68F 0C5080 bitb #$80 ; outer indexed?
00FFF691 026005 bne dis15
00FFF693 0C605D ldb #']'
00FFF695 017FFF67A lbsr OUTCH
00FFF699 0E6E04 ldb ,s
00FFF69B 0C5080 bitb #$80 ; outer indexed?
00FFF69D 027005 beq dis15
00FFF69F 0C605D ldb #']'
00FFF6A1 017FFF66E lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis15:
00FFF698 0C602C ldb #','
00FFF69A 017FFF675 lbsr OUTCH
00FFF6A4 0C602C ldb #','
00FFF6A6 017FFF669 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF69D 0E6E04 ldb ,s
00FFF69F 08D190 bsr disNdxReg
00FFF6A1 017FFF66E lbsr OUTCH
00FFF6A9 0E6E04 ldb ,s
00FFF6AB 08D1C7 bsr disNdxReg
00FFF6AD 017FFF662 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF6A4 0C602D ldb #'-'
00FFF6A6 017FFF669 lbsr OUTCH
00FFF6B0 0C602D ldb #'-'
00FFF6B2 017FFF65D lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF6A9 017FFF666 lbsr OUTCH
00FFF6B5 017FFF65A lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF6AC 035004 puls b
00FFF6AE 0C5100 bitb #$100
00FFF6B0 12600016E lbne disNextLine
00FFF6B3 0C5080 bitb #$80
00FFF6B5 126000169 lbne disNextLine
00FFF6B8 0C605D ldb #']'
00FFF6BA 017FFF655 lbsr OUTCH
00FFF6B8 035004 puls b
00FFF6BA 0C5100 bitb #$100
00FFF6BC 127000183 lbeq disNextLine
00FFF6BF 0C5080 bitb #$80
00FFF6C1 12600017E lbne disNextLine
00FFF6C4 0C605D ldb #']'
00FFF6C6 017FFF649 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF6BD 016000161 lbra disNextLine
00FFF6C9 016000176 lbra disNextLine
disNotDOffs:
00FFF6C0 0C100C cmpb #12
00FFF6C2 026040 bne disNotPBO
00FFF6C4 0E6A00 ldb ,y+
00FFF6C6 01D sex
00FFF6C7 017FFED23 lbsr DispWordAsHex
00FFF6CC 0C100C cmpb #12
00FFF6CE 026040 bne disNotPBO
00FFF6D0 0E6A00 ldb ,y+
00FFF6D2 01D sex
00FFF6D3 017FFED2B lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF6CA 0E6E04 ldb ,s
00FFF6CC 0C5080 bitb #$80 ; outer indexed?
00FFF6CE 026005 bne dis16
00FFF6D0 0C605D ldb #']'
00FFF6D2 017FFF63D lbsr OUTCH
00FFF6D6 0E6E04 ldb ,s
00FFF6D8 0C5080 bitb #$80 ; outer indexed?
00FFF6DA 027005 beq dis16
00FFF6DC 0C605D ldb #']'
00FFF6DE 017FFF631 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis16:
00FFF6D5 0C602C ldb #','
00FFF6D7 017FFF638 lbsr OUTCH
00FFF6E1 0C602C ldb #','
00FFF6E3 017FFF62C lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF6DA 0E6E04 ldb ,s
00FFF6DC 08D153 bsr disNdxReg
00FFF6DE 0C6050 ldb #'P'
00FFF6E0 017FFF62F lbsr OUTCH
00FFF6E6 0E6E04 ldb ,s
00FFF6E8 08D18A bsr disNdxReg
00FFF6EA 0C6050 ldb #'P'
00FFF6EC 017FFF623 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF6E3 0C6043 ldb #'C'
00FFF6E5 017FFF62A lbsr OUTCH
00FFF6EF 0C6043 ldb #'C'
00FFF6F1 017FFF61E lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF6E8 0C602D ldb #'-'
00FFF6EA 017FFF625 lbsr OUTCH
00FFF6F4 0C602D ldb #'-'
00FFF6F6 017FFF619 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF6ED 017FFF622 lbsr OUTCH
00FFF6F9 017FFF616 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF6F0 035004 puls b
00FFF6F2 0C5100 bitb #$100
00FFF6F4 12600012A lbne disNextLine
00FFF6F7 0C5080 bitb #$80
00FFF6F9 126000125 lbne disNextLine
00FFF6FC 0C605D ldb #']'
00FFF6FE 017FFF611 lbsr OUTCH
00FFF6FC 035004 puls b
00FFF6FE 0C5100 bitb #$100
00FFF700 12700013F lbeq disNextLine
00FFF703 0C5080 bitb #$80
00FFF705 12600013A lbne disNextLine
00FFF708 0C605D ldb #']'
00FFF70A 017FFF605 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF701 01600011D lbra disNextLine
00FFF70D 016000132 lbra disNextLine
disNotPBO:
00FFF704 0C100D cmpb #13
00FFF706 02603F bne disNotPWO
00FFF708 0ECA01 ldd ,y++
00FFF70A 017FFECE0 lbsr DispWordAsHex
00FFF710 0C100D cmpb #13
00FFF712 02603F bne disNotPWO
00FFF714 0ECA01 ldd ,y++
00FFF716 017FFECE8 lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF70D 0E6E04 ldb ,s
00FFF70F 0C5080 bitb #$80 ; outer indexed?
00FFF711 026005 bne dis17
00FFF713 0C605D ldb #']'
00FFF715 017FFF5FA lbsr OUTCH
00FFF719 0E6E04 ldb ,s
00FFF71B 0C5080 bitb #$80 ; outer indexed?
00FFF71D 027005 beq dis17
00FFF71F 0C605D ldb #']'
00FFF721 017FFF5EE lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis17:
00FFF718 0C602C ldb #','
00FFF71A 017FFF5F5 lbsr OUTCH
00FFF724 0C602C ldb #','
00FFF726 017FFF5E9 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF71D 0E6E04 ldb ,s
00FFF71F 08D110 bsr disNdxReg
00FFF721 0C6050 ldb #'P'
00FFF723 017FFF5EC lbsr OUTCH
00FFF729 0E6E04 ldb ,s
00FFF72B 08D147 bsr disNdxReg
00FFF72D 0C6050 ldb #'P'
00FFF72F 017FFF5E0 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF726 0C6043 ldb #'C'
00FFF728 017FFF5E7 lbsr OUTCH
00FFF732 0C6043 ldb #'C'
00FFF734 017FFF5DB lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF72B 0C602D ldb #'-'
00FFF72D 017FFF5E2 lbsr OUTCH
00FFF737 0C602D ldb #'-'
00FFF739 017FFF5D6 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF730 017FFF5DF lbsr OUTCH
00FFF73C 017FFF5D3 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF733 035004 puls b
00FFF735 0C5100 bitb #$100
00FFF737 1260000E7 lbne disNextLine
00FFF73A 0C5080 bitb #$80
00FFF73C 1260000E2 lbne disNextLine
00FFF73F 0C605D ldb #']'
00FFF741 017FFF5CE lbsr OUTCH
00FFF73F 035004 puls b
00FFF741 0C5100 bitb #$100
00FFF743 1270000FC lbeq disNextLine
00FFF746 0C5080 bitb #$80
00FFF748 1260000F7 lbne disNextLine
00FFF74B 0C605D ldb #']'
00FFF74D 017FFF5C2 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF744 0160000DA lbra disNextLine
00FFF750 0160000EF lbra disNextLine
disNotPWO:
00FFF747 0C100E cmpb #14
00FFF749 026044 bne disNotPTO
00FFF74B 0E6A00 ldb ,y+
00FFF74D 017FFECA6 lbsr DispByteAsHex
00FFF753 0C100E cmpb #14
00FFF755 026044 bne disNotPTO
00FFF757 0E6A00 ldb ,y+
00FFF759 017FFECAE lbsr DispByteAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF750 0ECA01 ldd ,y++
00FFF752 017FFEC98 lbsr DispWordAsHex
00FFF75C 0ECA01 ldd ,y++
00FFF75E 017FFECA0 lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF755 0E6E04 ldb ,s
00FFF757 0C5080 bitb #$80 ; outer indexed?
00FFF759 026005 bne dis18
00FFF75B 0C605D ldb #']'
00FFF75D 017FFF5B2 lbsr OUTCH
00FFF761 0E6E04 ldb ,s
00FFF763 0C5080 bitb #$80 ; outer indexed?
00FFF765 027005 beq dis18
00FFF767 0C605D ldb #']'
00FFF769 017FFF5A6 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dis18:
00FFF760 0C602C ldb #','
00FFF762 017FFF5AD lbsr OUTCH
00FFF76C 0C602C ldb #','
00FFF76E 017FFF5A1 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF765 0E6E04 ldb ,s
00FFF767 08D0C8 bsr disNdxReg
00FFF769 0C6050 ldb #'P'
00FFF76B 017FFF5A4 lbsr OUTCH
00FFF771 0E6E04 ldb ,s
00FFF773 08D0FF bsr disNdxReg
00FFF775 0C6050 ldb #'P'
00FFF777 017FFF598 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF76E 0C6043 ldb #'C'
00FFF770 017FFF59F lbsr OUTCH
00FFF77A 0C6043 ldb #'C'
00FFF77C 017FFF593 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF773 0C602D ldb #'-'
00FFF775 017FFF59A lbsr OUTCH
00FFF77F 0C602D ldb #'-'
00FFF781 017FFF58E lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF778 017FFF597 lbsr OUTCH
00FFF784 017FFF58B lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF77B 035004 puls b
00FFF77D 0C5100 bitb #$100
00FFF77F 12600009F lbne disNextLine
00FFF782 0C5080 bitb #$80
00FFF784 12600009A lbne disNextLine
00FFF787 0C605D ldb #']'
00FFF789 017FFF586 lbsr OUTCH
00FFF787 035004 puls b
00FFF789 0C5100 bitb #$100
00FFF78B 1270000B4 lbeq disNextLine
00FFF78E 0C5080 bitb #$80
00FFF790 1260000AF lbne disNextLine
00FFF793 0C605D ldb #']'
00FFF795 017FFF57A lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF78C 016000092 lbra disNextLine
00FFF798 0160000A7 lbra disNextLine
disNotPTO:
00FFF78F 0C605B ldb #'['
00FFF791 017FFF57E lbsr OUTCH
00FFF79B 0C605B ldb #'['
00FFF79D 017FFF572 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF794 0ECA01 ldd ,y++
00FFF796 017FFEC54 lbsr DispWordAsHex
00FFF7A0 0ECA01 ldd ,y++
00FFF7A2 017FFEC5C lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF799 0C605D ldb #']'
00FFF79B 017FFF574 lbsr OUTCH
00FFF7A5 0C605D ldb #']'
00FFF7A7 017FFF568 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF79E 016000080 lbra disNextLine
00FFF7AA 016000095 lbra disNextLine
disNotNdx:
00FFF7A1 0C1006 cmpb #EXT
00FFF7A3 026008 bne disNotExt
00FFF7A5 0ECA01 ldd ,y++
00FFF7A7 017FFEC43 lbsr DispWordAsHex
00FFF7AD 0C1006 cmpb #EXT
00FFF7AF 026013 bne disNotExt
00FFF7B1 00D15F tst farflag
00FFF7B3 027005 beq dis30
00FFF7B5 0E6A01 ldb ,y++
00FFF7B7 017FFEC50 lbsr DispByteAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF7AA 016000074 lbra disNextLine
dis30:
00FFF7BA 0ECA01 ldd ,y++
00FFF7BC 017FFEC42 lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF7BF 00F15F clr farflag
00FFF7C1 01600007E lbra disNextLine
disNotExt:
00FFF7AD 0C1003 cmpb #IMMB
00FFF7AF 02600D bne disNotIMMB
00FFF7B1 0C6023 ldb #'#'
00FFF7B3 017FFF55C lbsr OUTCH
00FFF7C4 0C1003 cmpb #IMMB
00FFF7C6 02600D bne disNotIMMB
00FFF7C8 0C6023 ldb #'#'
00FFF7CA 017FFF545 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF7B6 0E6A00 ldb ,y+
00FFF7B8 017FFEC3B lbsr DispByteAsHex
00FFF7CD 0E6A00 ldb ,y+
00FFF7CF 017FFEC38 lbsr DispByteAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF7BB 016000063 lbra disNextLine
00FFF7D2 01600006D lbra disNextLine
disNotIMMB:
00FFF7BE 0C1007 cmpb #IMMW
00FFF7C0 02600C bne disNotIMMW
00FFF7C2 0C6023 ldb #'#'
00FFF7C4 017FFF54B lbsr OUTCH
00FFF7D5 0C1007 cmpb #IMMW
00FFF7D7 02600C bne disNotIMMW
00FFF7D9 0C6023 ldb #'#'
00FFF7DB 017FFF534 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF7C7 0ECA01 ldd ,y++
00FFF7C9 017FFEC21 lbsr DispWordAsHex
00FFF7DE 0ECA01 ldd ,y++
00FFF7E0 017FFEC1E lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFF7CC 020053 bra disNextLine
00FFF7E3 02005D bra disNextLine
disNotIMMW:
00FFF7E5 0C1009 cmpb #TFREXG
00FFF7E7 026006 bne disNotTfr
00FFF7E9 0E6A00 ldb ,y+
00FFF7EB 08D0B8 bsr disTfrExg
00FFF7ED 020053 bra disNextLine
disNotTfr:
dis1:
00FFF7CE 0C1121 cmpb #$121
00FFF7D0 025028 blo dis19
00FFF7D2 0C112F cmpb #$12F
00FFF7D4 022024 bhi dis19
00FFF7D6 0C40FF andb #$FF
00FFF7D8 08EFFEDB4 ldx #distbl1
00FFF7DB 058 aslb
00FFF7DC 058 aslb
00FFF7DD 03A abx
00FFF7DE 0E6800 ldb ,x+
00FFF7E0 017FFF52F lbsr OUTCH
00FFF7EF 0C1121 cmpb #$121
00FFF7F1 025028 blo dis19
00FFF7F3 0C112F cmpb #$12F
00FFF7F5 022024 bhi dis19
00FFF7F7 0C40FF andb #$FF
00FFF7F9 08EFFF2B4 ldx #distbl2
00FFF7FC 058 aslb
00FFF7FD 058 aslb
00FFF7FE 03A abx
00FFF7FF 0E6800 ldb ,x+
00FFF801 017FFF50E lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF7E3 0E6800 ldb ,x+
00FFF7E5 017FFF52A lbsr OUTCH
00FFF804 0E6800 ldb ,x+
00FFF806 017FFF509 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF7E8 0E6800 ldb ,x+
00FFF7EA 017FFF525 lbsr OUTCH
00FFF809 0E6800 ldb ,x+
00FFF80B 017FFF504 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF7ED 0E6800 ldb ,x+
00FFF7EF 017FFF520 lbsr OUTCH
00FFF80E 0E6800 ldb ,x+
00FFF810 017FFF4FF lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF7F2 0C6020 ldb #' '
00FFF7F4 017FFF51B lbsr OUTCH
00FFF813 0C6020 ldb #' '
00FFF815 017FFF4FA lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF7F7 016FFFC09 lbra dis21
00FFF818 016FFFBF4 lbra dis21
*** warning 1: Long branch within short branch range could be optimized
dis19:
00FFF7FA 08E000000 ldx #0
00FFF81B 08E000000 ldx #0
dis24:
00FFF7FD 0E180A000FFF2F4 cmpb distbl3,x
00FFF802 026006 bne dis25
00FFF804 08EFFF314 ldx #distbl4
00FFF807 016FFFBC7 lbra dis23
00FFF81E 0E180A000FFF2F4 cmpb distbl3,x
00FFF823 026006 bne dis25
00FFF825 08EFFF314 ldx #distbl4
00FFF828 016FFFBB2 lbra dis23
*** warning 1: Long branch within short branch range could be optimized
dis25:
00FFF80A 030001 inx
00FFF80C 08C00001F cmpx #31
00FFF80F 025FEC blo dis24
00FFF811 0C603F ldb #'?'
00FFF813 017FFF4FC lbsr OUTCH
00FFF82B 030001 inx
00FFF82D 08C00001F cmpx #31
00FFF830 025FEC blo dis24
00FFF832 0C603F ldb #'?'
00FFF834 017FFF4DB lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF816 017FFF4F9 lbsr OUTCH
00FFF837 017FFF4D8 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF819 017FFF4F6 lbsr OUTCH
00FFF83A 017FFF4D5 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF81C 017FFF4F3 lbsr OUTCH
00FFF83D 017FFF4D2 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF81F 020000 bra disNextLine
disNextLine
00FFF821 017FFD8AE lbsr CRLF
00FFF840 020000 bra disNextLine
disNextLine:
00FFF842 00F15F clr farflag
00FFF844 017FFD88B lbsr CRLF
*** warning 1: Long branch within short branch range could be optimized
00FFF824 1BC000926 cmpy mon_r2+2
00FFF827 125FFFB93 lblo disLoop1
00FFF847 1BC000926 cmpy mon_r2+2
00FFF84A 125FFFB72 lblo disLoop1
*** warning 1: Long branch within short branch range could be optimized
00FFF82A 01600007F lbra Monitor
00FFF84D 0160000DE lbra Monitor
disNdxRegs:
00FFF82D 058059053055 fcb 'X','Y','S','U'
00FFF850 058059053055 fcb 'X','Y','S','U'
disTfrRegs:
00FFF854 044020058020059020055 fcb "D X Y U S PC A B CCDP "
00FFF85B 020053020050043020020
00FFF862 020020041020042020043
00FFF869 043044050020020020020
00FFF870 020020020020
disNdxReg:
00FFF831 0C4600 andb #$600
00FFF833 059 rolb
00FFF834 059 rolb
00FFF835 059 rolb
00FFF836 059 rolb
00FFF837 04F clra
00FFF838 034040 pshs u
00FFF83A 01F003 tfr d,u
00FFF83C 0A6C0A000FFF82D lda disNdxRegs,u
00FFF841 035040 puls u
00FFF843 01E089 exg a,b
00FFF845 039 rts
00FFF874 0C4600 andb #$600
00FFF876 059 rolb
00FFF877 059 rolb
00FFF878 059 rolb
00FFF879 059 rolb
00FFF87A 04F clra
00FFF87B 034040 pshs u
00FFF87D 01F003 tfr d,u
00FFF87F 0A6C0A000FFF850 lda disNdxRegs,u
00FFF884 035040 puls u
00FFF886 01E089 exg a,b
00FFF888 039 rts
disTfrReg:
00FFF889 034014 pshs b,x
00FFF88B 08EFFF854 ldx #disTfrRegs
00FFF88E 058 aslb
00FFF88F 0A6815 lda b,x
00FFF891 01E089 exg a,b
00FFF893 017FFF47C lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF896 01E089 exg a,b
00FFF898 030001 inx
00FFF89A 0E688D ldb b,x
00FFF89C 0C1020 cmpb #' '
00FFF89E 027003 beq disTfr1
00FFF8A0 017FFF46F lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
disTfr1:
00FFF8A3 035094 puls b,x,pc
disTfrExg:
00FFF8A5 034004 pshs b
00FFF8A7 059 rolb
00FFF8A8 059 rolb
00FFF8A9 059 rolb
00FFF8AA 059 rolb
00FFF8AB 0C400F andb #15
00FFF8AD 08DFDA bsr disTfrReg
00FFF8AF 0C602C ldb #','
00FFF8B1 017FFF45E lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF8B4 0E6E04 ldb ,s
00FFF8B6 0C400F andb #15
00FFF8B8 08DFCF bsr disTfrReg
00FFF8BA 035084 puls b,pc
ASMO:
00FFF846 034006 pshs d
00FFF848 0CCFFF85A ldd #ASMOUTCH
00FFF84B 0FD000800 std CharOutVec
00FFF84E 035086 puls d,pc
00FFF8BC 034006 pshs d
00FFF8BE 0CCFFF8D0 ldd #ASMOUTCH
00FFF8C1 0FD000800 std CharOutVec
00FFF8C4 035086 puls d,pc
ASMOO:
00FFF850 034006 pshs d
00FFF852 0CCFFE2E1 ldd #DisplayChar
00FFF855 0FD000800 std CharOutVec
00FFF858 035086 puls d,pc
00FFF8C6 034006 pshs d
00FFF8C8 0CCFFE2F5 ldd #DisplayChar
00FFF8CB 0FD000800 std CharOutVec
00FFF8CE 035086 puls d,pc
ASMOUTCH:
00FFF85A 0E7C00 stb ,u+
00FFF85C 039 rts
00FFF8D0 0E7C00 stb ,u+
00FFF8D2 039 rts
DumpAsmbuf:
00FFF85D 0CE000160 ldu #asmbuf
00FFF8D3 0CE000160 ldu #asmbuf
dab2:
00FFF860 0E6C00 ldb ,u+
00FFF862 027005 beq dab1
00FFF864 017FFF4AB lbsr OUTCH
00FFF8D6 0E6C00 ldb ,u+
00FFF8D8 027005 beq dab1
00FFF8DA 017FFF435 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF867 020FF7 bra dab2
00FFF8DD 020FF7 bra dab2
dab1:
00FFF869 039 rts
00FFF8DF 039 rts
;==============================================================================
; System Monitor
3892,181 → 3966,193
;==============================================================================
CmdPrompt:
00FFF86A 017FFD865 lbsr CRLF
00FFF8E0 017FFD7EF lbsr CRLF
*** warning 1: Long branch within short branch range could be optimized
00FFF86D 0C6024 ldb #'$'
00FFF86F 017FFF4A0 lbsr OUTCH
00FFF8E3 0C6024 ldb #'$'
00FFF8E5 017FFF42A lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF872 016FFF49D lbra OUTCH
00FFF8E8 016FFF427 lbra OUTCH
*** warning 1: Long branch within short branch range could be optimized
msgF09Starting:
00FFF875 04606506D07406906B069 fcb "Femtiki F09 Multi-core OS Starting",CR,LF,0
00FFF87C 02004603003902004D075
00FFF883 06C07406902D06306F072
00FFF88A 06502004F053020053074
00FFF891 06107207406906E06700D
00FFF898 00A000
00FFF8EB 04606506D07406906B069 fcb "Femtiki F09 Multi-core OS Starting",CR,LF,0
00FFF8F2 02004603003902004D075
00FFF8F9 06C07406902D06306F072
00FFF900 06502004F053020053074
00FFF907 06107207406906E06700D
00FFF90E 00A000
MonitorStart:
00FFF89A 0CCFFF875 ldd #msgF09Starting
00FFF89D 017FFEB02 lbsr DisplayString
00FFF910 0CCFFF8EB ldd #msgF09Starting
00FFF913 017FFEAA0 lbsr DisplayString
*** warning 1: Long branch within short branch range could be optimized
00FFF8A0 0CCFFFA78 ldd #HelpMsg
00FFF8A3 017FFEAFC lbsr DisplayString
00FFF916 0CCFFFB0E ldd #HelpMsg
00FFF919 017FFEA9A lbsr DisplayString
*** warning 1: Long branch within short branch range could be optimized
00FFF8A6 0CCFFF86A ldd #CmdPrompt
00FFF8A9 0FD000808 std CmdPromptJI
00FFF91C 0CCFFF8E0 ldd #CmdPrompt
00FFF91F 0FD000808 std CmdPromptJI
00FFF922 0CCFFFAF5 ldd #DisplayErr
00FFF925 0FD00080C std MonErrVec
00FFF928 0CC0063FF ldd #$63FF ; default app stack
00FFF92B 0FD000908 std mon_SSAVE
Monitor:
00FFF8AC 03280F006FFF leas $6FFF ; reset stack pointer
00FFF8B0 05F clrb ; turn off keyboard echo
00FFF8B1 017FFF462 lbsr SetKeyboardEcho
00FFF92E 03280F006FFF leas $6FFF ; reset stack pointer
00FFF932 05F clrb ; turn off keyboard echo
00FFF933 017FFF3E0 lbsr SetKeyboardEcho
*** warning 1: Long branch within short branch range could be optimized
; Reset IO vectors
00FFF8B4 0CCFFEBE4 ldd #SerialPeekCharDirect
00FFF8B7 0FD000804 std CharInVec
00FFF8BA 0CCFFE2E1 ldd #DisplayChar
00FFF8BD 0FD000800 std CharOutVec
00FFF8C0 0CCFFF86A ldd #CmdPrompt
00FFF8C3 0FD000808 std CmdPromptJI
00FFF936 0CCFFEBE4 ldd #SerialPeekCharDirect
00FFF939 0FD000804 std CharInVec
00FFF93C 0CCFFE2F5 ldd #DisplayChar
00FFF93F 0FD000800 std CharOutVec
00FFF942 0CCFFF8E0 ldd #CmdPrompt
00FFF945 0FD000808 std CmdPromptJI
; jsr RequestIOFocus
PromptLn:
00FFF8C6 0AD90F000808 jsr [CmdPromptJI]
00FFF948 0AD90F000808 jsr [CmdPromptJI]
; Get characters until a CR is keyed
Prompt3:
00FFF8CA 0CCFFFFFF ldd #-1 ; block until key present
00FFF8CD 017FFF40A lbsr INCH
00FFF94C 0CCFFFFFF ldd #-1 ; block until key present
00FFF94F 017FFF388 lbsr INCH
*** warning 1: Long branch within short branch range could be optimized
00FFF8D0 0C100D cmpb #CR
00FFF8D2 027005 beq Prompt1
00FFF8D4 017FFF43B lbsr OUTCH
00FFF952 0C100D cmpb #CR
00FFF954 027005 beq Prompt1
00FFF956 017FFF3B9 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFF8D7 020FF1 bra Prompt3
00FFF959 020FF1 bra Prompt3
; Process the screen line that the CR was keyed on
;
Prompt1:
00FFF8D9 0CC005050 ldd #$5050
00FFF8DC 0150FDFFFE60001 std LEDS
00FFF95B 0CC005050 ldd #$5050
00FFF95E 0150FDFFFE60001 std LEDS
; ldb RunningID
; cmpb #61
; bhi Prompt3
00FFF8E1 0CC005151 ldd #$5151
00FFF8E4 0150FDFFFE60001 std LEDS
00FFF8E9 00F111 clr CursorCol ; go back to the start of the line
00FFF8EB 017FFE9D0 lbsr CalcScreenLoc ; calc screen memory location
00FFF963 0CC005151 ldd #$5151
00FFF966 0150FDFFFE60001 std LEDS
00FFF96B 00F111 clr CursorCol ; go back to the start of the line
00FFF96D 017FFE962 lbsr CalcScreenLoc ; calc screen memory location
*** warning 1: Long branch within short branch range could be optimized
00FFF8EE 01F002 tfr d,y
00FFF8F0 0CC005252 ldd #$5252
00FFF8F3 0150FDFFFE60001 std LEDS
00FFF970 01F002 tfr d,y
00FFF972 0CC005252 ldd #$5252
00FFF975 0150FDFFFE60001 std LEDS
skipDollar:
00FFF8F8 08D0AB bsr MonGetNonSpace
00FFF8FA 0C1024 cmpb #'$'
00FFF8FC 027FFA beq skipDollar ; skip over '$' prompt character
00FFF8FE 086353 lda #$5353
00FFF900 0150FDFFFE60001 std LEDS
00FFF97A 08D0B9 bsr MonGetNonSpace
00FFF97C 0C1024 cmpb #'$'
00FFF97E 027FFA beq skipDollar ; skip over '$' prompt character
00FFF980 086353 lda #$5353
00FFF982 0150FDFFFE60001 std LEDS
; Dispatch based on command character
;
Prompt2:
00FFF905 0C103C cmpb #'<'
00FFF907 02602C bne PromptHelp
00FFF909 08D095 bsr MonGetch
00FFF90B 0C103E cmpb #'>'
00FFF90D 026F9D bne Monitor
00FFF90F 08D08F bsr MonGetch
00FFF911 0C1073 cmpb #'s'
00FFF913 02600E bne Prompt2a
00FFF915 0CCFFEBE4 ldd #SerialPeekCharDirect
00FFF918 0FD000804 std CharInVec
00FFF91B 0CCFFEC08 ldd #SerialPutChar
00FFF91E 0FD000800 std CharOutVec
00FFF921 020F89 bra Monitor
00FFF987 0C103C cmpb #'<'
00FFF989 02602C bne PromptHelp
00FFF98B 08D0A3 bsr MonGetch
00FFF98D 0C103E cmpb #'>'
00FFF98F 026F9D bne Monitor
00FFF991 08D09D bsr MonGetch
00FFF993 0C1073 cmpb #'s'
00FFF995 02600E bne Prompt2a
00FFF997 0CCFFEBE4 ldd #SerialPeekCharDirect
00FFF99A 0FD000804 std CharInVec
00FFF99D 0CCFFEC08 ldd #SerialPutChar
00FFF9A0 0FD000800 std CharOutVec
00FFF9A3 020F89 bra Monitor
Prompt2a:
00FFF923 0C1063 cmpb #'c'
00FFF925 026F85 bne Monitor
00FFF927 0CCFFEA12 ldd #GetKey
00FFF92A 0FD000804 std CharInVec
00FFF92D 0CCFFE2E1 ldd #DisplayChar
00FFF930 0FD000800 std CharOutVec
00FFF933 020F77 bra Monitor
00FFF9A5 0C1063 cmpb #'c'
00FFF9A7 026F85 bne Monitor
00FFF9A9 0CCFFEA12 ldd #GetKey
00FFF9AC 0FD000804 std CharInVec
00FFF9AF 0CCFFE2F5 ldd #DisplayChar
00FFF9B2 0FD000800 std CharOutVec
00FFF9B5 020F77 bra Monitor
PromptHelp:
00FFF935 0C103F cmpb #'?' ; $? - display help
00FFF937 026008 bne PromptC
00FFF939 0CCFFFA78 ldd #HelpMsg
00FFF93C 017FFEA63 lbsr DisplayString
00FFF9B7 0C103F cmpb #'?' ; $? - display help
00FFF9B9 026008 bne PromptC
00FFF9BB 0CCFFFB0E ldd #HelpMsg
00FFF9BE 017FFE9F5 lbsr DisplayString
*** warning 1: Long branch within short branch range could be optimized
00FFF93F 020F6B bra Monitor
00FFF9C1 020F6B bra Monitor
PromptC:
00FFF941 0C1043 cmpb #'C'
00FFF943 026008 bne PromptD
00FFF945 017FFE8C9 lbsr ClearScreen
00FFF9C3 0C1043 cmpb #'C'
00FFF9C5 026008 bne PromptD
00FFF9C7 017FFE85B lbsr ClearScreen
*** warning 1: Long branch within short branch range could be optimized
00FFF948 017FFE939 lbsr HomeCursor
00FFF9CA 017FFE8CB lbsr HomeCursor
*** warning 1: Long branch within short branch range could be optimized
00FFF94B 020F5F bra Monitor
00FFF9CD 020F5F bra Monitor
PromptD:
00FFF94D 0C1044 cmpb #'D'
00FFF94F 026008 bne PromptF
00FFF951 08D04D bsr MonGetch
00FFF953 0C1052 cmpb #'R'
00FFF955 02621A bne DumpMemory
00FFF957 020274 bra DumpRegs
00FFF9CF 0C1044 cmpb #'D'
00FFF9D1 026008 bne PromptColon
00FFF9D3 08D05B bsr MonGetch
00FFF9D5 0C1052 cmpb #'R'
00FFF9D7 02626F bne DumpMemory
00FFF9D9 020309 bra DumpRegs
PromptColon:
00FFF9DB 0C103A cmpb #':'
00FFF9DD 026003 bne PromptF
00FFF9DF 0160002C2 lbra EditMemory
PromptF:
00FFF959 0C1046 cmpb #'F'
00FFF95B 02600F bne PromptJ
00FFF95D 08D041 bsr MonGetch
00FFF95F 0C1049 cmpb #'I'
00FFF961 026F49 bne Monitor
00FFF963 08D03B bsr MonGetch
00FFF965 0C1047 cmpb #'G'
00FFF967 026F43 bne Monitor
00FFF969 07EFE0000 jmp $FE0000
00FFF9E2 0C1046 cmpb #'F'
00FFF9E4 026016 bne PromptJ
00FFF9E6 08D048 bsr MonGetch
00FFF9E8 0C1049 cmpb #'I'
00FFF9EA 026009 bne PromptFL
00FFF9EC 08D042 bsr MonGetch
00FFF9EE 0C1047 cmpb #'G'
00FFF9F0 026F3C bne Monitor
00FFF9F2 07EFE0000 jmp $FE0000
PromptFL:
00FFF9F5 0C104C cmpb #'L'
00FFF9F7 026F35 bne Monitor
00FFF9F9 0160003A9 lbra DumpIOFocusList
PromptJ:
00FFF96C 0C104A cmpb #'J'
00FFF96E 1270002A7 lbeq jump_to_code
00FFF9FC 0C104A cmpb #'J'
00FFF9FE 12700032E lbeq jump_to_code
PromptR:
00FFF971 0C1052 cmpb #'R'
00FFF973 026006 bne Prompt_s
00FFF975 0CEFFF8AC ldu #Monitor
00FFF978 016FFDA85 lbra ramtest
00FFFA01 0C1052 cmpb #'R'
00FFFA03 026006 bne Prompt_s
00FFFA05 0CEFFF92E ldu #Monitor
00FFFA08 016FFD9F5 lbra ramtest
*** warning 1: Long branch within short branch range could be optimized
Prompt_s:
00FFF97B 0C1073 cmpb #'s'
00FFF97D 026005 bne PromptT
00FFF97F 017FFF323 lbsr SerialOutputTest
00FFFA0B 0C1073 cmpb #'s'
00FFFA0D 026005 bne PromptT
00FFFA0F 017FFF293 lbsr SerialOutputTest
*** warning 1: Long branch within short branch range could be optimized
00FFF982 020F28 bra Monitor
00FFFA12 020F1A bra Monitor
PromptT:
00FFF984 0C1054 cmpb #'T'
00FFF986 026011 bne PromptU
00FFF988 08D016 bsr MonGetch
00FFF98A 0C1049 cmpb #'I'
00FFF98C 026F1E bne Monitor
00FFF98E 08D010 bsr MonGetch
00FFF990 0C1052 cmpb #'R'
00FFF992 026F18 bne Monitor
00FFF994 017FFEB1C lbsr rtc_read
00FFFA14 0C1054 cmpb #'T'
00FFFA16 026011 bne PromptU
00FFFA18 08D016 bsr MonGetch
00FFFA1A 0C1049 cmpb #'I'
00FFFA1C 026F10 bne Monitor
00FFFA1E 08D010 bsr MonGetch
00FFFA20 0C1052 cmpb #'R'
00FFFA22 026F0A bne Monitor
00FFFA24 017FFEAA0 lbsr rtc_read
*** warning 1: Long branch within short branch range could be optimized
00FFF997 020F13 bra Monitor
00FFFA27 020F05 bra Monitor
PromptU:
00FFF999 0C1055 cmpb #'U'
00FFF99B 026F0F bne Monitor
00FFF99D 016FFFA14 lbra disassem
00FFFA29 0C1055 cmpb #'U'
00FFFA2B 026F01 bne Monitor
00FFFA2D 016FFF984 lbra disassem
*** warning 1: Long branch within short branch range could be optimized
MonGetch:
00FFF9A0 0E6A04 ldb ,y
00FFF9A2 031201 iny
00FFF9A4 039 rts
00FFFA30 0E6A04 ldb ,y
00FFFA32 031201 iny
00FFFA34 039 rts
MonGetNonSpace:
00FFF9A5 08DFF9 bsr MonGetCh
00FFF9A7 0C1020 cmpb #' '
00FFF9A9 027FFA beq MonGetNonSpace
00FFF9AB 039 rts
00FFFA35 08DFF9 bsr MonGetCh
00FFFA37 0C1020 cmpb #' '
00FFFA39 027FFA beq MonGetNonSpace
00FFFA3B 039 rts
;------------------------------------------------------------------------------
; Ignore blanks in the input
4076,48 → 4162,52
;
ignBlanks:
ignBlanks1:
00FFF9AC 08DFF2 bsr MonGetch
00FFF9AE 0C1020 cmpb #' '
00FFF9B0 027FFA beq ignBlanks1
00FFF9B2 0313FF dey
00FFF9B4 039 rts
00FFFA3C 08DFF2 bsr MonGetch
00FFFA3E 0C1020 cmpb #' '
00FFFA40 027FFA beq ignBlanks1
00FFFA42 0313FF dey
00FFFA44 039 rts
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
GetTwoParams:
00FFF9B5 08DFF5 bsr ignBlanks
00FFF9B7 08D03F bsr GetHexNumber ; get start address of dump
00FFF9B9 0FC000910 ldd mon_numwka
00FFF9BC 0FD000920 std mon_r1
00FFF9BF 0FC000912 ldd mon_numwka+2
00FFF9C2 0FD000922 std mon_r1+2
00FFF9C5 08DFE5 bsr ignBlanks
00FFF9C7 08D02F bsr GetHexNumber ; get end address of dump
00FFF9C9 0FC000910 ldd mon_numwka
00FFF9CC 0FD000924 std mon_r2
00FFF9CF 0FC000912 ldd mon_numwka+2
00FFF9D2 0FD000926 std mon_r2+2
00FFF9D5 039 rts
00FFFA45 08DFF5 bsr ignBlanks
00FFFA47 08D046 bsr GetHexNumber ; get start address of dump
00FFFA49 0FC000910 ldd mon_numwka
00FFFA4C 0FD000920 std mon_r1
00FFFA4F 0FC000912 ldd mon_numwka+2
00FFFA52 0FD000922 std mon_r1+2
00FFFA55 08DFE5 bsr ignBlanks
00FFFA57 08D036 bsr GetHexNumber ; get end address of dump
00FFFA59 0FC000910 ldd mon_numwka
00FFFA5C 0FD000924 std mon_r2
00FFFA5F 0FC000912 ldd mon_numwka+2
00FFFA62 0FD000926 std mon_r2+2
00FFFA65 039 rts
;------------------------------------------------------------------------------
; Get a range, the end must be greater or equal to the start.
;------------------------------------------------------------------------------
GetRange:
00FFF9D6 08DFDD bsr GetTwoParams
00FFF9D8 0FC000926 ldd mon_r2+2
00FFF9DB 0B3000922 subd mon_r1+2
00FFF9DE 0FC000924 ldd mon_r2
00FFF9E1 0F2000921 sbcb mon_r1+1
00FFF9E4 0B2000920 sbca mon_r1
00FFF9E7 125000074 lbcs DisplayErr
00FFF9EA 039 rts
00FFFA66 08DFDD bsr GetTwoParams
00FFFA68 0FC000926 ldd mon_r2+2
00FFFA6B 0B3000922 subd mon_r1+2
00FFFA6E 0FC000924 ldd mon_r2
00FFFA71 0F2000921 sbcb mon_r1+1
00FFFA74 0B2000920 sbca mon_r1
00FFFA77 124000007 lbcc grng1
00FFFA7A 0AD90F00080C jsr [MonErrVec]
00FFFA7E 016FFFEAD lbra Monitor
*** warning 1: Long branch within short branch range could be optimized
grng1:
00FFFA81 039 rts
shl_numwka:
00FFF9EB 078000913 asl mon_numwka+3
00FFF9EE 079000912 rol mon_numwka+2
00FFF9F1 079000911 rol mon_numwka+1
00FFF9F4 079000910 rol mon_numwka
00FFF9F7 039 rts
00FFFA82 078000913 asl mon_numwka+3
00FFFA85 079000912 rol mon_numwka+2
00FFFA88 079000911 rol mon_numwka+1
00FFFA8B 079000910 rol mon_numwka
00FFFA8E 039 rts
;------------------------------------------------------------------------------
; Get a hexidecimal number. Maximum of twelve digits.
4129,29 → 4219,29
;------------------------------------------------------------------------------
;
GetHexNumber:
00FFF9F8 04F05F clrd
00FFF9FA 0FD000910 std mon_numwka ; zero out work area
00FFF9FD 0FD000912 std mon_numwka+2
00FFFA00 034010 pshs x
00FFFA02 08E000000 ldx #0 ; max 12 eight digits
00FFFA8F 04F05F clrd
00FFFA91 0FD000910 std mon_numwka ; zero out work area
00FFFA94 0FD000912 std mon_numwka+2
00FFFA97 034010 pshs x
00FFFA99 08E000000 ldx #0 ; max 12 eight digits
gthxn2:
00FFFA05 08DF99 bsr MonGetch
00FFFA07 08D01F bsr AsciiToHexNybble
00FFFA09 0C1FFF cmpb #-1
00FFFA0B 027017 beq gthxn1
00FFFA0D 08DFDC bsr shl_numwka
00FFFA0F 08DFDA bsr shl_numwka
00FFFA11 08DFD8 bsr shl_numwka
00FFFA13 08DFD6 bsr shl_numwka
00FFFA15 0C400F andb #$0f
00FFFA17 0FA000913 orb mon_numwka+3
00FFFA1A 0F7000913 stb mon_numwka+3
00FFFA1D 030001 inx
00FFFA1F 08C00000C cmpx #12
00FFFA22 025FE1 blo gthxn2
00FFFA9C 08DF92 bsr MonGetch
00FFFA9E 08D01F bsr AsciiToHexNybble
00FFFAA0 0C1FFF cmpb #-1
00FFFAA2 027017 beq gthxn1
00FFFAA4 08DFDC bsr shl_numwka
00FFFAA6 08DFDA bsr shl_numwka
00FFFAA8 08DFD8 bsr shl_numwka
00FFFAAA 08DFD6 bsr shl_numwka
00FFFAAC 0C400F andb #$0f
00FFFAAE 0FA000913 orb mon_numwka+3
00FFFAB1 0F7000913 stb mon_numwka+3
00FFFAB4 030001 inx
00FFFAB6 08C00000C cmpx #12
00FFFAB9 025FE1 blo gthxn2
gthxn1:
00FFFA24 01F010 tfr x,d
00FFFA26 035090 puls x,pc
00FFFABB 01F010 tfr x,d
00FFFABD 035090 puls x,pc
;GetDecNumber:
; phx
4182,126 → 4272,135
;------------------------------------------------------------------------------
;
AsciiToHexNybble:
00FFFA28 0C1030 cmpb #'0'
00FFFA2A 025021 blo gthx3
00FFFA2C 0C1039 cmpb #'9'
00FFFA2E 022003 bhi gthx5
00FFFA30 0C0030 subb #'0'
00FFFA32 039 rts
00FFFABF 0C1030 cmpb #'0'
00FFFAC1 025021 blo gthx3
00FFFAC3 0C1039 cmpb #'9'
00FFFAC5 022003 bhi gthx5
00FFFAC7 0C0030 subb #'0'
00FFFAC9 039 rts
gthx5:
00FFFA33 0C1041 cmpb #'A'
00FFFA35 025016 blo gthx3
00FFFA37 0C1046 cmpb #'F'
00FFFA39 022005 bhi gthx6
00FFFA3B 0C0041 subb #'A'
00FFFA3D 0CB00A addb #10
00FFFA3F 039 rts
00FFFACA 0C1041 cmpb #'A'
00FFFACC 025016 blo gthx3
00FFFACE 0C1046 cmpb #'F'
00FFFAD0 022005 bhi gthx6
00FFFAD2 0C0041 subb #'A'
00FFFAD4 0CB00A addb #10
00FFFAD6 039 rts
gthx6:
00FFFA40 0C1061 cmpb #'a'
00FFFA42 025009 blo gthx3
00FFFA44 0C107A cmpb #'z'
00FFFA46 022005 bhi gthx3
00FFFA48 0C0061 subb #'a'
00FFFA4A 0CB00A addb #10
00FFFA4C 039 rts
00FFFAD7 0C1061 cmpb #'a'
00FFFAD9 025009 blo gthx3
00FFFADB 0C107A cmpb #'z'
00FFFADD 022005 bhi gthx3
00FFFADF 0C0061 subb #'a'
00FFFAE1 0CB00A addb #10
00FFFAE3 039 rts
gthx3:
00FFFA4D 0C6FFF ldb #-1 ; not a hex number
00FFFA4F 039 rts
00FFFAE4 0C6FFF ldb #-1 ; not a hex number
00FFFAE6 039 rts
AsciiToDecNybble:
00FFFA50 0C1030 cmpb #'0'
00FFFA52 024007 bcc gtdc3
00FFFA54 0C103A cmpb #'9'+1
00FFFA56 025003 bcs gtdc3
00FFFA58 0C0030 subb #'0'
00FFFA5A 039 rts
00FFFAE7 0C1030 cmpb #'0'
00FFFAE9 024007 bcc gtdc3
00FFFAEB 0C103A cmpb #'9'+1
00FFFAED 025003 bcs gtdc3
00FFFAEF 0C0030 subb #'0'
00FFFAF1 039 rts
gtdc3:
00FFFA5B 0C6FFF ldb #-1
00FFFA5D 039 rts
00FFFAF2 0C6FFF ldb #-1
00FFFAF4 039 rts
DisplayErr:
00FFFA5E 08EFFFA70 ldx #msgErr
00FFFA61 04F05F clrd
00FFFA63 08D003 bsr DisplayStringDX
00FFFA65 07EFFF8AC jmp Monitor
00FFFAF5 0CCFFFB06 ldd #msgErr
00FFFAF8 017FFE8BB lbsr DisplayString
*** warning 1: Long branch within short branch range could be optimized
00FFFAFB 07EFFF92E jmp Monitor
DisplayStringDX
00FFFA68 0DD024 std Strptr
00FFFA6A 09F026 stx Strptr+2
00FFFA6C 0BDFFE3A2 jsr DisplayString
00FFFA6F 039 rts
00FFFAFE 0DD024 std Strptr
00FFFB00 09F026 stx Strptr+2
00FFFB02 0BDFFE3B6 jsr DisplayString
00FFFB05 039 rts
msgErr:
00FFFA70 02A02A04507207200D00A fcb "**Err",CR,LF,0
00FFFA77 000
00FFFB06 02A02A04507207200D00A fcb "**Err",CR,LF,0
00FFFB0D 000
HelpMsg:
00FFFA78 03F02003D020044069073 fcb "? = Display help",CR,LF
00FFFA7F 07006C061079020068065
00FFFA86 06C07000D00A
00FFFA8A 04304C05302003D020063 fcb "CLS = clear screen",CR,LF
00FFFA91 06C065061072020073063
00FFFA98 07206506506E00D00A
00FFFB0E 03F02003D020044069073 fcb "? = Display help",CR,LF
00FFFB15 07006C061079020068065
00FFFB1C 06C07000D00A
00FFFB20 04304C05302003D020063 fcb "CLS = clear screen",CR,LF
00FFFB27 06C065061072020073063
00FFFB2E 07206506506E00D00A
; db "S = Boot from SD Card",CR,LF
; db ": = Edit memory bytes",CR,LF
00FFFB34 03A02003D020045064069 fcb ": = Edit memory bytes",CR,LF
00FFFB3B 07402006D06506D06F072
00FFFB42 079020062079074065073
00FFFB49 00D00A
; db "L = Load sector",CR,LF
; db "W = Write sector",CR,LF
00FFFA9E 04405202003D020044075 fcb "DR = Dump registers",CR,LF
00FFFAA5 06D070020072065067069
00FFFAAC 07307406507207300D00A
00FFFAB3 04402003D02004407506D fcb "D = Dump memory",CR,LF
00FFFABA 07002006D06506D06F072
00FFFAC1 07900D00A
00FFFB4B 04405202003D020044075 fcb "DR = Dump registers",CR,LF
00FFFB52 06D070020072065067069
00FFFB59 07307406507207300D00A
00FFFB60 04402003D02004407506D fcb "D = Dump memory",CR,LF
00FFFB67 07002006D06506D06F072
00FFFB6E 07900D00A
; db "F = Fill memory",CR,LF
; db "FL = Dump I/O Focus List",CR,LF
00FFFAC4 04604904702003D020073 fcb "FIG = start FIG Forth",CR,LF
00FFFACB 074061072074020046049
00FFFAD2 04702004606F072074068
00FFFAD9 00D00A
00FFFB71 04604C02003D020044075 fcb "FL = Dump I/O Focus List",CR,LF
00FFFB78 06D07002004902F04F020
00FFFB7F 04606F06307507302004C
00FFFB86 06907307400D00A
00FFFB8B 04604904702003D020073 fcb "FIG = start FIG Forth",CR,LF
00FFFB92 074061072074020046049
00FFFB99 04702004606F072074068
00FFFBA0 00D00A
; db "KILL n = kill task #n",CR,LF
; db "B = start tiny basic",CR,LF
; db "b = start EhBasic 6502",CR,LF
00FFFADB 04A02003D02004A07506D fcb "J = Jump to code",CR,LF
00FFFAE2 07002007406F02006306F
00FFFAE9 06406500D00A
00FFFAED 05204104D02003D020074 fcb "RAM = test RAM",CR,LF
00FFFAF4 06507307402005204104D
00FFFAFB 00D00A
00FFFBA2 04A02003D02004A07506D fcb "J = Jump to code",CR,LF
00FFFBA9 07002007406F02006306F
00FFFBB0 06406500D00A
00FFFBB4 05204104D02003D020074 fcb "RAM = test RAM",CR,LF
00FFFBBB 06507307402005204104D
00FFFBC2 00D00A
; db "R[n] = Set register value",CR,LF
; db "r = random lines - test bitmap",CR,LF
; db "e = ethernet test",CR,LF
00FFFAFD 07302003D020073065072 fcb "s = serial output test",CR,LF
00FFFB04 06906106C02006F075074
00FFFB0B 070075074020074065073
00FFFB12 07400D00A
00FFFBC4 07302003D020073065072 fcb "s = serial output test",CR,LF
00FFFBCB 06906106C02006F075074
00FFFBD2 070075074020074065073
00FFFBD9 07400D00A
; db "T = Dump task list",CR,LF
; db "TO = Dump timeout list",CR,LF
00FFFB15 05404902003D020064069 fcb "TI = display date/time",CR,LF
00FFFB1C 07307006C061079020064
00FFFB23 06107406502F07406906D
00FFFB2A 06500D00A
00FFFBDC 05404902003D020064069 fcb "TI = display date/time",CR,LF
00FFFBE3 07307006C061079020064
00FFFBEA 06107406502F07406906D
00FFFBF1 06500D00A
; db "TEMP = display temperature",CR,LF
00FFFBF4 05502003D02007506E061 fcb "U = unassemble",CR,LF
00FFFBFB 07307306506D06206C065
00FFFC02 00D00A
; db "P = Piano",CR,LF,0
00FFFB2D 000 fcb 0
00FFFC04 000 fcb 0
msgRegHeadings
00FFFB2E 00D00A02002004402F041 fcb CR,LF," D/AB X Y U S PC DP CCR",CR,LF,0
00FFFB35 042020020020020020058
00FFFB3C 020020020020020020059
00FFFB43 020020020020020020055
00FFFB4A 020020020020020020053
00FFFB51 020020020020020020020
00FFFB58 050043020020020020044
00FFFB5F 05002002004304305200D
00FFFB66 00A000
00FFFC05 00D00A02002004402F041 fcb CR,LF," D/AB X Y U S PC DP CCR",CR,LF,0
00FFFC0C 042020020020020020058
00FFFC13 020020020020020020059
00FFFC1A 020020020020020020055
00FFFC21 020020020020020020053
00FFFC28 020020020020020020020
00FFFC2F 050043020020020020044
00FFFC36 05002002004304305200D
00FFFC3D 00A000
nHEX4:
00FFFB68 0BDFFD2D2 jsr HEX4
00FFFB6B 039 rts
00FFFC3F 0BDFFD2D2 jsr HEX4
00FFFC42 039 rts
nXBLANK:
00FFFB6C 0C6020 ldb #' '
00FFFB6E 016FFF1A1 lbra OUTCH
00FFFC43 0C6020 ldb #' '
00FFFC45 016FFF0CA lbra OUTCH
*** warning 1: Long branch within short branch range could be optimized
;------------------------------------------------------------------------------
4308,7 → 4407,7
; Dump Memory
;
; Usage:
; $D FFFC12 8
; $D FFFC12 FFFC20
;
; Dump formatted to look like:
; :FFFC12 012 012 012 012 555 666 777 888
4316,69 → 4415,120
;------------------------------------------------------------------------------
DumpMemory:
00FFFB71 08DE42 bsr GetTwoParams
00FFFB73 18E000000 ldy #0
00FFFB76 1BE000922 ldy mon_r1+2
00FFFC48 08DE1C bsr GetRange
00FFFC4A 18E000000 ldy #0
00FFFC4D 1BE000922 ldy mon_r1+2
dmpm2:
00FFFB79 017FFD556 lbsr CRLF
00FFFC50 017FFD47F lbsr CRLF
*** warning 1: Long branch within short branch range could be optimized
00FFFB7C 0C603A ldb #':'
00FFFB7E 017FFF191 lbsr OUTCH
00FFFC53 0C603A ldb #':'
00FFFC55 017FFF0BA lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFFB81 01F020 tfr y,d
00FFFC58 01F020 tfr y,d
;addd mon_r1+2 ; output the address
00FFFB83 017FFE867 lbsr DispWordAsHex
00FFFC5A 017FFE7A4 lbsr DispWordAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFFB86 0C6020 ldb #' '
00FFFB88 017FFF187 lbsr OUTCH
00FFFC5D 0C6020 ldb #' '
00FFFC5F 017FFF0B0 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFFB8B 08E000008 ldx #8 ; number of bytes to display
00FFFC62 08E000008 ldx #8 ; number of bytes to display
dmpm1:
; ldb far [mon_r1+1],y
;ldb [mon_r1+2],y
00FFFB8E 0E6A04 ldb ,y
00FFFB90 031201 iny
00FFFB92 017FFE861 lbsr DispByteAsHex ; display byte
00FFFC65 0E6A04 ldb ,y
00FFFC67 031201 iny
00FFFC69 017FFE79E lbsr DispByteAsHex ; display byte
*** warning 1: Long branch within short branch range could be optimized
00FFFB95 0C6020 ldb #' ' ; followed by a space
00FFFB97 017FFF178 lbsr OUTCH
00FFFC6C 0C6020 ldb #' ' ; followed by a space
00FFFC6E 017FFF0A1 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFFB9A 05F clrb
00FFFB9B 04F clra
00FFFB9C 017FFF13B lbsr INCH
00FFFC71 05F clrb
00FFFC72 04F clra
00FFFC73 017FFF064 lbsr INCH
*** warning 1: Long branch within short branch range could be optimized
00FFFB9F 0C1003 cmpb #CTRLC
00FFFBA1 027024 beq dmpm3
00FFFBA3 0301FF dex
00FFFBA5 026FE7 bne dmpm1
00FFFC76 0C1003 cmpb #CTRLC
00FFFC78 027024 beq dmpm3
00FFFC7A 0301FF dex
00FFFC7C 026FE7 bne dmpm1
; Now output ascii
00FFFBA7 0C6020 ldb #' '
00FFFBA9 017FFF166 lbsr OUTCH
00FFFC7E 0C6020 ldb #' '
00FFFC80 017FFF08F lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFFBAC 08E000008 ldx #8 ; 8 chars to output
00FFFBAF 0313F8 leay -8,y ; backup pointer
00FFFC83 08E000008 ldx #8 ; 8 chars to output
00FFFC86 0313F8 leay -8,y ; backup pointer
dmpm5:
; ldb far [mon_r1+1],y ; get the char
; ldb [mon_r1+2],y ; get the char
00FFFBB1 0E6A04 ldb ,y
00FFFBB3 0C1020 cmpb #$20 ; is it a control char?
00FFFBB5 024002 bhs dmpm4
00FFFBB7 0C602E ldb #'.'
00FFFC88 0E6A04 ldb ,y
00FFFC8A 0C1020 cmpb #$20 ; is it a control char?
00FFFC8C 024002 bhs dmpm4
00FFFC8E 0C602E ldb #'.'
dmpm4:
00FFFBB9 017FFF156 lbsr OUTCH
00FFFC90 017FFF07F lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
00FFFBBC 031201 iny
00FFFBBE 0301FF dex
00FFFBC0 026FEF bne dmpm5
00FFFBC2 1BC000926 cmpy mon_r2+2
00FFFBC5 025FB2 blo dmpm2
00FFFC93 031201 iny
00FFFC95 0301FF dex
00FFFC97 026FEF bne dmpm5
00FFFC99 1BC000926 cmpy mon_r2+2
00FFFC9C 025FB2 blo dmpm2
dmpm3:
00FFFBC7 017FFD508 lbsr CRLF
00FFFC9E 017FFD431 lbsr CRLF
*** warning 1: Long branch within short branch range could be optimized
00FFFBCA 016FFFCDF lbra Monitor
00FFFCA1 016FFFC8A lbra Monitor
*** warning 1: Long branch within short branch range could be optimized
;------------------------------------------------------------------------------
; Edit Memory
;
; Usage:
; $$:FFFC12 8 "Hello World!" 0
;
; Dump formatted to look like:
; :FFFC12 012 012 012 012 555 666 777 888
;
;------------------------------------------------------------------------------
EditMemory:
00FFFCA4 0CE000008 ldu #8 ; set max byte count
00FFFCA7 017FFFDE5 lbsr GetHexNumber ; get the start address
*** warning 1: Long branch within short branch range could be optimized
00FFFCAA 0BE000922 ldx mon_r1+2
EditMem2:
00FFFCAD 017FFFD8C lbsr ignBlanks ; skip over blanks
*** warning 1: Long branch within short branch range could be optimized
00FFFCB0 017FFFDDC lbsr GetHexNumber ; get the byte value
*** warning 1: Long branch within short branch range could be optimized
00FFFCB3 05D tstb ; check for valid value
00FFFCB4 02B00C bmi EditMem1 ; if invalid, quit
00FFFCB6 0F6000923 ldb mon_r1+3 ; get value
00FFFCB9 0E7800 stb ,x+ ; update memory at address
00FFFCBB 0335FF leau -1,u ; decremeent byte count
00FFFCBD 283000000 cmpu #0
00FFFCC0 026FEB bne EditMem2 ; go back for annother byte
EditMem1:
00FFFCC2 017FFFD6B lbsr MonGetch ; see if a string is being entered
*** warning 1: Long branch within short branch range could be optimized
00FFFCC5 0C1022 cmpb #'"'
00FFFCC7 026018 bne EditMem3 ; no string, we're done
00FFFCC9 0CE000028 ldu #40 ; string must be less than 40 chars
EditMem4:
00FFFCCC 017FFFD61 lbsr MonGetch ; look for close quote
*** warning 1: Long branch within short branch range could be optimized
00FFFCCF 0C1022 cmpb #'"'
00FFFCD1 026005 bne EditMem6 ; end of string?
00FFFCD3 0CE000008 ldu #8 ; reset the byte count
00FFFCD6 020FD5 bra EditMem2
EditMem6:
00FFFCD8 0E7800 stb ,x+ ; store the character in memory
00FFFCDA 0335FF leau -1,u ; decrement byte count
00FFFCDC 283000000 cmpu #0
00FFFCDF 022FEB bhi EditMem4 ; max 40 chars
EditMem3:
00FFFCE1 016FFFC4A lbra Monitor
*** warning 1: Long branch within short branch range could be optimized
;------------------------------------------------------------------------------
; Dump Registers
;
; Usage:
4386,39 → 4536,39
;------------------------------------------------------------------------------
DumpRegs:
00FFFBCD 0CCFFFB2E ldd #msgRegHeadings
00FFFBD0 017FFE7CF lbsr DisplayString
00FFFCE4 0CCFFFC05 ldd #msgRegHeadings
00FFFCE7 017FFE6CC lbsr DisplayString
*** warning 1: Long branch within short branch range could be optimized
00FFFBD3 08DF97 bsr nXBLANK
00FFFBD5 0FC000900 ldd mon_DSAVE
00FFFBD8 08DF8E bsr nHEX4
00FFFBDA 08DF90 bsr nXBLANK
00FFFBDC 0FC000902 ldd mon_XSAVE
00FFFBDF 08DF87 bsr nHEX4
00FFFBE1 08DF89 bsr nXBLANK
00FFFBE3 0FC000904 ldd mon_YSAVE
00FFFBE6 08DF80 bsr nHEX4
00FFFBE8 08DF82 bsr nXBLANK
00FFFBEA 0FC000906 ldd mon_USAVE
00FFFBED 08DF79 bsr nHEX4
00FFFBEF 08DF7B bsr nXBLANK
00FFFBF1 0FC000908 ldd mon_SSAVE
00FFFBF4 08DF72 bsr nHEX4
00FFFBF6 08DF74 bsr nXBLANK
00FFFBF8 0F600090B ldb mon_PCSAVE+1
00FFFBFB 017FFE7F8 lbsr DispByteAsHex
00FFFCEA 08DF57 bsr nXBLANK
00FFFCEC 0FC000900 ldd mon_DSAVE
00FFFCEF 08DF4E bsr nHEX4
00FFFCF1 08DF50 bsr nXBLANK
00FFFCF3 0FC000902 ldd mon_XSAVE
00FFFCF6 08DF47 bsr nHEX4
00FFFCF8 08DF49 bsr nXBLANK
00FFFCFA 0FC000904 ldd mon_YSAVE
00FFFCFD 08DF40 bsr nHEX4
00FFFCFF 08DF42 bsr nXBLANK
00FFFD01 0FC000906 ldd mon_USAVE
00FFFD04 08DF39 bsr nHEX4
00FFFD06 08DF3B bsr nXBLANK
00FFFD08 0FC000908 ldd mon_SSAVE
00FFFD0B 08DF32 bsr nHEX4
00FFFD0D 08DF34 bsr nXBLANK
00FFFD0F 0F600090B ldb mon_PCSAVE+1
00FFFD12 017FFE6F5 lbsr DispByteAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFFBFE 0FC00090C ldd mon_PCSAVE+2
00FFFC01 08DF65 bsr nHEX4
00FFFC03 08DF67 bsr nXBLANK
00FFFC05 0FC00090E ldd mon_DPRSAVE
00FFFC08 0BDFFD2CE jsr HEX2
00FFFC0B 08DF5F bsr nXBLANK
00FFFC0D 0B600090F lda mon_CCRSAVE
00FFFC10 017FFD6BB lbsr HEX2
00FFFD15 0FC00090C ldd mon_PCSAVE+2
00FFFD18 08DF25 bsr nHEX4
00FFFD1A 08DF27 bsr nXBLANK
00FFFD1C 0FC00090E ldd mon_DPRSAVE
00FFFD1F 0BDFFD2CE jsr HEX2
00FFFD22 08DF1F bsr nXBLANK
00FFFD24 0B600090F lda mon_CCRSAVE
00FFFD27 017FFD5A4 lbsr HEX2
*** warning 1: Long branch within short branch range could be optimized
00FFFC13 08DF57 bsr nXBLANK
00FFFC15 016FFFC94 lbra Monitor
00FFFD2A 08DF17 bsr nXBLANK
00FFFD2C 016FFFBFF lbra Monitor
*** warning 1: Long branch within short branch range could be optimized
;------------------------------------------------------------------------------
4431,101 → 4581,128
;------------------------------------------------------------------------------
jump_to_code:
00FFFC18 08DDDE bsr GetHexNumber
00FFFC1A 01A010 sei
00FFFC1C 1FE000908 lds mon_SSAVE
00FFFC1F 0CCFFFC53 ldd #<jtc_exit
00FFFC22 034006 pshs d
00FFFC24 0C6000 ldb #>jtc_exit
00FFFC26 034004 pshs b
00FFFC28 0FC000912 ldd mon_numwka+2
00FFFC2B 034006 pshs d
00FFFC2D 0F6000911 ldb mon_numwka+1
00FFFC30 034004 pshs b
00FFFC32 0FC000906 ldd mon_USAVE
00FFFC35 034006 pshs d
00FFFC37 0FC000904 ldd mon_YSAVE
00FFFC3A 034006 pshs d
00FFFC3C 0FC000902 ldd mon_XSAVE
00FFFC3F 034006 pshs d
00FFFC41 0B600090E lda mon_DPRSave
00FFFC44 034002 pshs a
00FFFC46 0FC000900 ldd mon_DSAVE
00FFFC49 034006 pshs d
00FFFC4B 0B600090F lda mon_CCRSAVE
00FFFC4E 034002 pshs a
00FFFC50 0150350FF puls far ccr,d,dpr,x,y,u,pc
00FFFD2F 08DD5E bsr GetHexNumber
00FFFD31 01A010 sei
00FFFD33 1FE000908 lds mon_SSAVE
00FFFD36 0CCFFFD6A ldd #<jtc_exit ; setup stack for RTS back to monitor
00FFFD39 034006 pshs d
00FFFD3B 0C6000 ldb #>jtc_exit
00FFFD3D 034004 pshs b
00FFFD3F 0FC000912 ldd mon_numwka+2 ; get the address parameter
00FFFD42 034006 pshs d
00FFFD44 0F6000911 ldb mon_numwka+1
00FFFD47 034004 pshs b
00FFFD49 0FC000906 ldd mon_USAVE
00FFFD4C 034006 pshs d
00FFFD4E 0FC000904 ldd mon_YSAVE
00FFFD51 034006 pshs d
00FFFD53 0FC000902 ldd mon_XSAVE
00FFFD56 034006 pshs d
00FFFD58 0B600090E lda mon_DPRSave
00FFFD5B 034002 pshs a
00FFFD5D 0FC000900 ldd mon_DSAVE
00FFFD60 034006 pshs d
00FFFD62 0B600090F lda mon_CCRSAVE
00FFFD65 034002 pshs a
00FFFD67 0150350FF puls far ccr,d,dpr,x,y,u,pc
jtc_exit:
00FFFC53 1FF000908 sts >mon_SSAVE ; need to use extended addressing, no direct page setting
00FFFC56 03280F006FFF leas $6FFF ; reset stack to system area, dont modify flags register!
00FFFC5A 034001 pshs ccr ; now the stack can be used
00FFFC5C 034002 pshs a ; save acca register so we can use it
00FFFC5E 01F0B8 tfr dpr,a ; a = outgoing dpr value
00FFFC60 0B700090E sta >mon_DPRSAVE ; force extended addressing mode usage here dpr is not set
00FFFC63 04F clra ; dpg register must be set to zero before values are
00FFFC64 01F08B tfr a,dpr ; saved in the monitor register save area.
00FFFC66 035002 puls a ; get back acca
00FFFC68 0FD000900 std mon_DSAVE ; save regsters, can use direct addressing now
00FFFC6B 0BF000902 stx mon_XSAVE
00FFFC6E 1BF000904 sty mon_YSAVE
00FFFC71 0FF000906 stu mon_USAVE
00FFFC74 035002 puls a ; get back ccr
00FFFC76 0B700090F sta mon_CCRSAVE ; and save it too
00FFFD6A 1FF000908 sts >mon_SSAVE ; need to use extended addressing, no direct page setting
00FFFD6D 03280F006FFF leas $6FFF ; reset stack to system area, dont modify flags register!
00FFFD71 034001 pshs ccr ; now the stack can be used
00FFFD73 034002 pshs a ; save acca register so we can use it
00FFFD75 01F0B8 tfr dpr,a ; a = outgoing dpr value
00FFFD77 0B700090E sta >mon_DPRSAVE ; force extended addressing mode usage here dpr is not set
00FFFD7A 04F clra ; dpg register must be set to zero before values are
00FFFD7B 01F08B tfr a,dpr ; saved in the monitor register save area.
00FFFD7D 035002 puls a ; get back acca
00FFFD7F 0FD000900 std mon_DSAVE ; save regsters, can use direct addressing now
00FFFD82 0BF000902 stx mon_XSAVE
00FFFD85 1BF000904 sty mon_YSAVE
00FFFD88 0FF000906 stu mon_USAVE
00FFFD8B 035002 puls a ; get back ccr
00FFFD8D 0B700090F sta mon_CCRSAVE ; and save it too
; Reset vectors in case they got toasted.
00FFFC79 0CCFFEBE4 ldd #SerialPeekCharDirect
00FFFC7C 0FD000804 std CharInVec
00FFFC7F 0CCFFE2E1 ldd #DisplayChar
00FFFC82 0FD000800 std CharOutVec
00FFFD90 0CCFFEBE4 ldd #SerialPeekCharDirect
00FFFD93 0FD000804 std CharInVec
00FFFD96 0CCFFE2F5 ldd #DisplayChar
00FFFD99 0FD000800 std CharOutVec
00FFFD9C 0FCFFFAF5 ldd DisplayErr
00FFFD9F 0FD00080C std MonErrVec
; todo set according to coreid
00FFFC85 016FFFF45 lbra DumpRegs ; now go do a register dump
00FFFDA2 016FFFF3F lbra DumpRegs ; now go do a register dump
*** warning 1: Long branch within short branch range could be optimized
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
DumpIOFocusList:
00FFFDA5 08E000000 ldx #0
dfl2:
00FFFDA8 0E680A000FF0000 ldb IOFocusList,x
00FFFDAD 0C1018 cmpb #24
00FFFDAF 02600A bne dfl1
00FFFDB1 01F010 tfr x,d
00FFFDB3 017FFE654 lbsr DispByteAsHex
*** warning 1: Long branch within short branch range could be optimized
00FFFDB6 0C6020 ldb #' '
00FFFDB8 017FFEF57 lbsr OUTCH
*** warning 1: Long branch within short branch range could be optimized
dfl1:
00FFFDBB 030001 inx
00FFFDBD 08C000010 cmpx #16
00FFFDC0 025FE6 blo dfl2
00FFFDC2 017FFD30D lbsr CRLF
*** warning 1: Long branch within short branch range could be optimized
00FFFDC5 016FFFB66 lbra Monitor
*** warning 1: Long branch within short branch range could be optimized
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
swi3_rout:
00FFFC88 01A010 sei
00FFFC8A 035002 puls a
00FFFC8C 0B700090F sta mon_CCRSAVE
00FFFC8F 03507E puls D,DPR,X,Y,U
00FFFC91 0FD000900 std mon_DSAVE
00FFFC94 0BF000902 stx mon_XSAVE
00FFFC97 1BF000904 sty mon_YSAVE
00FFFC9A 0FF000906 stu mon_USAVE
00FFFC9D 01F0B8 tfr dpr,a
00FFFC9F 0B700090E sta mon_DPRSAVE
00FFFCA2 035002 puls a
00FFFCA4 0B700090A sta mon_PCSAVE
00FFFCA7 035006 puls D
00FFFCA9 0FD00090B std mon_PCSAVE+1
00FFFCAC 1FF000908 sts mon_SSAVE
00FFFCAF 1CE003FFF lds #$3FFF
00FFFCB2 01C0EF cli
00FFFCB4 07EFFFBCD jmp DumpRegs
00FFFDC8 01A010 sei
00FFFDCA 035002 puls a
00FFFDCC 0B700090F sta mon_CCRSAVE
00FFFDCF 03507E puls D,DPR,X,Y,U
00FFFDD1 0FD000900 std mon_DSAVE
00FFFDD4 0BF000902 stx mon_XSAVE
00FFFDD7 1BF000904 sty mon_YSAVE
00FFFDDA 0FF000906 stu mon_USAVE
00FFFDDD 01F0B8 tfr dpr,a
00FFFDDF 0B700090E sta mon_DPRSAVE
00FFFDE2 035002 puls a
00FFFDE4 0B700090A sta mon_PCSAVE
00FFFDE7 035006 puls D
00FFFDE9 0FD00090B std mon_PCSAVE+1
00FFFDEC 1FF000908 sts mon_SSAVE
00FFFDEF 1CE003FFF lds #$3FFF
00FFFDF2 01C0EF cli
00FFFDF4 07EFFFCE4 jmp DumpRegs
swi3_exit:
00FFFCB7 01A010 sei
00FFFCB9 1FE000908 lds mon_SSAVE
00FFFCBC 0FC00090B ldd mon_PCSAVE+1
00FFFCBF 034006 pshs d
00FFFCC1 0B600090A lda mon_PCSAVE
00FFFCC4 034002 pshs a
00FFFCC6 0FE000906 ldu mon_USAVE
00FFFCC9 1BE000904 ldy mon_YSAVE
00FFFCCC 0BE000902 ldx mon_XSAVE
00FFFCCF 034070 pshs x,y,u
00FFFCD1 0B600090E lda mon_DPRSAVE
00FFFCD4 034002 pshs a
00FFFCD6 0FC000900 ldd mon_DSAVE
00FFFCD9 034006 pshs d
00FFFCDB 0B600090F lda mon_CCRSAVE
00FFFCDE 034002 pshs a
00FFFCE0 01F08A tfr a,ccr
00FFFCE2 01C0EF cli
00FFFCE4 03B rti
00FFFDF7 01A010 sei
00FFFDF9 1FE000908 lds mon_SSAVE
00FFFDFC 0FC00090B ldd mon_PCSAVE+1
00FFFDFF 034006 pshs d
00FFFE01 0B600090A lda mon_PCSAVE
00FFFE04 034002 pshs a
00FFFE06 0FE000906 ldu mon_USAVE
00FFFE09 1BE000904 ldy mon_YSAVE
00FFFE0C 0BE000902 ldx mon_XSAVE
00FFFE0F 034070 pshs x,y,u
00FFFE11 0B600090E lda mon_DPRSAVE
00FFFE14 034002 pshs a
00FFFE16 0FC000900 ldd mon_DSAVE
00FFFE19 034006 pshs d
00FFFE1B 0B600090F lda mon_CCRSAVE
00FFFE1E 034002 pshs a
00FFFE20 01F08A tfr a,ccr
00FFFE22 01C0EF cli
00FFFE24 03B rti
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
firq_rout:
00FFFCE5 03B rti
00FFFE25 03B rti
irq_rout:
; lbsr SerialIRQ ; check for recieved character
4532,23 → 4709,23
; lbsr TimerIRQ
; Reset the edge sense circuit in the PIC
00FFFCE6 08601F lda #31 ; Timer is IRQ #31
00FFFCE8 0B7FF0011 sta IrqSource ; stuff a byte indicating the IRQ source for PEEK()
00FFFCEB 0150B7FFFE3F010 sta PIC+16 ; register 16 is edge sense reset reg
00FFFCF0 0150B6FFFE6000D lda VIA+VIA_IFR
00FFFCF5 02A011 bpl notTimerIRQ2
00FFFCF7 085800 bita #$800
00FFFCF9 02700D beq notTimerIRQ2
00FFFCFB 01507FFFFE60012 clr VIA+VIA_T3LL
00FFFD00 01507FFFFE60013 clr VIA+VIA_T3LH
00FFFD05 07CE00037 inc $E00037 ; update timer IRQ screen flag
00FFFE26 08601F lda #31 ; Timer is IRQ #31
00FFFE28 0B7FF0011 sta IrqSource ; stuff a byte indicating the IRQ source for PEEK()
00FFFE2B 0150B7FFFE3F010 sta PIC+16 ; register 16 is edge sense reset reg
00FFFE30 0150B6FFFE6000D lda VIA+VIA_IFR
00FFFE35 02A011 bpl notTimerIRQ2
00FFFE37 085800 bita #$800
00FFFE39 02700D beq notTimerIRQ2
00FFFE3B 01507FFFFE60012 clr VIA+VIA_T3LL
00FFFE40 01507FFFFE60013 clr VIA+VIA_T3LH
00FFFE45 07CE00037 inc $E00037 ; update timer IRQ screen flag
notTimerIRQ2:
00FFFD08 0B6FF0014 lda IrqBase ; get the IRQ flag byte
00FFFD0B 044 lsra
00FFFD0C 0BAFF0014 ora IrqBase
00FFFD0F 0840E0 anda #$E0
00FFFD11 0B7FF0014 sta IrqBase
00FFFE48 0B6FF0014 lda IrqBase ; get the IRQ flag byte
00FFFE4B 044 lsra
00FFFE4C 0BAFF0014 ora IrqBase
00FFFE4F 0840E0 anda #$E0
00FFFE51 0B7FF0014 sta IrqBase
; inc TEXTSCR+54 ; update IRQ live indicator on screen
4578,17 → 4755,17
; rola
; sta $E00000,y ; store the color code back to memory
tr1a:
00FFFD14 03B rti
00FFFE54 03B rti
;------------------------------------------------------------------------------
;------------------------------------------------------------------------------
nmi_rout:
00FFFD15 0150F6FFFFFFFE0 ldb COREID
00FFFD1A 086049 lda #'I'
00FFFD1C 08EE00028 ldx #TEXTSCR+40
00FFFD1F 0A788F sta b,x
00FFFE55 0150F6FFFFFFFE0 ldb COREID
00FFFE5A 086049 lda #'I'
00FFFE5C 08EE00028 ldx #TEXTSCR+40
00FFFE5F 0A788F sta b,x
rti_insn:
00FFFD21 03B rti
00FFFE61 03B rti
; Special Register Area
org $FFFFE0
4596,32 → 4773,32
; Interrupt vector table
org $FFFFF0
00FFFFF0 FFFD21 fcw rti_insn ; reserved
00FFFFF2 FFFC88 fcw swi3_rout ; SWI3
00FFFFF4 FFFD21 fcw rti_insn ; SWI2
00FFFFF6 FFFCE5 fcw firq_rout ; FIRQ
00FFFFF8 FFFCE6 fcw irq_rout ; IRQ
00FFFFF0 FFFE61 fcw rti_insn ; reserved
00FFFFF2 FFFDC8 fcw swi3_rout ; SWI3
00FFFFF4 FFFE61 fcw rti_insn ; SWI2
00FFFFF6 FFFE25 fcw firq_rout ; FIRQ
00FFFFF8 FFFE26 fcw irq_rout ; IRQ
00FFFFFA FFE023 fcw start ; SWI
00FFFFFC FFFD15 fcw nmi_rout ; NMI
00FFFFFC FFFE55 fcw nmi_rout ; NMI
00FFFFFE FFE023 fcw start ; RST
 
176 warning(s) in pass 2.
192 warning(s) in pass 2.
 
SYMBOL TABLE
ACIA 00 FFE30100 ACIA_CMD 00 00000002 ACIA_CTRL 00 00000003 ACIA_CTRL2 00 0000000B
ACIA_RX 00 00000000 ACIA_STAT 00 00000001 ACIA_TX 00 00000000 ASCIITODECNYBBLE 02 00FFFA50
ASCIITOHEXNYBBLE 02 00FFFA28 ASMBUF 00 00000160 ASMO 02 00FFF846 ASMOO 02 00FFF850
ASMOUTCH 02 00FFF85A BIOS_SCREENS 00 17000000 BLANKLINE 02 00FFE258 BLKCPYDST 00 00000020
BLKCPYSRC 00 0000001C BLNKLN1 02 00FFE26B CALCSCREENLOC 02 00FFE2BE CHARCOLOR 00 00000112
CHARINVEC 00 00000804 CHAROUTVEC 00 00000800 CHECKPOINT 02 00FFE115 CLEARSCREEN 02 00FFE211
CLEARSCREENJMP 02 00FFD300 CMDPROMPT 02 00FFF86A CMDPROMPTJI 00 00000808 COLORCODELOCATION 00 00000014
COPYSCREENTOVIRTUALSCREEN 02 00FFE1E1 COPYVIRTUALSCREENTOSCREEN 02 00FFE1AA COREID 00 FFFFFFE0 CR 00 0000000D
CRLF 02 00FFD0D2 CRLF1 02 00FFD0D2 CRLFST 02 00FFE3E1 CS1 02 00FFE21E
CS2 02 00FFE235 CS2V1 02 00FFE1ED CS3 02 00FFE23B CSL1 02 00FFE2D9
ACIA_RX 00 00000000 ACIA_STAT 00 00000001 ACIA_TX 00 00000000 ASCIITODECNYBBLE 02 00FFFAE7
ASCIITOHEXNYBBLE 02 00FFFABF ASMBUF 00 00000160 ASMO 02 00FFF8BC ASMOO 02 00FFF8C6
ASMOUTCH 02 00FFF8D0 BIOS_SCREENS 00 17000000 BLANKLINE 02 00FFE26C BLKCPYDST 00 00000020
BLKCPYSRC 00 0000001C BLNKLN1 02 00FFE27F CALCSCREENLOC 02 00FFE2D2 CHARCOLOR 00 00000112
CHARINVEC 00 00000804 CHAROUTVEC 00 00000800 CHECKPOINT 02 00FFE129 CLEARSCREEN 02 00FFE225
CLEARSCREENJMP 02 00FFD300 CMDPROMPT 02 00FFF8E0 CMDPROMPTJI 00 00000808 COLORCODELOCATION 00 00000014
COPYSCREENTOVIRTUALSCREEN 02 00FFE1F5 COPYVIRTUALSCREENTOSCREEN 02 00FFE1BE COREID 00 FFFFFFE0 CR 00 0000000D
CRLF 02 00FFD0D2 CRLF1 02 00FFD0D2 CRLFST 02 00FFE3F5 CS1 02 00FFE232
CS2 02 00FFE249 CS2V1 02 00FFE201 CS3 02 00FFE24F CSL1 02 00FFE2ED
CTRLC 00 00000003 CTRLH 00 00000008 CTRLI 00 00000009 CTRLJ 00 0000000A
CTRLK 00 0000000B CTRLM 00 0000000D CTRLS 00 00000013 CTRLT 00 00000014
CTRLX 00 00000018 CURSORCOL 00 00000111 CURSORFLASH 00 00000114 CURSORROW 00 00000110
CV2S1 02 00FFE1BF DAB1 02 00FFF869 DAB2 02 00FFF860 DBGCHECKFORKEY 02 00FFEA10
CV2S1 02 00FFE1D3 DAB1 02 00FFF8DF DAB2 02 00FFF8D6 DBGCHECKFORKEY 02 00FFEA10
DBGK1 02 00FFEA4B DBGK10 02 00FFEAB0 DBGK11 02 00FFEB09 DBGK12 02 00FFEB0F
DBGK13 02 00FFEB1B DBGK14 02 00FFEB2B DBGK15 02 00FFEB3A DBGK16 02 00FFEB45
DBGK17 02 00FFEB4E DBGK18 02 00FFEB51 DBGK2 02 00FFEA16 DBGK20 02 00FFEA38
4628,86 → 4805,91
DBGK22 02 00FFEA54 DBGK23 02 00FFEA65 DBGK24 02 00FFEA46 DBGK3 02 00FFEA68
DBGK4 02 00FFEA74 DBGK5 02 00FFEA80 DBGK7 02 00FFEA90 DBGK8 02 00FFEA96
DBGK9 02 00FFEAAA DBGKNOTALT 02 00FFEB13 DBGKNOTCAPSLOCK 02 00FFEAE2 DBGKNOTCTRL 02 00FFEA9A
DBGKNOTNUMLOCK 02 00FFEACB DBGKNOTRSHIFT 02 00FFEAB4 DBGKNOTSCROLLLOCK 02 00FFEAF9 DCCR 02 00FFE2F1
DCLF 02 00FFE37B DCX10 02 00FFE32B DCX11 02 00FFE33B DCX12 02 00FFE337
DCX13 02 00FFE347 DCX14 02 00FFE2EE DCX3 02 00FFE369 DCX4 02 00FFE37D
DCX5 02 00FFE358 DCX6 02 00FFE302 DCX7 02 00FFE2FE DCX8 02 00FFE30F
DCX9 02 00FFE31C DELAY3S 02 00FFE18D DIRECT 00 00000001 DIS1 02 00FFF7CE
DIS10 02 00FFF566 DIS11 02 00FFF5A2 DIS12 02 00FFF5DF DIS13 02 00FFF61B
DIS14 02 00FFF65C DIS15 02 00FFF698 DIS16 02 00FFF6D5 DIS17 02 00FFF718
DIS18 02 00FFF760 DIS19 02 00FFF7FA DIS2 02 00FFF405 DIS20 02 00FFF3CE
DIS21 02 00FFF403 DIS23 02 00FFF3D1 DIS24 02 00FFF7FD DIS25 02 00FFF80A
DIS3 02 00FFF42E DIS4 02 00FFF44B DIS5 02 00FFF45C DIS6 02 00FFF490
DIS7 02 00FFF4C7 DIS8 02 00FFF4FB DIS9 02 00FFF532 DISASSEM 02 00FFF3B4
DISLOOP1 02 00FFF3BD DISNDXREG 02 00FFF831 DISNDXREGS 02 00FFF82D DISNEXTLINE 02 00FFF821
DISNOT9 02 00FFF440 DISNOTAOFFS 02 00FFF5CA DISNOTBO 02 00FFF607 DISNOTBOFFS 02 00FFF58E
DISNOTDIRECT 02 00FFF3FF DISNOTDOFFS 02 00FFF6C0 DISNOTEXT 02 00FFF7AD DISNOTIMMB 02 00FFF7BE
DISNOTIMMW 02 00FFF7CE DISNOTLREL 02 00FFF40F DISNOTNDX 02 00FFF7A1 DISNOTPBO 02 00FFF704
DISNOTPTO 02 00FFF78F DISNOTPWO 02 00FFF747 DISNOTR 02 00FFF552 DISNOTREL 02 00FFF418
DISNOTRMINUS 02 00FFF4EC DISNOTRMINUSMINUS 02 00FFF523 DISNOTRPLUS 02 00FFF481 DISNOTRPLUSPLUS 02 00FFF4B8
DISNOTTO 02 00FFF684 DISNOTWO 02 00FFF643 DISPBYTEASHEX 02 00FFE3F6 DISPDWORDASHEX 02 00FFE3E4
DISPLAYCHAR 02 00FFE2E1 DISPLAYERR 02 00FFFA5E DISPLAYSTRING 02 00FFE3A2 DISPLAYSTRINGCRLF 02 00FFE3B9
DISPLAYSTRINGDX 02 00FFFA68 DISPNYB 02 00FFE40E DISPNYB1 02 00FFE41D DISPWORDASHEX 02 00FFE3ED
DBGKNOTNUMLOCK 02 00FFEACB DBGKNOTRSHIFT 02 00FFEAB4 DBGKNOTSCROLLLOCK 02 00FFEAF9 DCCR 02 00FFE305
DCLF 02 00FFE38F DCX10 02 00FFE33F DCX11 02 00FFE34F DCX12 02 00FFE34B
DCX13 02 00FFE35B DCX14 02 00FFE302 DCX3 02 00FFE37D DCX4 02 00FFE391
DCX5 02 00FFE36C DCX6 02 00FFE316 DCX7 02 00FFE312 DCX8 02 00FFE323
DCX9 02 00FFE330 DELAY3S 02 00FFE1A1 DFL1 02 00FFFDBB DFL2 02 00FFFDA8
DIRECT 00 00000001 DIS1 02 00FFF7EF DIS10 02 00FFF572 DIS11 02 00FFF5AE
DIS12 02 00FFF5EB DIS13 02 00FFF627 DIS14 02 00FFF668 DIS15 02 00FFF6A4
DIS16 02 00FFF6E1 DIS17 02 00FFF724 DIS18 02 00FFF76C DIS19 02 00FFF81B
DIS2 02 00FFF411 DIS20 02 00FFF3DA DIS21 02 00FFF40F DIS23 02 00FFF3DD
DIS24 02 00FFF81E DIS25 02 00FFF82B DIS3 02 00FFF43A DIS30 02 00FFF7BA
DIS4 02 00FFF457 DIS5 02 00FFF468 DIS6 02 00FFF49C DIS7 02 00FFF4D3
DIS8 02 00FFF507 DIS9 02 00FFF53E DISASSEM 02 00FFF3B4 DISLOOP1 02 00FFF3BF
DISNDXREG 02 00FFF874 DISNDXREGS 02 00FFF850 DISNEXTLINE 02 00FFF842 DISNOT9 02 00FFF44C
DISNOTAOFFS 02 00FFF5D6 DISNOTBO 02 00FFF613 DISNOTBOFFS 02 00FFF59A DISNOTDIRECT 02 00FFF40B
DISNOTDOFFS 02 00FFF6CC DISNOTEXT 02 00FFF7C4 DISNOTIMMB 02 00FFF7D5 DISNOTIMMW 02 00FFF7E5
DISNOTLREL 02 00FFF41B DISNOTNDX 02 00FFF7AD DISNOTPBO 02 00FFF710 DISNOTPTO 02 00FFF79B
DISNOTPWO 02 00FFF753 DISNOTR 02 00FFF55E DISNOTREL 02 00FFF424 DISNOTRMINUS 02 00FFF4F8
DISNOTRMINUSMINUS 02 00FFF52F DISNOTRPLUS 02 00FFF48D DISNOTRPLUSPLUS 02 00FFF4C4 DISNOTTFR 02 00FFF7EF
DISNOTTO 02 00FFF690 DISNOTWO 02 00FFF64F DISPBYTEASHEX 02 00FFE40A DISPDWORDASHEX 02 00FFE3F8
DISPLAYCHAR 02 00FFE2F5 DISPLAYERR 02 00FFFAF5 DISPLAYSTRING 02 00FFE3B6 DISPLAYSTRINGCRLF 02 00FFE3CD
DISPLAYSTRINGDX 02 00FFFAFE DISPNYB 02 00FFE422 DISPNYB1 02 00FFE431 DISPWORDASHEX 02 00FFE401
DISTBL1 02 00FFEDB4 DISTBL2 02 00FFF2B4 DISTBL3 02 00FFF2F4 DISTBL4 02 00FFF314
DLY3S1 02 00FFE190 DLY3S2 02 00FFE194 DMPM1 02 00FFFB8E DMPM2 02 00FFFB79
DMPM3 02 00FFFBC7 DMPM4 02 00FFFBB9 DMPM5 02 00FFFBB1 DRAM_BASE 00 10000000
DSPJ1B 02 00FFE3AB DSPJ2 02 00FFE3A6 DSRETB 02 00FFE3B4 DUMPASMBUF 02 00FFF85D
DUMPMEMORY 02 00FFFB71 DUMPREGS 02 00FFFBCD DUMRTS 02 00FFE022 EXT 00 00000006
FAREXT 00 00000008 FIRQ_ROUT 02 00FFFCE5 FIRST_CORE 00 00000001 FREEMBX 02 00000002
FREEMSG 02 00000006 FREETCB 00 0000078A GETHEXNUMBER 02 00FFF9F8 GETKEY 02 00FFEA12
GETRANGE 02 00FFF9D6 GETSCREENLOCATION 02 00FFE272 GETTWOPARAMS 02 00FFF9B5 GSL1 02 00FFE280
GTDC3 02 00FFFA5B GTHX3 02 00FFFA4D GTHX5 02 00FFFA33 GTHX6 02 00FFFA40
GTHXN1 02 00FFFA24 GTHXN2 02 00FFFA05 HC1 02 00FFE29A HELPMSG 02 00FFFA78
HEX2 02 00FFD2CE HEX4 02 00FFD2D2 HOMECURSOR 02 00FFE284 HOMECURSORJMP 02 00FFD308
I2CW1 02 00FFE47E I2CWR1 02 00FFE4AB I2C_CMD 00 00000004 I2C_CTRL 00 00000002
I2C_PREH 00 00000001 I2C_PREL 00 00000000 I2C_RXR 00 00000003 I2C_STAT 00 00000004
I2C_TXR 00 00000003 I2C_WAIT_RX_NACK 02 00FFE4A9 I2C_WAIT_TIP 02 00FFE47C I2C_WR_CMD 02 00FFE486
ICC1 02 00FFE39E ICC2 02 00FFE3A0 ICR1 02 00FFE390 IGNBLANKS 02 00FFF9AC
IGNBLANKS1 02 00FFF9AC IMMB 00 00000003 IMMW 00 00000007 INCCURSORPOS 02 00FFE37F
INCCURSORROW 02 00FFE38E INCH 02 00FFECDA INCH1 02 00FFECEF INCH2 02 00FFECDC
INCHE 02 00FFECF8 INCHEK 02 00FFECFC INCHEK1 02 00FFED11 INCHEK2 02 00FFED0E
INCHEK3 02 00FFED05 INIT 02 00FFE086 INIT1 02 00FFE08E INITSERIAL 02 00FFEB6F
IOFOCUSID 00 00FF0010 IOFOCUSLIST 00 00FF0000 IOFOCUSNDX 00 00000100 IRQBASE 00 00FF0014
IRQFLAG 00 00FF0012 IRQSOURCE 00 00FF0011 IRQ_ROUT 02 00FFFCE6 JTC_EXIT 02 00FFFC53
JUMP_TO_CODE 02 00FFFC18 KBDBUFFULL 02 00FFEA0D KBDFIFO 00 00000040 KBDFIFOALIAS 00 00C00040
KBDHEADRCV 00 00000127 KBDI0002 02 00FFE949 KBDI0004 02 00FFE98E KBDITRYAGAIN 02 00FFE982
KBDRCVCOUNT 02 00FFE9BD KBDTAILRCV 00 00000128 KBGS1 02 00FFE8F8 KBGS2 02 00FFE8F0
KBGS3 02 00FFE8E1 KEYBD 00 FFE30400 KEYBDACK 00 FFFFCC00 KEYBDBAD 00 FFFFCB00
KEYBDBLOCK 00 00000126 KEYBDBUFFER 00 FFFFC000 KEYBDCHECKFORKEYDIRECT 02 00FFECD8 KEYBDCLOSE 02 00FFEB6B
KEYBDCLR 00 FFE30402 KEYBDCONTROLCODES 02 00FFE780 KEYBDECHO 00 FFFFCA00 KEYBDEXTENDEDCODES 02 00FFE800
KEYBDGETID 02 00FFE91C KEYBDGETSCANCODE 02 00FFE8FD KEYBDGETSTATUS 02 00FFE8E1 KEYBDHEAD 00 FFFFC800
KEYBDID 00 00000124 KEYBDINIT 02 00FFE940 KEYBDIRQ 02 00FFE9CF KEYBDLOCKS 00 FFFFCD00
KEYBDOPEN 02 00FFEB6A KEYBDREAD 02 00FFEB6C KEYBDRECVBYTE 02 00FFE880 KEYBDSEEK 02 00FFEB6E
KEYBDSENDBYTE 02 00FFE899 KEYBDSETLED 02 00FFE909 KEYBDTAIL 00 FFFFC900 KEYBDWAITTX 02 00FFE89F
KEYBDWRITE 02 00FFEB6D KEYLED 00 00000122 KEYSTATE1 00 00000120 KEYSTATE2 00 00000121
KGID1 02 00FFE939 KGNOTKBD 02 00FFE93C KRB3 02 00FFE885 KRB4 02 00FFE895
KRCXIT 02 00FFE9CE KWT1 02 00FFE8A4 KWT2 02 00FFE8B5 LEDS 00 FFE60001
LEDXIT 02 00FFE9A2 LETTER 02 00FFD2C1 LF 00 0000000A LSREL 00 00000002
MAX_TASKNO 00 0000003F MONGETCH 02 00FFF9A0 MONGETNONSPACE 02 00FFF9A5 MONITOR 02 00FFF8AC
MONITORSTART 02 00FFF89A MON_CCRSAVE 00 0000090F MON_DPRSAVE 00 0000090E MON_DSAVE 00 00000900
MON_NUMWKA 00 00000910 MON_PCSAVE 00 0000090A MON_R1 00 00000920 MON_R2 00 00000924
MON_SSAVE 00 00000908 MON_USAVE 00 00000906 MON_XSAVE 00 00000902 MON_YSAVE 00 00000904
MSCOUNT 00 FFFFFFE4 MSGBADKEYBD 02 00FFE9AE MSGERR 02 00FFFA70 MSGF09STARTING 02 00FFF875
MSGREGHEADINGS 02 00FFFB2E MSGSERIALTEST 02 00FFECC5 MSGSTARTUP 02 00FFE0F4 MULTI_SIEVE 02 00FFE12B
MULTI_SIEVE1 02 00FFE14E MULTI_SIEVE2 02 00FFE14A MULTI_SIEVE3 02 00FFE138 MULTI_SIEVE4 02 00FFE161
NDX 00 00000005 NHEX4 02 00FFFB68 NMAILBOX 02 00000004 NMESERIAL 02 00FFEC8E
NMIBASE 00 00FF0013 NMI_ROUT 02 00FFFD15 NMSGBLK 02 00000008 NOTKBDIRQ 02 00FFEA0C
NOTRXINT 02 00FFEC8D NOTTIMERIRQ 02 00FFE471 NOTTIMERIRQ2 02 00FFFD08 NXBLANK 02 00FFFB6C
ONEKEY 02 00FFD1DC OSC1 02 00FFED59 OSCALL 02 00FFED59 OSCALLTBL 02 00FFED27
OSCX 02 00FFED69 OSSEMA 00 00EF0010 OUTCH 02 00FFED12 OUTSEMA 00 00EF0000
PCRLF 02 00FFE3CD PDATA 02 00FFE3DA PIC 00 FFE3F000 PICPTR 00 00000028
PRINT 02 00FFE3D7 PROMPT1 02 00FFF8D9 PROMPT2 02 00FFF905 PROMPT2A 02 00FFF923
PROMPT3 02 00FFF8CA PROMPTC 02 00FFF941 PROMPTD 02 00FFF94D PROMPTF 02 00FFF959
PROMPTHELP 02 00FFF935 PROMPTJ 02 00FFF96C PROMPTLN 02 00FFF8C6 PROMPTR 02 00FFF971
PROMPTT 02 00FFF984 PROMPTU 02 00FFF999 PROMPT_S 02 00FFF97B PSTRNG 02 00FFE3C9
DISTFR1 02 00FFF8A3 DISTFREXG 02 00FFF8A5 DISTFRREG 02 00FFF889 DISTFRREGS 02 00FFF854
DLY3S1 02 00FFE1A4 DLY3S2 02 00FFE1A8 DMPM1 02 00FFFC65 DMPM2 02 00FFFC50
DMPM3 02 00FFFC9E DMPM4 02 00FFFC90 DMPM5 02 00FFFC88 DRAM_BASE 00 10000000
DSPJ1B 02 00FFE3BF DSPJ2 02 00FFE3BA DSRETB 02 00FFE3C8 DUMPASMBUF 02 00FFF8D3
DUMPIOFOCUSLIST 02 00FFFDA5 DUMPMEMORY 02 00FFFC48 DUMPREGS 02 00FFFCE4 DUMRTS 02 00FFE022
EDITMEM1 02 00FFFCC2 EDITMEM2 02 00FFFCAD EDITMEM3 02 00FFFCE1 EDITMEM4 02 00FFFCCC
EDITMEM6 02 00FFFCD8 EDITMEMORY 02 00FFFCA4 EXT 00 00000006 FAREXT 00 00000008
FARFLAG 00 0000015F FIRQ_ROUT 02 00FFFE25 FIRST_CORE 00 00000001 FREEMBX 02 00000002
FREEMSG 02 00000006 FREETCB 00 0000078A GETHEXNUMBER 02 00FFFA8F GETKEY 02 00FFEA12
GETRANGE 02 00FFFA66 GETSCREENLOCATION 02 00FFE286 GETTWOPARAMS 02 00FFFA45 GRNG1 02 00FFFA81
GSL1 02 00FFE294 GTDC3 02 00FFFAF2 GTHX3 02 00FFFAE4 GTHX5 02 00FFFACA
GTHX6 02 00FFFAD7 GTHXN1 02 00FFFABB GTHXN2 02 00FFFA9C HC1 02 00FFE2AE
HELPMSG 02 00FFFB0E HEX2 02 00FFD2CE HEX4 02 00FFD2D2 HOMECURSOR 02 00FFE298
HOMECURSORJMP 02 00FFD308 I2CW1 02 00FFE492 I2CWR1 02 00FFE4BF I2C_CMD 00 00000004
I2C_CTRL 00 00000002 I2C_PREH 00 00000001 I2C_PREL 00 00000000 I2C_RXR 00 00000003
I2C_STAT 00 00000004 I2C_TXR 00 00000003 I2C_WAIT_RX_NACK 02 00FFE4BD I2C_WAIT_TIP 02 00FFE490
I2C_WR_CMD 02 00FFE49A ICC1 02 00FFE3B2 ICC2 02 00FFE3B4 ICR1 02 00FFE3A4
IGNBLANKS 02 00FFFA3C IGNBLANKS1 02 00FFFA3C IMMB 00 00000003 IMMW 00 00000007
INCCURSORPOS 02 00FFE393 INCCURSORROW 02 00FFE3A2 INCH 02 00FFECDA INCH1 02 00FFECEF
INCH2 02 00FFECDC INCHE 02 00FFECF8 INCHEK 02 00FFECFC INCHEK1 02 00FFED11
INCHEK2 02 00FFED0E INCHEK3 02 00FFED05 INIT 02 00FFE09A INIT1 02 00FFE0A2
INITSERIAL 02 00FFEB6F IOFOCUSID 00 00FF0010 IOFOCUSLIST 00 00FF0000 IOFOCUSNDX 00 00000100
IRQBASE 00 00FF0014 IRQFLAG 00 00FF0012 IRQSOURCE 00 00FF0011 IRQ_ROUT 02 00FFFE26
JTC_EXIT 02 00FFFD6A JUMP_TO_CODE 02 00FFFD2F KBDBUFFULL 02 00FFEA0D KBDFIFO 00 00000040
KBDFIFOALIAS 00 00C00040 KBDHEADRCV 00 00000127 KBDI0002 02 00FFE949 KBDI0004 02 00FFE98E
KBDITRYAGAIN 02 00FFE982 KBDRCVCOUNT 02 00FFE9BD KBDTAILRCV 00 00000128 KBGS1 02 00FFE8F8
KBGS2 02 00FFE8F0 KBGS3 02 00FFE8E1 KEYBD 00 FFE30400 KEYBDACK 00 FFFFCC00
KEYBDBAD 00 FFFFCB00 KEYBDBLOCK 00 00000126 KEYBDBUFFER 00 FFFFC000 KEYBDCHECKFORKEYDIRECT 02 00FFECD8
KEYBDCLOSE 02 00FFEB6B KEYBDCLR 00 FFE30402 KEYBDCONTROLCODES 02 00FFE780 KEYBDECHO 00 FFFFCA00
KEYBDEXTENDEDCODES 02 00FFE800 KEYBDGETID 02 00FFE91C KEYBDGETSCANCODE 02 00FFE8FD KEYBDGETSTATUS 02 00FFE8E1
KEYBDHEAD 00 FFFFC800 KEYBDID 00 00000124 KEYBDINIT 02 00FFE940 KEYBDIRQ 02 00FFE9CF
KEYBDLOCKS 00 FFFFCD00 KEYBDOPEN 02 00FFEB6A KEYBDREAD 02 00FFEB6C KEYBDRECVBYTE 02 00FFE880
KEYBDSEEK 02 00FFEB6E KEYBDSENDBYTE 02 00FFE899 KEYBDSETLED 02 00FFE909 KEYBDTAIL 00 FFFFC900
KEYBDWAITTX 02 00FFE89F KEYBDWRITE 02 00FFEB6D KEYLED 00 00000122 KEYSTATE1 00 00000120
KEYSTATE2 00 00000121 KGID1 02 00FFE939 KGNOTKBD 02 00FFE93C KRB3 02 00FFE885
KRB4 02 00FFE895 KRCXIT 02 00FFE9CE KWT1 02 00FFE8A4 KWT2 02 00FFE8B5
LEDS 00 FFE60001 LEDXIT 02 00FFE9A2 LETTER 02 00FFD2C1 LF 00 0000000A
LSREL 00 00000002 MAX_TASKNO 00 0000003F MONERRVEC 00 0000080C MONGETCH 02 00FFFA30
MONGETNONSPACE 02 00FFFA35 MONITOR 02 00FFF92E MONITORSTART 02 00FFF910 MON_CCRSAVE 00 0000090F
MON_DPRSAVE 00 0000090E MON_DSAVE 00 00000900 MON_NUMWKA 00 00000910 MON_PCSAVE 00 0000090A
MON_R1 00 00000920 MON_R2 00 00000924 MON_SSAVE 00 00000908 MON_USAVE 00 00000906
MON_XSAVE 00 00000902 MON_YSAVE 00 00000904 MSCOUNT 00 FFFFFFE4 MSGBADKEYBD 02 00FFE9AE
MSGERR 02 00FFFB06 MSGF09STARTING 02 00FFF8EB MSGREGHEADINGS 02 00FFFC05 MSGSERIALTEST 02 00FFECC5
MSGSTARTUP 02 00FFE108 MULTI_SIEVE 02 00FFE13F MULTI_SIEVE1 02 00FFE162 MULTI_SIEVE2 02 00FFE15E
MULTI_SIEVE3 02 00FFE14C MULTI_SIEVE4 02 00FFE175 NDX 00 00000005 NHEX4 02 00FFFC3F
NMAILBOX 02 00000004 NMESERIAL 02 00FFEC8E NMIBASE 00 00FF0013 NMI_ROUT 02 00FFFE55
NMSGBLK 02 00000008 NOTKBDIRQ 02 00FFEA0C NOTRXINT 02 00FFEC8D NOTTIMERIRQ 02 00FFE485
NOTTIMERIRQ2 02 00FFFE48 NXBLANK 02 00FFFC43 ONEKEY 02 00FFD1DC OSC1 02 00FFED59
OSCALL 02 00FFED59 OSCALLTBL 02 00FFED27 OSCX 02 00FFED69 OSSEMA 00 00EF0010
OUTCH 02 00FFED12 OUTSEMA 00 00EF0000 PCRLF 02 00FFE3E1 PDATA 02 00FFE3EE
PIC 00 FFE3F000 PICPTR 00 00000028 PRINT 02 00FFE3EB PROMPT1 02 00FFF95B
PROMPT2 02 00FFF987 PROMPT2A 02 00FFF9A5 PROMPT3 02 00FFF94C PROMPTC 02 00FFF9C3
PROMPTCOLON 02 00FFF9DB PROMPTD 02 00FFF9CF PROMPTF 02 00FFF9E2 PROMPTFL 02 00FFF9F5
PROMPTHELP 02 00FFF9B7 PROMPTJ 02 00FFF9FC PROMPTLN 02 00FFF948 PROMPTR 02 00FFFA01
PROMPTT 02 00FFFA14 PROMPTU 02 00FFFA29 PROMPT_S 02 00FFFA0B PSTRNG 02 00FFE3DD
QNDX0 00 00000780 QNDX1 00 00000782 QNDX2 00 00000784 QNDX3 00 00000786
QNDX4 00 00000788 RAMERR 02 00FFD42C RAMTEST 02 00FFD400 RAMTEST1 02 00FFD40D
RAMTEST3 02 00FFD417 RELEASEIOFOCUS 02 00FFED84 REQUESTIOFOCUS 02 00FFED6D RIOF1 02 00FFEDAB
RIOF2 02 00FFED9A ROMTORAM 02 00FFE11B ROMTORAM1 02 00FFE121 RTC 00 FFE30500
RTCBUF 00 00007FC0 RTCR0001 02 00FFE4D9 RTCW0001 02 00FFE524 RTC_READ 02 00FFE4B3
RTC_RXERR 02 00FFE502 RTC_WRITE 02 00FFE506 RTI_INSN 02 00FFFD21 RUNNINGID 00 00800000
RIOF2 02 00FFED9A ROMTORAM 02 00FFE12F ROMTORAM1 02 00FFE135 RTC 00 FFE30500
RTCBUF 00 00007FC0 RTCR0001 02 00FFE4ED RTCW0001 02 00FFE538 RTC_READ 02 00FFE4C7
RTC_RXERR 02 00FFE516 RTC_WRITE 02 00FFE51A RTI_INSN 02 00FFFE61 RUNNINGID 00 00800000
RUNNINGTCB 06 00000000 SCREENCOLOR 00 00000113 SCREENLOCATION 00 00000010 SCREENLOCATION2 00 00000018
SCROLLUP 02 00FFE23D SCRUP1 02 00FFE24A SC_ALT 00 00000011 SC_C 00 00000021
SCROLLUP 02 00FFE251 SCRUP1 02 00FFE25E SC_ALT 00 00000011 SC_C 00 00000021
SC_CAPSLOCK 00 00000058 SC_CTRL 00 00000014 SC_DEL 00 00000071 SC_EXTEND 00 000000E0
SC_F12 00 00000007 SC_KEYUP 00 000000F0 SC_NUMLOCK 00 00000077 SC_RSHIFT 00 00000059
SC_SCROLLLOCK 00 0000007E SC_T 00 0000002C SC_TAB 00 0000000D SC_Z 00 0000001A
4717,28 → 4899,29
SERIALRCVCOUNT 02 00FFEC28 SERRCVBUF 00 00BFF000 SERRCVXOFF 00 00000140 SERRCVXON 00 00000139
SERTAILRCV 00 00000133 SERTAILXMIT 00 00000138 SERTZERO 00 00000132 SETKEYBOARDECHO 02 00FFED16
SGC2 02 00FFEBB6 SGCNOCHARS 02 00FFEBC6 SGCXIT 02 00FFEBC9 SHIFTEDSCANCODES 02 00FFE680
SHIFTLEFT5 02 00FFE19F SHL_NUMWKA 02 00FFF9EB SHOWSPRITES 02 00FFED1C SIEVE 02 00FFE165
SIEVE1 02 00FFE17D SIEVE2 02 00FFE179 SIEVE3 02 00FFE16A SIEVE4 02 00FFE18C
SHIFTLEFT5 02 00FFE1B3 SHL_NUMWKA 02 00FFFA82 SHOWSPRITES 02 00FFED1C SIEVE 02 00FFE179
SIEVE1 02 00FFE191 SIEVE2 02 00FFE18D SIEVE3 02 00FFE17E SIEVE4 02 00FFE1A0
SINI1 02 00FFEB82 SIRQ0001 02 00FFEC4D SIRQNXTBYTE 02 00FFEC3B SIRQRXFULL 02 00FFEC8D
SKIPDOLLAR 02 00FFF8F8 SKIP_INIT 02 00FFE0C1 SOTST1 02 00FFECAF SPC0001 02 00FFEC0A
SKIPDOLLAR 02 00FFF97A SKIP_INIT 02 00FFE0D5 SOTST1 02 00FFECAF SPC0001 02 00FFEC0A
SPCD0001 02 00FFEC02 SPCNOCHARS 02 00FFEBDF SPCXIT 02 00FFEBE2 SPRITE_CTRL 00 FFE10000
SPRITE_EN 00 000003C0 SPS2 02 00FFEC99 SPSXIT 02 00FFECA3 SRCXIT 02 00FFEC3A
SREL 00 00000004 ST1 02 00FFE0A0 ST3 02 00FFE07D ST6 02 00FFE03C
ST7 02 00FFE046 ST8 02 00FFE046 START 02 00FFE023 STRPTR 00 00000024
SWI3_EXIT 02 00FFFCB7 SWI3_ROUT 02 00FFFC88 TAB 00 00000009 TCB_CURSORCOL 00 00000021
TCB_CURSORROW 00 00000020 TCB_HJCB 00 0000001C TCB_HWAITMBX 00 00000022 TCB_IOF_NEXT 00 0000002C
TCB_IOF_PREV 00 00000030 TCB_MBQ_NEXT 00 00000024 TCB_MBQ_PREV 00 00000028 TCB_MMU_MAP 00 00000038
TCB_MSGPTR_D1 00 00000014 TCB_MSGPTR_D2 00 00000018 TCB_NXTRDY 00 00000000 TCB_NXTTCB 00 00000008
TCB_PRIORITY 00 00000010 TCB_PRVRDY 00 00000004 TCB_SPSAVE 00 00000034 TCB_STATUS 00 0000001E
TCB_TIMEOUT 00 0000000C TEXTCLOSE 02 00FFE20D TEXTOPEN 02 00FFE20C TEXTREAD 02 00FFE20E
TEXTREG 00 FFE0DF00 TEXTSCR 00 FFE00000 TEXTSEEK 02 00FFE210 TEXTWRITE 02 00FFE20F
TEXT_COLS 00 00000000 TEXT_CURPOS 00 00000022 TEXT_ROWS 00 00000001 TIMEOUTLIST 00 0000078C
TIMERINIT 02 00FFE424 TIMERIRQ 02 00FFE44F TR1A 02 00FFFD14 UCP1 02 00FFE2BC
UNSHIFTEDSCANCODES 02 00FFE580 UPDATECURSORPOS 02 00FFE29C VIA 00 FFE60000 VIA_ACR 00 0000000B
VIA_DDRA 00 00000003 VIA_IER 00 0000000E VIA_IFR 00 0000000D VIA_PA 00 00000001
VIA_T3CMPH 00 00000015 VIA_T3CMPL 00 00000014 VIA_T3LH 00 00000013 VIA_T3LL 00 00000012
W10_0001 02 00FFE8C0 W300_0001 02 00FFE8D4 WAIT10MS 02 00FFE8B9 WAIT300MS 02 00FFE8CD
XBLANK 02 00FFD0AF XOFF 00 00000013 XON 00 00000011
523 SYMBOLS
SREL 00 00000004 ST1 02 00FFE0B4 ST3 02 00FFE091 ST6 02 00FFE03C
ST7 02 00FFE046 ST8 02 00FFE046 ST9 02 00FFE05A START 02 00FFE023
STRPTR 00 00000024 SWI3_EXIT 02 00FFFDF7 SWI3_ROUT 02 00FFFDC8 TAB 00 00000009
TCB_CURSORCOL 00 00000021 TCB_CURSORROW 00 00000020 TCB_HJCB 00 0000001C TCB_HWAITMBX 00 00000022
TCB_IOF_NEXT 00 0000002C TCB_IOF_PREV 00 00000030 TCB_MBQ_NEXT 00 00000024 TCB_MBQ_PREV 00 00000028
TCB_MMU_MAP 00 00000038 TCB_MSGPTR_D1 00 00000014 TCB_MSGPTR_D2 00 00000018 TCB_NXTRDY 00 00000000
TCB_NXTTCB 00 00000008 TCB_PRIORITY 00 00000010 TCB_PRVRDY 00 00000004 TCB_SPSAVE 00 00000034
TCB_STATUS 00 0000001E TCB_TIMEOUT 00 0000000C TEXTCLOSE 02 00FFE221 TEXTOPEN 02 00FFE220
TEXTREAD 02 00FFE222 TEXTREG 00 FFE0DF00 TEXTSCR 00 FFE00000 TEXTSEEK 02 00FFE224
TEXTWRITE 02 00FFE223 TEXT_COLS 00 00000000 TEXT_CURPOS 00 00000022 TEXT_ROWS 00 00000001
TFREXG 00 00000009 TIMEOUTLIST 00 0000078C TIMERINIT 02 00FFE438 TIMERIRQ 02 00FFE463
TR1A 02 00FFFE54 UCP1 02 00FFE2D0 UNSHIFTEDSCANCODES 02 00FFE580 UPDATECURSORPOS 02 00FFE2B0
VIA 00 FFE60000 VIA_ACR 00 0000000B VIA_DDRA 00 00000003 VIA_IER 00 0000000E
VIA_IFR 00 0000000D VIA_PA 00 00000001 VIA_T3CMPH 00 00000015 VIA_T3CMPL 00 00000014
VIA_T3LH 00 00000013 VIA_T3LL 00 00000012 W10_0001 02 00FFE8C0 W300_0001 02 00FFE8D4
WAIT10MS 02 00FFE8B9 WAIT300MS 02 00FFE8CD XBLANK 02 00FFD0AF XOFF 00 00000013
XON 00 00000011
545 SYMBOLS
 
0 error(s), 1582 warning(s)
0 error(s), 1726 warning(s)
/trunk/software/boot/boot_rom.ver
36,18 → 36,18
rommem[ 4813] <= 12'h012;
rommem[ 4814] <= 12'h017;
rommem[ 4815] <= 12'h001;
rommem[ 4816] <= 12'h125;
rommem[ 4816] <= 12'h139;
rommem[ 4817] <= 12'h039;
rommem[ 4818] <= 12'h017;
rommem[ 4819] <= 12'h001;
rommem[ 4820] <= 12'h118;
rommem[ 4820] <= 12'h12C;
rommem[ 4821] <= 12'h039;
rommem[ 4864] <= 12'h016;
rommem[ 4865] <= 12'h000;
rommem[ 4866] <= 12'hF0E;
rommem[ 4866] <= 12'hF22;
rommem[ 4872] <= 12'h016;
rommem[ 4873] <= 12'h000;
rommem[ 4874] <= 12'hF79;
rommem[ 4874] <= 12'hF8D;
rommem[ 5120] <= 12'h18E;
rommem[ 5121] <= 12'h000;
rommem[ 5122] <= 12'h000;
116,7 → 116,7
rommem[ 5185] <= 12'h06E;
rommem[ 5186] <= 12'hC04;
rommem[ 8192] <= 12'hFFF;
rommem[ 8193] <= 12'h8AC;
rommem[ 8193] <= 12'h92E;
rommem[ 8194] <= 12'hFFE;
rommem[ 8195] <= 12'h022;
rommem[ 8196] <= 12'hFFE;
128,11 → 128,11
rommem[ 8202] <= 12'hFFE;
rommem[ 8203] <= 12'hD12;
rommem[ 8204] <= 12'hFFE;
rommem[ 8205] <= 12'h3DA;
rommem[ 8205] <= 12'h3EE;
rommem[ 8206] <= 12'hFFE;
rommem[ 8207] <= 12'h3CD;
rommem[ 8207] <= 12'h3E1;
rommem[ 8208] <= 12'hFFE;
rommem[ 8209] <= 12'h3C9;
rommem[ 8209] <= 12'h3DD;
rommem[ 8210] <= 12'hFFE;
rommem[ 8211] <= 12'h022;
rommem[ 8212] <= 12'hFFE;
144,7 → 144,7
rommem[ 8218] <= 12'hFFE;
rommem[ 8219] <= 12'h022;
rommem[ 8220] <= 12'hFFE;
rommem[ 8221] <= 12'h2E1;
rommem[ 8221] <= 12'h2F5;
rommem[ 8222] <= 12'hFFE;
rommem[ 8223] <= 12'h022;
rommem[ 8224] <= 12'hFFE;
186,7 → 186,7
rommem[ 8260] <= 12'h081;
rommem[ 8261] <= 12'h001;
rommem[ 8262] <= 12'h08D;
rommem[ 8263] <= 12'h145;
rommem[ 8263] <= 12'h159;
rommem[ 8264] <= 12'h086;
rommem[ 8265] <= 12'h0AA;
rommem[ 8266] <= 12'h015;
202,589 → 202,589
rommem[ 8276] <= 12'h0B7;
rommem[ 8277] <= 12'h800;
rommem[ 8278] <= 12'h000;
rommem[ 8279] <= 12'h086;
rommem[ 8280] <= 12'h0CE;
rommem[ 8281] <= 12'h097;
rommem[ 8282] <= 12'h113;
rommem[ 8283] <= 12'h097;
rommem[ 8284] <= 12'h112;
rommem[ 8285] <= 12'h08D;
rommem[ 8286] <= 12'h1B2;
rommem[ 8287] <= 12'h0CC;
rommem[ 8288] <= 12'hFFE;
rommem[ 8289] <= 12'h2E1;
rommem[ 8290] <= 12'h0FD;
rommem[ 8291] <= 12'h000;
rommem[ 8292] <= 12'h800;
rommem[ 8293] <= 12'h0CC;
rommem[ 8294] <= 12'hFFE;
rommem[ 8295] <= 12'hBE4;
rommem[ 8296] <= 12'h0FD;
rommem[ 8297] <= 12'h000;
rommem[ 8298] <= 12'h804;
rommem[ 8299] <= 12'h0C6;
rommem[ 8300] <= 12'h018;
rommem[ 8301] <= 12'h017;
rommem[ 8302] <= 12'h000;
rommem[ 8303] <= 12'hCE9;
rommem[ 8304] <= 12'h015;
rommem[ 8305] <= 12'h0F6;
rommem[ 8306] <= 12'hFFF;
rommem[ 8307] <= 12'hFFF;
rommem[ 8308] <= 12'hFE0;
rommem[ 8309] <= 12'h0C1;
rommem[ 8310] <= 12'h001;
rommem[ 8311] <= 12'h027;
rommem[ 8312] <= 12'h00D;
rommem[ 8313] <= 12'h020;
rommem[ 8314] <= 12'h046;
rommem[ 8315] <= 12'h020;
rommem[ 8316] <= 12'h0AE;
rommem[ 8317] <= 12'h086;
rommem[ 8318] <= 12'h0FF;
rommem[ 8319] <= 12'h015;
rommem[ 8320] <= 12'h0B7;
rommem[ 8321] <= 12'hFFF;
rommem[ 8322] <= 12'hE60;
rommem[ 8323] <= 12'h001;
rommem[ 8324] <= 12'h020;
rommem[ 8325] <= 12'hFF7;
rommem[ 8326] <= 12'h017;
rommem[ 8327] <= 12'h000;
rommem[ 8328] <= 12'h42A;
rommem[ 8329] <= 12'h08E;
rommem[ 8330] <= 12'h000;
rommem[ 8331] <= 12'h127;
rommem[ 8332] <= 12'h0C6;
rommem[ 8279] <= 12'h08E;
rommem[ 8280] <= 12'h000;
rommem[ 8281] <= 12'h000;
rommem[ 8282] <= 12'h06F;
rommem[ 8283] <= 12'h80A;
rommem[ 8284] <= 12'h000;
rommem[ 8285] <= 12'hFF0;
rommem[ 8286] <= 12'h000;
rommem[ 8287] <= 12'h030;
rommem[ 8288] <= 12'h001;
rommem[ 8289] <= 12'h08C;
rommem[ 8290] <= 12'h000;
rommem[ 8291] <= 12'h010;
rommem[ 8292] <= 12'h025;
rommem[ 8293] <= 12'hFF4;
rommem[ 8294] <= 12'h086;
rommem[ 8295] <= 12'h018;
rommem[ 8296] <= 12'h0B7;
rommem[ 8297] <= 12'hFF0;
rommem[ 8298] <= 12'h001;
rommem[ 8299] <= 12'h086;
rommem[ 8300] <= 12'h0CE;
rommem[ 8301] <= 12'h097;
rommem[ 8302] <= 12'h113;
rommem[ 8303] <= 12'h097;
rommem[ 8304] <= 12'h112;
rommem[ 8305] <= 12'h08D;
rommem[ 8306] <= 12'h1B2;
rommem[ 8307] <= 12'h0CC;
rommem[ 8308] <= 12'hFFE;
rommem[ 8309] <= 12'h2F5;
rommem[ 8310] <= 12'h0FD;
rommem[ 8311] <= 12'h000;
rommem[ 8312] <= 12'h800;
rommem[ 8313] <= 12'h0CC;
rommem[ 8314] <= 12'hFFE;
rommem[ 8315] <= 12'hBE4;
rommem[ 8316] <= 12'h0FD;
rommem[ 8317] <= 12'h000;
rommem[ 8318] <= 12'h804;
rommem[ 8319] <= 12'h0C6;
rommem[ 8320] <= 12'h018;
rommem[ 8321] <= 12'h017;
rommem[ 8322] <= 12'h000;
rommem[ 8323] <= 12'hCD5;
rommem[ 8324] <= 12'h015;
rommem[ 8325] <= 12'h0F6;
rommem[ 8326] <= 12'hFFF;
rommem[ 8327] <= 12'hFFF;
rommem[ 8328] <= 12'hFE0;
rommem[ 8329] <= 12'h0C1;
rommem[ 8330] <= 12'h001;
rommem[ 8331] <= 12'h027;
rommem[ 8332] <= 12'h00D;
rommem[ 8333] <= 12'h020;
rommem[ 8334] <= 12'h06F;
rommem[ 8335] <= 12'h800;
rommem[ 8336] <= 12'h05A;
rommem[ 8337] <= 12'h026;
rommem[ 8338] <= 12'hFFB;
rommem[ 8339] <= 12'h017;
rommem[ 8340] <= 12'h000;
rommem[ 8341] <= 12'h38E;
rommem[ 8342] <= 12'h017;
rommem[ 8343] <= 12'h000;
rommem[ 8344] <= 12'hAD6;
rommem[ 8345] <= 12'h08E;
rommem[ 8346] <= 12'h000;
rommem[ 8347] <= 12'h080;
rommem[ 8348] <= 12'h086;
rommem[ 8349] <= 12'h001;
rommem[ 8350] <= 12'h0C6;
rommem[ 8351] <= 12'h001;
rommem[ 8352] <= 12'h06F;
rommem[ 8353] <= 12'h809;
rommem[ 8354] <= 12'hE3F;
rommem[ 8355] <= 12'h000;
rommem[ 8356] <= 12'h0A7;
rommem[ 8357] <= 12'h809;
rommem[ 8358] <= 12'hE3F;
rommem[ 8359] <= 12'h001;
rommem[ 8360] <= 12'h0E7;
rommem[ 8361] <= 12'h809;
rommem[ 8362] <= 12'hE3F;
rommem[ 8363] <= 12'h002;
rommem[ 8364] <= 12'h030;
rommem[ 8365] <= 12'h004;
rommem[ 8366] <= 12'h08C;
rommem[ 8367] <= 12'h000;
rommem[ 8368] <= 12'h100;
rommem[ 8369] <= 12'h025;
rommem[ 8370] <= 12'hFED;
rommem[ 8371] <= 12'h086;
rommem[ 8372] <= 12'h081;
rommem[ 8373] <= 12'h015;
rommem[ 8374] <= 12'h0B7;
rommem[ 8375] <= 12'hFFF;
rommem[ 8376] <= 12'hE3F;
rommem[ 8377] <= 12'h0FD;
rommem[ 8378] <= 12'h086;
rommem[ 8379] <= 12'h01F;
rommem[ 8380] <= 12'h0C6;
rommem[ 8381] <= 12'h001;
rommem[ 8382] <= 12'h0F7;
rommem[ 8383] <= 12'hEF1;
rommem[ 8384] <= 12'h000;
rommem[ 8385] <= 12'h01C;
rommem[ 8386] <= 12'h0EF;
rommem[ 8387] <= 12'h086;
rommem[ 8388] <= 12'h038;
rommem[ 8389] <= 12'h015;
rommem[ 8390] <= 12'h0B7;
rommem[ 8391] <= 12'hFFF;
rommem[ 8392] <= 12'hE0D;
rommem[ 8393] <= 12'hF00;
rommem[ 8394] <= 12'h086;
rommem[ 8395] <= 12'h01D;
rommem[ 8396] <= 12'h015;
rommem[ 8397] <= 12'h0B7;
rommem[ 8398] <= 12'hFFF;
rommem[ 8399] <= 12'hE0D;
rommem[ 8400] <= 12'hF01;
rommem[ 8401] <= 12'h08D;
rommem[ 8402] <= 12'h13E;
rommem[ 8403] <= 12'h08D;
rommem[ 8404] <= 12'h1AF;
rommem[ 8405] <= 12'h086;
rommem[ 8406] <= 12'h005;
rommem[ 8407] <= 12'h015;
rommem[ 8408] <= 12'h0B7;
rommem[ 8409] <= 12'hFFF;
rommem[ 8410] <= 12'hE60;
rommem[ 8411] <= 12'h001;
rommem[ 8412] <= 12'h0CC;
rommem[ 8413] <= 12'hFFE;
rommem[ 8414] <= 12'h0F4;
rommem[ 8415] <= 12'h08D;
rommem[ 8416] <= 12'h2C1;
rommem[ 8417] <= 12'h08E;
rommem[ 8418] <= 12'h000;
rommem[ 8419] <= 12'h000;
rommem[ 8420] <= 12'h0CC;
rommem[ 8421] <= 12'h000;
rommem[ 8422] <= 12'h000;
rommem[ 8423] <= 12'h017;
rommem[ 8424] <= 12'h000;
rommem[ 8425] <= 12'hC32;
rommem[ 8426] <= 12'h017;
rommem[ 8427] <= 12'h000;
rommem[ 8428] <= 12'h853;
rommem[ 8429] <= 12'h0DC;
rommem[ 8430] <= 12'h124;
rommem[ 8431] <= 12'h08D;
rommem[ 8432] <= 12'h2FC;
rommem[ 8433] <= 12'h07E;
rommem[ 8434] <= 12'hFFF;
rommem[ 8435] <= 12'h89A;
rommem[ 8436] <= 12'h072;
rommem[ 8437] <= 12'h066;
rommem[ 8438] <= 12'h036;
rommem[ 8439] <= 12'h038;
rommem[ 8440] <= 12'h030;
rommem[ 8441] <= 12'h039;
rommem[ 8442] <= 12'h020;
rommem[ 8443] <= 12'h031;
rommem[ 8444] <= 12'h032;
rommem[ 8445] <= 12'h02D;
rommem[ 8446] <= 12'h062;
rommem[ 8447] <= 12'h069;
rommem[ 8448] <= 12'h074;
rommem[ 8449] <= 12'h020;
rommem[ 8450] <= 12'h053;
rommem[ 8451] <= 12'h079;
rommem[ 8452] <= 12'h073;
rommem[ 8453] <= 12'h074;
rommem[ 8454] <= 12'h065;
rommem[ 8455] <= 12'h06D;
rommem[ 8456] <= 12'h020;
rommem[ 8457] <= 12'h053;
rommem[ 8458] <= 12'h074;
rommem[ 8459] <= 12'h061;
rommem[ 8460] <= 12'h072;
rommem[ 8461] <= 12'h074;
rommem[ 8462] <= 12'h069;
rommem[ 8463] <= 12'h06E;
rommem[ 8464] <= 12'h067;
rommem[ 8465] <= 12'h02E;
rommem[ 8466] <= 12'h00D;
rommem[ 8467] <= 12'h00A;
rommem[ 8468] <= 12'h000;
rommem[ 8469] <= 12'h015;
rommem[ 8470] <= 12'h07F;
rommem[ 8471] <= 12'hFFF;
rommem[ 8472] <= 12'hFFF;
rommem[ 8473] <= 12'hFE1;
rommem[ 8474] <= 12'h039;
rommem[ 8475] <= 12'h08E;
rommem[ 8476] <= 12'hFFC;
rommem[ 8477] <= 12'h000;
rommem[ 8478] <= 12'h18E;
rommem[ 8479] <= 12'h00C;
rommem[ 8480] <= 12'h000;
rommem[ 8481] <= 12'h0EC;
rommem[ 8482] <= 12'h801;
rommem[ 8483] <= 12'h0ED;
rommem[ 8484] <= 12'hA01;
rommem[ 8485] <= 12'h08C;
rommem[ 8486] <= 12'h000;
rommem[ 8487] <= 12'h000;
rommem[ 8488] <= 12'h026;
rommem[ 8489] <= 12'hFF7;
rommem[ 8490] <= 12'h039;
rommem[ 8491] <= 12'h086;
rommem[ 8492] <= 12'h050;
rommem[ 8493] <= 12'h015;
rommem[ 8494] <= 12'h0F6;
rommem[ 8495] <= 12'hFFF;
rommem[ 8496] <= 12'hFFF;
rommem[ 8497] <= 12'hFE0;
rommem[ 8498] <= 12'h0C0;
rommem[ 8499] <= 12'h001;
rommem[ 8500] <= 12'h08E;
rommem[ 8501] <= 12'h000;
rommem[ 8502] <= 12'h000;
rommem[ 8503] <= 12'h03A;
rommem[ 8504] <= 12'h0A7;
rommem[ 8505] <= 12'h809;
rommem[ 8506] <= 12'hE00;
rommem[ 8334] <= 12'h046;
rommem[ 8335] <= 12'h020;
rommem[ 8336] <= 12'h0AE;
rommem[ 8337] <= 12'h086;
rommem[ 8338] <= 12'h0FF;
rommem[ 8339] <= 12'h015;
rommem[ 8340] <= 12'h0B7;
rommem[ 8341] <= 12'hFFF;
rommem[ 8342] <= 12'hE60;
rommem[ 8343] <= 12'h001;
rommem[ 8344] <= 12'h020;
rommem[ 8345] <= 12'hFF7;
rommem[ 8346] <= 12'h017;
rommem[ 8347] <= 12'h000;
rommem[ 8348] <= 12'h42A;
rommem[ 8349] <= 12'h08E;
rommem[ 8350] <= 12'h000;
rommem[ 8351] <= 12'h127;
rommem[ 8352] <= 12'h0C6;
rommem[ 8353] <= 12'h020;
rommem[ 8354] <= 12'h06F;
rommem[ 8355] <= 12'h800;
rommem[ 8356] <= 12'h05A;
rommem[ 8357] <= 12'h026;
rommem[ 8358] <= 12'hFFB;
rommem[ 8359] <= 12'h017;
rommem[ 8360] <= 12'h000;
rommem[ 8361] <= 12'h38E;
rommem[ 8362] <= 12'h017;
rommem[ 8363] <= 12'h000;
rommem[ 8364] <= 12'hAC2;
rommem[ 8365] <= 12'h08E;
rommem[ 8366] <= 12'h000;
rommem[ 8367] <= 12'h080;
rommem[ 8368] <= 12'h086;
rommem[ 8369] <= 12'h001;
rommem[ 8370] <= 12'h0C6;
rommem[ 8371] <= 12'h001;
rommem[ 8372] <= 12'h06F;
rommem[ 8373] <= 12'h809;
rommem[ 8374] <= 12'hE3F;
rommem[ 8375] <= 12'h000;
rommem[ 8376] <= 12'h0A7;
rommem[ 8377] <= 12'h809;
rommem[ 8378] <= 12'hE3F;
rommem[ 8379] <= 12'h001;
rommem[ 8380] <= 12'h0E7;
rommem[ 8381] <= 12'h809;
rommem[ 8382] <= 12'hE3F;
rommem[ 8383] <= 12'h002;
rommem[ 8384] <= 12'h030;
rommem[ 8385] <= 12'h004;
rommem[ 8386] <= 12'h08C;
rommem[ 8387] <= 12'h000;
rommem[ 8388] <= 12'h100;
rommem[ 8389] <= 12'h025;
rommem[ 8390] <= 12'hFED;
rommem[ 8391] <= 12'h086;
rommem[ 8392] <= 12'h081;
rommem[ 8393] <= 12'h015;
rommem[ 8394] <= 12'h0B7;
rommem[ 8395] <= 12'hFFF;
rommem[ 8396] <= 12'hE3F;
rommem[ 8397] <= 12'h0FD;
rommem[ 8398] <= 12'h086;
rommem[ 8399] <= 12'h01F;
rommem[ 8400] <= 12'h0C6;
rommem[ 8401] <= 12'h001;
rommem[ 8402] <= 12'h0F7;
rommem[ 8403] <= 12'hEF1;
rommem[ 8404] <= 12'h000;
rommem[ 8405] <= 12'h01C;
rommem[ 8406] <= 12'h0EF;
rommem[ 8407] <= 12'h086;
rommem[ 8408] <= 12'h038;
rommem[ 8409] <= 12'h015;
rommem[ 8410] <= 12'h0B7;
rommem[ 8411] <= 12'hFFF;
rommem[ 8412] <= 12'hE0D;
rommem[ 8413] <= 12'hF00;
rommem[ 8414] <= 12'h086;
rommem[ 8415] <= 12'h01D;
rommem[ 8416] <= 12'h015;
rommem[ 8417] <= 12'h0B7;
rommem[ 8418] <= 12'hFFF;
rommem[ 8419] <= 12'hE0D;
rommem[ 8420] <= 12'hF01;
rommem[ 8421] <= 12'h08D;
rommem[ 8422] <= 12'h13E;
rommem[ 8423] <= 12'h08D;
rommem[ 8424] <= 12'h1AF;
rommem[ 8425] <= 12'h086;
rommem[ 8426] <= 12'h005;
rommem[ 8427] <= 12'h015;
rommem[ 8428] <= 12'h0B7;
rommem[ 8429] <= 12'hFFF;
rommem[ 8430] <= 12'hE60;
rommem[ 8431] <= 12'h001;
rommem[ 8432] <= 12'h0CC;
rommem[ 8433] <= 12'hFFE;
rommem[ 8434] <= 12'h108;
rommem[ 8435] <= 12'h08D;
rommem[ 8436] <= 12'h2C1;
rommem[ 8437] <= 12'h08E;
rommem[ 8438] <= 12'h000;
rommem[ 8439] <= 12'h000;
rommem[ 8440] <= 12'h0CC;
rommem[ 8441] <= 12'h000;
rommem[ 8442] <= 12'h000;
rommem[ 8443] <= 12'h017;
rommem[ 8444] <= 12'h000;
rommem[ 8445] <= 12'hC1E;
rommem[ 8446] <= 12'h017;
rommem[ 8447] <= 12'h000;
rommem[ 8448] <= 12'h83F;
rommem[ 8449] <= 12'h0DC;
rommem[ 8450] <= 12'h124;
rommem[ 8451] <= 12'h08D;
rommem[ 8452] <= 12'h2FC;
rommem[ 8453] <= 12'h07E;
rommem[ 8454] <= 12'hFFF;
rommem[ 8455] <= 12'h910;
rommem[ 8456] <= 12'h072;
rommem[ 8457] <= 12'h066;
rommem[ 8458] <= 12'h036;
rommem[ 8459] <= 12'h038;
rommem[ 8460] <= 12'h030;
rommem[ 8461] <= 12'h039;
rommem[ 8462] <= 12'h020;
rommem[ 8463] <= 12'h031;
rommem[ 8464] <= 12'h032;
rommem[ 8465] <= 12'h02D;
rommem[ 8466] <= 12'h062;
rommem[ 8467] <= 12'h069;
rommem[ 8468] <= 12'h074;
rommem[ 8469] <= 12'h020;
rommem[ 8470] <= 12'h053;
rommem[ 8471] <= 12'h079;
rommem[ 8472] <= 12'h073;
rommem[ 8473] <= 12'h074;
rommem[ 8474] <= 12'h065;
rommem[ 8475] <= 12'h06D;
rommem[ 8476] <= 12'h020;
rommem[ 8477] <= 12'h053;
rommem[ 8478] <= 12'h074;
rommem[ 8479] <= 12'h061;
rommem[ 8480] <= 12'h072;
rommem[ 8481] <= 12'h074;
rommem[ 8482] <= 12'h069;
rommem[ 8483] <= 12'h06E;
rommem[ 8484] <= 12'h067;
rommem[ 8485] <= 12'h02E;
rommem[ 8486] <= 12'h00D;
rommem[ 8487] <= 12'h00A;
rommem[ 8488] <= 12'h000;
rommem[ 8489] <= 12'h015;
rommem[ 8490] <= 12'h07F;
rommem[ 8491] <= 12'hFFF;
rommem[ 8492] <= 12'hFFF;
rommem[ 8493] <= 12'hFE1;
rommem[ 8494] <= 12'h039;
rommem[ 8495] <= 12'h08E;
rommem[ 8496] <= 12'hFFC;
rommem[ 8497] <= 12'h000;
rommem[ 8498] <= 12'h18E;
rommem[ 8499] <= 12'h00C;
rommem[ 8500] <= 12'h000;
rommem[ 8501] <= 12'h0EC;
rommem[ 8502] <= 12'h801;
rommem[ 8503] <= 12'h0ED;
rommem[ 8504] <= 12'hA01;
rommem[ 8505] <= 12'h08C;
rommem[ 8506] <= 12'h000;
rommem[ 8507] <= 12'h000;
rommem[ 8508] <= 12'h030;
rommem[ 8509] <= 12'h008;
rommem[ 8510] <= 12'h08C;
rommem[ 8511] <= 12'h000;
rommem[ 8512] <= 12'hFFF;
rommem[ 8513] <= 12'h025;
rommem[ 8514] <= 12'hFF5;
rommem[ 8515] <= 12'h0BD;
rommem[ 8516] <= 12'hFFE;
rommem[ 8517] <= 12'h115;
rommem[ 8518] <= 12'h0CB;
rommem[ 8519] <= 12'h002;
rommem[ 8520] <= 12'h086;
rommem[ 8521] <= 12'h04E;
rommem[ 8522] <= 12'h08E;
rommem[ 8523] <= 12'h000;
rommem[ 8524] <= 12'h000;
rommem[ 8525] <= 12'h03A;
rommem[ 8526] <= 12'h03A;
rommem[ 8527] <= 12'h0A7;
rommem[ 8528] <= 12'h809;
rommem[ 8529] <= 12'hE00;
rommem[ 8530] <= 12'h000;
rommem[ 8531] <= 12'h08C;
rommem[ 8532] <= 12'h000;
rommem[ 8533] <= 12'hFFF;
rommem[ 8534] <= 12'h025;
rommem[ 8535] <= 12'hFF6;
rommem[ 8536] <= 12'h0BD;
rommem[ 8537] <= 12'hFFE;
rommem[ 8538] <= 12'h115;
rommem[ 8539] <= 12'h0CB;
rommem[ 8540] <= 12'h008;
rommem[ 8541] <= 12'h0C1;
rommem[ 8542] <= 12'hFF0;
rommem[ 8543] <= 12'h025;
rommem[ 8544] <= 12'hFE9;
rommem[ 8545] <= 12'h013;
rommem[ 8546] <= 12'h016;
rommem[ 8547] <= 12'h001;
rommem[ 8548] <= 12'h747;
rommem[ 8549] <= 12'h086;
rommem[ 8550] <= 12'h050;
rommem[ 8551] <= 12'h08E;
rommem[ 8508] <= 12'h026;
rommem[ 8509] <= 12'hFF7;
rommem[ 8510] <= 12'h039;
rommem[ 8511] <= 12'h086;
rommem[ 8512] <= 12'h050;
rommem[ 8513] <= 12'h015;
rommem[ 8514] <= 12'h0F6;
rommem[ 8515] <= 12'hFFF;
rommem[ 8516] <= 12'hFFF;
rommem[ 8517] <= 12'hFE0;
rommem[ 8518] <= 12'h0C0;
rommem[ 8519] <= 12'h001;
rommem[ 8520] <= 12'h08E;
rommem[ 8521] <= 12'h000;
rommem[ 8522] <= 12'h000;
rommem[ 8523] <= 12'h03A;
rommem[ 8524] <= 12'h0A7;
rommem[ 8525] <= 12'h809;
rommem[ 8526] <= 12'hE00;
rommem[ 8527] <= 12'h000;
rommem[ 8528] <= 12'h030;
rommem[ 8529] <= 12'h008;
rommem[ 8530] <= 12'h08C;
rommem[ 8531] <= 12'h000;
rommem[ 8532] <= 12'hFFF;
rommem[ 8533] <= 12'h025;
rommem[ 8534] <= 12'hFF5;
rommem[ 8535] <= 12'h0BD;
rommem[ 8536] <= 12'hFFE;
rommem[ 8537] <= 12'h129;
rommem[ 8538] <= 12'h0CB;
rommem[ 8539] <= 12'h002;
rommem[ 8540] <= 12'h086;
rommem[ 8541] <= 12'h04E;
rommem[ 8542] <= 12'h08E;
rommem[ 8543] <= 12'h000;
rommem[ 8544] <= 12'h000;
rommem[ 8545] <= 12'h03A;
rommem[ 8546] <= 12'h03A;
rommem[ 8547] <= 12'h0A7;
rommem[ 8548] <= 12'h809;
rommem[ 8549] <= 12'hE00;
rommem[ 8550] <= 12'h000;
rommem[ 8551] <= 12'h08C;
rommem[ 8552] <= 12'h000;
rommem[ 8553] <= 12'h000;
rommem[ 8554] <= 12'h0A7;
rommem[ 8555] <= 12'h809;
rommem[ 8556] <= 12'hE00;
rommem[ 8557] <= 12'h000;
rommem[ 8558] <= 12'h030;
rommem[ 8559] <= 12'h001;
rommem[ 8560] <= 12'h08C;
rommem[ 8561] <= 12'h000;
rommem[ 8562] <= 12'hFFF;
rommem[ 8553] <= 12'hFFF;
rommem[ 8554] <= 12'h025;
rommem[ 8555] <= 12'hFF6;
rommem[ 8556] <= 12'h0BD;
rommem[ 8557] <= 12'hFFE;
rommem[ 8558] <= 12'h129;
rommem[ 8559] <= 12'h0CB;
rommem[ 8560] <= 12'h008;
rommem[ 8561] <= 12'h0C1;
rommem[ 8562] <= 12'hFF0;
rommem[ 8563] <= 12'h025;
rommem[ 8564] <= 12'hFF5;
rommem[ 8565] <= 12'h0C6;
rommem[ 8566] <= 12'h002;
rommem[ 8567] <= 12'h086;
rommem[ 8568] <= 12'h04E;
rommem[ 8569] <= 12'h08E;
rommem[ 8570] <= 12'h000;
rommem[ 8571] <= 12'h000;
rommem[ 8572] <= 12'h03A;
rommem[ 8573] <= 12'h03A;
rommem[ 8564] <= 12'hFE9;
rommem[ 8565] <= 12'h013;
rommem[ 8566] <= 12'h016;
rommem[ 8567] <= 12'h001;
rommem[ 8568] <= 12'h7B5;
rommem[ 8569] <= 12'h086;
rommem[ 8570] <= 12'h050;
rommem[ 8571] <= 12'h08E;
rommem[ 8572] <= 12'h000;
rommem[ 8573] <= 12'h000;
rommem[ 8574] <= 12'h0A7;
rommem[ 8575] <= 12'h809;
rommem[ 8576] <= 12'hE00;
rommem[ 8577] <= 12'h000;
rommem[ 8578] <= 12'h08C;
rommem[ 8579] <= 12'h000;
rommem[ 8580] <= 12'hFFF;
rommem[ 8581] <= 12'h025;
rommem[ 8582] <= 12'hFC7;
rommem[ 8583] <= 12'h05C;
rommem[ 8584] <= 12'h0C1;
rommem[ 8585] <= 12'hFF0;
rommem[ 8586] <= 12'h025;
rommem[ 8587] <= 12'hFED;
rommem[ 8588] <= 12'h039;
rommem[ 8589] <= 12'h0CC;
rommem[ 8590] <= 12'h895;
rommem[ 8591] <= 12'h440;
rommem[ 8592] <= 12'h0C1;
rommem[ 8593] <= 12'h0FF;
rommem[ 8594] <= 12'h026;
rommem[ 8595] <= 12'h000;
rommem[ 8596] <= 12'h015;
rommem[ 8597] <= 12'h0B7;
rommem[ 8598] <= 12'hFFF;
rommem[ 8599] <= 12'hE60;
rommem[ 8600] <= 12'h001;
rommem[ 8601] <= 12'h083;
rommem[ 8602] <= 12'h000;
rommem[ 8603] <= 12'h001;
rommem[ 8604] <= 12'h026;
rommem[ 8605] <= 12'hFF2;
rommem[ 8606] <= 12'h039;
rommem[ 8607] <= 12'h058;
rommem[ 8608] <= 12'h049;
rommem[ 8609] <= 12'h058;
rommem[ 8610] <= 12'h049;
rommem[ 8611] <= 12'h058;
rommem[ 8612] <= 12'h049;
rommem[ 8613] <= 12'h058;
rommem[ 8614] <= 12'h049;
rommem[ 8615] <= 12'h058;
rommem[ 8616] <= 12'h049;
rommem[ 8617] <= 12'h039;
rommem[ 8618] <= 12'h034;
rommem[ 8619] <= 12'h076;
rommem[ 8620] <= 12'h01F;
rommem[ 8621] <= 12'h098;
rommem[ 8622] <= 12'h048;
rommem[ 8623] <= 12'h048;
rommem[ 8624] <= 12'h048;
rommem[ 8625] <= 12'h048;
rommem[ 8626] <= 12'h08A;
rommem[ 8627] <= 12'hC00;
rommem[ 8628] <= 12'h05F;
rommem[ 8629] <= 12'h01F;
rommem[ 8630] <= 12'h001;
rommem[ 8631] <= 12'h034;
rommem[ 8632] <= 12'h006;
rommem[ 8633] <= 12'h18E;
rommem[ 8634] <= 12'hE00;
rommem[ 8635] <= 12'h000;
rommem[ 8636] <= 12'h0CE;
rommem[ 8637] <= 12'h000;
rommem[ 8638] <= 12'h32C;
rommem[ 8639] <= 12'h0EC;
rommem[ 8640] <= 12'h801;
rommem[ 8641] <= 12'h0ED;
rommem[ 8642] <= 12'hA01;
rommem[ 8643] <= 12'h033;
rommem[ 8644] <= 12'h5FF;
rommem[ 8645] <= 12'h283;
rommem[ 8646] <= 12'h000;
rommem[ 8647] <= 12'h000;
rommem[ 8648] <= 12'h026;
rommem[ 8649] <= 12'hFF5;
rommem[ 8650] <= 12'h035;
rommem[ 8651] <= 12'h010;
rommem[ 8652] <= 12'h0E6;
rommem[ 8653] <= 12'h808;
rommem[ 8654] <= 12'h110;
rommem[ 8655] <= 12'h086;
rommem[ 8656] <= 12'h038;
rommem[ 8657] <= 12'h03D;
rommem[ 8658] <= 12'h01F;
rommem[ 8659] <= 12'h002;
rommem[ 8660] <= 12'h0E6;
rommem[ 8661] <= 12'h808;
rommem[ 8662] <= 12'h111;
rommem[ 8663] <= 12'h01F;
rommem[ 8664] <= 12'h021;
rommem[ 8665] <= 12'h03A;
rommem[ 8666] <= 12'h015;
rommem[ 8667] <= 12'h0BF;
rommem[ 8668] <= 12'hFFF;
rommem[ 8669] <= 12'hE0D;
rommem[ 8670] <= 12'hF22;
rommem[ 8671] <= 12'h035;
rommem[ 8672] <= 12'h0F6;
rommem[ 8673] <= 12'h034;
rommem[ 8674] <= 12'h076;
rommem[ 8675] <= 12'h08D;
rommem[ 8676] <= 12'h08D;
rommem[ 8677] <= 12'h01F;
rommem[ 8678] <= 12'h002;
rommem[ 8679] <= 12'h08E;
rommem[ 8680] <= 12'hE00;
rommem[ 8681] <= 12'h000;
rommem[ 8682] <= 12'h0CE;
rommem[ 8683] <= 12'h000;
rommem[ 8684] <= 12'h32C;
rommem[ 8685] <= 12'h0EC;
rommem[ 8686] <= 12'h801;
rommem[ 8687] <= 12'h0ED;
rommem[ 8688] <= 12'hA01;
rommem[ 8689] <= 12'h033;
rommem[ 8690] <= 12'h5FF;
rommem[ 8691] <= 12'h283;
rommem[ 8692] <= 12'h000;
rommem[ 8693] <= 12'h000;
rommem[ 8694] <= 12'h026;
rommem[ 8695] <= 12'hFF5;
rommem[ 8696] <= 12'h035;
rommem[ 8697] <= 12'h0F6;
rommem[ 8698] <= 12'h054;
rommem[ 8699] <= 12'h045;
rommem[ 8700] <= 12'h058;
rommem[ 8701] <= 12'h054;
rommem[ 8702] <= 12'h053;
rommem[ 8703] <= 12'h043;
rommem[ 8704] <= 12'h052;
rommem[ 8705] <= 12'h020;
rommem[ 8706] <= 12'hFFE;
rommem[ 8707] <= 12'h20C;
rommem[ 8708] <= 12'hFFE;
rommem[ 8709] <= 12'h20D;
rommem[ 8710] <= 12'hFFE;
rommem[ 8711] <= 12'h20E;
rommem[ 8712] <= 12'hFFE;
rommem[ 8713] <= 12'h20F;
rommem[ 8714] <= 12'hFFE;
rommem[ 8715] <= 12'h210;
rommem[ 8716] <= 12'h039;
rommem[ 8717] <= 12'h039;
rommem[ 8718] <= 12'h039;
rommem[ 8719] <= 12'h039;
rommem[ 8720] <= 12'h039;
rommem[ 8721] <= 12'h034;
rommem[ 8722] <= 12'h076;
rommem[ 8723] <= 12'h08E;
rommem[ 8724] <= 12'h000;
rommem[ 8725] <= 12'h658;
rommem[ 8726] <= 12'h01F;
rommem[ 8727] <= 12'h013;
rommem[ 8728] <= 12'h08D;
rommem[ 8729] <= 12'h058;
rommem[ 8730] <= 12'h01F;
rommem[ 8731] <= 12'h002;
rommem[ 8732] <= 12'h0C6;
rommem[ 8733] <= 12'h020;
rommem[ 8734] <= 12'h0E7;
rommem[ 8735] <= 12'hA00;
rommem[ 8736] <= 12'h030;
rommem[ 8737] <= 12'h1FF;
rommem[ 8738] <= 12'h026;
rommem[ 8739] <= 12'hFFA;
rommem[ 8740] <= 12'h015;
rommem[ 8741] <= 12'h0F6;
rommem[ 8742] <= 12'hFFF;
rommem[ 8743] <= 12'hFFF;
rommem[ 8744] <= 12'hFE0;
rommem[ 8745] <= 12'h0F1;
rommem[ 8746] <= 12'hFF0;
rommem[ 8747] <= 12'h010;
rommem[ 8748] <= 12'h020;
rommem[ 8749] <= 12'h00D;
rommem[ 8750] <= 12'h18E;
rommem[ 8751] <= 12'hE02;
rommem[ 8752] <= 12'h000;
rommem[ 8753] <= 12'h086;
rommem[ 8754] <= 12'h0CE;
rommem[ 8755] <= 12'h01F;
rommem[ 8756] <= 12'h031;
rommem[ 8757] <= 12'h0A7;
rommem[ 8758] <= 12'hA00;
rommem[ 8759] <= 12'h030;
rommem[ 8760] <= 12'h1FF;
rommem[ 8761] <= 12'h026;
rommem[ 8762] <= 12'hFFA;
rommem[ 8763] <= 12'h035;
rommem[ 8764] <= 12'h0F6;
rommem[ 8765] <= 12'h034;
rommem[ 8766] <= 12'h076;
rommem[ 8767] <= 12'h18E;
rommem[ 8768] <= 12'h000;
rommem[ 8769] <= 12'h32B;
rommem[ 8770] <= 12'h08D;
rommem[ 8771] <= 12'h02E;
rommem[ 8772] <= 12'h01F;
rommem[ 8773] <= 12'h001;
rommem[ 8774] <= 12'h01F;
rommem[ 8775] <= 12'h003;
rommem[ 8776] <= 12'h030;
rommem[ 8777] <= 12'h038;
rommem[ 8778] <= 12'h0EC;
rommem[ 8779] <= 12'h801;
rommem[ 8780] <= 12'h0ED;
rommem[ 8781] <= 12'hC01;
rommem[ 8782] <= 12'h031;
rommem[ 8783] <= 12'h3FF;
rommem[ 8784] <= 12'h026;
rommem[ 8785] <= 12'hFF8;
rommem[ 8786] <= 12'h086;
rommem[ 8787] <= 12'h01D;
rommem[ 8788] <= 12'h08D;
rommem[ 8789] <= 12'h002;
rommem[ 8790] <= 12'h035;
rommem[ 8791] <= 12'h0F6;
rommem[ 8792] <= 12'h034;
rommem[ 8793] <= 12'h016;
rommem[ 8794] <= 12'h034;
rommem[ 8795] <= 12'h002;
rommem[ 8796] <= 12'h08D;
rommem[ 8797] <= 12'h014;
rommem[ 8798] <= 12'h01F;
rommem[ 8799] <= 12'h001;
rommem[ 8800] <= 12'h035;
rommem[ 8801] <= 12'h002;
rommem[ 8802] <= 12'h0C6;
rommem[ 8803] <= 12'h038;
rommem[ 8804] <= 12'h03D;
rommem[ 8805] <= 12'h030;
rommem[ 8806] <= 12'h80B;
rommem[ 8807] <= 12'h086;
rommem[ 8808] <= 12'h020;
rommem[ 8809] <= 12'h0C6;
rommem[ 8810] <= 12'h038;
rommem[ 8811] <= 12'h0A7;
rommem[ 8812] <= 12'h800;
rommem[ 8813] <= 12'h05A;
rommem[ 8814] <= 12'h026;
rommem[ 8815] <= 12'hFFB;
rommem[ 8816] <= 12'h035;
rommem[ 8817] <= 12'h096;
rommem[ 8818] <= 12'h015;
rommem[ 8819] <= 12'h0B6;
rommem[ 8820] <= 12'hFFF;
rommem[ 8821] <= 12'hFFF;
rommem[ 8822] <= 12'hFE0;
rommem[ 8823] <= 12'h0B1;
rommem[ 8824] <= 12'hFF0;
rommem[ 8825] <= 12'h010;
rommem[ 8826] <= 12'h026;
rommem[ 8827] <= 12'h004;
rommem[ 8828] <= 12'h0CC;
rommem[ 8829] <= 12'hE00;
rommem[ 8830] <= 12'h000;
rommem[ 8831] <= 12'h039;
rommem[ 8832] <= 12'h0CC;
rommem[ 8833] <= 12'h007;
rommem[ 8834] <= 12'h800;
rommem[ 8835] <= 12'h039;
rommem[ 8836] <= 12'h034;
rommem[ 8837] <= 12'h016;
rommem[ 8838] <= 12'h00F;
rommem[ 8839] <= 12'h110;
rommem[ 8840] <= 12'h00F;
rommem[ 8841] <= 12'h111;
rommem[ 8842] <= 12'h015;
rommem[ 8843] <= 12'h0F6;
rommem[ 8844] <= 12'hFFF;
rommem[ 8845] <= 12'hFFF;
rommem[ 8846] <= 12'hFE0;
rommem[ 8847] <= 12'h0F1;
rommem[ 8848] <= 12'hFF0;
rommem[ 8849] <= 12'h010;
rommem[ 8850] <= 12'h026;
rommem[ 8851] <= 12'h006;
rommem[ 8852] <= 12'h04F;
rommem[ 8853] <= 12'h015;
rommem[ 8854] <= 12'h0B7;
rommem[ 8855] <= 12'hFFF;
rommem[ 8856] <= 12'hE0D;
rommem[ 8857] <= 12'hF22;
rommem[ 8858] <= 12'h035;
rommem[ 8859] <= 12'h096;
rommem[ 8860] <= 12'h034;
rommem[ 8861] <= 12'h016;
rommem[ 8578] <= 12'h030;
rommem[ 8579] <= 12'h001;
rommem[ 8580] <= 12'h08C;
rommem[ 8581] <= 12'h000;
rommem[ 8582] <= 12'hFFF;
rommem[ 8583] <= 12'h025;
rommem[ 8584] <= 12'hFF5;
rommem[ 8585] <= 12'h0C6;
rommem[ 8586] <= 12'h002;
rommem[ 8587] <= 12'h086;
rommem[ 8588] <= 12'h04E;
rommem[ 8589] <= 12'h08E;
rommem[ 8590] <= 12'h000;
rommem[ 8591] <= 12'h000;
rommem[ 8592] <= 12'h03A;
rommem[ 8593] <= 12'h03A;
rommem[ 8594] <= 12'h0A7;
rommem[ 8595] <= 12'h809;
rommem[ 8596] <= 12'hE00;
rommem[ 8597] <= 12'h000;
rommem[ 8598] <= 12'h08C;
rommem[ 8599] <= 12'h000;
rommem[ 8600] <= 12'hFFF;
rommem[ 8601] <= 12'h025;
rommem[ 8602] <= 12'hFC7;
rommem[ 8603] <= 12'h05C;
rommem[ 8604] <= 12'h0C1;
rommem[ 8605] <= 12'hFF0;
rommem[ 8606] <= 12'h025;
rommem[ 8607] <= 12'hFED;
rommem[ 8608] <= 12'h039;
rommem[ 8609] <= 12'h0CC;
rommem[ 8610] <= 12'h895;
rommem[ 8611] <= 12'h440;
rommem[ 8612] <= 12'h0C1;
rommem[ 8613] <= 12'h0FF;
rommem[ 8614] <= 12'h026;
rommem[ 8615] <= 12'h000;
rommem[ 8616] <= 12'h015;
rommem[ 8617] <= 12'h0B7;
rommem[ 8618] <= 12'hFFF;
rommem[ 8619] <= 12'hE60;
rommem[ 8620] <= 12'h001;
rommem[ 8621] <= 12'h083;
rommem[ 8622] <= 12'h000;
rommem[ 8623] <= 12'h001;
rommem[ 8624] <= 12'h026;
rommem[ 8625] <= 12'hFF2;
rommem[ 8626] <= 12'h039;
rommem[ 8627] <= 12'h058;
rommem[ 8628] <= 12'h049;
rommem[ 8629] <= 12'h058;
rommem[ 8630] <= 12'h049;
rommem[ 8631] <= 12'h058;
rommem[ 8632] <= 12'h049;
rommem[ 8633] <= 12'h058;
rommem[ 8634] <= 12'h049;
rommem[ 8635] <= 12'h058;
rommem[ 8636] <= 12'h049;
rommem[ 8637] <= 12'h039;
rommem[ 8638] <= 12'h034;
rommem[ 8639] <= 12'h076;
rommem[ 8640] <= 12'h01F;
rommem[ 8641] <= 12'h098;
rommem[ 8642] <= 12'h048;
rommem[ 8643] <= 12'h048;
rommem[ 8644] <= 12'h048;
rommem[ 8645] <= 12'h048;
rommem[ 8646] <= 12'h08A;
rommem[ 8647] <= 12'hC00;
rommem[ 8648] <= 12'h05F;
rommem[ 8649] <= 12'h01F;
rommem[ 8650] <= 12'h001;
rommem[ 8651] <= 12'h034;
rommem[ 8652] <= 12'h006;
rommem[ 8653] <= 12'h18E;
rommem[ 8654] <= 12'hE00;
rommem[ 8655] <= 12'h000;
rommem[ 8656] <= 12'h0CE;
rommem[ 8657] <= 12'h000;
rommem[ 8658] <= 12'h32C;
rommem[ 8659] <= 12'h0EC;
rommem[ 8660] <= 12'h801;
rommem[ 8661] <= 12'h0ED;
rommem[ 8662] <= 12'hA01;
rommem[ 8663] <= 12'h033;
rommem[ 8664] <= 12'h5FF;
rommem[ 8665] <= 12'h283;
rommem[ 8666] <= 12'h000;
rommem[ 8667] <= 12'h000;
rommem[ 8668] <= 12'h026;
rommem[ 8669] <= 12'hFF5;
rommem[ 8670] <= 12'h035;
rommem[ 8671] <= 12'h010;
rommem[ 8672] <= 12'h0E6;
rommem[ 8673] <= 12'h808;
rommem[ 8674] <= 12'h110;
rommem[ 8675] <= 12'h086;
rommem[ 8676] <= 12'h038;
rommem[ 8677] <= 12'h03D;
rommem[ 8678] <= 12'h01F;
rommem[ 8679] <= 12'h002;
rommem[ 8680] <= 12'h0E6;
rommem[ 8681] <= 12'h808;
rommem[ 8682] <= 12'h111;
rommem[ 8683] <= 12'h01F;
rommem[ 8684] <= 12'h021;
rommem[ 8685] <= 12'h03A;
rommem[ 8686] <= 12'h015;
rommem[ 8687] <= 12'h0BF;
rommem[ 8688] <= 12'hFFF;
rommem[ 8689] <= 12'hE0D;
rommem[ 8690] <= 12'hF22;
rommem[ 8691] <= 12'h035;
rommem[ 8692] <= 12'h0F6;
rommem[ 8693] <= 12'h034;
rommem[ 8694] <= 12'h076;
rommem[ 8695] <= 12'h08D;
rommem[ 8696] <= 12'h08D;
rommem[ 8697] <= 12'h01F;
rommem[ 8698] <= 12'h002;
rommem[ 8699] <= 12'h08E;
rommem[ 8700] <= 12'hE00;
rommem[ 8701] <= 12'h000;
rommem[ 8702] <= 12'h0CE;
rommem[ 8703] <= 12'h000;
rommem[ 8704] <= 12'h32C;
rommem[ 8705] <= 12'h0EC;
rommem[ 8706] <= 12'h801;
rommem[ 8707] <= 12'h0ED;
rommem[ 8708] <= 12'hA01;
rommem[ 8709] <= 12'h033;
rommem[ 8710] <= 12'h5FF;
rommem[ 8711] <= 12'h283;
rommem[ 8712] <= 12'h000;
rommem[ 8713] <= 12'h000;
rommem[ 8714] <= 12'h026;
rommem[ 8715] <= 12'hFF5;
rommem[ 8716] <= 12'h035;
rommem[ 8717] <= 12'h0F6;
rommem[ 8718] <= 12'h054;
rommem[ 8719] <= 12'h045;
rommem[ 8720] <= 12'h058;
rommem[ 8721] <= 12'h054;
rommem[ 8722] <= 12'h053;
rommem[ 8723] <= 12'h043;
rommem[ 8724] <= 12'h052;
rommem[ 8725] <= 12'h020;
rommem[ 8726] <= 12'hFFE;
rommem[ 8727] <= 12'h220;
rommem[ 8728] <= 12'hFFE;
rommem[ 8729] <= 12'h221;
rommem[ 8730] <= 12'hFFE;
rommem[ 8731] <= 12'h222;
rommem[ 8732] <= 12'hFFE;
rommem[ 8733] <= 12'h223;
rommem[ 8734] <= 12'hFFE;
rommem[ 8735] <= 12'h224;
rommem[ 8736] <= 12'h039;
rommem[ 8737] <= 12'h039;
rommem[ 8738] <= 12'h039;
rommem[ 8739] <= 12'h039;
rommem[ 8740] <= 12'h039;
rommem[ 8741] <= 12'h034;
rommem[ 8742] <= 12'h076;
rommem[ 8743] <= 12'h08E;
rommem[ 8744] <= 12'h000;
rommem[ 8745] <= 12'h658;
rommem[ 8746] <= 12'h01F;
rommem[ 8747] <= 12'h013;
rommem[ 8748] <= 12'h08D;
rommem[ 8749] <= 12'h058;
rommem[ 8750] <= 12'h01F;
rommem[ 8751] <= 12'h002;
rommem[ 8752] <= 12'h0C6;
rommem[ 8753] <= 12'h020;
rommem[ 8754] <= 12'h0E7;
rommem[ 8755] <= 12'hA00;
rommem[ 8756] <= 12'h030;
rommem[ 8757] <= 12'h1FF;
rommem[ 8758] <= 12'h026;
rommem[ 8759] <= 12'hFFA;
rommem[ 8760] <= 12'h015;
rommem[ 8761] <= 12'h0F6;
rommem[ 8762] <= 12'hFFF;
rommem[ 8763] <= 12'hFFF;
rommem[ 8764] <= 12'hFE0;
rommem[ 8765] <= 12'h0F1;
rommem[ 8766] <= 12'hFF0;
rommem[ 8767] <= 12'h010;
rommem[ 8768] <= 12'h020;
rommem[ 8769] <= 12'h00D;
rommem[ 8770] <= 12'h18E;
rommem[ 8771] <= 12'hE02;
rommem[ 8772] <= 12'h000;
rommem[ 8773] <= 12'h086;
rommem[ 8774] <= 12'h0CE;
rommem[ 8775] <= 12'h01F;
rommem[ 8776] <= 12'h031;
rommem[ 8777] <= 12'h0A7;
rommem[ 8778] <= 12'hA00;
rommem[ 8779] <= 12'h030;
rommem[ 8780] <= 12'h1FF;
rommem[ 8781] <= 12'h026;
rommem[ 8782] <= 12'hFFA;
rommem[ 8783] <= 12'h035;
rommem[ 8784] <= 12'h0F6;
rommem[ 8785] <= 12'h034;
rommem[ 8786] <= 12'h076;
rommem[ 8787] <= 12'h18E;
rommem[ 8788] <= 12'h000;
rommem[ 8789] <= 12'h32B;
rommem[ 8790] <= 12'h08D;
rommem[ 8791] <= 12'h02E;
rommem[ 8792] <= 12'h01F;
rommem[ 8793] <= 12'h001;
rommem[ 8794] <= 12'h01F;
rommem[ 8795] <= 12'h003;
rommem[ 8796] <= 12'h030;
rommem[ 8797] <= 12'h038;
rommem[ 8798] <= 12'h0EC;
rommem[ 8799] <= 12'h801;
rommem[ 8800] <= 12'h0ED;
rommem[ 8801] <= 12'hC01;
rommem[ 8802] <= 12'h031;
rommem[ 8803] <= 12'h3FF;
rommem[ 8804] <= 12'h026;
rommem[ 8805] <= 12'hFF8;
rommem[ 8806] <= 12'h086;
rommem[ 8807] <= 12'h01D;
rommem[ 8808] <= 12'h08D;
rommem[ 8809] <= 12'h002;
rommem[ 8810] <= 12'h035;
rommem[ 8811] <= 12'h0F6;
rommem[ 8812] <= 12'h034;
rommem[ 8813] <= 12'h016;
rommem[ 8814] <= 12'h034;
rommem[ 8815] <= 12'h002;
rommem[ 8816] <= 12'h08D;
rommem[ 8817] <= 12'h014;
rommem[ 8818] <= 12'h01F;
rommem[ 8819] <= 12'h001;
rommem[ 8820] <= 12'h035;
rommem[ 8821] <= 12'h002;
rommem[ 8822] <= 12'h0C6;
rommem[ 8823] <= 12'h038;
rommem[ 8824] <= 12'h03D;
rommem[ 8825] <= 12'h030;
rommem[ 8826] <= 12'h80B;
rommem[ 8827] <= 12'h086;
rommem[ 8828] <= 12'h020;
rommem[ 8829] <= 12'h0C6;
rommem[ 8830] <= 12'h038;
rommem[ 8831] <= 12'h0A7;
rommem[ 8832] <= 12'h800;
rommem[ 8833] <= 12'h05A;
rommem[ 8834] <= 12'h026;
rommem[ 8835] <= 12'hFFB;
rommem[ 8836] <= 12'h035;
rommem[ 8837] <= 12'h096;
rommem[ 8838] <= 12'h015;
rommem[ 8839] <= 12'h0B6;
rommem[ 8840] <= 12'hFFF;
rommem[ 8841] <= 12'hFFF;
rommem[ 8842] <= 12'hFE0;
rommem[ 8843] <= 12'h0B1;
rommem[ 8844] <= 12'hFF0;
rommem[ 8845] <= 12'h010;
rommem[ 8846] <= 12'h026;
rommem[ 8847] <= 12'h004;
rommem[ 8848] <= 12'h0CC;
rommem[ 8849] <= 12'hE00;
rommem[ 8850] <= 12'h000;
rommem[ 8851] <= 12'h039;
rommem[ 8852] <= 12'h0CC;
rommem[ 8853] <= 12'h007;
rommem[ 8854] <= 12'h800;
rommem[ 8855] <= 12'h039;
rommem[ 8856] <= 12'h034;
rommem[ 8857] <= 12'h016;
rommem[ 8858] <= 12'h00F;
rommem[ 8859] <= 12'h110;
rommem[ 8860] <= 12'h00F;
rommem[ 8861] <= 12'h111;
rommem[ 8862] <= 12'h015;
rommem[ 8863] <= 12'h0F6;
rommem[ 8864] <= 12'hFFF;
794,677 → 794,697
rommem[ 8868] <= 12'hFF0;
rommem[ 8869] <= 12'h010;
rommem[ 8870] <= 12'h026;
rommem[ 8871] <= 12'h014;
rommem[ 8872] <= 12'h096;
rommem[ 8873] <= 12'h110;
rommem[ 8874] <= 12'h084;
rommem[ 8875] <= 12'h03F;
rommem[ 8876] <= 12'h015;
rommem[ 8877] <= 12'h0F6;
rommem[ 8878] <= 12'hFFF;
rommem[ 8879] <= 12'hE0D;
rommem[ 8880] <= 12'hF00;
rommem[ 8881] <= 12'h03D;
rommem[ 8882] <= 12'h01F;
rommem[ 8883] <= 12'h001;
rommem[ 8884] <= 12'h0D6;
rommem[ 8885] <= 12'h111;
rommem[ 8886] <= 12'h03A;
rommem[ 8887] <= 12'h015;
rommem[ 8888] <= 12'h0BF;
rommem[ 8889] <= 12'hFFF;
rommem[ 8890] <= 12'hE0D;
rommem[ 8891] <= 12'hF22;
rommem[ 8892] <= 12'h035;
rommem[ 8893] <= 12'h096;
rommem[ 8894] <= 12'h034;
rommem[ 8895] <= 12'h010;
rommem[ 8896] <= 12'h096;
rommem[ 8897] <= 12'h110;
rommem[ 8898] <= 12'h0C6;
rommem[ 8899] <= 12'h038;
rommem[ 8900] <= 12'h03D;
rommem[ 8901] <= 12'h01F;
rommem[ 8902] <= 12'h001;
rommem[ 8903] <= 12'h0D6;
rommem[ 8904] <= 12'h111;
rommem[ 8905] <= 12'h03A;
rommem[ 8906] <= 12'h015;
rommem[ 8907] <= 12'h0F6;
rommem[ 8908] <= 12'hFFF;
rommem[ 8871] <= 12'h006;
rommem[ 8872] <= 12'h04F;
rommem[ 8873] <= 12'h015;
rommem[ 8874] <= 12'h0B7;
rommem[ 8875] <= 12'hFFF;
rommem[ 8876] <= 12'hE0D;
rommem[ 8877] <= 12'hF22;
rommem[ 8878] <= 12'h035;
rommem[ 8879] <= 12'h096;
rommem[ 8880] <= 12'h034;
rommem[ 8881] <= 12'h016;
rommem[ 8882] <= 12'h015;
rommem[ 8883] <= 12'h0F6;
rommem[ 8884] <= 12'hFFF;
rommem[ 8885] <= 12'hFFF;
rommem[ 8886] <= 12'hFE0;
rommem[ 8887] <= 12'h0F1;
rommem[ 8888] <= 12'hFF0;
rommem[ 8889] <= 12'h010;
rommem[ 8890] <= 12'h026;
rommem[ 8891] <= 12'h014;
rommem[ 8892] <= 12'h096;
rommem[ 8893] <= 12'h110;
rommem[ 8894] <= 12'h084;
rommem[ 8895] <= 12'h03F;
rommem[ 8896] <= 12'h015;
rommem[ 8897] <= 12'h0F6;
rommem[ 8898] <= 12'hFFF;
rommem[ 8899] <= 12'hE0D;
rommem[ 8900] <= 12'hF00;
rommem[ 8901] <= 12'h03D;
rommem[ 8902] <= 12'h01F;
rommem[ 8903] <= 12'h001;
rommem[ 8904] <= 12'h0D6;
rommem[ 8905] <= 12'h111;
rommem[ 8906] <= 12'h03A;
rommem[ 8907] <= 12'h015;
rommem[ 8908] <= 12'h0BF;
rommem[ 8909] <= 12'hFFF;
rommem[ 8910] <= 12'hFE0;
rommem[ 8911] <= 12'h0F1;
rommem[ 8912] <= 12'hFF0;
rommem[ 8913] <= 12'h010;
rommem[ 8914] <= 12'h026;
rommem[ 8915] <= 12'h005;
rommem[ 8916] <= 12'h015;
rommem[ 8917] <= 12'h0BF;
rommem[ 8918] <= 12'hFFF;
rommem[ 8919] <= 12'hE0D;
rommem[ 8920] <= 12'hF22;
rommem[ 8921] <= 12'h08D;
rommem[ 8922] <= 12'hF97;
rommem[ 8923] <= 12'h030;
rommem[ 8924] <= 12'h80B;
rommem[ 8925] <= 12'h01F;
rommem[ 8926] <= 12'h010;
rommem[ 8927] <= 12'h035;
rommem[ 8928] <= 12'h090;
rommem[ 8929] <= 12'h017;
rommem[ 8930] <= 12'h000;
rommem[ 8931] <= 12'h924;
rommem[ 8932] <= 12'h034;
rommem[ 8933] <= 12'h016;
rommem[ 8934] <= 12'h0C1;
rommem[ 8935] <= 12'h00D;
rommem[ 8936] <= 12'h026;
rommem[ 8937] <= 12'h007;
rommem[ 8938] <= 12'h00F;
rommem[ 8939] <= 12'h111;
rommem[ 8940] <= 12'h08D;
rommem[ 8941] <= 12'hFAE;
rommem[ 8942] <= 12'h016;
rommem[ 8943] <= 12'h000;
rommem[ 8944] <= 12'h08C;
rommem[ 8945] <= 12'h0C1;
rommem[ 8946] <= 12'h091;
rommem[ 8947] <= 12'h026;
rommem[ 8948] <= 12'h00D;
rommem[ 8949] <= 12'h096;
rommem[ 8950] <= 12'h111;
rommem[ 8951] <= 12'h081;
rommem[ 8952] <= 12'h038;
rommem[ 8953] <= 12'h024;
rommem[ 8954] <= 12'h003;
rommem[ 8955] <= 12'h04C;
rommem[ 8956] <= 12'h097;
rommem[ 8957] <= 12'h111;
rommem[ 8958] <= 12'h08D;
rommem[ 8959] <= 12'hF9C;
rommem[ 8960] <= 12'h035;
rommem[ 8961] <= 12'h096;
rommem[ 8962] <= 12'h0C1;
rommem[ 8963] <= 12'h090;
rommem[ 8964] <= 12'h026;
rommem[ 8965] <= 12'h009;
rommem[ 8966] <= 12'h096;
rommem[ 8967] <= 12'h110;
rommem[ 8968] <= 12'h027;
rommem[ 8969] <= 12'hFF4;
rommem[ 8970] <= 12'h04A;
rommem[ 8971] <= 12'h097;
rommem[ 8972] <= 12'h110;
rommem[ 8973] <= 12'h020;
rommem[ 8974] <= 12'hFEF;
rommem[ 8975] <= 12'h0C1;
rommem[ 8976] <= 12'h093;
rommem[ 8977] <= 12'h026;
rommem[ 8978] <= 12'h009;
rommem[ 8979] <= 12'h096;
rommem[ 8980] <= 12'h111;
rommem[ 8981] <= 12'h027;
rommem[ 8982] <= 12'hFE7;
rommem[ 8983] <= 12'h04A;
rommem[ 8984] <= 12'h097;
rommem[ 8985] <= 12'h111;
rommem[ 8986] <= 12'h020;
rommem[ 8987] <= 12'hFE2;
rommem[ 8988] <= 12'h0C1;
rommem[ 8989] <= 12'h092;
rommem[ 8990] <= 12'h026;
rommem[ 8991] <= 12'h00B;
rommem[ 8992] <= 12'h096;
rommem[ 8993] <= 12'h110;
rommem[ 8994] <= 12'h081;
rommem[ 8995] <= 12'h01D;
rommem[ 8996] <= 12'h027;
rommem[ 8997] <= 12'hFD8;
rommem[ 8998] <= 12'h04C;
rommem[ 8999] <= 12'h097;
rommem[ 9000] <= 12'h110;
rommem[ 9001] <= 12'h020;
rommem[ 9002] <= 12'hFD3;
rommem[ 9003] <= 12'h0C1;
rommem[ 9004] <= 12'h094;
rommem[ 9005] <= 12'h026;
rommem[ 9006] <= 12'h00C;
rommem[ 9007] <= 12'h096;
rommem[ 9008] <= 12'h111;
rommem[ 9009] <= 12'h027;
rommem[ 9010] <= 12'h004;
rommem[ 9011] <= 12'h00F;
rommem[ 9012] <= 12'h111;
rommem[ 9013] <= 12'h020;
rommem[ 9014] <= 12'hFC7;
rommem[ 9015] <= 12'h00F;
rommem[ 9016] <= 12'h110;
rommem[ 9017] <= 12'h020;
rommem[ 9018] <= 12'hFC3;
rommem[ 9019] <= 12'h0C1;
rommem[ 9020] <= 12'h099;
rommem[ 9021] <= 12'h026;
rommem[ 9022] <= 12'h008;
rommem[ 9023] <= 12'h08D;
rommem[ 9024] <= 12'hF7D;
rommem[ 9025] <= 12'h01F;
rommem[ 9026] <= 12'h001;
rommem[ 8910] <= 12'hE0D;
rommem[ 8911] <= 12'hF22;
rommem[ 8912] <= 12'h035;
rommem[ 8913] <= 12'h096;
rommem[ 8914] <= 12'h034;
rommem[ 8915] <= 12'h010;
rommem[ 8916] <= 12'h096;
rommem[ 8917] <= 12'h110;
rommem[ 8918] <= 12'h0C6;
rommem[ 8919] <= 12'h038;
rommem[ 8920] <= 12'h03D;
rommem[ 8921] <= 12'h01F;
rommem[ 8922] <= 12'h001;
rommem[ 8923] <= 12'h0D6;
rommem[ 8924] <= 12'h111;
rommem[ 8925] <= 12'h03A;
rommem[ 8926] <= 12'h015;
rommem[ 8927] <= 12'h0F6;
rommem[ 8928] <= 12'hFFF;
rommem[ 8929] <= 12'hFFF;
rommem[ 8930] <= 12'hFE0;
rommem[ 8931] <= 12'h0F1;
rommem[ 8932] <= 12'hFF0;
rommem[ 8933] <= 12'h010;
rommem[ 8934] <= 12'h026;
rommem[ 8935] <= 12'h005;
rommem[ 8936] <= 12'h015;
rommem[ 8937] <= 12'h0BF;
rommem[ 8938] <= 12'hFFF;
rommem[ 8939] <= 12'hE0D;
rommem[ 8940] <= 12'hF22;
rommem[ 8941] <= 12'h08D;
rommem[ 8942] <= 12'hF97;
rommem[ 8943] <= 12'h030;
rommem[ 8944] <= 12'h80B;
rommem[ 8945] <= 12'h01F;
rommem[ 8946] <= 12'h010;
rommem[ 8947] <= 12'h035;
rommem[ 8948] <= 12'h090;
rommem[ 8949] <= 12'h017;
rommem[ 8950] <= 12'h000;
rommem[ 8951] <= 12'h910;
rommem[ 8952] <= 12'h034;
rommem[ 8953] <= 12'h016;
rommem[ 8954] <= 12'h0C1;
rommem[ 8955] <= 12'h00D;
rommem[ 8956] <= 12'h026;
rommem[ 8957] <= 12'h007;
rommem[ 8958] <= 12'h00F;
rommem[ 8959] <= 12'h111;
rommem[ 8960] <= 12'h08D;
rommem[ 8961] <= 12'hFAE;
rommem[ 8962] <= 12'h016;
rommem[ 8963] <= 12'h000;
rommem[ 8964] <= 12'h08C;
rommem[ 8965] <= 12'h0C1;
rommem[ 8966] <= 12'h091;
rommem[ 8967] <= 12'h026;
rommem[ 8968] <= 12'h00D;
rommem[ 8969] <= 12'h096;
rommem[ 8970] <= 12'h111;
rommem[ 8971] <= 12'h081;
rommem[ 8972] <= 12'h038;
rommem[ 8973] <= 12'h024;
rommem[ 8974] <= 12'h003;
rommem[ 8975] <= 12'h04C;
rommem[ 8976] <= 12'h097;
rommem[ 8977] <= 12'h111;
rommem[ 8978] <= 12'h08D;
rommem[ 8979] <= 12'hF9C;
rommem[ 8980] <= 12'h035;
rommem[ 8981] <= 12'h096;
rommem[ 8982] <= 12'h0C1;
rommem[ 8983] <= 12'h090;
rommem[ 8984] <= 12'h026;
rommem[ 8985] <= 12'h009;
rommem[ 8986] <= 12'h096;
rommem[ 8987] <= 12'h110;
rommem[ 8988] <= 12'h027;
rommem[ 8989] <= 12'hFF4;
rommem[ 8990] <= 12'h04A;
rommem[ 8991] <= 12'h097;
rommem[ 8992] <= 12'h110;
rommem[ 8993] <= 12'h020;
rommem[ 8994] <= 12'hFEF;
rommem[ 8995] <= 12'h0C1;
rommem[ 8996] <= 12'h093;
rommem[ 8997] <= 12'h026;
rommem[ 8998] <= 12'h009;
rommem[ 8999] <= 12'h096;
rommem[ 9000] <= 12'h111;
rommem[ 9001] <= 12'h027;
rommem[ 9002] <= 12'hFE7;
rommem[ 9003] <= 12'h04A;
rommem[ 9004] <= 12'h097;
rommem[ 9005] <= 12'h111;
rommem[ 9006] <= 12'h020;
rommem[ 9007] <= 12'hFE2;
rommem[ 9008] <= 12'h0C1;
rommem[ 9009] <= 12'h092;
rommem[ 9010] <= 12'h026;
rommem[ 9011] <= 12'h00B;
rommem[ 9012] <= 12'h096;
rommem[ 9013] <= 12'h110;
rommem[ 9014] <= 12'h081;
rommem[ 9015] <= 12'h01D;
rommem[ 9016] <= 12'h027;
rommem[ 9017] <= 12'hFD8;
rommem[ 9018] <= 12'h04C;
rommem[ 9019] <= 12'h097;
rommem[ 9020] <= 12'h110;
rommem[ 9021] <= 12'h020;
rommem[ 9022] <= 12'hFD3;
rommem[ 9023] <= 12'h0C1;
rommem[ 9024] <= 12'h094;
rommem[ 9025] <= 12'h026;
rommem[ 9026] <= 12'h00C;
rommem[ 9027] <= 12'h096;
rommem[ 9028] <= 12'h111;
rommem[ 9029] <= 12'h020;
rommem[ 9030] <= 12'h011;
rommem[ 9031] <= 12'h0C1;
rommem[ 9032] <= 12'h008;
rommem[ 9033] <= 12'h026;
rommem[ 9034] <= 12'h01E;
rommem[ 9035] <= 12'h096;
rommem[ 9036] <= 12'h111;
rommem[ 9037] <= 12'h027;
rommem[ 9038] <= 12'h02E;
rommem[ 9039] <= 12'h04A;
rommem[ 9040] <= 12'h097;
rommem[ 9041] <= 12'h111;
rommem[ 9042] <= 12'h08D;
rommem[ 9043] <= 12'hF6A;
rommem[ 9044] <= 12'h01F;
rommem[ 9045] <= 12'h001;
rommem[ 9046] <= 12'h096;
rommem[ 9047] <= 12'h111;
rommem[ 9048] <= 12'h0E6;
rommem[ 9049] <= 12'h001;
rommem[ 9050] <= 12'h0E7;
rommem[ 9051] <= 12'h801;
rommem[ 9052] <= 12'h04C;
rommem[ 9053] <= 12'h081;
rommem[ 9054] <= 12'h038;
rommem[ 9055] <= 12'h025;
rommem[ 9056] <= 12'hFF7;
rommem[ 9057] <= 12'h0C6;
rommem[ 9058] <= 12'h020;
rommem[ 9059] <= 12'h030;
rommem[ 9060] <= 12'h1FF;
rommem[ 9061] <= 12'h0E7;
rommem[ 9062] <= 12'h804;
rommem[ 9063] <= 12'h020;
rommem[ 9064] <= 12'h014;
rommem[ 9065] <= 12'h0C1;
rommem[ 9066] <= 12'h00A;
rommem[ 9067] <= 12'h027;
rommem[ 9068] <= 12'h00E;
rommem[ 9069] <= 12'h034;
rommem[ 9070] <= 12'h004;
rommem[ 9071] <= 12'h08D;
rommem[ 9072] <= 12'hF4D;
rommem[ 9073] <= 12'h01F;
rommem[ 9074] <= 12'h001;
rommem[ 9075] <= 12'h035;
rommem[ 9076] <= 12'h004;
rommem[ 9077] <= 12'h0E7;
rommem[ 9078] <= 12'h804;
rommem[ 9079] <= 12'h08D;
rommem[ 9080] <= 12'h006;
rommem[ 9081] <= 12'h020;
rommem[ 9082] <= 12'h002;
rommem[ 9083] <= 12'h08D;
rommem[ 9084] <= 12'h011;
rommem[ 9085] <= 12'h035;
rommem[ 9086] <= 12'h096;
rommem[ 9087] <= 12'h034;
rommem[ 9088] <= 12'h016;
rommem[ 9089] <= 12'h096;
rommem[ 9090] <= 12'h111;
rommem[ 9091] <= 12'h04C;
rommem[ 9092] <= 12'h097;
rommem[ 9093] <= 12'h111;
rommem[ 9094] <= 12'h081;
rommem[ 9095] <= 12'h038;
rommem[ 9096] <= 12'h025;
rommem[ 9097] <= 12'h014;
rommem[ 9098] <= 12'h00F;
rommem[ 9099] <= 12'h111;
rommem[ 9100] <= 12'h020;
rommem[ 9101] <= 12'h002;
rommem[ 9102] <= 12'h034;
rommem[ 9103] <= 12'h016;
rommem[ 9104] <= 12'h096;
rommem[ 9105] <= 12'h110;
rommem[ 9106] <= 12'h04C;
rommem[ 9107] <= 12'h097;
rommem[ 9108] <= 12'h110;
rommem[ 9109] <= 12'h081;
rommem[ 9110] <= 12'h01D;
rommem[ 9111] <= 12'h025;
rommem[ 9112] <= 12'h005;
rommem[ 9113] <= 12'h04A;
rommem[ 9114] <= 12'h097;
rommem[ 9115] <= 12'h110;
rommem[ 9116] <= 12'h08D;
rommem[ 9117] <= 12'hE9F;
rommem[ 9118] <= 12'h08D;
rommem[ 9119] <= 12'hEFC;
rommem[ 9120] <= 12'h035;
rommem[ 9121] <= 12'h096;
rommem[ 9029] <= 12'h027;
rommem[ 9030] <= 12'h004;
rommem[ 9031] <= 12'h00F;
rommem[ 9032] <= 12'h111;
rommem[ 9033] <= 12'h020;
rommem[ 9034] <= 12'hFC7;
rommem[ 9035] <= 12'h00F;
rommem[ 9036] <= 12'h110;
rommem[ 9037] <= 12'h020;
rommem[ 9038] <= 12'hFC3;
rommem[ 9039] <= 12'h0C1;
rommem[ 9040] <= 12'h099;
rommem[ 9041] <= 12'h026;
rommem[ 9042] <= 12'h008;
rommem[ 9043] <= 12'h08D;
rommem[ 9044] <= 12'hF7D;
rommem[ 9045] <= 12'h01F;
rommem[ 9046] <= 12'h001;
rommem[ 9047] <= 12'h096;
rommem[ 9048] <= 12'h111;
rommem[ 9049] <= 12'h020;
rommem[ 9050] <= 12'h011;
rommem[ 9051] <= 12'h0C1;
rommem[ 9052] <= 12'h008;
rommem[ 9053] <= 12'h026;
rommem[ 9054] <= 12'h01E;
rommem[ 9055] <= 12'h096;
rommem[ 9056] <= 12'h111;
rommem[ 9057] <= 12'h027;
rommem[ 9058] <= 12'h02E;
rommem[ 9059] <= 12'h04A;
rommem[ 9060] <= 12'h097;
rommem[ 9061] <= 12'h111;
rommem[ 9062] <= 12'h08D;
rommem[ 9063] <= 12'hF6A;
rommem[ 9064] <= 12'h01F;
rommem[ 9065] <= 12'h001;
rommem[ 9066] <= 12'h096;
rommem[ 9067] <= 12'h111;
rommem[ 9068] <= 12'h0E6;
rommem[ 9069] <= 12'h001;
rommem[ 9070] <= 12'h0E7;
rommem[ 9071] <= 12'h801;
rommem[ 9072] <= 12'h04C;
rommem[ 9073] <= 12'h081;
rommem[ 9074] <= 12'h038;
rommem[ 9075] <= 12'h025;
rommem[ 9076] <= 12'hFF7;
rommem[ 9077] <= 12'h0C6;
rommem[ 9078] <= 12'h020;
rommem[ 9079] <= 12'h030;
rommem[ 9080] <= 12'h1FF;
rommem[ 9081] <= 12'h0E7;
rommem[ 9082] <= 12'h804;
rommem[ 9083] <= 12'h020;
rommem[ 9084] <= 12'h014;
rommem[ 9085] <= 12'h0C1;
rommem[ 9086] <= 12'h00A;
rommem[ 9087] <= 12'h027;
rommem[ 9088] <= 12'h00E;
rommem[ 9089] <= 12'h034;
rommem[ 9090] <= 12'h004;
rommem[ 9091] <= 12'h08D;
rommem[ 9092] <= 12'hF4D;
rommem[ 9093] <= 12'h01F;
rommem[ 9094] <= 12'h001;
rommem[ 9095] <= 12'h035;
rommem[ 9096] <= 12'h004;
rommem[ 9097] <= 12'h0E7;
rommem[ 9098] <= 12'h804;
rommem[ 9099] <= 12'h08D;
rommem[ 9100] <= 12'h006;
rommem[ 9101] <= 12'h020;
rommem[ 9102] <= 12'h002;
rommem[ 9103] <= 12'h08D;
rommem[ 9104] <= 12'h011;
rommem[ 9105] <= 12'h035;
rommem[ 9106] <= 12'h096;
rommem[ 9107] <= 12'h034;
rommem[ 9108] <= 12'h016;
rommem[ 9109] <= 12'h096;
rommem[ 9110] <= 12'h111;
rommem[ 9111] <= 12'h04C;
rommem[ 9112] <= 12'h097;
rommem[ 9113] <= 12'h111;
rommem[ 9114] <= 12'h081;
rommem[ 9115] <= 12'h038;
rommem[ 9116] <= 12'h025;
rommem[ 9117] <= 12'h014;
rommem[ 9118] <= 12'h00F;
rommem[ 9119] <= 12'h111;
rommem[ 9120] <= 12'h020;
rommem[ 9121] <= 12'h002;
rommem[ 9122] <= 12'h034;
rommem[ 9123] <= 12'h016;
rommem[ 9124] <= 12'h01F;
rommem[ 9125] <= 12'h001;
rommem[ 9126] <= 12'h0B6;
rommem[ 9127] <= 12'hEF0;
rommem[ 9128] <= 12'h001;
rommem[ 9129] <= 12'h027;
rommem[ 9130] <= 12'hFFB;
rommem[ 9131] <= 12'h0E6;
rommem[ 9132] <= 12'h800;
rommem[ 9133] <= 12'h027;
rommem[ 9134] <= 12'h005;
rommem[ 9135] <= 12'h017;
rommem[ 9136] <= 12'h000;
rommem[ 9137] <= 12'h960;
rommem[ 9138] <= 12'h020;
rommem[ 9139] <= 12'hFF7;
rommem[ 9140] <= 12'h07F;
rommem[ 9141] <= 12'hEF0;
rommem[ 9142] <= 12'h001;
rommem[ 9143] <= 12'h035;
rommem[ 9144] <= 12'h096;
rommem[ 9145] <= 12'h034;
rommem[ 9146] <= 12'h006;
rommem[ 9147] <= 12'h08D;
rommem[ 9148] <= 12'hFE5;
rommem[ 9149] <= 12'h0C6;
rommem[ 9150] <= 12'h00D;
rommem[ 9151] <= 12'h017;
rommem[ 9152] <= 12'h000;
rommem[ 9153] <= 12'h950;
rommem[ 9154] <= 12'h0C6;
rommem[ 9155] <= 12'h00A;
rommem[ 9156] <= 12'h017;
rommem[ 9157] <= 12'h000;
rommem[ 9158] <= 12'h94B;
rommem[ 9159] <= 12'h035;
rommem[ 9160] <= 12'h086;
rommem[ 9161] <= 12'h08D;
rommem[ 9162] <= 12'h002;
rommem[ 9163] <= 12'h020;
rommem[ 9164] <= 12'h00D;
rommem[ 9124] <= 12'h096;
rommem[ 9125] <= 12'h110;
rommem[ 9126] <= 12'h04C;
rommem[ 9127] <= 12'h097;
rommem[ 9128] <= 12'h110;
rommem[ 9129] <= 12'h081;
rommem[ 9130] <= 12'h01D;
rommem[ 9131] <= 12'h025;
rommem[ 9132] <= 12'h005;
rommem[ 9133] <= 12'h04A;
rommem[ 9134] <= 12'h097;
rommem[ 9135] <= 12'h110;
rommem[ 9136] <= 12'h08D;
rommem[ 9137] <= 12'hE9F;
rommem[ 9138] <= 12'h08D;
rommem[ 9139] <= 12'hEFC;
rommem[ 9140] <= 12'h035;
rommem[ 9141] <= 12'h096;
rommem[ 9142] <= 12'h034;
rommem[ 9143] <= 12'h016;
rommem[ 9144] <= 12'h01F;
rommem[ 9145] <= 12'h001;
rommem[ 9146] <= 12'h0B6;
rommem[ 9147] <= 12'hEF0;
rommem[ 9148] <= 12'h001;
rommem[ 9149] <= 12'h027;
rommem[ 9150] <= 12'hFFB;
rommem[ 9151] <= 12'h0E6;
rommem[ 9152] <= 12'h800;
rommem[ 9153] <= 12'h027;
rommem[ 9154] <= 12'h005;
rommem[ 9155] <= 12'h017;
rommem[ 9156] <= 12'h000;
rommem[ 9157] <= 12'h94C;
rommem[ 9158] <= 12'h020;
rommem[ 9159] <= 12'hFF7;
rommem[ 9160] <= 12'h07F;
rommem[ 9161] <= 12'hEF0;
rommem[ 9162] <= 12'h001;
rommem[ 9163] <= 12'h035;
rommem[ 9164] <= 12'h096;
rommem[ 9165] <= 12'h034;
rommem[ 9166] <= 12'h010;
rommem[ 9167] <= 12'h08E;
rommem[ 9168] <= 12'hFFE;
rommem[ 9169] <= 12'h3E1;
rommem[ 9170] <= 12'h08D;
rommem[ 9171] <= 12'h006;
rommem[ 9172] <= 12'h035;
rommem[ 9173] <= 12'h010;
rommem[ 9174] <= 12'h039;
rommem[ 9175] <= 12'h0BD;
rommem[ 9176] <= 12'hFFE;
rommem[ 9177] <= 12'hD12;
rommem[ 9178] <= 12'h0E6;
rommem[ 9179] <= 12'h800;
rommem[ 9180] <= 12'h0C1;
rommem[ 9181] <= 12'h004;
rommem[ 9182] <= 12'h026;
rommem[ 9183] <= 12'hFF7;
rommem[ 9184] <= 12'h039;
rommem[ 9185] <= 12'h00D;
rommem[ 9186] <= 12'h00A;
rommem[ 9187] <= 12'h004;
rommem[ 9188] <= 12'h08D;
rommem[ 9189] <= 12'h007;
rommem[ 9190] <= 12'h01E;
rommem[ 9191] <= 12'h001;
rommem[ 9192] <= 12'h08D;
rommem[ 9193] <= 12'h003;
rommem[ 9194] <= 12'h01E;
rommem[ 9195] <= 12'h001;
rommem[ 9196] <= 12'h039;
rommem[ 9197] <= 12'h01E;
rommem[ 9198] <= 12'h089;
rommem[ 9199] <= 12'h08D;
rommem[ 9200] <= 12'h005;
rommem[ 9201] <= 12'h01E;
rommem[ 9202] <= 12'h089;
rommem[ 9203] <= 12'h08D;
rommem[ 9204] <= 12'h001;
rommem[ 9205] <= 12'h039;
rommem[ 9206] <= 12'h034;
rommem[ 9166] <= 12'h006;
rommem[ 9167] <= 12'h08D;
rommem[ 9168] <= 12'hFE5;
rommem[ 9169] <= 12'h0C6;
rommem[ 9170] <= 12'h00D;
rommem[ 9171] <= 12'h017;
rommem[ 9172] <= 12'h000;
rommem[ 9173] <= 12'h93C;
rommem[ 9174] <= 12'h0C6;
rommem[ 9175] <= 12'h00A;
rommem[ 9176] <= 12'h017;
rommem[ 9177] <= 12'h000;
rommem[ 9178] <= 12'h937;
rommem[ 9179] <= 12'h035;
rommem[ 9180] <= 12'h086;
rommem[ 9181] <= 12'h08D;
rommem[ 9182] <= 12'h002;
rommem[ 9183] <= 12'h020;
rommem[ 9184] <= 12'h00D;
rommem[ 9185] <= 12'h034;
rommem[ 9186] <= 12'h010;
rommem[ 9187] <= 12'h08E;
rommem[ 9188] <= 12'hFFE;
rommem[ 9189] <= 12'h3F5;
rommem[ 9190] <= 12'h08D;
rommem[ 9191] <= 12'h006;
rommem[ 9192] <= 12'h035;
rommem[ 9193] <= 12'h010;
rommem[ 9194] <= 12'h039;
rommem[ 9195] <= 12'h0BD;
rommem[ 9196] <= 12'hFFE;
rommem[ 9197] <= 12'hD12;
rommem[ 9198] <= 12'h0E6;
rommem[ 9199] <= 12'h800;
rommem[ 9200] <= 12'h0C1;
rommem[ 9201] <= 12'h004;
rommem[ 9202] <= 12'h026;
rommem[ 9203] <= 12'hFF7;
rommem[ 9204] <= 12'h039;
rommem[ 9205] <= 12'h00D;
rommem[ 9206] <= 12'h00A;
rommem[ 9207] <= 12'h004;
rommem[ 9208] <= 12'h054;
rommem[ 9209] <= 12'h054;
rommem[ 9210] <= 12'h054;
rommem[ 9211] <= 12'h054;
rommem[ 9212] <= 12'h054;
rommem[ 9213] <= 12'h054;
rommem[ 9214] <= 12'h054;
rommem[ 9215] <= 12'h054;
rommem[ 9216] <= 12'h08D;
rommem[ 9217] <= 12'h00C;
rommem[ 9218] <= 12'h035;
rommem[ 9219] <= 12'h004;
rommem[ 9220] <= 12'h034;
rommem[ 9221] <= 12'h004;
rommem[ 9222] <= 12'h054;
rommem[ 9223] <= 12'h054;
rommem[ 9224] <= 12'h054;
rommem[ 9225] <= 12'h054;
rommem[ 9226] <= 12'h08D;
rommem[ 9227] <= 12'h002;
rommem[ 9228] <= 12'h035;
rommem[ 9229] <= 12'h004;
rommem[ 9230] <= 12'h034;
rommem[ 9231] <= 12'h004;
rommem[ 9232] <= 12'h0C4;
rommem[ 9233] <= 12'h00F;
rommem[ 9234] <= 12'h0C1;
rommem[ 9235] <= 12'h00A;
rommem[ 9236] <= 12'h025;
rommem[ 9237] <= 12'h007;
rommem[ 9238] <= 12'h0CB;
rommem[ 9239] <= 12'h037;
rommem[ 9240] <= 12'h017;
rommem[ 9241] <= 12'h000;
rommem[ 9242] <= 12'h8F7;
rommem[ 9243] <= 12'h035;
rommem[ 9244] <= 12'h084;
rommem[ 9245] <= 12'h0CB;
rommem[ 9246] <= 12'h030;
rommem[ 9247] <= 12'h017;
rommem[ 9248] <= 12'h000;
rommem[ 9249] <= 12'h8F0;
rommem[ 9250] <= 12'h035;
rommem[ 9251] <= 12'h084;
rommem[ 9252] <= 12'h0CC;
rommem[ 9253] <= 12'h061;
rommem[ 9254] <= 12'hA80;
rommem[ 9255] <= 12'h015;
rommem[ 9256] <= 12'h0F7;
rommem[ 9257] <= 12'hFFF;
rommem[ 9258] <= 12'hE60;
rommem[ 9259] <= 12'h014;
rommem[ 9260] <= 12'h015;
rommem[ 9261] <= 12'h0B7;
rommem[ 9262] <= 12'hFFF;
rommem[ 9263] <= 12'hE60;
rommem[ 9264] <= 12'h015;
rommem[ 9265] <= 12'h015;
rommem[ 9266] <= 12'h07F;
rommem[ 9267] <= 12'hFFF;
rommem[ 9268] <= 12'hE60;
rommem[ 9269] <= 12'h012;
rommem[ 9270] <= 12'h015;
rommem[ 9271] <= 12'h07F;
rommem[ 9272] <= 12'hFFF;
rommem[ 9273] <= 12'hE60;
rommem[ 9274] <= 12'h013;
rommem[ 9208] <= 12'h08D;
rommem[ 9209] <= 12'h007;
rommem[ 9210] <= 12'h01E;
rommem[ 9211] <= 12'h001;
rommem[ 9212] <= 12'h08D;
rommem[ 9213] <= 12'h003;
rommem[ 9214] <= 12'h01E;
rommem[ 9215] <= 12'h001;
rommem[ 9216] <= 12'h039;
rommem[ 9217] <= 12'h01E;
rommem[ 9218] <= 12'h089;
rommem[ 9219] <= 12'h08D;
rommem[ 9220] <= 12'h005;
rommem[ 9221] <= 12'h01E;
rommem[ 9222] <= 12'h089;
rommem[ 9223] <= 12'h08D;
rommem[ 9224] <= 12'h001;
rommem[ 9225] <= 12'h039;
rommem[ 9226] <= 12'h034;
rommem[ 9227] <= 12'h004;
rommem[ 9228] <= 12'h054;
rommem[ 9229] <= 12'h054;
rommem[ 9230] <= 12'h054;
rommem[ 9231] <= 12'h054;
rommem[ 9232] <= 12'h054;
rommem[ 9233] <= 12'h054;
rommem[ 9234] <= 12'h054;
rommem[ 9235] <= 12'h054;
rommem[ 9236] <= 12'h08D;
rommem[ 9237] <= 12'h00C;
rommem[ 9238] <= 12'h035;
rommem[ 9239] <= 12'h004;
rommem[ 9240] <= 12'h034;
rommem[ 9241] <= 12'h004;
rommem[ 9242] <= 12'h054;
rommem[ 9243] <= 12'h054;
rommem[ 9244] <= 12'h054;
rommem[ 9245] <= 12'h054;
rommem[ 9246] <= 12'h08D;
rommem[ 9247] <= 12'h002;
rommem[ 9248] <= 12'h035;
rommem[ 9249] <= 12'h004;
rommem[ 9250] <= 12'h034;
rommem[ 9251] <= 12'h004;
rommem[ 9252] <= 12'h0C4;
rommem[ 9253] <= 12'h00F;
rommem[ 9254] <= 12'h0C1;
rommem[ 9255] <= 12'h00A;
rommem[ 9256] <= 12'h025;
rommem[ 9257] <= 12'h007;
rommem[ 9258] <= 12'h0CB;
rommem[ 9259] <= 12'h037;
rommem[ 9260] <= 12'h017;
rommem[ 9261] <= 12'h000;
rommem[ 9262] <= 12'h8E3;
rommem[ 9263] <= 12'h035;
rommem[ 9264] <= 12'h084;
rommem[ 9265] <= 12'h0CB;
rommem[ 9266] <= 12'h030;
rommem[ 9267] <= 12'h017;
rommem[ 9268] <= 12'h000;
rommem[ 9269] <= 12'h8DC;
rommem[ 9270] <= 12'h035;
rommem[ 9271] <= 12'h084;
rommem[ 9272] <= 12'h0CC;
rommem[ 9273] <= 12'h061;
rommem[ 9274] <= 12'hA80;
rommem[ 9275] <= 12'h015;
rommem[ 9276] <= 12'h0B6;
rommem[ 9276] <= 12'h0F7;
rommem[ 9277] <= 12'hFFF;
rommem[ 9278] <= 12'hE60;
rommem[ 9279] <= 12'h00B;
rommem[ 9280] <= 12'h08A;
rommem[ 9281] <= 12'h100;
rommem[ 9282] <= 12'h015;
rommem[ 9283] <= 12'h0B7;
rommem[ 9284] <= 12'hFFF;
rommem[ 9285] <= 12'hE60;
rommem[ 9286] <= 12'h00B;
rommem[ 9287] <= 12'h086;
rommem[ 9288] <= 12'h810;
rommem[ 9289] <= 12'h015;
rommem[ 9290] <= 12'h0B7;
rommem[ 9291] <= 12'hFFF;
rommem[ 9292] <= 12'hE60;
rommem[ 9293] <= 12'h00E;
rommem[ 9294] <= 12'h039;
rommem[ 9295] <= 12'h086;
rommem[ 9296] <= 12'h01F;
rommem[ 9297] <= 12'h0B7;
rommem[ 9298] <= 12'hFF0;
rommem[ 9299] <= 12'h011;
rommem[ 9300] <= 12'h015;
rommem[ 9301] <= 12'h0B7;
rommem[ 9302] <= 12'hFFF;
rommem[ 9303] <= 12'hE3F;
rommem[ 9304] <= 12'h010;
rommem[ 9305] <= 12'h015;
rommem[ 9306] <= 12'h0B6;
rommem[ 9307] <= 12'hFFF;
rommem[ 9308] <= 12'hE60;
rommem[ 9309] <= 12'h00D;
rommem[ 9310] <= 12'h02A;
rommem[ 9311] <= 12'h011;
rommem[ 9312] <= 12'h085;
rommem[ 9313] <= 12'h800;
rommem[ 9314] <= 12'h027;
rommem[ 9315] <= 12'h00D;
rommem[ 9316] <= 12'h015;
rommem[ 9317] <= 12'h07F;
rommem[ 9318] <= 12'hFFF;
rommem[ 9319] <= 12'hE60;
rommem[ 9320] <= 12'h012;
rommem[ 9321] <= 12'h015;
rommem[ 9322] <= 12'h07F;
rommem[ 9323] <= 12'hFFF;
rommem[ 9324] <= 12'hE60;
rommem[ 9325] <= 12'h013;
rommem[ 9326] <= 12'h07C;
rommem[ 9327] <= 12'hE00;
rommem[ 9328] <= 12'h037;
rommem[ 9329] <= 12'h039;
rommem[ 9330] <= 12'h034;
rommem[ 9331] <= 12'h004;
rommem[ 9332] <= 12'h0C6;
rommem[ 9333] <= 12'h004;
rommem[ 9334] <= 12'h0E7;
rommem[ 9335] <= 12'h804;
rommem[ 9336] <= 12'h06F;
rommem[ 9337] <= 12'h001;
rommem[ 9338] <= 12'h035;
rommem[ 9339] <= 12'h084;
rommem[ 9340] <= 12'h034;
rommem[ 9341] <= 12'h004;
rommem[ 9342] <= 12'h0E6;
rommem[ 9343] <= 12'h004;
rommem[ 9344] <= 12'h0C5;
rommem[ 9345] <= 12'h001;
rommem[ 9346] <= 12'h026;
rommem[ 9347] <= 12'hFFA;
rommem[ 9348] <= 12'h035;
rommem[ 9349] <= 12'h084;
rommem[ 9350] <= 12'h0E7;
rommem[ 9351] <= 12'h003;
rommem[ 9352] <= 12'h0A7;
rommem[ 9279] <= 12'h014;
rommem[ 9280] <= 12'h015;
rommem[ 9281] <= 12'h0B7;
rommem[ 9282] <= 12'hFFF;
rommem[ 9283] <= 12'hE60;
rommem[ 9284] <= 12'h015;
rommem[ 9285] <= 12'h015;
rommem[ 9286] <= 12'h07F;
rommem[ 9287] <= 12'hFFF;
rommem[ 9288] <= 12'hE60;
rommem[ 9289] <= 12'h012;
rommem[ 9290] <= 12'h015;
rommem[ 9291] <= 12'h07F;
rommem[ 9292] <= 12'hFFF;
rommem[ 9293] <= 12'hE60;
rommem[ 9294] <= 12'h013;
rommem[ 9295] <= 12'h015;
rommem[ 9296] <= 12'h0B6;
rommem[ 9297] <= 12'hFFF;
rommem[ 9298] <= 12'hE60;
rommem[ 9299] <= 12'h00B;
rommem[ 9300] <= 12'h08A;
rommem[ 9301] <= 12'h100;
rommem[ 9302] <= 12'h015;
rommem[ 9303] <= 12'h0B7;
rommem[ 9304] <= 12'hFFF;
rommem[ 9305] <= 12'hE60;
rommem[ 9306] <= 12'h00B;
rommem[ 9307] <= 12'h086;
rommem[ 9308] <= 12'h810;
rommem[ 9309] <= 12'h015;
rommem[ 9310] <= 12'h0B7;
rommem[ 9311] <= 12'hFFF;
rommem[ 9312] <= 12'hE60;
rommem[ 9313] <= 12'h00E;
rommem[ 9314] <= 12'h039;
rommem[ 9315] <= 12'h086;
rommem[ 9316] <= 12'h01F;
rommem[ 9317] <= 12'h0B7;
rommem[ 9318] <= 12'hFF0;
rommem[ 9319] <= 12'h011;
rommem[ 9320] <= 12'h015;
rommem[ 9321] <= 12'h0B7;
rommem[ 9322] <= 12'hFFF;
rommem[ 9323] <= 12'hE3F;
rommem[ 9324] <= 12'h010;
rommem[ 9325] <= 12'h015;
rommem[ 9326] <= 12'h0B6;
rommem[ 9327] <= 12'hFFF;
rommem[ 9328] <= 12'hE60;
rommem[ 9329] <= 12'h00D;
rommem[ 9330] <= 12'h02A;
rommem[ 9331] <= 12'h011;
rommem[ 9332] <= 12'h085;
rommem[ 9333] <= 12'h800;
rommem[ 9334] <= 12'h027;
rommem[ 9335] <= 12'h00D;
rommem[ 9336] <= 12'h015;
rommem[ 9337] <= 12'h07F;
rommem[ 9338] <= 12'hFFF;
rommem[ 9339] <= 12'hE60;
rommem[ 9340] <= 12'h012;
rommem[ 9341] <= 12'h015;
rommem[ 9342] <= 12'h07F;
rommem[ 9343] <= 12'hFFF;
rommem[ 9344] <= 12'hE60;
rommem[ 9345] <= 12'h013;
rommem[ 9346] <= 12'h07C;
rommem[ 9347] <= 12'hE00;
rommem[ 9348] <= 12'h037;
rommem[ 9349] <= 12'h039;
rommem[ 9350] <= 12'h034;
rommem[ 9351] <= 12'h004;
rommem[ 9352] <= 12'h0C6;
rommem[ 9353] <= 12'h004;
rommem[ 9354] <= 12'h08D;
rommem[ 9355] <= 12'hFF0;
rommem[ 9356] <= 12'h0E6;
rommem[ 9357] <= 12'h004;
rommem[ 9358] <= 12'h039;
rommem[ 9359] <= 12'h034;
rommem[ 9360] <= 12'h006;
rommem[ 9361] <= 12'h034;
rommem[ 9362] <= 12'h006;
rommem[ 9363] <= 12'h0C6;
rommem[ 9364] <= 12'h001;
rommem[ 9365] <= 12'h0E7;
rommem[ 9366] <= 12'h002;
rommem[ 9367] <= 12'h0C6;
rommem[ 9368] <= 12'h076;
rommem[ 9369] <= 12'h086;
rommem[ 9370] <= 12'h090;
rommem[ 9371] <= 12'h08D;
rommem[ 9372] <= 12'hFE9;
rommem[ 9373] <= 12'h08D;
rommem[ 9374] <= 12'h00A;
rommem[ 9375] <= 12'h035;
rommem[ 9376] <= 12'h006;
rommem[ 9377] <= 12'h086;
rommem[ 9378] <= 12'h050;
rommem[ 9379] <= 12'h08D;
rommem[ 9380] <= 12'hFE1;
rommem[ 9381] <= 12'h08D;
rommem[ 9382] <= 12'h002;
rommem[ 9383] <= 12'h035;
rommem[ 9384] <= 12'h086;
rommem[ 9385] <= 12'h034;
rommem[ 9386] <= 12'h004;
rommem[ 9387] <= 12'h0E6;
rommem[ 9388] <= 12'h004;
rommem[ 9389] <= 12'h0C5;
rommem[ 9390] <= 12'h080;
rommem[ 9391] <= 12'h026;
rommem[ 9392] <= 12'hFFA;
rommem[ 9393] <= 12'h035;
rommem[ 9394] <= 12'h084;
rommem[ 9395] <= 12'h08E;
rommem[ 9396] <= 12'hE30;
rommem[ 9397] <= 12'h500;
rommem[ 9398] <= 12'h18E;
rommem[ 9399] <= 12'h007;
rommem[ 9400] <= 12'hFC0;
rommem[ 9401] <= 12'h0C6;
rommem[ 9402] <= 12'h080;
rommem[ 9403] <= 12'h0E7;
rommem[ 9404] <= 12'h002;
rommem[ 9405] <= 12'h0CC;
rommem[ 9406] <= 12'h090;
rommem[ 9407] <= 12'h0DE;
rommem[ 9408] <= 12'h08D;
rommem[ 9409] <= 12'hFC4;
rommem[ 9410] <= 12'h0C5;
rommem[ 9411] <= 12'h080;
rommem[ 9412] <= 12'h026;
rommem[ 9413] <= 12'h03C;
rommem[ 9414] <= 12'h0CC;
rommem[ 9415] <= 12'h010;
rommem[ 9416] <= 12'h000;
rommem[ 9417] <= 12'h08D;
rommem[ 9418] <= 12'hFBB;
rommem[ 9419] <= 12'h0C5;
rommem[ 9420] <= 12'h080;
rommem[ 9421] <= 12'h026;
rommem[ 9422] <= 12'h033;
rommem[ 9423] <= 12'h0CC;
rommem[ 9424] <= 12'h090;
rommem[ 9425] <= 12'h0DF;
rommem[ 9426] <= 12'h08D;
rommem[ 9427] <= 12'hFB2;
rommem[ 9428] <= 12'h0C5;
rommem[ 9429] <= 12'h080;
rommem[ 9430] <= 12'h026;
rommem[ 9431] <= 12'h02A;
rommem[ 9432] <= 12'h05F;
rommem[ 9433] <= 12'h086;
rommem[ 9434] <= 12'h020;
rommem[ 9435] <= 12'h0A7;
rommem[ 9436] <= 12'h004;
rommem[ 9354] <= 12'h0E7;
rommem[ 9355] <= 12'h804;
rommem[ 9356] <= 12'h06F;
rommem[ 9357] <= 12'h001;
rommem[ 9358] <= 12'h035;
rommem[ 9359] <= 12'h084;
rommem[ 9360] <= 12'h034;
rommem[ 9361] <= 12'h004;
rommem[ 9362] <= 12'h0E6;
rommem[ 9363] <= 12'h004;
rommem[ 9364] <= 12'h0C5;
rommem[ 9365] <= 12'h001;
rommem[ 9366] <= 12'h026;
rommem[ 9367] <= 12'hFFA;
rommem[ 9368] <= 12'h035;
rommem[ 9369] <= 12'h084;
rommem[ 9370] <= 12'h0E7;
rommem[ 9371] <= 12'h003;
rommem[ 9372] <= 12'h0A7;
rommem[ 9373] <= 12'h004;
rommem[ 9374] <= 12'h08D;
rommem[ 9375] <= 12'hFF0;
rommem[ 9376] <= 12'h0E6;
rommem[ 9377] <= 12'h004;
rommem[ 9378] <= 12'h039;
rommem[ 9379] <= 12'h034;
rommem[ 9380] <= 12'h006;
rommem[ 9381] <= 12'h034;
rommem[ 9382] <= 12'h006;
rommem[ 9383] <= 12'h0C6;
rommem[ 9384] <= 12'h001;
rommem[ 9385] <= 12'h0E7;
rommem[ 9386] <= 12'h002;
rommem[ 9387] <= 12'h0C6;
rommem[ 9388] <= 12'h076;
rommem[ 9389] <= 12'h086;
rommem[ 9390] <= 12'h090;
rommem[ 9391] <= 12'h08D;
rommem[ 9392] <= 12'hFE9;
rommem[ 9393] <= 12'h08D;
rommem[ 9394] <= 12'h00A;
rommem[ 9395] <= 12'h035;
rommem[ 9396] <= 12'h006;
rommem[ 9397] <= 12'h086;
rommem[ 9398] <= 12'h050;
rommem[ 9399] <= 12'h08D;
rommem[ 9400] <= 12'hFE1;
rommem[ 9401] <= 12'h08D;
rommem[ 9402] <= 12'h002;
rommem[ 9403] <= 12'h035;
rommem[ 9404] <= 12'h086;
rommem[ 9405] <= 12'h034;
rommem[ 9406] <= 12'h004;
rommem[ 9407] <= 12'h0E6;
rommem[ 9408] <= 12'h004;
rommem[ 9409] <= 12'h0C5;
rommem[ 9410] <= 12'h080;
rommem[ 9411] <= 12'h026;
rommem[ 9412] <= 12'hFFA;
rommem[ 9413] <= 12'h035;
rommem[ 9414] <= 12'h084;
rommem[ 9415] <= 12'h08E;
rommem[ 9416] <= 12'hE30;
rommem[ 9417] <= 12'h500;
rommem[ 9418] <= 12'h18E;
rommem[ 9419] <= 12'h007;
rommem[ 9420] <= 12'hFC0;
rommem[ 9421] <= 12'h0C6;
rommem[ 9422] <= 12'h080;
rommem[ 9423] <= 12'h0E7;
rommem[ 9424] <= 12'h002;
rommem[ 9425] <= 12'h0CC;
rommem[ 9426] <= 12'h090;
rommem[ 9427] <= 12'h0DE;
rommem[ 9428] <= 12'h08D;
rommem[ 9429] <= 12'hFC4;
rommem[ 9430] <= 12'h0C5;
rommem[ 9431] <= 12'h080;
rommem[ 9432] <= 12'h026;
rommem[ 9433] <= 12'h03C;
rommem[ 9434] <= 12'h0CC;
rommem[ 9435] <= 12'h010;
rommem[ 9436] <= 12'h000;
rommem[ 9437] <= 12'h08D;
rommem[ 9438] <= 12'hF9D;
rommem[ 9439] <= 12'h08D;
rommem[ 9440] <= 12'hFC8;
rommem[ 9441] <= 12'h0A6;
rommem[ 9442] <= 12'h004;
rommem[ 9443] <= 12'h085;
rommem[ 9444] <= 12'h080;
rommem[ 9445] <= 12'h026;
rommem[ 9446] <= 12'h01B;
rommem[ 9447] <= 12'h0A6;
rommem[ 9448] <= 12'h003;
rommem[ 9449] <= 12'h0A7;
rommem[ 9450] <= 12'hA07;
rommem[ 9451] <= 12'h05C;
rommem[ 9452] <= 12'h0C1;
rommem[ 9453] <= 12'h05F;
rommem[ 9454] <= 12'h025;
rommem[ 9455] <= 12'hFE9;
rommem[ 9456] <= 12'h086;
rommem[ 9457] <= 12'h068;
rommem[ 9458] <= 12'h0A7;
rommem[ 9459] <= 12'h004;
rommem[ 9460] <= 12'h08D;
rommem[ 9461] <= 12'hF86;
rommem[ 9462] <= 12'h0A6;
rommem[ 9463] <= 12'h004;
rommem[ 9464] <= 12'h085;
rommem[ 9465] <= 12'h080;
rommem[ 9466] <= 12'h026;
rommem[ 9467] <= 12'h006;
rommem[ 9468] <= 12'h0A6;
rommem[ 9469] <= 12'h003;
rommem[ 9470] <= 12'h0A7;
rommem[ 9471] <= 12'hA07;
rommem[ 9472] <= 12'h04F;
rommem[ 9438] <= 12'hFBB;
rommem[ 9439] <= 12'h0C5;
rommem[ 9440] <= 12'h080;
rommem[ 9441] <= 12'h026;
rommem[ 9442] <= 12'h033;
rommem[ 9443] <= 12'h0CC;
rommem[ 9444] <= 12'h090;
rommem[ 9445] <= 12'h0DF;
rommem[ 9446] <= 12'h08D;
rommem[ 9447] <= 12'hFB2;
rommem[ 9448] <= 12'h0C5;
rommem[ 9449] <= 12'h080;
rommem[ 9450] <= 12'h026;
rommem[ 9451] <= 12'h02A;
rommem[ 9452] <= 12'h05F;
rommem[ 9453] <= 12'h086;
rommem[ 9454] <= 12'h020;
rommem[ 9455] <= 12'h0A7;
rommem[ 9456] <= 12'h004;
rommem[ 9457] <= 12'h08D;
rommem[ 9458] <= 12'hF9D;
rommem[ 9459] <= 12'h08D;
rommem[ 9460] <= 12'hFC8;
rommem[ 9461] <= 12'h0A6;
rommem[ 9462] <= 12'h004;
rommem[ 9463] <= 12'h085;
rommem[ 9464] <= 12'h080;
rommem[ 9465] <= 12'h026;
rommem[ 9466] <= 12'h01B;
rommem[ 9467] <= 12'h0A6;
rommem[ 9468] <= 12'h003;
rommem[ 9469] <= 12'h0A7;
rommem[ 9470] <= 12'hA07;
rommem[ 9471] <= 12'h05C;
rommem[ 9472] <= 12'h0C1;
rommem[ 9473] <= 12'h05F;
rommem[ 9474] <= 12'h06F;
rommem[ 9475] <= 12'h002;
rommem[ 9476] <= 12'h04F;
rommem[ 9477] <= 12'h039;
rommem[ 9478] <= 12'h08E;
rommem[ 9479] <= 12'hE30;
rommem[ 9480] <= 12'h500;
rommem[ 9481] <= 12'h18E;
rommem[ 9482] <= 12'h007;
rommem[ 9483] <= 12'hFC0;
rommem[ 9484] <= 12'h0C6;
rommem[ 9474] <= 12'h025;
rommem[ 9475] <= 12'hFE9;
rommem[ 9476] <= 12'h086;
rommem[ 9477] <= 12'h068;
rommem[ 9478] <= 12'h0A7;
rommem[ 9479] <= 12'h004;
rommem[ 9480] <= 12'h08D;
rommem[ 9481] <= 12'hF86;
rommem[ 9482] <= 12'h0A6;
rommem[ 9483] <= 12'h004;
rommem[ 9484] <= 12'h085;
rommem[ 9485] <= 12'h080;
rommem[ 9486] <= 12'h0E7;
rommem[ 9487] <= 12'h002;
rommem[ 9488] <= 12'h0CC;
rommem[ 9489] <= 12'h090;
rommem[ 9490] <= 12'h0DE;
rommem[ 9491] <= 12'h08D;
rommem[ 9492] <= 12'hF71;
rommem[ 9493] <= 12'h0C5;
rommem[ 9494] <= 12'h080;
rommem[ 9495] <= 12'h026;
rommem[ 9496] <= 12'hFE9;
rommem[ 9497] <= 12'h0CC;
rommem[ 9498] <= 12'h010;
rommem[ 9499] <= 12'h000;
rommem[ 9500] <= 12'h08D;
rommem[ 9501] <= 12'hF68;
rommem[ 9502] <= 12'h0C5;
rommem[ 9503] <= 12'h080;
rommem[ 9504] <= 12'h026;
rommem[ 9505] <= 12'hFE0;
rommem[ 9506] <= 12'h0C6;
rommem[ 9507] <= 12'h000;
rommem[ 9508] <= 12'h034;
rommem[ 9509] <= 12'h004;
rommem[ 9510] <= 12'h0E6;
rommem[ 9511] <= 12'hA05;
rommem[ 9512] <= 12'h086;
rommem[ 9513] <= 12'h010;
rommem[ 9514] <= 12'h08D;
rommem[ 9515] <= 12'hF5A;
rommem[ 9516] <= 12'h0C5;
rommem[ 9517] <= 12'h080;
rommem[ 9518] <= 12'h035;
rommem[ 9519] <= 12'h004;
rommem[ 9520] <= 12'h026;
rommem[ 9521] <= 12'hFD0;
rommem[ 9522] <= 12'h05C;
rommem[ 9523] <= 12'h0C1;
rommem[ 9524] <= 12'h05F;
rommem[ 9525] <= 12'h025;
rommem[ 9526] <= 12'hFED;
rommem[ 9527] <= 12'h0E6;
rommem[ 9528] <= 12'hA05;
rommem[ 9529] <= 12'h086;
rommem[ 9530] <= 12'h050;
rommem[ 9531] <= 12'h08D;
rommem[ 9532] <= 12'hF49;
rommem[ 9533] <= 12'h0C5;
rommem[ 9534] <= 12'h080;
rommem[ 9535] <= 12'h026;
rommem[ 9536] <= 12'hFC1;
rommem[ 9537] <= 12'h04F;
rommem[ 9538] <= 12'h05F;
rommem[ 9539] <= 12'h06F;
rommem[ 9540] <= 12'h002;
rommem[ 9541] <= 12'h039;
rommem[ 9486] <= 12'h026;
rommem[ 9487] <= 12'h006;
rommem[ 9488] <= 12'h0A6;
rommem[ 9489] <= 12'h003;
rommem[ 9490] <= 12'h0A7;
rommem[ 9491] <= 12'hA07;
rommem[ 9492] <= 12'h04F;
rommem[ 9493] <= 12'h05F;
rommem[ 9494] <= 12'h06F;
rommem[ 9495] <= 12'h002;
rommem[ 9496] <= 12'h04F;
rommem[ 9497] <= 12'h039;
rommem[ 9498] <= 12'h08E;
rommem[ 9499] <= 12'hE30;
rommem[ 9500] <= 12'h500;
rommem[ 9501] <= 12'h18E;
rommem[ 9502] <= 12'h007;
rommem[ 9503] <= 12'hFC0;
rommem[ 9504] <= 12'h0C6;
rommem[ 9505] <= 12'h080;
rommem[ 9506] <= 12'h0E7;
rommem[ 9507] <= 12'h002;
rommem[ 9508] <= 12'h0CC;
rommem[ 9509] <= 12'h090;
rommem[ 9510] <= 12'h0DE;
rommem[ 9511] <= 12'h08D;
rommem[ 9512] <= 12'hF71;
rommem[ 9513] <= 12'h0C5;
rommem[ 9514] <= 12'h080;
rommem[ 9515] <= 12'h026;
rommem[ 9516] <= 12'hFE9;
rommem[ 9517] <= 12'h0CC;
rommem[ 9518] <= 12'h010;
rommem[ 9519] <= 12'h000;
rommem[ 9520] <= 12'h08D;
rommem[ 9521] <= 12'hF68;
rommem[ 9522] <= 12'h0C5;
rommem[ 9523] <= 12'h080;
rommem[ 9524] <= 12'h026;
rommem[ 9525] <= 12'hFE0;
rommem[ 9526] <= 12'h0C6;
rommem[ 9527] <= 12'h000;
rommem[ 9528] <= 12'h034;
rommem[ 9529] <= 12'h004;
rommem[ 9530] <= 12'h0E6;
rommem[ 9531] <= 12'hA05;
rommem[ 9532] <= 12'h086;
rommem[ 9533] <= 12'h010;
rommem[ 9534] <= 12'h08D;
rommem[ 9535] <= 12'hF5A;
rommem[ 9536] <= 12'h0C5;
rommem[ 9537] <= 12'h080;
rommem[ 9538] <= 12'h035;
rommem[ 9539] <= 12'h004;
rommem[ 9540] <= 12'h026;
rommem[ 9541] <= 12'hFD0;
rommem[ 9542] <= 12'h05C;
rommem[ 9543] <= 12'h0C1;
rommem[ 9544] <= 12'h05F;
rommem[ 9545] <= 12'h025;
rommem[ 9546] <= 12'hFED;
rommem[ 9547] <= 12'h0E6;
rommem[ 9548] <= 12'hA05;
rommem[ 9549] <= 12'h086;
rommem[ 9550] <= 12'h050;
rommem[ 9551] <= 12'h08D;
rommem[ 9552] <= 12'hF49;
rommem[ 9553] <= 12'h0C5;
rommem[ 9554] <= 12'h080;
rommem[ 9555] <= 12'h026;
rommem[ 9556] <= 12'hFC1;
rommem[ 9557] <= 12'h04F;
rommem[ 9558] <= 12'h05F;
rommem[ 9559] <= 12'h06F;
rommem[ 9560] <= 12'h002;
rommem[ 9561] <= 12'h039;
rommem[ 9600] <= 12'h02E;
rommem[ 9601] <= 12'h0A9;
rommem[ 9602] <= 12'h02E;
2500,7 → 2520,7
rommem[10632] <= 12'h9AE;
rommem[10633] <= 12'h017;
rommem[10634] <= 12'hFFF;
rommem[10635] <= 12'hA2D;
rommem[10635] <= 12'hA41;
rommem[10636] <= 12'h020;
rommem[10637] <= 12'h014;
rommem[10638] <= 12'h0C6;
3016,7 → 3036,7
rommem[11148] <= 12'hE30;
rommem[11149] <= 12'h102;
rommem[11150] <= 12'h0C6;
rommem[11151] <= 12'h01E;
rommem[11151] <= 12'h01F;
rommem[11152] <= 12'h015;
rommem[11153] <= 12'h0F7;
rommem[11154] <= 12'hFFF;
3301,7 → 3321,7
rommem[11433] <= 12'hCC5;
rommem[11434] <= 12'h017;
rommem[11435] <= 12'hFFF;
rommem[11436] <= 12'h6F5;
rommem[11436] <= 12'h709;
rommem[11437] <= 12'h08D;
rommem[11438] <= 12'hEC0;
rommem[11439] <= 12'h0C6;
3401,7 → 3421,7
rommem[11533] <= 12'h003;
rommem[11534] <= 12'h017;
rommem[11535] <= 12'hFFF;
rommem[11536] <= 12'h5D0;
rommem[11536] <= 12'h5E4;
rommem[11537] <= 12'h039;
rommem[11538] <= 12'h06E;
rommem[11539] <= 12'h90F;
3530,7 → 3550,7
rommem[11662] <= 12'h804;
rommem[11663] <= 12'h017;
rommem[11664] <= 12'hFFF;
rommem[11665] <= 12'h44F;
rommem[11665] <= 12'h463;
rommem[11666] <= 12'h0F1;
rommem[11667] <= 12'hFF0;
rommem[11668] <= 12'h010;
3561,7 → 3581,7
rommem[11693] <= 12'h010;
rommem[11694] <= 12'h017;
rommem[11695] <= 12'hFFF;
rommem[11696] <= 12'h3F9;
rommem[11696] <= 12'h40D;
rommem[11697] <= 12'h016;
rommem[11698] <= 12'hFFF;
rommem[11699] <= 12'hFB5;
3719,12 → 3739,12
rommem[11851] <= 12'h058;
rommem[11852] <= 12'h047;
rommem[11853] <= 12'h020;
rommem[11854] <= 12'h003;
rommem[11854] <= 12'h009;
rommem[11855] <= 12'h054;
rommem[11856] <= 12'h046;
rommem[11857] <= 12'h052;
rommem[11858] <= 12'h020;
rommem[11859] <= 12'h003;
rommem[11859] <= 12'h009;
rommem[11860] <= 12'h042;
rommem[11861] <= 12'h052;
rommem[11862] <= 12'h041;
5101,2433 → 5121,2753
rommem[13233] <= 12'h050;
rommem[13234] <= 12'h053;
rommem[13235] <= 12'h006;
rommem[13236] <= 12'h017;
rommem[13237] <= 12'h000;
rommem[13238] <= 12'h5FE;
rommem[13239] <= 12'h017;
rommem[13240] <= 12'hFFD;
rommem[13241] <= 12'hD18;
rommem[13242] <= 12'h1BE;
rommem[13243] <= 12'h000;
rommem[13244] <= 12'h922;
rommem[13245] <= 12'h01F;
rommem[13246] <= 12'h020;
rommem[13247] <= 12'h017;
rommem[13248] <= 12'hFFF;
rommem[13249] <= 12'h02B;
rommem[13250] <= 12'h0C6;
rommem[13251] <= 12'h020;
rommem[13252] <= 12'h017;
rommem[13253] <= 12'hFFF;
rommem[13254] <= 12'h94B;
rommem[13255] <= 12'h0E6;
rommem[13256] <= 12'hA00;
rommem[13257] <= 12'h0C5;
rommem[13258] <= 12'h300;
rommem[13259] <= 12'h126;
rommem[13260] <= 12'h000;
rommem[13261] <= 12'h400;
rommem[13262] <= 12'h08E;
rommem[13263] <= 12'hFFE;
rommem[13264] <= 12'hDB4;
rommem[13265] <= 12'h086;
rommem[13266] <= 12'h005;
rommem[13267] <= 12'h03D;
rommem[13268] <= 12'h03A;
rommem[13269] <= 12'h0E6;
rommem[13270] <= 12'h800;
rommem[13271] <= 12'h017;
rommem[13272] <= 12'hFFF;
rommem[13273] <= 12'h938;
rommem[13274] <= 12'h0E6;
rommem[13275] <= 12'h800;
rommem[13276] <= 12'h017;
rommem[13277] <= 12'hFFF;
rommem[13278] <= 12'h933;
rommem[13279] <= 12'h0E6;
rommem[13280] <= 12'h800;
rommem[13281] <= 12'h017;
rommem[13282] <= 12'hFFF;
rommem[13283] <= 12'h92E;
rommem[13284] <= 12'h0E6;
rommem[13285] <= 12'h800;
rommem[13286] <= 12'h017;
rommem[13287] <= 12'hFFF;
rommem[13288] <= 12'h929;
rommem[13289] <= 12'h0C6;
rommem[13290] <= 12'h020;
rommem[13291] <= 12'h017;
rommem[13292] <= 12'hFFF;
rommem[13293] <= 12'h924;
rommem[13294] <= 12'h0E6;
rommem[13295] <= 12'h800;
rommem[13296] <= 12'h127;
rommem[13297] <= 12'h000;
rommem[13298] <= 12'h42E;
rommem[13299] <= 12'h0C1;
rommem[13300] <= 12'h001;
rommem[13301] <= 12'h026;
rommem[13302] <= 12'h008;
rommem[13303] <= 12'h0E6;
rommem[13304] <= 12'hA00;
rommem[13305] <= 12'h017;
rommem[13306] <= 12'hFFE;
rommem[13307] <= 12'hFFA;
rommem[13308] <= 12'h016;
rommem[13236] <= 12'h00F;
rommem[13237] <= 12'h15F;
rommem[13238] <= 12'h017;
rommem[13239] <= 12'h000;
rommem[13240] <= 12'h6AD;
rommem[13241] <= 12'h017;
rommem[13242] <= 12'hFFD;
rommem[13243] <= 12'hD16;
rommem[13244] <= 12'h1BE;
rommem[13245] <= 12'h000;
rommem[13246] <= 12'h922;
rommem[13247] <= 12'h01F;
rommem[13248] <= 12'h020;
rommem[13249] <= 12'h017;
rommem[13250] <= 12'hFFF;
rommem[13251] <= 12'h03D;
rommem[13252] <= 12'h0C6;
rommem[13253] <= 12'h020;
rommem[13254] <= 12'h017;
rommem[13255] <= 12'hFFF;
rommem[13256] <= 12'h949;
rommem[13257] <= 12'h0E6;
rommem[13258] <= 12'hA00;
rommem[13259] <= 12'h0C5;
rommem[13260] <= 12'h300;
rommem[13261] <= 12'h126;
rommem[13262] <= 12'h000;
rommem[13263] <= 12'h41F;
rommem[13264] <= 12'h0C4;
rommem[13265] <= 12'h0FF;
rommem[13266] <= 12'h0C1;
rommem[13267] <= 12'h015;
rommem[13268] <= 12'h026;
rommem[13269] <= 12'h004;
rommem[13270] <= 12'h0D7;
rommem[13271] <= 12'h15F;
rommem[13272] <= 12'h020;
rommem[13273] <= 12'hFE5;
rommem[13274] <= 12'h08E;
rommem[13275] <= 12'hFFE;
rommem[13276] <= 12'hDB4;
rommem[13277] <= 12'h086;
rommem[13278] <= 12'h005;
rommem[13279] <= 12'h03D;
rommem[13280] <= 12'h03A;
rommem[13281] <= 12'h0E6;
rommem[13282] <= 12'h800;
rommem[13283] <= 12'h017;
rommem[13284] <= 12'hFFF;
rommem[13285] <= 12'h92C;
rommem[13286] <= 12'h0E6;
rommem[13287] <= 12'h800;
rommem[13288] <= 12'h017;
rommem[13289] <= 12'hFFF;
rommem[13290] <= 12'h927;
rommem[13291] <= 12'h0E6;
rommem[13292] <= 12'h800;
rommem[13293] <= 12'h017;
rommem[13294] <= 12'hFFF;
rommem[13295] <= 12'h922;
rommem[13296] <= 12'h0E6;
rommem[13297] <= 12'h800;
rommem[13298] <= 12'h017;
rommem[13299] <= 12'hFFF;
rommem[13300] <= 12'h91D;
rommem[13301] <= 12'h0C6;
rommem[13302] <= 12'h020;
rommem[13303] <= 12'h017;
rommem[13304] <= 12'hFFF;
rommem[13305] <= 12'h918;
rommem[13306] <= 12'h0E6;
rommem[13307] <= 12'h800;
rommem[13308] <= 12'h127;
rommem[13309] <= 12'h000;
rommem[13310] <= 12'h422;
rommem[13310] <= 12'h443;
rommem[13311] <= 12'h0C1;
rommem[13312] <= 12'h002;
rommem[13312] <= 12'h001;
rommem[13313] <= 12'h026;
rommem[13314] <= 12'h00C;
rommem[13315] <= 12'h0EC;
rommem[13316] <= 12'hA01;
rommem[13317] <= 12'h030;
rommem[13318] <= 12'hA0B;
rommem[13319] <= 12'h01F;
rommem[13320] <= 12'h010;
rommem[13321] <= 12'h017;
rommem[13322] <= 12'hFFE;
rommem[13323] <= 12'hFE1;
rommem[13324] <= 12'h016;
rommem[13325] <= 12'h000;
rommem[13326] <= 12'h412;
rommem[13327] <= 12'h0C1;
rommem[13328] <= 12'h004;
rommem[13329] <= 12'h026;
rommem[13330] <= 12'h005;
rommem[13331] <= 12'h0E6;
rommem[13332] <= 12'hA00;
rommem[13333] <= 12'h04F;
rommem[13334] <= 12'h020;
rommem[13335] <= 12'hFED;
rommem[13336] <= 12'h0C1;
rommem[13337] <= 12'h005;
rommem[13338] <= 12'h026;
rommem[13339] <= 12'h385;
rommem[13340] <= 12'h0E6;
rommem[13341] <= 12'hA00;
rommem[13342] <= 12'h0C5;
rommem[13343] <= 12'h800;
rommem[13344] <= 12'h026;
rommem[13345] <= 12'h01E;
rommem[13346] <= 12'h034;
rommem[13347] <= 12'h004;
rommem[13348] <= 12'h0C4;
rommem[13349] <= 12'h1FF;
rommem[13350] <= 12'h04F;
rommem[13351] <= 12'h0C5;
rommem[13352] <= 12'h100;
rommem[13353] <= 12'h027;
rommem[13354] <= 12'h003;
rommem[13355] <= 12'h04A;
rommem[13356] <= 12'h0CA;
rommem[13357] <= 12'hE00;
rommem[13358] <= 12'h017;
rommem[13359] <= 12'hFFE;
rommem[13360] <= 12'hFBC;
rommem[13361] <= 12'h0C6;
rommem[13362] <= 12'h02C;
rommem[13363] <= 12'h017;
rommem[13364] <= 12'hFFF;
rommem[13365] <= 12'h8DC;
rommem[13366] <= 12'h035;
rommem[13367] <= 12'h004;
rommem[13368] <= 12'h08D;
rommem[13369] <= 12'h3F7;
rommem[13314] <= 12'h008;
rommem[13315] <= 12'h0E6;
rommem[13316] <= 12'hA00;
rommem[13317] <= 12'h017;
rommem[13318] <= 12'hFFF;
rommem[13319] <= 12'h002;
rommem[13320] <= 12'h016;
rommem[13321] <= 12'h000;
rommem[13322] <= 12'h437;
rommem[13323] <= 12'h0C1;
rommem[13324] <= 12'h002;
rommem[13325] <= 12'h026;
rommem[13326] <= 12'h00C;
rommem[13327] <= 12'h0EC;
rommem[13328] <= 12'hA01;
rommem[13329] <= 12'h030;
rommem[13330] <= 12'hA0B;
rommem[13331] <= 12'h01F;
rommem[13332] <= 12'h010;
rommem[13333] <= 12'h017;
rommem[13334] <= 12'hFFE;
rommem[13335] <= 12'hFE9;
rommem[13336] <= 12'h016;
rommem[13337] <= 12'h000;
rommem[13338] <= 12'h427;
rommem[13339] <= 12'h0C1;
rommem[13340] <= 12'h004;
rommem[13341] <= 12'h026;
rommem[13342] <= 12'h005;
rommem[13343] <= 12'h0E6;
rommem[13344] <= 12'hA00;
rommem[13345] <= 12'h04F;
rommem[13346] <= 12'h020;
rommem[13347] <= 12'hFED;
rommem[13348] <= 12'h0C1;
rommem[13349] <= 12'h005;
rommem[13350] <= 12'h026;
rommem[13351] <= 12'h385;
rommem[13352] <= 12'h0E6;
rommem[13353] <= 12'hA00;
rommem[13354] <= 12'h0C5;
rommem[13355] <= 12'h800;
rommem[13356] <= 12'h026;
rommem[13357] <= 12'h01E;
rommem[13358] <= 12'h034;
rommem[13359] <= 12'h004;
rommem[13360] <= 12'h0C4;
rommem[13361] <= 12'h1FF;
rommem[13362] <= 12'h04F;
rommem[13363] <= 12'h0C5;
rommem[13364] <= 12'h100;
rommem[13365] <= 12'h027;
rommem[13366] <= 12'h003;
rommem[13367] <= 12'h04A;
rommem[13368] <= 12'h0CA;
rommem[13369] <= 12'hE00;
rommem[13370] <= 12'h017;
rommem[13371] <= 12'hFFF;
rommem[13372] <= 12'h8D5;
rommem[13373] <= 12'h016;
rommem[13374] <= 12'h000;
rommem[13375] <= 12'h3E1;
rommem[13376] <= 12'h034;
rommem[13377] <= 12'h004;
rommem[13378] <= 12'h0C5;
rommem[13379] <= 12'h100;
rommem[13380] <= 12'h026;
rommem[13381] <= 12'h005;
rommem[13382] <= 12'h0C6;
rommem[13383] <= 12'h05B;
rommem[13384] <= 12'h017;
rommem[13385] <= 12'hFFF;
rommem[13386] <= 12'h8C7;
rommem[13387] <= 12'h0E6;
rommem[13388] <= 12'hE04;
rommem[13389] <= 12'h0C4;
rommem[13390] <= 12'h00F;
rommem[13391] <= 12'h026;
rommem[13392] <= 12'h030;
rommem[13393] <= 12'h0E6;
rommem[13394] <= 12'hE04;
rommem[13395] <= 12'h0C5;
rommem[13396] <= 12'h080;
rommem[13397] <= 12'h026;
rommem[13398] <= 12'h005;
rommem[13399] <= 12'h0C6;
rommem[13400] <= 12'h05D;
rommem[13401] <= 12'h017;
rommem[13402] <= 12'hFFF;
rommem[13403] <= 12'h8B6;
rommem[13404] <= 12'h0C6;
rommem[13405] <= 12'h02C;
rommem[13406] <= 12'h017;
rommem[13407] <= 12'hFFF;
rommem[13408] <= 12'h8B1;
rommem[13409] <= 12'h0E6;
rommem[13410] <= 12'hE04;
rommem[13411] <= 12'h08D;
rommem[13412] <= 12'h3CC;
rommem[13371] <= 12'hFFE;
rommem[13372] <= 12'hFC4;
rommem[13373] <= 12'h0C6;
rommem[13374] <= 12'h02C;
rommem[13375] <= 12'h017;
rommem[13376] <= 12'hFFF;
rommem[13377] <= 12'h8D0;
rommem[13378] <= 12'h035;
rommem[13379] <= 12'h004;
rommem[13380] <= 12'h08D;
rommem[13381] <= 12'h42E;
rommem[13382] <= 12'h017;
rommem[13383] <= 12'hFFF;
rommem[13384] <= 12'h8C9;
rommem[13385] <= 12'h016;
rommem[13386] <= 12'h000;
rommem[13387] <= 12'h3F6;
rommem[13388] <= 12'h034;
rommem[13389] <= 12'h004;
rommem[13390] <= 12'h0C5;
rommem[13391] <= 12'h100;
rommem[13392] <= 12'h027;
rommem[13393] <= 12'h005;
rommem[13394] <= 12'h0C6;
rommem[13395] <= 12'h05B;
rommem[13396] <= 12'h017;
rommem[13397] <= 12'hFFF;
rommem[13398] <= 12'h8BB;
rommem[13399] <= 12'h0E6;
rommem[13400] <= 12'hE04;
rommem[13401] <= 12'h0C4;
rommem[13402] <= 12'h00F;
rommem[13403] <= 12'h026;
rommem[13404] <= 12'h030;
rommem[13405] <= 12'h0E6;
rommem[13406] <= 12'hE04;
rommem[13407] <= 12'h0C5;
rommem[13408] <= 12'h080;
rommem[13409] <= 12'h027;
rommem[13410] <= 12'h005;
rommem[13411] <= 12'h0C6;
rommem[13412] <= 12'h05D;
rommem[13413] <= 12'h017;
rommem[13414] <= 12'hFFF;
rommem[13415] <= 12'h8AA;
rommem[13416] <= 12'h0C6;
rommem[13417] <= 12'h02B;
rommem[13417] <= 12'h02C;
rommem[13418] <= 12'h017;
rommem[13419] <= 12'hFFF;
rommem[13420] <= 12'h8A5;
rommem[13421] <= 12'h035;
rommem[13422] <= 12'h004;
rommem[13423] <= 12'h0C5;
rommem[13424] <= 12'h100;
rommem[13425] <= 12'h126;
rommem[13426] <= 12'h000;
rommem[13427] <= 12'h3AD;
rommem[13428] <= 12'h0C5;
rommem[13429] <= 12'h080;
rommem[13430] <= 12'h126;
rommem[13431] <= 12'h000;
rommem[13432] <= 12'h3A8;
rommem[13433] <= 12'h0C6;
rommem[13434] <= 12'h05D;
rommem[13435] <= 12'h017;
rommem[13436] <= 12'hFFF;
rommem[13437] <= 12'h894;
rommem[13438] <= 12'h016;
rommem[13439] <= 12'h000;
rommem[13440] <= 12'h3A0;
rommem[13441] <= 12'h0C1;
rommem[13442] <= 12'h001;
rommem[13443] <= 12'h026;
rommem[13444] <= 12'h033;
rommem[13445] <= 12'h0E6;
rommem[13446] <= 12'hE04;
rommem[13447] <= 12'h0C5;
rommem[13448] <= 12'h080;
rommem[13449] <= 12'h026;
rommem[13450] <= 12'h005;
rommem[13451] <= 12'h0C6;
rommem[13452] <= 12'h05D;
rommem[13453] <= 12'h017;
rommem[13454] <= 12'hFFF;
rommem[13455] <= 12'h882;
rommem[13456] <= 12'h0C6;
rommem[13457] <= 12'h02C;
rommem[13458] <= 12'h017;
rommem[13459] <= 12'hFFF;
rommem[13460] <= 12'h87D;
rommem[13461] <= 12'h0E6;
rommem[13462] <= 12'hE04;
rommem[13463] <= 12'h08D;
rommem[13464] <= 12'h398;
rommem[13421] <= 12'h0E6;
rommem[13422] <= 12'hE04;
rommem[13423] <= 12'h08D;
rommem[13424] <= 12'h403;
rommem[13425] <= 12'h017;
rommem[13426] <= 12'hFFF;
rommem[13427] <= 12'h89E;
rommem[13428] <= 12'h0C6;
rommem[13429] <= 12'h02B;
rommem[13430] <= 12'h017;
rommem[13431] <= 12'hFFF;
rommem[13432] <= 12'h899;
rommem[13433] <= 12'h035;
rommem[13434] <= 12'h004;
rommem[13435] <= 12'h0C5;
rommem[13436] <= 12'h100;
rommem[13437] <= 12'h127;
rommem[13438] <= 12'h000;
rommem[13439] <= 12'h3C2;
rommem[13440] <= 12'h0C5;
rommem[13441] <= 12'h080;
rommem[13442] <= 12'h126;
rommem[13443] <= 12'h000;
rommem[13444] <= 12'h3BD;
rommem[13445] <= 12'h0C6;
rommem[13446] <= 12'h05D;
rommem[13447] <= 12'h017;
rommem[13448] <= 12'hFFF;
rommem[13449] <= 12'h888;
rommem[13450] <= 12'h016;
rommem[13451] <= 12'h000;
rommem[13452] <= 12'h3B5;
rommem[13453] <= 12'h0C1;
rommem[13454] <= 12'h001;
rommem[13455] <= 12'h026;
rommem[13456] <= 12'h033;
rommem[13457] <= 12'h0E6;
rommem[13458] <= 12'hE04;
rommem[13459] <= 12'h0C5;
rommem[13460] <= 12'h080;
rommem[13461] <= 12'h027;
rommem[13462] <= 12'h005;
rommem[13463] <= 12'h0C6;
rommem[13464] <= 12'h05D;
rommem[13465] <= 12'h017;
rommem[13466] <= 12'hFFF;
rommem[13467] <= 12'h876;
rommem[13468] <= 12'h0C6;
rommem[13469] <= 12'h02B;
rommem[13469] <= 12'h02C;
rommem[13470] <= 12'h017;
rommem[13471] <= 12'hFFF;
rommem[13472] <= 12'h871;
rommem[13473] <= 12'h017;
rommem[13474] <= 12'hFFF;
rommem[13475] <= 12'h86E;
rommem[13476] <= 12'h035;
rommem[13477] <= 12'h004;
rommem[13478] <= 12'h0C5;
rommem[13479] <= 12'h100;
rommem[13480] <= 12'h126;
rommem[13481] <= 12'h000;
rommem[13482] <= 12'h376;
rommem[13483] <= 12'h0C5;
rommem[13484] <= 12'h080;
rommem[13485] <= 12'h126;
rommem[13486] <= 12'h000;
rommem[13487] <= 12'h371;
rommem[13488] <= 12'h0C6;
rommem[13489] <= 12'h05D;
rommem[13490] <= 12'h017;
rommem[13491] <= 12'hFFF;
rommem[13492] <= 12'h85D;
rommem[13493] <= 12'h016;
rommem[13494] <= 12'h000;
rommem[13495] <= 12'h369;
rommem[13496] <= 12'h0C1;
rommem[13497] <= 12'h002;
rommem[13498] <= 12'h026;
rommem[13499] <= 12'h030;
rommem[13500] <= 12'h0E6;
rommem[13501] <= 12'hE04;
rommem[13502] <= 12'h0C5;
rommem[13503] <= 12'h080;
rommem[13504] <= 12'h026;
rommem[13505] <= 12'h005;
rommem[13506] <= 12'h0C6;
rommem[13507] <= 12'h05D;
rommem[13508] <= 12'h017;
rommem[13509] <= 12'hFFF;
rommem[13510] <= 12'h84B;
rommem[13511] <= 12'h0C6;
rommem[13512] <= 12'h02C;
rommem[13513] <= 12'h017;
rommem[13514] <= 12'hFFF;
rommem[13515] <= 12'h846;
rommem[13516] <= 12'h0E6;
rommem[13517] <= 12'hE04;
rommem[13518] <= 12'h08D;
rommem[13519] <= 12'h361;
rommem[13473] <= 12'h0E6;
rommem[13474] <= 12'hE04;
rommem[13475] <= 12'h08D;
rommem[13476] <= 12'h3CF;
rommem[13477] <= 12'h017;
rommem[13478] <= 12'hFFF;
rommem[13479] <= 12'h86A;
rommem[13480] <= 12'h0C6;
rommem[13481] <= 12'h02B;
rommem[13482] <= 12'h017;
rommem[13483] <= 12'hFFF;
rommem[13484] <= 12'h865;
rommem[13485] <= 12'h017;
rommem[13486] <= 12'hFFF;
rommem[13487] <= 12'h862;
rommem[13488] <= 12'h035;
rommem[13489] <= 12'h004;
rommem[13490] <= 12'h0C5;
rommem[13491] <= 12'h100;
rommem[13492] <= 12'h127;
rommem[13493] <= 12'h000;
rommem[13494] <= 12'h38B;
rommem[13495] <= 12'h0C5;
rommem[13496] <= 12'h080;
rommem[13497] <= 12'h126;
rommem[13498] <= 12'h000;
rommem[13499] <= 12'h386;
rommem[13500] <= 12'h0C6;
rommem[13501] <= 12'h05D;
rommem[13502] <= 12'h017;
rommem[13503] <= 12'hFFF;
rommem[13504] <= 12'h851;
rommem[13505] <= 12'h016;
rommem[13506] <= 12'h000;
rommem[13507] <= 12'h37E;
rommem[13508] <= 12'h0C1;
rommem[13509] <= 12'h002;
rommem[13510] <= 12'h026;
rommem[13511] <= 12'h030;
rommem[13512] <= 12'h0E6;
rommem[13513] <= 12'hE04;
rommem[13514] <= 12'h0C5;
rommem[13515] <= 12'h080;
rommem[13516] <= 12'h027;
rommem[13517] <= 12'h005;
rommem[13518] <= 12'h0C6;
rommem[13519] <= 12'h05D;
rommem[13520] <= 12'h017;
rommem[13521] <= 12'hFFF;
rommem[13522] <= 12'h83F;
rommem[13523] <= 12'h0C6;
rommem[13524] <= 12'h02D;
rommem[13524] <= 12'h02C;
rommem[13525] <= 12'h017;
rommem[13526] <= 12'hFFF;
rommem[13527] <= 12'h83A;
rommem[13528] <= 12'h035;
rommem[13529] <= 12'h004;
rommem[13530] <= 12'h0C5;
rommem[13531] <= 12'h100;
rommem[13532] <= 12'h126;
rommem[13533] <= 12'h000;
rommem[13534] <= 12'h342;
rommem[13535] <= 12'h0C5;
rommem[13536] <= 12'h080;
rommem[13537] <= 12'h126;
rommem[13538] <= 12'h000;
rommem[13539] <= 12'h33D;
rommem[13540] <= 12'h0C6;
rommem[13541] <= 12'h05D;
rommem[13542] <= 12'h017;
rommem[13543] <= 12'hFFF;
rommem[13544] <= 12'h829;
rommem[13545] <= 12'h016;
rommem[13546] <= 12'h000;
rommem[13547] <= 12'h335;
rommem[13548] <= 12'h0C1;
rommem[13549] <= 12'h003;
rommem[13550] <= 12'h026;
rommem[13551] <= 12'h033;
rommem[13552] <= 12'h0E6;
rommem[13553] <= 12'hE04;
rommem[13554] <= 12'h0C5;
rommem[13555] <= 12'h080;
rommem[13556] <= 12'h026;
rommem[13557] <= 12'h005;
rommem[13558] <= 12'h0C6;
rommem[13559] <= 12'h05D;
rommem[13560] <= 12'h017;
rommem[13561] <= 12'hFFF;
rommem[13562] <= 12'h817;
rommem[13563] <= 12'h0C6;
rommem[13564] <= 12'h02C;
rommem[13565] <= 12'h017;
rommem[13566] <= 12'hFFF;
rommem[13567] <= 12'h812;
rommem[13568] <= 12'h0E6;
rommem[13569] <= 12'hE04;
rommem[13570] <= 12'h08D;
rommem[13571] <= 12'h32D;
rommem[13528] <= 12'h0E6;
rommem[13529] <= 12'hE04;
rommem[13530] <= 12'h08D;
rommem[13531] <= 12'h398;
rommem[13532] <= 12'h017;
rommem[13533] <= 12'hFFF;
rommem[13534] <= 12'h833;
rommem[13535] <= 12'h0C6;
rommem[13536] <= 12'h02D;
rommem[13537] <= 12'h017;
rommem[13538] <= 12'hFFF;
rommem[13539] <= 12'h82E;
rommem[13540] <= 12'h035;
rommem[13541] <= 12'h004;
rommem[13542] <= 12'h0C5;
rommem[13543] <= 12'h100;
rommem[13544] <= 12'h127;
rommem[13545] <= 12'h000;
rommem[13546] <= 12'h357;
rommem[13547] <= 12'h0C5;
rommem[13548] <= 12'h080;
rommem[13549] <= 12'h126;
rommem[13550] <= 12'h000;
rommem[13551] <= 12'h352;
rommem[13552] <= 12'h0C6;
rommem[13553] <= 12'h05D;
rommem[13554] <= 12'h017;
rommem[13555] <= 12'hFFF;
rommem[13556] <= 12'h81D;
rommem[13557] <= 12'h016;
rommem[13558] <= 12'h000;
rommem[13559] <= 12'h34A;
rommem[13560] <= 12'h0C1;
rommem[13561] <= 12'h003;
rommem[13562] <= 12'h026;
rommem[13563] <= 12'h033;
rommem[13564] <= 12'h0E6;
rommem[13565] <= 12'hE04;
rommem[13566] <= 12'h0C5;
rommem[13567] <= 12'h080;
rommem[13568] <= 12'h027;
rommem[13569] <= 12'h005;
rommem[13570] <= 12'h0C6;
rommem[13571] <= 12'h05D;
rommem[13572] <= 12'h017;
rommem[13573] <= 12'hFFF;
rommem[13574] <= 12'h80B;
rommem[13575] <= 12'h0C6;
rommem[13576] <= 12'h02D;
rommem[13576] <= 12'h02C;
rommem[13577] <= 12'h017;
rommem[13578] <= 12'hFFF;
rommem[13579] <= 12'h806;
rommem[13580] <= 12'h017;
rommem[13581] <= 12'hFFF;
rommem[13582] <= 12'h803;
rommem[13583] <= 12'h035;
rommem[13584] <= 12'h004;
rommem[13585] <= 12'h0C5;
rommem[13586] <= 12'h100;
rommem[13587] <= 12'h126;
rommem[13588] <= 12'h000;
rommem[13589] <= 12'h30B;
rommem[13590] <= 12'h0C5;
rommem[13591] <= 12'h080;
rommem[13592] <= 12'h126;
rommem[13593] <= 12'h000;
rommem[13594] <= 12'h306;
rommem[13595] <= 12'h0C6;
rommem[13596] <= 12'h05D;
rommem[13597] <= 12'h017;
rommem[13598] <= 12'hFFF;
rommem[13599] <= 12'h7F2;
rommem[13600] <= 12'h016;
rommem[13601] <= 12'h000;
rommem[13602] <= 12'h2FE;
rommem[13603] <= 12'h0C1;
rommem[13604] <= 12'h004;
rommem[13605] <= 12'h026;
rommem[13606] <= 12'h02B;
rommem[13607] <= 12'h0E6;
rommem[13608] <= 12'hE04;
rommem[13609] <= 12'h0C5;
rommem[13610] <= 12'h080;
rommem[13611] <= 12'h026;
rommem[13612] <= 12'h005;
rommem[13613] <= 12'h0C6;
rommem[13614] <= 12'h05D;
rommem[13615] <= 12'h017;
rommem[13616] <= 12'hFFF;
rommem[13617] <= 12'h7E0;
rommem[13618] <= 12'h0C6;
rommem[13619] <= 12'h02C;
rommem[13620] <= 12'h017;
rommem[13621] <= 12'hFFF;
rommem[13622] <= 12'h7DB;
rommem[13623] <= 12'h0E6;
rommem[13624] <= 12'hE04;
rommem[13625] <= 12'h08D;
rommem[13626] <= 12'h2F6;
rommem[13580] <= 12'h0E6;
rommem[13581] <= 12'hE04;
rommem[13582] <= 12'h08D;
rommem[13583] <= 12'h364;
rommem[13584] <= 12'h017;
rommem[13585] <= 12'hFFF;
rommem[13586] <= 12'h7FF;
rommem[13587] <= 12'h0C6;
rommem[13588] <= 12'h02D;
rommem[13589] <= 12'h017;
rommem[13590] <= 12'hFFF;
rommem[13591] <= 12'h7FA;
rommem[13592] <= 12'h017;
rommem[13593] <= 12'hFFF;
rommem[13594] <= 12'h7F7;
rommem[13595] <= 12'h035;
rommem[13596] <= 12'h004;
rommem[13597] <= 12'h0C5;
rommem[13598] <= 12'h100;
rommem[13599] <= 12'h127;
rommem[13600] <= 12'h000;
rommem[13601] <= 12'h320;
rommem[13602] <= 12'h0C5;
rommem[13603] <= 12'h080;
rommem[13604] <= 12'h126;
rommem[13605] <= 12'h000;
rommem[13606] <= 12'h31B;
rommem[13607] <= 12'h0C6;
rommem[13608] <= 12'h05D;
rommem[13609] <= 12'h017;
rommem[13610] <= 12'hFFF;
rommem[13611] <= 12'h7E6;
rommem[13612] <= 12'h016;
rommem[13613] <= 12'h000;
rommem[13614] <= 12'h313;
rommem[13615] <= 12'h0C1;
rommem[13616] <= 12'h004;
rommem[13617] <= 12'h026;
rommem[13618] <= 12'h02B;
rommem[13619] <= 12'h0E6;
rommem[13620] <= 12'hE04;
rommem[13621] <= 12'h0C5;
rommem[13622] <= 12'h080;
rommem[13623] <= 12'h027;
rommem[13624] <= 12'h005;
rommem[13625] <= 12'h0C6;
rommem[13626] <= 12'h05D;
rommem[13627] <= 12'h017;
rommem[13628] <= 12'hFFF;
rommem[13629] <= 12'h7D4;
rommem[13630] <= 12'h035;
rommem[13631] <= 12'h004;
rommem[13632] <= 12'h0C5;
rommem[13633] <= 12'h100;
rommem[13634] <= 12'h126;
rommem[13635] <= 12'h000;
rommem[13636] <= 12'h2DC;
rommem[13637] <= 12'h0C5;
rommem[13638] <= 12'h080;
rommem[13639] <= 12'h126;
rommem[13640] <= 12'h000;
rommem[13641] <= 12'h2D7;
rommem[13642] <= 12'h0C6;
rommem[13643] <= 12'h05D;
rommem[13644] <= 12'h017;
rommem[13645] <= 12'hFFF;
rommem[13646] <= 12'h7C3;
rommem[13647] <= 12'h016;
rommem[13648] <= 12'h000;
rommem[13649] <= 12'h2CF;
rommem[13650] <= 12'h0C1;
rommem[13651] <= 12'h005;
rommem[13652] <= 12'h026;
rommem[13653] <= 12'h038;
rommem[13630] <= 12'h0C6;
rommem[13631] <= 12'h02C;
rommem[13632] <= 12'h017;
rommem[13633] <= 12'hFFF;
rommem[13634] <= 12'h7CF;
rommem[13635] <= 12'h0E6;
rommem[13636] <= 12'hE04;
rommem[13637] <= 12'h08D;
rommem[13638] <= 12'h32D;
rommem[13639] <= 12'h017;
rommem[13640] <= 12'hFFF;
rommem[13641] <= 12'h7C8;
rommem[13642] <= 12'h035;
rommem[13643] <= 12'h004;
rommem[13644] <= 12'h0C5;
rommem[13645] <= 12'h100;
rommem[13646] <= 12'h127;
rommem[13647] <= 12'h000;
rommem[13648] <= 12'h2F1;
rommem[13649] <= 12'h0C5;
rommem[13650] <= 12'h080;
rommem[13651] <= 12'h126;
rommem[13652] <= 12'h000;
rommem[13653] <= 12'h2EC;
rommem[13654] <= 12'h0C6;
rommem[13655] <= 12'h042;
rommem[13655] <= 12'h05D;
rommem[13656] <= 12'h017;
rommem[13657] <= 12'hFFF;
rommem[13658] <= 12'h7B7;
rommem[13659] <= 12'h0E6;
rommem[13660] <= 12'hE04;
rommem[13661] <= 12'h0C5;
rommem[13662] <= 12'h080;
rommem[13663] <= 12'h026;
rommem[13664] <= 12'h005;
rommem[13665] <= 12'h0C6;
rommem[13666] <= 12'h05D;
rommem[13667] <= 12'h017;
rommem[13668] <= 12'hFFF;
rommem[13669] <= 12'h7AC;
rommem[13670] <= 12'h0C6;
rommem[13671] <= 12'h02C;
rommem[13672] <= 12'h017;
rommem[13673] <= 12'hFFF;
rommem[13674] <= 12'h7A7;
rommem[13675] <= 12'h0E6;
rommem[13676] <= 12'hE04;
rommem[13677] <= 12'h08D;
rommem[13678] <= 12'h2C2;
rommem[13659] <= 12'h016;
rommem[13660] <= 12'h000;
rommem[13661] <= 12'h2E4;
rommem[13662] <= 12'h0C1;
rommem[13663] <= 12'h005;
rommem[13664] <= 12'h026;
rommem[13665] <= 12'h038;
rommem[13666] <= 12'h0C6;
rommem[13667] <= 12'h042;
rommem[13668] <= 12'h017;
rommem[13669] <= 12'hFFF;
rommem[13670] <= 12'h7AB;
rommem[13671] <= 12'h0E6;
rommem[13672] <= 12'hE04;
rommem[13673] <= 12'h0C5;
rommem[13674] <= 12'h080;
rommem[13675] <= 12'h027;
rommem[13676] <= 12'h005;
rommem[13677] <= 12'h0C6;
rommem[13678] <= 12'h05D;
rommem[13679] <= 12'h017;
rommem[13680] <= 12'hFFF;
rommem[13681] <= 12'h7A0;
rommem[13682] <= 12'h0C6;
rommem[13683] <= 12'h02D;
rommem[13683] <= 12'h02C;
rommem[13684] <= 12'h017;
rommem[13685] <= 12'hFFF;
rommem[13686] <= 12'h79B;
rommem[13687] <= 12'h017;
rommem[13688] <= 12'hFFF;
rommem[13689] <= 12'h798;
rommem[13690] <= 12'h035;
rommem[13691] <= 12'h004;
rommem[13692] <= 12'h0C5;
rommem[13693] <= 12'h100;
rommem[13694] <= 12'h126;
rommem[13695] <= 12'h000;
rommem[13696] <= 12'h2A0;
rommem[13697] <= 12'h0C5;
rommem[13698] <= 12'h080;
rommem[13699] <= 12'h126;
rommem[13700] <= 12'h000;
rommem[13701] <= 12'h29B;
rommem[13702] <= 12'h0C6;
rommem[13703] <= 12'h05D;
rommem[13704] <= 12'h017;
rommem[13705] <= 12'hFFF;
rommem[13706] <= 12'h787;
rommem[13707] <= 12'h016;
rommem[13708] <= 12'h000;
rommem[13709] <= 12'h293;
rommem[13710] <= 12'h0C1;
rommem[13711] <= 12'h006;
rommem[13712] <= 12'h026;
rommem[13713] <= 12'h038;
rommem[13687] <= 12'h0E6;
rommem[13688] <= 12'hE04;
rommem[13689] <= 12'h08D;
rommem[13690] <= 12'h2F9;
rommem[13691] <= 12'h017;
rommem[13692] <= 12'hFFF;
rommem[13693] <= 12'h794;
rommem[13694] <= 12'h0C6;
rommem[13695] <= 12'h02D;
rommem[13696] <= 12'h017;
rommem[13697] <= 12'hFFF;
rommem[13698] <= 12'h78F;
rommem[13699] <= 12'h017;
rommem[13700] <= 12'hFFF;
rommem[13701] <= 12'h78C;
rommem[13702] <= 12'h035;
rommem[13703] <= 12'h004;
rommem[13704] <= 12'h0C5;
rommem[13705] <= 12'h100;
rommem[13706] <= 12'h127;
rommem[13707] <= 12'h000;
rommem[13708] <= 12'h2B5;
rommem[13709] <= 12'h0C5;
rommem[13710] <= 12'h080;
rommem[13711] <= 12'h126;
rommem[13712] <= 12'h000;
rommem[13713] <= 12'h2B0;
rommem[13714] <= 12'h0C6;
rommem[13715] <= 12'h041;
rommem[13715] <= 12'h05D;
rommem[13716] <= 12'h017;
rommem[13717] <= 12'hFFF;
rommem[13718] <= 12'h77B;
rommem[13719] <= 12'h0E6;
rommem[13720] <= 12'hE04;
rommem[13721] <= 12'h0C5;
rommem[13722] <= 12'h080;
rommem[13723] <= 12'h026;
rommem[13724] <= 12'h005;
rommem[13725] <= 12'h0C6;
rommem[13726] <= 12'h05D;
rommem[13727] <= 12'h017;
rommem[13728] <= 12'hFFF;
rommem[13729] <= 12'h770;
rommem[13730] <= 12'h0C6;
rommem[13731] <= 12'h02C;
rommem[13732] <= 12'h017;
rommem[13733] <= 12'hFFF;
rommem[13734] <= 12'h76B;
rommem[13735] <= 12'h0E6;
rommem[13736] <= 12'hE04;
rommem[13737] <= 12'h08D;
rommem[13738] <= 12'h286;
rommem[13719] <= 12'h016;
rommem[13720] <= 12'h000;
rommem[13721] <= 12'h2A8;
rommem[13722] <= 12'h0C1;
rommem[13723] <= 12'h006;
rommem[13724] <= 12'h026;
rommem[13725] <= 12'h038;
rommem[13726] <= 12'h0C6;
rommem[13727] <= 12'h041;
rommem[13728] <= 12'h017;
rommem[13729] <= 12'hFFF;
rommem[13730] <= 12'h76F;
rommem[13731] <= 12'h0E6;
rommem[13732] <= 12'hE04;
rommem[13733] <= 12'h0C5;
rommem[13734] <= 12'h080;
rommem[13735] <= 12'h027;
rommem[13736] <= 12'h005;
rommem[13737] <= 12'h0C6;
rommem[13738] <= 12'h05D;
rommem[13739] <= 12'h017;
rommem[13740] <= 12'hFFF;
rommem[13741] <= 12'h764;
rommem[13742] <= 12'h0C6;
rommem[13743] <= 12'h02D;
rommem[13743] <= 12'h02C;
rommem[13744] <= 12'h017;
rommem[13745] <= 12'hFFF;
rommem[13746] <= 12'h75F;
rommem[13747] <= 12'h017;
rommem[13748] <= 12'hFFF;
rommem[13749] <= 12'h75C;
rommem[13750] <= 12'h035;
rommem[13751] <= 12'h004;
rommem[13752] <= 12'h0C5;
rommem[13753] <= 12'h100;
rommem[13754] <= 12'h126;
rommem[13755] <= 12'h000;
rommem[13756] <= 12'h264;
rommem[13757] <= 12'h0C5;
rommem[13758] <= 12'h080;
rommem[13759] <= 12'h126;
rommem[13760] <= 12'h000;
rommem[13761] <= 12'h25F;
rommem[13762] <= 12'h0C6;
rommem[13763] <= 12'h05D;
rommem[13764] <= 12'h017;
rommem[13765] <= 12'hFFF;
rommem[13766] <= 12'h74B;
rommem[13767] <= 12'h016;
rommem[13768] <= 12'h000;
rommem[13769] <= 12'h257;
rommem[13770] <= 12'h0C1;
rommem[13771] <= 12'h008;
rommem[13772] <= 12'h026;
rommem[13773] <= 12'h039;
rommem[13774] <= 12'h0E6;
rommem[13775] <= 12'hA00;
rommem[13776] <= 12'h01D;
rommem[13777] <= 12'h017;
rommem[13778] <= 12'hFFE;
rommem[13779] <= 12'hE19;
rommem[13780] <= 12'h0E6;
rommem[13781] <= 12'hE04;
rommem[13782] <= 12'h0C5;
rommem[13783] <= 12'h080;
rommem[13747] <= 12'h0E6;
rommem[13748] <= 12'hE04;
rommem[13749] <= 12'h08D;
rommem[13750] <= 12'h2BD;
rommem[13751] <= 12'h017;
rommem[13752] <= 12'hFFF;
rommem[13753] <= 12'h758;
rommem[13754] <= 12'h0C6;
rommem[13755] <= 12'h02D;
rommem[13756] <= 12'h017;
rommem[13757] <= 12'hFFF;
rommem[13758] <= 12'h753;
rommem[13759] <= 12'h017;
rommem[13760] <= 12'hFFF;
rommem[13761] <= 12'h750;
rommem[13762] <= 12'h035;
rommem[13763] <= 12'h004;
rommem[13764] <= 12'h0C5;
rommem[13765] <= 12'h100;
rommem[13766] <= 12'h127;
rommem[13767] <= 12'h000;
rommem[13768] <= 12'h279;
rommem[13769] <= 12'h0C5;
rommem[13770] <= 12'h080;
rommem[13771] <= 12'h126;
rommem[13772] <= 12'h000;
rommem[13773] <= 12'h274;
rommem[13774] <= 12'h0C6;
rommem[13775] <= 12'h05D;
rommem[13776] <= 12'h017;
rommem[13777] <= 12'hFFF;
rommem[13778] <= 12'h73F;
rommem[13779] <= 12'h016;
rommem[13780] <= 12'h000;
rommem[13781] <= 12'h26C;
rommem[13782] <= 12'h0C1;
rommem[13783] <= 12'h008;
rommem[13784] <= 12'h026;
rommem[13785] <= 12'h005;
rommem[13786] <= 12'h0C6;
rommem[13787] <= 12'h05D;
rommem[13788] <= 12'h017;
rommem[13789] <= 12'hFFF;
rommem[13790] <= 12'h733;
rommem[13791] <= 12'h0C6;
rommem[13792] <= 12'h02C;
rommem[13793] <= 12'h017;
rommem[13794] <= 12'hFFF;
rommem[13795] <= 12'h72E;
rommem[13796] <= 12'h0E6;
rommem[13797] <= 12'hE04;
rommem[13798] <= 12'h08D;
rommem[13799] <= 12'h249;
rommem[13785] <= 12'h039;
rommem[13786] <= 12'h0E6;
rommem[13787] <= 12'hA00;
rommem[13788] <= 12'h01D;
rommem[13789] <= 12'h017;
rommem[13790] <= 12'hFFE;
rommem[13791] <= 12'hE21;
rommem[13792] <= 12'h0E6;
rommem[13793] <= 12'hE04;
rommem[13794] <= 12'h0C5;
rommem[13795] <= 12'h080;
rommem[13796] <= 12'h027;
rommem[13797] <= 12'h005;
rommem[13798] <= 12'h0C6;
rommem[13799] <= 12'h05D;
rommem[13800] <= 12'h017;
rommem[13801] <= 12'hFFF;
rommem[13802] <= 12'h727;
rommem[13803] <= 12'h0C6;
rommem[13804] <= 12'h02D;
rommem[13804] <= 12'h02C;
rommem[13805] <= 12'h017;
rommem[13806] <= 12'hFFF;
rommem[13807] <= 12'h722;
rommem[13808] <= 12'h017;
rommem[13809] <= 12'hFFF;
rommem[13810] <= 12'h71F;
rommem[13811] <= 12'h035;
rommem[13812] <= 12'h004;
rommem[13813] <= 12'h0C5;
rommem[13814] <= 12'h100;
rommem[13815] <= 12'h126;
rommem[13816] <= 12'h000;
rommem[13817] <= 12'h227;
rommem[13818] <= 12'h0C5;
rommem[13819] <= 12'h080;
rommem[13820] <= 12'h126;
rommem[13821] <= 12'h000;
rommem[13822] <= 12'h222;
rommem[13823] <= 12'h0C6;
rommem[13824] <= 12'h05D;
rommem[13825] <= 12'h017;
rommem[13826] <= 12'hFFF;
rommem[13827] <= 12'h70E;
rommem[13828] <= 12'h016;
rommem[13829] <= 12'h000;
rommem[13830] <= 12'h21A;
rommem[13831] <= 12'h0C1;
rommem[13832] <= 12'h009;
rommem[13833] <= 12'h026;
rommem[13834] <= 12'h038;
rommem[13835] <= 12'h0EC;
rommem[13836] <= 12'hA01;
rommem[13808] <= 12'h0E6;
rommem[13809] <= 12'hE04;
rommem[13810] <= 12'h08D;
rommem[13811] <= 12'h280;
rommem[13812] <= 12'h017;
rommem[13813] <= 12'hFFF;
rommem[13814] <= 12'h71B;
rommem[13815] <= 12'h0C6;
rommem[13816] <= 12'h02D;
rommem[13817] <= 12'h017;
rommem[13818] <= 12'hFFF;
rommem[13819] <= 12'h716;
rommem[13820] <= 12'h017;
rommem[13821] <= 12'hFFF;
rommem[13822] <= 12'h713;
rommem[13823] <= 12'h035;
rommem[13824] <= 12'h004;
rommem[13825] <= 12'h0C5;
rommem[13826] <= 12'h100;
rommem[13827] <= 12'h127;
rommem[13828] <= 12'h000;
rommem[13829] <= 12'h23C;
rommem[13830] <= 12'h0C5;
rommem[13831] <= 12'h080;
rommem[13832] <= 12'h126;
rommem[13833] <= 12'h000;
rommem[13834] <= 12'h237;
rommem[13835] <= 12'h0C6;
rommem[13836] <= 12'h05D;
rommem[13837] <= 12'h017;
rommem[13838] <= 12'hFFE;
rommem[13839] <= 12'hDDD;
rommem[13840] <= 12'h0E6;
rommem[13841] <= 12'hE04;
rommem[13842] <= 12'h0C5;
rommem[13843] <= 12'h080;
rommem[13844] <= 12'h026;
rommem[13845] <= 12'h005;
rommem[13846] <= 12'h0C6;
rommem[13847] <= 12'h05D;
rommem[13848] <= 12'h017;
rommem[13849] <= 12'hFFF;
rommem[13850] <= 12'h6F7;
rommem[13851] <= 12'h0C6;
rommem[13852] <= 12'h02C;
rommem[13853] <= 12'h017;
rommem[13854] <= 12'hFFF;
rommem[13855] <= 12'h6F2;
rommem[13856] <= 12'h0E6;
rommem[13857] <= 12'hE04;
rommem[13858] <= 12'h08D;
rommem[13859] <= 12'h20D;
rommem[13838] <= 12'hFFF;
rommem[13839] <= 12'h702;
rommem[13840] <= 12'h016;
rommem[13841] <= 12'h000;
rommem[13842] <= 12'h22F;
rommem[13843] <= 12'h0C1;
rommem[13844] <= 12'h009;
rommem[13845] <= 12'h026;
rommem[13846] <= 12'h038;
rommem[13847] <= 12'h0EC;
rommem[13848] <= 12'hA01;
rommem[13849] <= 12'h017;
rommem[13850] <= 12'hFFE;
rommem[13851] <= 12'hDE5;
rommem[13852] <= 12'h0E6;
rommem[13853] <= 12'hE04;
rommem[13854] <= 12'h0C5;
rommem[13855] <= 12'h080;
rommem[13856] <= 12'h027;
rommem[13857] <= 12'h005;
rommem[13858] <= 12'h0C6;
rommem[13859] <= 12'h05D;
rommem[13860] <= 12'h017;
rommem[13861] <= 12'hFFF;
rommem[13862] <= 12'h6EB;
rommem[13863] <= 12'h0C6;
rommem[13864] <= 12'h02D;
rommem[13864] <= 12'h02C;
rommem[13865] <= 12'h017;
rommem[13866] <= 12'hFFF;
rommem[13867] <= 12'h6E6;
rommem[13868] <= 12'h017;
rommem[13869] <= 12'hFFF;
rommem[13870] <= 12'h6E3;
rommem[13871] <= 12'h035;
rommem[13872] <= 12'h004;
rommem[13873] <= 12'h0C5;
rommem[13874] <= 12'h100;
rommem[13875] <= 12'h126;
rommem[13876] <= 12'h000;
rommem[13877] <= 12'h1EB;
rommem[13878] <= 12'h0C5;
rommem[13879] <= 12'h080;
rommem[13880] <= 12'h126;
rommem[13881] <= 12'h000;
rommem[13882] <= 12'h1E6;
rommem[13883] <= 12'h0C6;
rommem[13884] <= 12'h05D;
rommem[13885] <= 12'h017;
rommem[13886] <= 12'hFFF;
rommem[13887] <= 12'h6D2;
rommem[13888] <= 12'h016;
rommem[13889] <= 12'h000;
rommem[13890] <= 12'h1DE;
rommem[13891] <= 12'h0C1;
rommem[13892] <= 12'h00A;
rommem[13893] <= 12'h026;
rommem[13894] <= 12'h03D;
rommem[13895] <= 12'h0E6;
rommem[13896] <= 12'hA01;
rommem[13868] <= 12'h0E6;
rommem[13869] <= 12'hE04;
rommem[13870] <= 12'h08D;
rommem[13871] <= 12'h244;
rommem[13872] <= 12'h017;
rommem[13873] <= 12'hFFF;
rommem[13874] <= 12'h6DF;
rommem[13875] <= 12'h0C6;
rommem[13876] <= 12'h02D;
rommem[13877] <= 12'h017;
rommem[13878] <= 12'hFFF;
rommem[13879] <= 12'h6DA;
rommem[13880] <= 12'h017;
rommem[13881] <= 12'hFFF;
rommem[13882] <= 12'h6D7;
rommem[13883] <= 12'h035;
rommem[13884] <= 12'h004;
rommem[13885] <= 12'h0C5;
rommem[13886] <= 12'h100;
rommem[13887] <= 12'h127;
rommem[13888] <= 12'h000;
rommem[13889] <= 12'h200;
rommem[13890] <= 12'h0C5;
rommem[13891] <= 12'h080;
rommem[13892] <= 12'h126;
rommem[13893] <= 12'h000;
rommem[13894] <= 12'h1FB;
rommem[13895] <= 12'h0C6;
rommem[13896] <= 12'h05D;
rommem[13897] <= 12'h017;
rommem[13898] <= 12'hFFE;
rommem[13899] <= 12'hDAA;
rommem[13900] <= 12'h0EC;
rommem[13901] <= 12'hA01;
rommem[13902] <= 12'h017;
rommem[13903] <= 12'hFFE;
rommem[13904] <= 12'hD9C;
rommem[13905] <= 12'h0E6;
rommem[13906] <= 12'hE04;
rommem[13907] <= 12'h0C5;
rommem[13908] <= 12'h080;
rommem[13909] <= 12'h026;
rommem[13910] <= 12'h005;
rommem[13911] <= 12'h0C6;
rommem[13912] <= 12'h05D;
rommem[13913] <= 12'h017;
rommem[13914] <= 12'hFFF;
rommem[13915] <= 12'h6B6;
rommem[13916] <= 12'h0C6;
rommem[13917] <= 12'h02C;
rommem[13918] <= 12'h017;
rommem[13919] <= 12'hFFF;
rommem[13920] <= 12'h6B1;
rommem[13921] <= 12'h0E6;
rommem[13922] <= 12'hE04;
rommem[13923] <= 12'h08D;
rommem[13924] <= 12'h1CC;
rommem[13898] <= 12'hFFF;
rommem[13899] <= 12'h6C6;
rommem[13900] <= 12'h016;
rommem[13901] <= 12'h000;
rommem[13902] <= 12'h1F3;
rommem[13903] <= 12'h0C1;
rommem[13904] <= 12'h00A;
rommem[13905] <= 12'h026;
rommem[13906] <= 12'h03D;
rommem[13907] <= 12'h0E6;
rommem[13908] <= 12'hA01;
rommem[13909] <= 12'h017;
rommem[13910] <= 12'hFFE;
rommem[13911] <= 12'hDB2;
rommem[13912] <= 12'h0EC;
rommem[13913] <= 12'hA01;
rommem[13914] <= 12'h017;
rommem[13915] <= 12'hFFE;
rommem[13916] <= 12'hDA4;
rommem[13917] <= 12'h0E6;
rommem[13918] <= 12'hE04;
rommem[13919] <= 12'h0C5;
rommem[13920] <= 12'h080;
rommem[13921] <= 12'h027;
rommem[13922] <= 12'h005;
rommem[13923] <= 12'h0C6;
rommem[13924] <= 12'h05D;
rommem[13925] <= 12'h017;
rommem[13926] <= 12'hFFF;
rommem[13927] <= 12'h6AA;
rommem[13928] <= 12'h0C6;
rommem[13929] <= 12'h02D;
rommem[13929] <= 12'h02C;
rommem[13930] <= 12'h017;
rommem[13931] <= 12'hFFF;
rommem[13932] <= 12'h6A5;
rommem[13933] <= 12'h017;
rommem[13934] <= 12'hFFF;
rommem[13935] <= 12'h6A2;
rommem[13936] <= 12'h035;
rommem[13937] <= 12'h004;
rommem[13938] <= 12'h0C5;
rommem[13939] <= 12'h100;
rommem[13940] <= 12'h126;
rommem[13941] <= 12'h000;
rommem[13942] <= 12'h1AA;
rommem[13943] <= 12'h0C5;
rommem[13944] <= 12'h080;
rommem[13945] <= 12'h126;
rommem[13946] <= 12'h000;
rommem[13947] <= 12'h1A5;
rommem[13948] <= 12'h0C6;
rommem[13949] <= 12'h05D;
rommem[13950] <= 12'h017;
rommem[13951] <= 12'hFFF;
rommem[13952] <= 12'h691;
rommem[13953] <= 12'h016;
rommem[13954] <= 12'h000;
rommem[13955] <= 12'h19D;
rommem[13956] <= 12'h0C1;
rommem[13957] <= 12'h00B;
rommem[13958] <= 12'h026;
rommem[13959] <= 12'h038;
rommem[13933] <= 12'h0E6;
rommem[13934] <= 12'hE04;
rommem[13935] <= 12'h08D;
rommem[13936] <= 12'h203;
rommem[13937] <= 12'h017;
rommem[13938] <= 12'hFFF;
rommem[13939] <= 12'h69E;
rommem[13940] <= 12'h0C6;
rommem[13941] <= 12'h02D;
rommem[13942] <= 12'h017;
rommem[13943] <= 12'hFFF;
rommem[13944] <= 12'h699;
rommem[13945] <= 12'h017;
rommem[13946] <= 12'hFFF;
rommem[13947] <= 12'h696;
rommem[13948] <= 12'h035;
rommem[13949] <= 12'h004;
rommem[13950] <= 12'h0C5;
rommem[13951] <= 12'h100;
rommem[13952] <= 12'h127;
rommem[13953] <= 12'h000;
rommem[13954] <= 12'h1BF;
rommem[13955] <= 12'h0C5;
rommem[13956] <= 12'h080;
rommem[13957] <= 12'h126;
rommem[13958] <= 12'h000;
rommem[13959] <= 12'h1BA;
rommem[13960] <= 12'h0C6;
rommem[13961] <= 12'h044;
rommem[13961] <= 12'h05D;
rommem[13962] <= 12'h017;
rommem[13963] <= 12'hFFF;
rommem[13964] <= 12'h685;
rommem[13965] <= 12'h0E6;
rommem[13966] <= 12'hE04;
rommem[13967] <= 12'h0C5;
rommem[13968] <= 12'h080;
rommem[13969] <= 12'h026;
rommem[13970] <= 12'h005;
rommem[13971] <= 12'h0C6;
rommem[13972] <= 12'h05D;
rommem[13973] <= 12'h017;
rommem[13974] <= 12'hFFF;
rommem[13975] <= 12'h67A;
rommem[13976] <= 12'h0C6;
rommem[13977] <= 12'h02C;
rommem[13978] <= 12'h017;
rommem[13979] <= 12'hFFF;
rommem[13980] <= 12'h675;
rommem[13981] <= 12'h0E6;
rommem[13982] <= 12'hE04;
rommem[13983] <= 12'h08D;
rommem[13984] <= 12'h190;
rommem[13965] <= 12'h016;
rommem[13966] <= 12'h000;
rommem[13967] <= 12'h1B2;
rommem[13968] <= 12'h0C1;
rommem[13969] <= 12'h00B;
rommem[13970] <= 12'h026;
rommem[13971] <= 12'h038;
rommem[13972] <= 12'h0C6;
rommem[13973] <= 12'h044;
rommem[13974] <= 12'h017;
rommem[13975] <= 12'hFFF;
rommem[13976] <= 12'h679;
rommem[13977] <= 12'h0E6;
rommem[13978] <= 12'hE04;
rommem[13979] <= 12'h0C5;
rommem[13980] <= 12'h080;
rommem[13981] <= 12'h027;
rommem[13982] <= 12'h005;
rommem[13983] <= 12'h0C6;
rommem[13984] <= 12'h05D;
rommem[13985] <= 12'h017;
rommem[13986] <= 12'hFFF;
rommem[13987] <= 12'h66E;
rommem[13988] <= 12'h0C6;
rommem[13989] <= 12'h02D;
rommem[13989] <= 12'h02C;
rommem[13990] <= 12'h017;
rommem[13991] <= 12'hFFF;
rommem[13992] <= 12'h669;
rommem[13993] <= 12'h017;
rommem[13994] <= 12'hFFF;
rommem[13995] <= 12'h666;
rommem[13996] <= 12'h035;
rommem[13997] <= 12'h004;
rommem[13998] <= 12'h0C5;
rommem[13999] <= 12'h100;
rommem[14000] <= 12'h126;
rommem[14001] <= 12'h000;
rommem[14002] <= 12'h16E;
rommem[14003] <= 12'h0C5;
rommem[14004] <= 12'h080;
rommem[14005] <= 12'h126;
rommem[14006] <= 12'h000;
rommem[14007] <= 12'h169;
rommem[14008] <= 12'h0C6;
rommem[14009] <= 12'h05D;
rommem[14010] <= 12'h017;
rommem[14011] <= 12'hFFF;
rommem[14012] <= 12'h655;
rommem[14013] <= 12'h016;
rommem[14014] <= 12'h000;
rommem[14015] <= 12'h161;
rommem[14016] <= 12'h0C1;
rommem[14017] <= 12'h00C;
rommem[14018] <= 12'h026;
rommem[14019] <= 12'h040;
rommem[14020] <= 12'h0E6;
rommem[14021] <= 12'hA00;
rommem[14022] <= 12'h01D;
rommem[14023] <= 12'h017;
rommem[14024] <= 12'hFFE;
rommem[14025] <= 12'hD23;
rommem[14026] <= 12'h0E6;
rommem[14027] <= 12'hE04;
rommem[14028] <= 12'h0C5;
rommem[14029] <= 12'h080;
rommem[13993] <= 12'h0E6;
rommem[13994] <= 12'hE04;
rommem[13995] <= 12'h08D;
rommem[13996] <= 12'h1C7;
rommem[13997] <= 12'h017;
rommem[13998] <= 12'hFFF;
rommem[13999] <= 12'h662;
rommem[14000] <= 12'h0C6;
rommem[14001] <= 12'h02D;
rommem[14002] <= 12'h017;
rommem[14003] <= 12'hFFF;
rommem[14004] <= 12'h65D;
rommem[14005] <= 12'h017;
rommem[14006] <= 12'hFFF;
rommem[14007] <= 12'h65A;
rommem[14008] <= 12'h035;
rommem[14009] <= 12'h004;
rommem[14010] <= 12'h0C5;
rommem[14011] <= 12'h100;
rommem[14012] <= 12'h127;
rommem[14013] <= 12'h000;
rommem[14014] <= 12'h183;
rommem[14015] <= 12'h0C5;
rommem[14016] <= 12'h080;
rommem[14017] <= 12'h126;
rommem[14018] <= 12'h000;
rommem[14019] <= 12'h17E;
rommem[14020] <= 12'h0C6;
rommem[14021] <= 12'h05D;
rommem[14022] <= 12'h017;
rommem[14023] <= 12'hFFF;
rommem[14024] <= 12'h649;
rommem[14025] <= 12'h016;
rommem[14026] <= 12'h000;
rommem[14027] <= 12'h176;
rommem[14028] <= 12'h0C1;
rommem[14029] <= 12'h00C;
rommem[14030] <= 12'h026;
rommem[14031] <= 12'h005;
rommem[14032] <= 12'h0C6;
rommem[14033] <= 12'h05D;
rommem[14034] <= 12'h017;
rommem[14035] <= 12'hFFF;
rommem[14036] <= 12'h63D;
rommem[14037] <= 12'h0C6;
rommem[14038] <= 12'h02C;
rommem[14039] <= 12'h017;
rommem[14040] <= 12'hFFF;
rommem[14041] <= 12'h638;
rommem[14042] <= 12'h0E6;
rommem[14043] <= 12'hE04;
rommem[14044] <= 12'h08D;
rommem[14045] <= 12'h153;
rommem[14046] <= 12'h0C6;
rommem[14047] <= 12'h050;
rommem[14048] <= 12'h017;
rommem[14049] <= 12'hFFF;
rommem[14050] <= 12'h62F;
rommem[14051] <= 12'h0C6;
rommem[14052] <= 12'h043;
rommem[14053] <= 12'h017;
rommem[14054] <= 12'hFFF;
rommem[14055] <= 12'h62A;
rommem[14056] <= 12'h0C6;
rommem[14057] <= 12'h02D;
rommem[14058] <= 12'h017;
rommem[14059] <= 12'hFFF;
rommem[14060] <= 12'h625;
rommem[14061] <= 12'h017;
rommem[14062] <= 12'hFFF;
rommem[14063] <= 12'h622;
rommem[14064] <= 12'h035;
rommem[14065] <= 12'h004;
rommem[14066] <= 12'h0C5;
rommem[14067] <= 12'h100;
rommem[14068] <= 12'h126;
rommem[14069] <= 12'h000;
rommem[14070] <= 12'h12A;
rommem[14071] <= 12'h0C5;
rommem[14072] <= 12'h080;
rommem[14073] <= 12'h126;
rommem[14074] <= 12'h000;
rommem[14075] <= 12'h125;
rommem[14076] <= 12'h0C6;
rommem[14077] <= 12'h05D;
rommem[14078] <= 12'h017;
rommem[14079] <= 12'hFFF;
rommem[14080] <= 12'h611;
rommem[14081] <= 12'h016;
rommem[14082] <= 12'h000;
rommem[14083] <= 12'h11D;
rommem[14084] <= 12'h0C1;
rommem[14085] <= 12'h00D;
rommem[14086] <= 12'h026;
rommem[14087] <= 12'h03F;
rommem[14088] <= 12'h0EC;
rommem[14089] <= 12'hA01;
rommem[14031] <= 12'h040;
rommem[14032] <= 12'h0E6;
rommem[14033] <= 12'hA00;
rommem[14034] <= 12'h01D;
rommem[14035] <= 12'h017;
rommem[14036] <= 12'hFFE;
rommem[14037] <= 12'hD2B;
rommem[14038] <= 12'h0E6;
rommem[14039] <= 12'hE04;
rommem[14040] <= 12'h0C5;
rommem[14041] <= 12'h080;
rommem[14042] <= 12'h027;
rommem[14043] <= 12'h005;
rommem[14044] <= 12'h0C6;
rommem[14045] <= 12'h05D;
rommem[14046] <= 12'h017;
rommem[14047] <= 12'hFFF;
rommem[14048] <= 12'h631;
rommem[14049] <= 12'h0C6;
rommem[14050] <= 12'h02C;
rommem[14051] <= 12'h017;
rommem[14052] <= 12'hFFF;
rommem[14053] <= 12'h62C;
rommem[14054] <= 12'h0E6;
rommem[14055] <= 12'hE04;
rommem[14056] <= 12'h08D;
rommem[14057] <= 12'h18A;
rommem[14058] <= 12'h0C6;
rommem[14059] <= 12'h050;
rommem[14060] <= 12'h017;
rommem[14061] <= 12'hFFF;
rommem[14062] <= 12'h623;
rommem[14063] <= 12'h0C6;
rommem[14064] <= 12'h043;
rommem[14065] <= 12'h017;
rommem[14066] <= 12'hFFF;
rommem[14067] <= 12'h61E;
rommem[14068] <= 12'h0C6;
rommem[14069] <= 12'h02D;
rommem[14070] <= 12'h017;
rommem[14071] <= 12'hFFF;
rommem[14072] <= 12'h619;
rommem[14073] <= 12'h017;
rommem[14074] <= 12'hFFF;
rommem[14075] <= 12'h616;
rommem[14076] <= 12'h035;
rommem[14077] <= 12'h004;
rommem[14078] <= 12'h0C5;
rommem[14079] <= 12'h100;
rommem[14080] <= 12'h127;
rommem[14081] <= 12'h000;
rommem[14082] <= 12'h13F;
rommem[14083] <= 12'h0C5;
rommem[14084] <= 12'h080;
rommem[14085] <= 12'h126;
rommem[14086] <= 12'h000;
rommem[14087] <= 12'h13A;
rommem[14088] <= 12'h0C6;
rommem[14089] <= 12'h05D;
rommem[14090] <= 12'h017;
rommem[14091] <= 12'hFFE;
rommem[14092] <= 12'hCE0;
rommem[14093] <= 12'h0E6;
rommem[14094] <= 12'hE04;
rommem[14095] <= 12'h0C5;
rommem[14096] <= 12'h080;
rommem[14097] <= 12'h026;
rommem[14098] <= 12'h005;
rommem[14099] <= 12'h0C6;
rommem[14100] <= 12'h05D;
rommem[14101] <= 12'h017;
rommem[14102] <= 12'hFFF;
rommem[14103] <= 12'h5FA;
rommem[14104] <= 12'h0C6;
rommem[14105] <= 12'h02C;
rommem[14106] <= 12'h017;
rommem[14107] <= 12'hFFF;
rommem[14108] <= 12'h5F5;
rommem[14109] <= 12'h0E6;
rommem[14110] <= 12'hE04;
rommem[14111] <= 12'h08D;
rommem[14112] <= 12'h110;
rommem[14113] <= 12'h0C6;
rommem[14114] <= 12'h050;
rommem[14115] <= 12'h017;
rommem[14116] <= 12'hFFF;
rommem[14117] <= 12'h5EC;
rommem[14118] <= 12'h0C6;
rommem[14119] <= 12'h043;
rommem[14120] <= 12'h017;
rommem[14121] <= 12'hFFF;
rommem[14122] <= 12'h5E7;
rommem[14123] <= 12'h0C6;
rommem[14124] <= 12'h02D;
rommem[14125] <= 12'h017;
rommem[14126] <= 12'hFFF;
rommem[14127] <= 12'h5E2;
rommem[14128] <= 12'h017;
rommem[14129] <= 12'hFFF;
rommem[14130] <= 12'h5DF;
rommem[14131] <= 12'h035;
rommem[14132] <= 12'h004;
rommem[14133] <= 12'h0C5;
rommem[14134] <= 12'h100;
rommem[14135] <= 12'h126;
rommem[14136] <= 12'h000;
rommem[14137] <= 12'h0E7;
rommem[14138] <= 12'h0C5;
rommem[14139] <= 12'h080;
rommem[14140] <= 12'h126;
rommem[14141] <= 12'h000;
rommem[14142] <= 12'h0E2;
rommem[14143] <= 12'h0C6;
rommem[14144] <= 12'h05D;
rommem[14145] <= 12'h017;
rommem[14146] <= 12'hFFF;
rommem[14147] <= 12'h5CE;
rommem[14148] <= 12'h016;
rommem[14149] <= 12'h000;
rommem[14150] <= 12'h0DA;
rommem[14151] <= 12'h0C1;
rommem[14152] <= 12'h00E;
rommem[14153] <= 12'h026;
rommem[14154] <= 12'h044;
rommem[14155] <= 12'h0E6;
rommem[14156] <= 12'hA00;
rommem[14091] <= 12'hFFF;
rommem[14092] <= 12'h605;
rommem[14093] <= 12'h016;
rommem[14094] <= 12'h000;
rommem[14095] <= 12'h132;
rommem[14096] <= 12'h0C1;
rommem[14097] <= 12'h00D;
rommem[14098] <= 12'h026;
rommem[14099] <= 12'h03F;
rommem[14100] <= 12'h0EC;
rommem[14101] <= 12'hA01;
rommem[14102] <= 12'h017;
rommem[14103] <= 12'hFFE;
rommem[14104] <= 12'hCE8;
rommem[14105] <= 12'h0E6;
rommem[14106] <= 12'hE04;
rommem[14107] <= 12'h0C5;
rommem[14108] <= 12'h080;
rommem[14109] <= 12'h027;
rommem[14110] <= 12'h005;
rommem[14111] <= 12'h0C6;
rommem[14112] <= 12'h05D;
rommem[14113] <= 12'h017;
rommem[14114] <= 12'hFFF;
rommem[14115] <= 12'h5EE;
rommem[14116] <= 12'h0C6;
rommem[14117] <= 12'h02C;
rommem[14118] <= 12'h017;
rommem[14119] <= 12'hFFF;
rommem[14120] <= 12'h5E9;
rommem[14121] <= 12'h0E6;
rommem[14122] <= 12'hE04;
rommem[14123] <= 12'h08D;
rommem[14124] <= 12'h147;
rommem[14125] <= 12'h0C6;
rommem[14126] <= 12'h050;
rommem[14127] <= 12'h017;
rommem[14128] <= 12'hFFF;
rommem[14129] <= 12'h5E0;
rommem[14130] <= 12'h0C6;
rommem[14131] <= 12'h043;
rommem[14132] <= 12'h017;
rommem[14133] <= 12'hFFF;
rommem[14134] <= 12'h5DB;
rommem[14135] <= 12'h0C6;
rommem[14136] <= 12'h02D;
rommem[14137] <= 12'h017;
rommem[14138] <= 12'hFFF;
rommem[14139] <= 12'h5D6;
rommem[14140] <= 12'h017;
rommem[14141] <= 12'hFFF;
rommem[14142] <= 12'h5D3;
rommem[14143] <= 12'h035;
rommem[14144] <= 12'h004;
rommem[14145] <= 12'h0C5;
rommem[14146] <= 12'h100;
rommem[14147] <= 12'h127;
rommem[14148] <= 12'h000;
rommem[14149] <= 12'h0FC;
rommem[14150] <= 12'h0C5;
rommem[14151] <= 12'h080;
rommem[14152] <= 12'h126;
rommem[14153] <= 12'h000;
rommem[14154] <= 12'h0F7;
rommem[14155] <= 12'h0C6;
rommem[14156] <= 12'h05D;
rommem[14157] <= 12'h017;
rommem[14158] <= 12'hFFE;
rommem[14159] <= 12'hCA6;
rommem[14160] <= 12'h0EC;
rommem[14161] <= 12'hA01;
rommem[14162] <= 12'h017;
rommem[14163] <= 12'hFFE;
rommem[14164] <= 12'hC98;
rommem[14165] <= 12'h0E6;
rommem[14166] <= 12'hE04;
rommem[14167] <= 12'h0C5;
rommem[14168] <= 12'h080;
rommem[14169] <= 12'h026;
rommem[14170] <= 12'h005;
rommem[14171] <= 12'h0C6;
rommem[14172] <= 12'h05D;
rommem[14173] <= 12'h017;
rommem[14174] <= 12'hFFF;
rommem[14175] <= 12'h5B2;
rommem[14176] <= 12'h0C6;
rommem[14177] <= 12'h02C;
rommem[14178] <= 12'h017;
rommem[14179] <= 12'hFFF;
rommem[14180] <= 12'h5AD;
rommem[14181] <= 12'h0E6;
rommem[14182] <= 12'hE04;
rommem[14183] <= 12'h08D;
rommem[14184] <= 12'h0C8;
rommem[14185] <= 12'h0C6;
rommem[14186] <= 12'h050;
rommem[14187] <= 12'h017;
rommem[14188] <= 12'hFFF;
rommem[14189] <= 12'h5A4;
rommem[14190] <= 12'h0C6;
rommem[14191] <= 12'h043;
rommem[14192] <= 12'h017;
rommem[14193] <= 12'hFFF;
rommem[14194] <= 12'h59F;
rommem[14195] <= 12'h0C6;
rommem[14196] <= 12'h02D;
rommem[14197] <= 12'h017;
rommem[14198] <= 12'hFFF;
rommem[14199] <= 12'h59A;
rommem[14200] <= 12'h017;
rommem[14201] <= 12'hFFF;
rommem[14202] <= 12'h597;
rommem[14203] <= 12'h035;
rommem[14204] <= 12'h004;
rommem[14205] <= 12'h0C5;
rommem[14206] <= 12'h100;
rommem[14207] <= 12'h126;
rommem[14208] <= 12'h000;
rommem[14209] <= 12'h09F;
rommem[14210] <= 12'h0C5;
rommem[14211] <= 12'h080;
rommem[14212] <= 12'h126;
rommem[14213] <= 12'h000;
rommem[14214] <= 12'h09A;
rommem[14215] <= 12'h0C6;
rommem[14216] <= 12'h05D;
rommem[14217] <= 12'h017;
rommem[14218] <= 12'hFFF;
rommem[14219] <= 12'h586;
rommem[14220] <= 12'h016;
rommem[14221] <= 12'h000;
rommem[14222] <= 12'h092;
rommem[14223] <= 12'h0C6;
rommem[14224] <= 12'h05B;
rommem[14225] <= 12'h017;
rommem[14226] <= 12'hFFF;
rommem[14227] <= 12'h57E;
rommem[14228] <= 12'h0EC;
rommem[14229] <= 12'hA01;
rommem[14230] <= 12'h017;
rommem[14231] <= 12'hFFE;
rommem[14232] <= 12'hC54;
rommem[14233] <= 12'h0C6;
rommem[14234] <= 12'h05D;
rommem[14235] <= 12'h017;
rommem[14236] <= 12'hFFF;
rommem[14237] <= 12'h574;
rommem[14238] <= 12'h016;
rommem[14239] <= 12'h000;
rommem[14240] <= 12'h080;
rommem[14241] <= 12'h0C1;
rommem[14242] <= 12'h006;
rommem[14243] <= 12'h026;
rommem[14244] <= 12'h008;
rommem[14245] <= 12'h0EC;
rommem[14246] <= 12'hA01;
rommem[14158] <= 12'hFFF;
rommem[14159] <= 12'h5C2;
rommem[14160] <= 12'h016;
rommem[14161] <= 12'h000;
rommem[14162] <= 12'h0EF;
rommem[14163] <= 12'h0C1;
rommem[14164] <= 12'h00E;
rommem[14165] <= 12'h026;
rommem[14166] <= 12'h044;
rommem[14167] <= 12'h0E6;
rommem[14168] <= 12'hA00;
rommem[14169] <= 12'h017;
rommem[14170] <= 12'hFFE;
rommem[14171] <= 12'hCAE;
rommem[14172] <= 12'h0EC;
rommem[14173] <= 12'hA01;
rommem[14174] <= 12'h017;
rommem[14175] <= 12'hFFE;
rommem[14176] <= 12'hCA0;
rommem[14177] <= 12'h0E6;
rommem[14178] <= 12'hE04;
rommem[14179] <= 12'h0C5;
rommem[14180] <= 12'h080;
rommem[14181] <= 12'h027;
rommem[14182] <= 12'h005;
rommem[14183] <= 12'h0C6;
rommem[14184] <= 12'h05D;
rommem[14185] <= 12'h017;
rommem[14186] <= 12'hFFF;
rommem[14187] <= 12'h5A6;
rommem[14188] <= 12'h0C6;
rommem[14189] <= 12'h02C;
rommem[14190] <= 12'h017;
rommem[14191] <= 12'hFFF;
rommem[14192] <= 12'h5A1;
rommem[14193] <= 12'h0E6;
rommem[14194] <= 12'hE04;
rommem[14195] <= 12'h08D;
rommem[14196] <= 12'h0FF;
rommem[14197] <= 12'h0C6;
rommem[14198] <= 12'h050;
rommem[14199] <= 12'h017;
rommem[14200] <= 12'hFFF;
rommem[14201] <= 12'h598;
rommem[14202] <= 12'h0C6;
rommem[14203] <= 12'h043;
rommem[14204] <= 12'h017;
rommem[14205] <= 12'hFFF;
rommem[14206] <= 12'h593;
rommem[14207] <= 12'h0C6;
rommem[14208] <= 12'h02D;
rommem[14209] <= 12'h017;
rommem[14210] <= 12'hFFF;
rommem[14211] <= 12'h58E;
rommem[14212] <= 12'h017;
rommem[14213] <= 12'hFFF;
rommem[14214] <= 12'h58B;
rommem[14215] <= 12'h035;
rommem[14216] <= 12'h004;
rommem[14217] <= 12'h0C5;
rommem[14218] <= 12'h100;
rommem[14219] <= 12'h127;
rommem[14220] <= 12'h000;
rommem[14221] <= 12'h0B4;
rommem[14222] <= 12'h0C5;
rommem[14223] <= 12'h080;
rommem[14224] <= 12'h126;
rommem[14225] <= 12'h000;
rommem[14226] <= 12'h0AF;
rommem[14227] <= 12'h0C6;
rommem[14228] <= 12'h05D;
rommem[14229] <= 12'h017;
rommem[14230] <= 12'hFFF;
rommem[14231] <= 12'h57A;
rommem[14232] <= 12'h016;
rommem[14233] <= 12'h000;
rommem[14234] <= 12'h0A7;
rommem[14235] <= 12'h0C6;
rommem[14236] <= 12'h05B;
rommem[14237] <= 12'h017;
rommem[14238] <= 12'hFFF;
rommem[14239] <= 12'h572;
rommem[14240] <= 12'h0EC;
rommem[14241] <= 12'hA01;
rommem[14242] <= 12'h017;
rommem[14243] <= 12'hFFE;
rommem[14244] <= 12'hC5C;
rommem[14245] <= 12'h0C6;
rommem[14246] <= 12'h05D;
rommem[14247] <= 12'h017;
rommem[14248] <= 12'hFFE;
rommem[14249] <= 12'hC43;
rommem[14248] <= 12'hFFF;
rommem[14249] <= 12'h568;
rommem[14250] <= 12'h016;
rommem[14251] <= 12'h000;
rommem[14252] <= 12'h074;
rommem[14252] <= 12'h095;
rommem[14253] <= 12'h0C1;
rommem[14254] <= 12'h003;
rommem[14254] <= 12'h006;
rommem[14255] <= 12'h026;
rommem[14256] <= 12'h00D;
rommem[14257] <= 12'h0C6;
rommem[14258] <= 12'h023;
rommem[14259] <= 12'h017;
rommem[14260] <= 12'hFFF;
rommem[14261] <= 12'h55C;
rommem[14262] <= 12'h0E6;
rommem[14263] <= 12'hA00;
rommem[14264] <= 12'h017;
rommem[14265] <= 12'hFFE;
rommem[14266] <= 12'hC3B;
rommem[14267] <= 12'h016;
rommem[14268] <= 12'h000;
rommem[14269] <= 12'h063;
rommem[14270] <= 12'h0C1;
rommem[14271] <= 12'h007;
rommem[14272] <= 12'h026;
rommem[14273] <= 12'h00C;
rommem[14274] <= 12'h0C6;
rommem[14275] <= 12'h023;
rommem[14276] <= 12'h017;
rommem[14277] <= 12'hFFF;
rommem[14278] <= 12'h54B;
rommem[14279] <= 12'h0EC;
rommem[14280] <= 12'hA01;
rommem[14281] <= 12'h017;
rommem[14282] <= 12'hFFE;
rommem[14283] <= 12'hC21;
rommem[14284] <= 12'h020;
rommem[14285] <= 12'h053;
rommem[14286] <= 12'h0C1;
rommem[14287] <= 12'h121;
rommem[14288] <= 12'h025;
rommem[14289] <= 12'h028;
rommem[14290] <= 12'h0C1;
rommem[14291] <= 12'h12F;
rommem[14292] <= 12'h022;
rommem[14293] <= 12'h024;
rommem[14294] <= 12'h0C4;
rommem[14295] <= 12'h0FF;
rommem[14296] <= 12'h08E;
rommem[14297] <= 12'hFFE;
rommem[14298] <= 12'hDB4;
rommem[14299] <= 12'h058;
rommem[14300] <= 12'h058;
rommem[14301] <= 12'h03A;
rommem[14302] <= 12'h0E6;
rommem[14303] <= 12'h800;
rommem[14256] <= 12'h013;
rommem[14257] <= 12'h00D;
rommem[14258] <= 12'h15F;
rommem[14259] <= 12'h027;
rommem[14260] <= 12'h005;
rommem[14261] <= 12'h0E6;
rommem[14262] <= 12'hA01;
rommem[14263] <= 12'h017;
rommem[14264] <= 12'hFFE;
rommem[14265] <= 12'hC50;
rommem[14266] <= 12'h0EC;
rommem[14267] <= 12'hA01;
rommem[14268] <= 12'h017;
rommem[14269] <= 12'hFFE;
rommem[14270] <= 12'hC42;
rommem[14271] <= 12'h00F;
rommem[14272] <= 12'h15F;
rommem[14273] <= 12'h016;
rommem[14274] <= 12'h000;
rommem[14275] <= 12'h07E;
rommem[14276] <= 12'h0C1;
rommem[14277] <= 12'h003;
rommem[14278] <= 12'h026;
rommem[14279] <= 12'h00D;
rommem[14280] <= 12'h0C6;
rommem[14281] <= 12'h023;
rommem[14282] <= 12'h017;
rommem[14283] <= 12'hFFF;
rommem[14284] <= 12'h545;
rommem[14285] <= 12'h0E6;
rommem[14286] <= 12'hA00;
rommem[14287] <= 12'h017;
rommem[14288] <= 12'hFFE;
rommem[14289] <= 12'hC38;
rommem[14290] <= 12'h016;
rommem[14291] <= 12'h000;
rommem[14292] <= 12'h06D;
rommem[14293] <= 12'h0C1;
rommem[14294] <= 12'h007;
rommem[14295] <= 12'h026;
rommem[14296] <= 12'h00C;
rommem[14297] <= 12'h0C6;
rommem[14298] <= 12'h023;
rommem[14299] <= 12'h017;
rommem[14300] <= 12'hFFF;
rommem[14301] <= 12'h534;
rommem[14302] <= 12'h0EC;
rommem[14303] <= 12'hA01;
rommem[14304] <= 12'h017;
rommem[14305] <= 12'hFFF;
rommem[14306] <= 12'h52F;
rommem[14307] <= 12'h0E6;
rommem[14308] <= 12'h800;
rommem[14309] <= 12'h017;
rommem[14310] <= 12'hFFF;
rommem[14311] <= 12'h52A;
rommem[14312] <= 12'h0E6;
rommem[14313] <= 12'h800;
rommem[14314] <= 12'h017;
rommem[14315] <= 12'hFFF;
rommem[14316] <= 12'h525;
rommem[14317] <= 12'h0E6;
rommem[14318] <= 12'h800;
rommem[14319] <= 12'h017;
rommem[14320] <= 12'hFFF;
rommem[14321] <= 12'h520;
rommem[14322] <= 12'h0C6;
rommem[14323] <= 12'h020;
rommem[14324] <= 12'h017;
rommem[14325] <= 12'hFFF;
rommem[14326] <= 12'h51B;
rommem[14327] <= 12'h016;
rommem[14328] <= 12'hFFF;
rommem[14329] <= 12'hC09;
rommem[14330] <= 12'h08E;
rommem[14331] <= 12'h000;
rommem[14332] <= 12'h000;
rommem[14333] <= 12'h0E1;
rommem[14334] <= 12'h80A;
rommem[14335] <= 12'h000;
rommem[14336] <= 12'hFFF;
rommem[14337] <= 12'h2F4;
rommem[14338] <= 12'h026;
rommem[14339] <= 12'h006;
rommem[14340] <= 12'h08E;
rommem[14341] <= 12'hFFF;
rommem[14342] <= 12'h314;
rommem[14343] <= 12'h016;
rommem[14344] <= 12'hFFF;
rommem[14345] <= 12'hBC7;
rommem[14346] <= 12'h030;
rommem[14347] <= 12'h001;
rommem[14348] <= 12'h08C;
rommem[14349] <= 12'h000;
rommem[14350] <= 12'h01F;
rommem[14351] <= 12'h025;
rommem[14352] <= 12'hFEC;
rommem[14353] <= 12'h0C6;
rommem[14354] <= 12'h03F;
rommem[14355] <= 12'h017;
rommem[14356] <= 12'hFFF;
rommem[14357] <= 12'h4FC;
rommem[14358] <= 12'h017;
rommem[14359] <= 12'hFFF;
rommem[14360] <= 12'h4F9;
rommem[14361] <= 12'h017;
rommem[14362] <= 12'hFFF;
rommem[14363] <= 12'h4F6;
rommem[14364] <= 12'h017;
rommem[14365] <= 12'hFFF;
rommem[14366] <= 12'h4F3;
rommem[14367] <= 12'h020;
rommem[14305] <= 12'hFFE;
rommem[14306] <= 12'hC1E;
rommem[14307] <= 12'h020;
rommem[14308] <= 12'h05D;
rommem[14309] <= 12'h0C1;
rommem[14310] <= 12'h009;
rommem[14311] <= 12'h026;
rommem[14312] <= 12'h006;
rommem[14313] <= 12'h0E6;
rommem[14314] <= 12'hA00;
rommem[14315] <= 12'h08D;
rommem[14316] <= 12'h0B8;
rommem[14317] <= 12'h020;
rommem[14318] <= 12'h053;
rommem[14319] <= 12'h0C1;
rommem[14320] <= 12'h121;
rommem[14321] <= 12'h025;
rommem[14322] <= 12'h028;
rommem[14323] <= 12'h0C1;
rommem[14324] <= 12'h12F;
rommem[14325] <= 12'h022;
rommem[14326] <= 12'h024;
rommem[14327] <= 12'h0C4;
rommem[14328] <= 12'h0FF;
rommem[14329] <= 12'h08E;
rommem[14330] <= 12'hFFF;
rommem[14331] <= 12'h2B4;
rommem[14332] <= 12'h058;
rommem[14333] <= 12'h058;
rommem[14334] <= 12'h03A;
rommem[14335] <= 12'h0E6;
rommem[14336] <= 12'h800;
rommem[14337] <= 12'h017;
rommem[14338] <= 12'hFFF;
rommem[14339] <= 12'h50E;
rommem[14340] <= 12'h0E6;
rommem[14341] <= 12'h800;
rommem[14342] <= 12'h017;
rommem[14343] <= 12'hFFF;
rommem[14344] <= 12'h509;
rommem[14345] <= 12'h0E6;
rommem[14346] <= 12'h800;
rommem[14347] <= 12'h017;
rommem[14348] <= 12'hFFF;
rommem[14349] <= 12'h504;
rommem[14350] <= 12'h0E6;
rommem[14351] <= 12'h800;
rommem[14352] <= 12'h017;
rommem[14353] <= 12'hFFF;
rommem[14354] <= 12'h4FF;
rommem[14355] <= 12'h0C6;
rommem[14356] <= 12'h020;
rommem[14357] <= 12'h017;
rommem[14358] <= 12'hFFF;
rommem[14359] <= 12'h4FA;
rommem[14360] <= 12'h016;
rommem[14361] <= 12'hFFF;
rommem[14362] <= 12'hBF4;
rommem[14363] <= 12'h08E;
rommem[14364] <= 12'h000;
rommem[14365] <= 12'h000;
rommem[14366] <= 12'h0E1;
rommem[14367] <= 12'h80A;
rommem[14368] <= 12'h000;
rommem[14369] <= 12'h017;
rommem[14370] <= 12'hFFD;
rommem[14371] <= 12'h8AE;
rommem[14372] <= 12'h1BC;
rommem[14373] <= 12'h000;
rommem[14374] <= 12'h926;
rommem[14375] <= 12'h125;
rommem[14376] <= 12'hFFF;
rommem[14377] <= 12'hB93;
rommem[14378] <= 12'h016;
rommem[14379] <= 12'h000;
rommem[14380] <= 12'h07F;
rommem[14381] <= 12'h058;
rommem[14382] <= 12'h059;
rommem[14383] <= 12'h053;
rommem[14384] <= 12'h055;
rommem[14385] <= 12'h0C4;
rommem[14386] <= 12'h600;
rommem[14387] <= 12'h059;
rommem[14388] <= 12'h059;
rommem[14389] <= 12'h059;
rommem[14390] <= 12'h059;
rommem[14391] <= 12'h04F;
rommem[14392] <= 12'h034;
rommem[14393] <= 12'h040;
rommem[14394] <= 12'h01F;
rommem[14395] <= 12'h003;
rommem[14396] <= 12'h0A6;
rommem[14397] <= 12'hC0A;
rommem[14398] <= 12'h000;
rommem[14399] <= 12'hFFF;
rommem[14400] <= 12'h82D;
rommem[14401] <= 12'h035;
rommem[14402] <= 12'h040;
rommem[14403] <= 12'h01E;
rommem[14404] <= 12'h089;
rommem[14405] <= 12'h039;
rommem[14406] <= 12'h034;
rommem[14407] <= 12'h006;
rommem[14408] <= 12'h0CC;
rommem[14409] <= 12'hFFF;
rommem[14410] <= 12'h85A;
rommem[14411] <= 12'h0FD;
rommem[14412] <= 12'h000;
rommem[14413] <= 12'h800;
rommem[14414] <= 12'h035;
rommem[14415] <= 12'h086;
rommem[14416] <= 12'h034;
rommem[14417] <= 12'h006;
rommem[14418] <= 12'h0CC;
rommem[14419] <= 12'hFFE;
rommem[14420] <= 12'h2E1;
rommem[14421] <= 12'h0FD;
rommem[14422] <= 12'h000;
rommem[14423] <= 12'h800;
rommem[14424] <= 12'h035;
rommem[14425] <= 12'h086;
rommem[14426] <= 12'h0E7;
rommem[14427] <= 12'hC00;
rommem[14428] <= 12'h039;
rommem[14429] <= 12'h0CE;
rommem[14430] <= 12'h000;
rommem[14431] <= 12'h160;
rommem[14432] <= 12'h0E6;
rommem[14433] <= 12'hC00;
rommem[14434] <= 12'h027;
rommem[14435] <= 12'h005;
rommem[14436] <= 12'h017;
rommem[14437] <= 12'hFFF;
rommem[14438] <= 12'h4AB;
rommem[14369] <= 12'hFFF;
rommem[14370] <= 12'h2F4;
rommem[14371] <= 12'h026;
rommem[14372] <= 12'h006;
rommem[14373] <= 12'h08E;
rommem[14374] <= 12'hFFF;
rommem[14375] <= 12'h314;
rommem[14376] <= 12'h016;
rommem[14377] <= 12'hFFF;
rommem[14378] <= 12'hBB2;
rommem[14379] <= 12'h030;
rommem[14380] <= 12'h001;
rommem[14381] <= 12'h08C;
rommem[14382] <= 12'h000;
rommem[14383] <= 12'h01F;
rommem[14384] <= 12'h025;
rommem[14385] <= 12'hFEC;
rommem[14386] <= 12'h0C6;
rommem[14387] <= 12'h03F;
rommem[14388] <= 12'h017;
rommem[14389] <= 12'hFFF;
rommem[14390] <= 12'h4DB;
rommem[14391] <= 12'h017;
rommem[14392] <= 12'hFFF;
rommem[14393] <= 12'h4D8;
rommem[14394] <= 12'h017;
rommem[14395] <= 12'hFFF;
rommem[14396] <= 12'h4D5;
rommem[14397] <= 12'h017;
rommem[14398] <= 12'hFFF;
rommem[14399] <= 12'h4D2;
rommem[14400] <= 12'h020;
rommem[14401] <= 12'h000;
rommem[14402] <= 12'h00F;
rommem[14403] <= 12'h15F;
rommem[14404] <= 12'h017;
rommem[14405] <= 12'hFFD;
rommem[14406] <= 12'h88B;
rommem[14407] <= 12'h1BC;
rommem[14408] <= 12'h000;
rommem[14409] <= 12'h926;
rommem[14410] <= 12'h125;
rommem[14411] <= 12'hFFF;
rommem[14412] <= 12'hB72;
rommem[14413] <= 12'h016;
rommem[14414] <= 12'h000;
rommem[14415] <= 12'h0DE;
rommem[14416] <= 12'h058;
rommem[14417] <= 12'h059;
rommem[14418] <= 12'h053;
rommem[14419] <= 12'h055;
rommem[14420] <= 12'h044;
rommem[14421] <= 12'h020;
rommem[14422] <= 12'h058;
rommem[14423] <= 12'h020;
rommem[14424] <= 12'h059;
rommem[14425] <= 12'h020;
rommem[14426] <= 12'h055;
rommem[14427] <= 12'h020;
rommem[14428] <= 12'h053;
rommem[14429] <= 12'h020;
rommem[14430] <= 12'h050;
rommem[14431] <= 12'h043;
rommem[14432] <= 12'h020;
rommem[14433] <= 12'h020;
rommem[14434] <= 12'h020;
rommem[14435] <= 12'h020;
rommem[14436] <= 12'h041;
rommem[14437] <= 12'h020;
rommem[14438] <= 12'h042;
rommem[14439] <= 12'h020;
rommem[14440] <= 12'hFF7;
rommem[14441] <= 12'h039;
rommem[14442] <= 12'h017;
rommem[14443] <= 12'hFFD;
rommem[14444] <= 12'h865;
rommem[14445] <= 12'h0C6;
rommem[14446] <= 12'h024;
rommem[14447] <= 12'h017;
rommem[14448] <= 12'hFFF;
rommem[14449] <= 12'h4A0;
rommem[14450] <= 12'h016;
rommem[14451] <= 12'hFFF;
rommem[14452] <= 12'h49D;
rommem[14453] <= 12'h046;
rommem[14454] <= 12'h065;
rommem[14455] <= 12'h06D;
rommem[14456] <= 12'h074;
rommem[14457] <= 12'h069;
rommem[14458] <= 12'h06B;
rommem[14459] <= 12'h069;
rommem[14460] <= 12'h020;
rommem[14461] <= 12'h046;
rommem[14462] <= 12'h030;
rommem[14463] <= 12'h039;
rommem[14464] <= 12'h020;
rommem[14465] <= 12'h04D;
rommem[14466] <= 12'h075;
rommem[14467] <= 12'h06C;
rommem[14468] <= 12'h074;
rommem[14469] <= 12'h069;
rommem[14470] <= 12'h02D;
rommem[14471] <= 12'h063;
rommem[14472] <= 12'h06F;
rommem[14473] <= 12'h072;
rommem[14474] <= 12'h065;
rommem[14475] <= 12'h020;
rommem[14476] <= 12'h04F;
rommem[14477] <= 12'h053;
rommem[14478] <= 12'h020;
rommem[14479] <= 12'h053;
rommem[14480] <= 12'h074;
rommem[14481] <= 12'h061;
rommem[14482] <= 12'h072;
rommem[14483] <= 12'h074;
rommem[14484] <= 12'h069;
rommem[14485] <= 12'h06E;
rommem[14486] <= 12'h067;
rommem[14487] <= 12'h00D;
rommem[14488] <= 12'h00A;
rommem[14489] <= 12'h000;
rommem[14490] <= 12'h0CC;
rommem[14491] <= 12'hFFF;
rommem[14492] <= 12'h875;
rommem[14493] <= 12'h017;
rommem[14494] <= 12'hFFE;
rommem[14495] <= 12'hB02;
rommem[14496] <= 12'h0CC;
rommem[14440] <= 12'h043;
rommem[14441] <= 12'h043;
rommem[14442] <= 12'h044;
rommem[14443] <= 12'h050;
rommem[14444] <= 12'h020;
rommem[14445] <= 12'h020;
rommem[14446] <= 12'h020;
rommem[14447] <= 12'h020;
rommem[14448] <= 12'h020;
rommem[14449] <= 12'h020;
rommem[14450] <= 12'h020;
rommem[14451] <= 12'h020;
rommem[14452] <= 12'h0C4;
rommem[14453] <= 12'h600;
rommem[14454] <= 12'h059;
rommem[14455] <= 12'h059;
rommem[14456] <= 12'h059;
rommem[14457] <= 12'h059;
rommem[14458] <= 12'h04F;
rommem[14459] <= 12'h034;
rommem[14460] <= 12'h040;
rommem[14461] <= 12'h01F;
rommem[14462] <= 12'h003;
rommem[14463] <= 12'h0A6;
rommem[14464] <= 12'hC0A;
rommem[14465] <= 12'h000;
rommem[14466] <= 12'hFFF;
rommem[14467] <= 12'h850;
rommem[14468] <= 12'h035;
rommem[14469] <= 12'h040;
rommem[14470] <= 12'h01E;
rommem[14471] <= 12'h089;
rommem[14472] <= 12'h039;
rommem[14473] <= 12'h034;
rommem[14474] <= 12'h014;
rommem[14475] <= 12'h08E;
rommem[14476] <= 12'hFFF;
rommem[14477] <= 12'h854;
rommem[14478] <= 12'h058;
rommem[14479] <= 12'h0A6;
rommem[14480] <= 12'h815;
rommem[14481] <= 12'h01E;
rommem[14482] <= 12'h089;
rommem[14483] <= 12'h017;
rommem[14484] <= 12'hFFF;
rommem[14485] <= 12'h47C;
rommem[14486] <= 12'h01E;
rommem[14487] <= 12'h089;
rommem[14488] <= 12'h030;
rommem[14489] <= 12'h001;
rommem[14490] <= 12'h0E6;
rommem[14491] <= 12'h88D;
rommem[14492] <= 12'h0C1;
rommem[14493] <= 12'h020;
rommem[14494] <= 12'h027;
rommem[14495] <= 12'h003;
rommem[14496] <= 12'h017;
rommem[14497] <= 12'hFFF;
rommem[14498] <= 12'hA78;
rommem[14499] <= 12'h017;
rommem[14500] <= 12'hFFE;
rommem[14501] <= 12'hAFC;
rommem[14502] <= 12'h0CC;
rommem[14503] <= 12'hFFF;
rommem[14504] <= 12'h86A;
rommem[14505] <= 12'h0FD;
rommem[14506] <= 12'h000;
rommem[14507] <= 12'h808;
rommem[14508] <= 12'h032;
rommem[14509] <= 12'h80F;
rommem[14510] <= 12'h006;
rommem[14511] <= 12'hFFF;
rommem[14512] <= 12'h05F;
rommem[14498] <= 12'h46F;
rommem[14499] <= 12'h035;
rommem[14500] <= 12'h094;
rommem[14501] <= 12'h034;
rommem[14502] <= 12'h004;
rommem[14503] <= 12'h059;
rommem[14504] <= 12'h059;
rommem[14505] <= 12'h059;
rommem[14506] <= 12'h059;
rommem[14507] <= 12'h0C4;
rommem[14508] <= 12'h00F;
rommem[14509] <= 12'h08D;
rommem[14510] <= 12'hFDA;
rommem[14511] <= 12'h0C6;
rommem[14512] <= 12'h02C;
rommem[14513] <= 12'h017;
rommem[14514] <= 12'hFFF;
rommem[14515] <= 12'h462;
rommem[14516] <= 12'h0CC;
rommem[14517] <= 12'hFFE;
rommem[14518] <= 12'hBE4;
rommem[14519] <= 12'h0FD;
rommem[14520] <= 12'h000;
rommem[14521] <= 12'h804;
rommem[14522] <= 12'h0CC;
rommem[14523] <= 12'hFFE;
rommem[14524] <= 12'h2E1;
rommem[14525] <= 12'h0FD;
rommem[14526] <= 12'h000;
rommem[14527] <= 12'h800;
rommem[14528] <= 12'h0CC;
rommem[14529] <= 12'hFFF;
rommem[14530] <= 12'h86A;
rommem[14531] <= 12'h0FD;
rommem[14532] <= 12'h000;
rommem[14533] <= 12'h808;
rommem[14534] <= 12'h0AD;
rommem[14535] <= 12'h90F;
rommem[14536] <= 12'h000;
rommem[14537] <= 12'h808;
rommem[14538] <= 12'h0CC;
rommem[14539] <= 12'hFFF;
rommem[14540] <= 12'hFFF;
rommem[14541] <= 12'h017;
rommem[14542] <= 12'hFFF;
rommem[14543] <= 12'h40A;
rommem[14544] <= 12'h0C1;
rommem[14545] <= 12'h00D;
rommem[14546] <= 12'h027;
rommem[14547] <= 12'h005;
rommem[14548] <= 12'h017;
rommem[14549] <= 12'hFFF;
rommem[14550] <= 12'h43B;
rommem[14551] <= 12'h020;
rommem[14552] <= 12'hFF1;
rommem[14553] <= 12'h0CC;
rommem[14554] <= 12'h005;
rommem[14555] <= 12'h050;
rommem[14556] <= 12'h015;
rommem[14557] <= 12'h0FD;
rommem[14558] <= 12'hFFF;
rommem[14559] <= 12'hE60;
rommem[14560] <= 12'h001;
rommem[14561] <= 12'h0CC;
rommem[14562] <= 12'h005;
rommem[14563] <= 12'h151;
rommem[14564] <= 12'h015;
rommem[14565] <= 12'h0FD;
rommem[14515] <= 12'h45E;
rommem[14516] <= 12'h0E6;
rommem[14517] <= 12'hE04;
rommem[14518] <= 12'h0C4;
rommem[14519] <= 12'h00F;
rommem[14520] <= 12'h08D;
rommem[14521] <= 12'hFCF;
rommem[14522] <= 12'h035;
rommem[14523] <= 12'h084;
rommem[14524] <= 12'h034;
rommem[14525] <= 12'h006;
rommem[14526] <= 12'h0CC;
rommem[14527] <= 12'hFFF;
rommem[14528] <= 12'h8D0;
rommem[14529] <= 12'h0FD;
rommem[14530] <= 12'h000;
rommem[14531] <= 12'h800;
rommem[14532] <= 12'h035;
rommem[14533] <= 12'h086;
rommem[14534] <= 12'h034;
rommem[14535] <= 12'h006;
rommem[14536] <= 12'h0CC;
rommem[14537] <= 12'hFFE;
rommem[14538] <= 12'h2F5;
rommem[14539] <= 12'h0FD;
rommem[14540] <= 12'h000;
rommem[14541] <= 12'h800;
rommem[14542] <= 12'h035;
rommem[14543] <= 12'h086;
rommem[14544] <= 12'h0E7;
rommem[14545] <= 12'hC00;
rommem[14546] <= 12'h039;
rommem[14547] <= 12'h0CE;
rommem[14548] <= 12'h000;
rommem[14549] <= 12'h160;
rommem[14550] <= 12'h0E6;
rommem[14551] <= 12'hC00;
rommem[14552] <= 12'h027;
rommem[14553] <= 12'h005;
rommem[14554] <= 12'h017;
rommem[14555] <= 12'hFFF;
rommem[14556] <= 12'h435;
rommem[14557] <= 12'h020;
rommem[14558] <= 12'hFF7;
rommem[14559] <= 12'h039;
rommem[14560] <= 12'h017;
rommem[14561] <= 12'hFFD;
rommem[14562] <= 12'h7EF;
rommem[14563] <= 12'h0C6;
rommem[14564] <= 12'h024;
rommem[14565] <= 12'h017;
rommem[14566] <= 12'hFFF;
rommem[14567] <= 12'hE60;
rommem[14568] <= 12'h001;
rommem[14569] <= 12'h00F;
rommem[14570] <= 12'h111;
rommem[14571] <= 12'h017;
rommem[14572] <= 12'hFFE;
rommem[14573] <= 12'h9D0;
rommem[14574] <= 12'h01F;
rommem[14575] <= 12'h002;
rommem[14576] <= 12'h0CC;
rommem[14577] <= 12'h005;
rommem[14578] <= 12'h252;
rommem[14579] <= 12'h015;
rommem[14580] <= 12'h0FD;
rommem[14581] <= 12'hFFF;
rommem[14582] <= 12'hE60;
rommem[14583] <= 12'h001;
rommem[14584] <= 12'h08D;
rommem[14585] <= 12'h0AB;
rommem[14586] <= 12'h0C1;
rommem[14587] <= 12'h024;
rommem[14588] <= 12'h027;
rommem[14589] <= 12'hFFA;
rommem[14590] <= 12'h086;
rommem[14591] <= 12'h353;
rommem[14592] <= 12'h015;
rommem[14593] <= 12'h0FD;
rommem[14594] <= 12'hFFF;
rommem[14595] <= 12'hE60;
rommem[14596] <= 12'h001;
rommem[14597] <= 12'h0C1;
rommem[14598] <= 12'h03C;
rommem[14599] <= 12'h026;
rommem[14600] <= 12'h02C;
rommem[14601] <= 12'h08D;
rommem[14602] <= 12'h095;
rommem[14603] <= 12'h0C1;
rommem[14604] <= 12'h03E;
rommem[14605] <= 12'h026;
rommem[14606] <= 12'hF9D;
rommem[14607] <= 12'h08D;
rommem[14608] <= 12'h08F;
rommem[14609] <= 12'h0C1;
rommem[14610] <= 12'h073;
rommem[14611] <= 12'h026;
rommem[14612] <= 12'h00E;
rommem[14613] <= 12'h0CC;
rommem[14614] <= 12'hFFE;
rommem[14615] <= 12'hBE4;
rommem[14616] <= 12'h0FD;
rommem[14617] <= 12'h000;
rommem[14618] <= 12'h804;
rommem[14619] <= 12'h0CC;
rommem[14620] <= 12'hFFE;
rommem[14621] <= 12'hC08;
rommem[14622] <= 12'h0FD;
rommem[14623] <= 12'h000;
rommem[14624] <= 12'h800;
rommem[14625] <= 12'h020;
rommem[14626] <= 12'hF89;
rommem[14627] <= 12'h0C1;
rommem[14628] <= 12'h063;
rommem[14629] <= 12'h026;
rommem[14630] <= 12'hF85;
rommem[14631] <= 12'h0CC;
rommem[14632] <= 12'hFFE;
rommem[14633] <= 12'hA12;
rommem[14634] <= 12'h0FD;
rommem[14635] <= 12'h000;
rommem[14636] <= 12'h804;
rommem[14637] <= 12'h0CC;
rommem[14638] <= 12'hFFE;
rommem[14639] <= 12'h2E1;
rommem[14640] <= 12'h0FD;
rommem[14641] <= 12'h000;
rommem[14642] <= 12'h800;
rommem[14643] <= 12'h020;
rommem[14644] <= 12'hF77;
rommem[14645] <= 12'h0C1;
rommem[14646] <= 12'h03F;
rommem[14647] <= 12'h026;
rommem[14648] <= 12'h008;
rommem[14649] <= 12'h0CC;
rommem[14650] <= 12'hFFF;
rommem[14651] <= 12'hA78;
rommem[14652] <= 12'h017;
rommem[14567] <= 12'h42A;
rommem[14568] <= 12'h016;
rommem[14569] <= 12'hFFF;
rommem[14570] <= 12'h427;
rommem[14571] <= 12'h046;
rommem[14572] <= 12'h065;
rommem[14573] <= 12'h06D;
rommem[14574] <= 12'h074;
rommem[14575] <= 12'h069;
rommem[14576] <= 12'h06B;
rommem[14577] <= 12'h069;
rommem[14578] <= 12'h020;
rommem[14579] <= 12'h046;
rommem[14580] <= 12'h030;
rommem[14581] <= 12'h039;
rommem[14582] <= 12'h020;
rommem[14583] <= 12'h04D;
rommem[14584] <= 12'h075;
rommem[14585] <= 12'h06C;
rommem[14586] <= 12'h074;
rommem[14587] <= 12'h069;
rommem[14588] <= 12'h02D;
rommem[14589] <= 12'h063;
rommem[14590] <= 12'h06F;
rommem[14591] <= 12'h072;
rommem[14592] <= 12'h065;
rommem[14593] <= 12'h020;
rommem[14594] <= 12'h04F;
rommem[14595] <= 12'h053;
rommem[14596] <= 12'h020;
rommem[14597] <= 12'h053;
rommem[14598] <= 12'h074;
rommem[14599] <= 12'h061;
rommem[14600] <= 12'h072;
rommem[14601] <= 12'h074;
rommem[14602] <= 12'h069;
rommem[14603] <= 12'h06E;
rommem[14604] <= 12'h067;
rommem[14605] <= 12'h00D;
rommem[14606] <= 12'h00A;
rommem[14607] <= 12'h000;
rommem[14608] <= 12'h0CC;
rommem[14609] <= 12'hFFF;
rommem[14610] <= 12'h8EB;
rommem[14611] <= 12'h017;
rommem[14612] <= 12'hFFE;
rommem[14613] <= 12'hAA0;
rommem[14614] <= 12'h0CC;
rommem[14615] <= 12'hFFF;
rommem[14616] <= 12'hB0E;
rommem[14617] <= 12'h017;
rommem[14618] <= 12'hFFE;
rommem[14619] <= 12'hA9A;
rommem[14620] <= 12'h0CC;
rommem[14621] <= 12'hFFF;
rommem[14622] <= 12'h8E0;
rommem[14623] <= 12'h0FD;
rommem[14624] <= 12'h000;
rommem[14625] <= 12'h808;
rommem[14626] <= 12'h0CC;
rommem[14627] <= 12'hFFF;
rommem[14628] <= 12'hAF5;
rommem[14629] <= 12'h0FD;
rommem[14630] <= 12'h000;
rommem[14631] <= 12'h80C;
rommem[14632] <= 12'h0CC;
rommem[14633] <= 12'h006;
rommem[14634] <= 12'h3FF;
rommem[14635] <= 12'h0FD;
rommem[14636] <= 12'h000;
rommem[14637] <= 12'h908;
rommem[14638] <= 12'h032;
rommem[14639] <= 12'h80F;
rommem[14640] <= 12'h006;
rommem[14641] <= 12'hFFF;
rommem[14642] <= 12'h05F;
rommem[14643] <= 12'h017;
rommem[14644] <= 12'hFFF;
rommem[14645] <= 12'h3E0;
rommem[14646] <= 12'h0CC;
rommem[14647] <= 12'hFFE;
rommem[14648] <= 12'hBE4;
rommem[14649] <= 12'h0FD;
rommem[14650] <= 12'h000;
rommem[14651] <= 12'h804;
rommem[14652] <= 12'h0CC;
rommem[14653] <= 12'hFFE;
rommem[14654] <= 12'hA63;
rommem[14655] <= 12'h020;
rommem[14656] <= 12'hF6B;
rommem[14657] <= 12'h0C1;
rommem[14658] <= 12'h043;
rommem[14659] <= 12'h026;
rommem[14660] <= 12'h008;
rommem[14661] <= 12'h017;
rommem[14662] <= 12'hFFE;
rommem[14663] <= 12'h8C9;
rommem[14664] <= 12'h017;
rommem[14665] <= 12'hFFE;
rommem[14666] <= 12'h939;
rommem[14667] <= 12'h020;
rommem[14668] <= 12'hF5F;
rommem[14669] <= 12'h0C1;
rommem[14670] <= 12'h044;
rommem[14671] <= 12'h026;
rommem[14672] <= 12'h008;
rommem[14673] <= 12'h08D;
rommem[14674] <= 12'h04D;
rommem[14675] <= 12'h0C1;
rommem[14676] <= 12'h052;
rommem[14677] <= 12'h026;
rommem[14678] <= 12'h21A;
rommem[14679] <= 12'h020;
rommem[14680] <= 12'h274;
rommem[14681] <= 12'h0C1;
rommem[14682] <= 12'h046;
rommem[14683] <= 12'h026;
rommem[14684] <= 12'h00F;
rommem[14685] <= 12'h08D;
rommem[14686] <= 12'h041;
rommem[14687] <= 12'h0C1;
rommem[14688] <= 12'h049;
rommem[14689] <= 12'h026;
rommem[14690] <= 12'hF49;
rommem[14691] <= 12'h08D;
rommem[14692] <= 12'h03B;
rommem[14693] <= 12'h0C1;
rommem[14694] <= 12'h047;
rommem[14695] <= 12'h026;
rommem[14696] <= 12'hF43;
rommem[14697] <= 12'h07E;
rommem[14698] <= 12'hFE0;
rommem[14699] <= 12'h000;
rommem[14700] <= 12'h0C1;
rommem[14701] <= 12'h04A;
rommem[14702] <= 12'h127;
rommem[14703] <= 12'h000;
rommem[14704] <= 12'h2A7;
rommem[14705] <= 12'h0C1;
rommem[14706] <= 12'h052;
rommem[14707] <= 12'h026;
rommem[14708] <= 12'h006;
rommem[14709] <= 12'h0CE;
rommem[14710] <= 12'hFFF;
rommem[14711] <= 12'h8AC;
rommem[14712] <= 12'h016;
rommem[14713] <= 12'hFFD;
rommem[14714] <= 12'hA85;
rommem[14715] <= 12'h0C1;
rommem[14716] <= 12'h073;
rommem[14717] <= 12'h026;
rommem[14718] <= 12'h005;
rommem[14719] <= 12'h017;
rommem[14720] <= 12'hFFF;
rommem[14721] <= 12'h323;
rommem[14722] <= 12'h020;
rommem[14723] <= 12'hF28;
rommem[14724] <= 12'h0C1;
rommem[14725] <= 12'h054;
rommem[14726] <= 12'h026;
rommem[14727] <= 12'h011;
rommem[14728] <= 12'h08D;
rommem[14729] <= 12'h016;
rommem[14730] <= 12'h0C1;
rommem[14731] <= 12'h049;
rommem[14732] <= 12'h026;
rommem[14733] <= 12'hF1E;
rommem[14734] <= 12'h08D;
rommem[14735] <= 12'h010;
rommem[14736] <= 12'h0C1;
rommem[14737] <= 12'h052;
rommem[14738] <= 12'h026;
rommem[14739] <= 12'hF18;
rommem[14740] <= 12'h017;
rommem[14741] <= 12'hFFE;
rommem[14742] <= 12'hB1C;
rommem[14743] <= 12'h020;
rommem[14744] <= 12'hF13;
rommem[14745] <= 12'h0C1;
rommem[14746] <= 12'h055;
rommem[14747] <= 12'h026;
rommem[14748] <= 12'hF0F;
rommem[14749] <= 12'h016;
rommem[14750] <= 12'hFFF;
rommem[14751] <= 12'hA14;
rommem[14752] <= 12'h0E6;
rommem[14753] <= 12'hA04;
rommem[14754] <= 12'h031;
rommem[14755] <= 12'h201;
rommem[14756] <= 12'h039;
rommem[14757] <= 12'h08D;
rommem[14758] <= 12'hFF9;
rommem[14759] <= 12'h0C1;
rommem[14760] <= 12'h020;
rommem[14761] <= 12'h027;
rommem[14762] <= 12'hFFA;
rommem[14763] <= 12'h039;
rommem[14764] <= 12'h08D;
rommem[14765] <= 12'hFF2;
rommem[14766] <= 12'h0C1;
rommem[14767] <= 12'h020;
rommem[14768] <= 12'h027;
rommem[14769] <= 12'hFFA;
rommem[14770] <= 12'h031;
rommem[14771] <= 12'h3FF;
rommem[14772] <= 12'h039;
rommem[14773] <= 12'h08D;
rommem[14774] <= 12'hFF5;
rommem[14775] <= 12'h08D;
rommem[14654] <= 12'h2F5;
rommem[14655] <= 12'h0FD;
rommem[14656] <= 12'h000;
rommem[14657] <= 12'h800;
rommem[14658] <= 12'h0CC;
rommem[14659] <= 12'hFFF;
rommem[14660] <= 12'h8E0;
rommem[14661] <= 12'h0FD;
rommem[14662] <= 12'h000;
rommem[14663] <= 12'h808;
rommem[14664] <= 12'h0AD;
rommem[14665] <= 12'h90F;
rommem[14666] <= 12'h000;
rommem[14667] <= 12'h808;
rommem[14668] <= 12'h0CC;
rommem[14669] <= 12'hFFF;
rommem[14670] <= 12'hFFF;
rommem[14671] <= 12'h017;
rommem[14672] <= 12'hFFF;
rommem[14673] <= 12'h388;
rommem[14674] <= 12'h0C1;
rommem[14675] <= 12'h00D;
rommem[14676] <= 12'h027;
rommem[14677] <= 12'h005;
rommem[14678] <= 12'h017;
rommem[14679] <= 12'hFFF;
rommem[14680] <= 12'h3B9;
rommem[14681] <= 12'h020;
rommem[14682] <= 12'hFF1;
rommem[14683] <= 12'h0CC;
rommem[14684] <= 12'h005;
rommem[14685] <= 12'h050;
rommem[14686] <= 12'h015;
rommem[14687] <= 12'h0FD;
rommem[14688] <= 12'hFFF;
rommem[14689] <= 12'hE60;
rommem[14690] <= 12'h001;
rommem[14691] <= 12'h0CC;
rommem[14692] <= 12'h005;
rommem[14693] <= 12'h151;
rommem[14694] <= 12'h015;
rommem[14695] <= 12'h0FD;
rommem[14696] <= 12'hFFF;
rommem[14697] <= 12'hE60;
rommem[14698] <= 12'h001;
rommem[14699] <= 12'h00F;
rommem[14700] <= 12'h111;
rommem[14701] <= 12'h017;
rommem[14702] <= 12'hFFE;
rommem[14703] <= 12'h962;
rommem[14704] <= 12'h01F;
rommem[14705] <= 12'h002;
rommem[14706] <= 12'h0CC;
rommem[14707] <= 12'h005;
rommem[14708] <= 12'h252;
rommem[14709] <= 12'h015;
rommem[14710] <= 12'h0FD;
rommem[14711] <= 12'hFFF;
rommem[14712] <= 12'hE60;
rommem[14713] <= 12'h001;
rommem[14714] <= 12'h08D;
rommem[14715] <= 12'h0B9;
rommem[14716] <= 12'h0C1;
rommem[14717] <= 12'h024;
rommem[14718] <= 12'h027;
rommem[14719] <= 12'hFFA;
rommem[14720] <= 12'h086;
rommem[14721] <= 12'h353;
rommem[14722] <= 12'h015;
rommem[14723] <= 12'h0FD;
rommem[14724] <= 12'hFFF;
rommem[14725] <= 12'hE60;
rommem[14726] <= 12'h001;
rommem[14727] <= 12'h0C1;
rommem[14728] <= 12'h03C;
rommem[14729] <= 12'h026;
rommem[14730] <= 12'h02C;
rommem[14731] <= 12'h08D;
rommem[14732] <= 12'h0A3;
rommem[14733] <= 12'h0C1;
rommem[14734] <= 12'h03E;
rommem[14735] <= 12'h026;
rommem[14736] <= 12'hF9D;
rommem[14737] <= 12'h08D;
rommem[14738] <= 12'h09D;
rommem[14739] <= 12'h0C1;
rommem[14740] <= 12'h073;
rommem[14741] <= 12'h026;
rommem[14742] <= 12'h00E;
rommem[14743] <= 12'h0CC;
rommem[14744] <= 12'hFFE;
rommem[14745] <= 12'hBE4;
rommem[14746] <= 12'h0FD;
rommem[14747] <= 12'h000;
rommem[14748] <= 12'h804;
rommem[14749] <= 12'h0CC;
rommem[14750] <= 12'hFFE;
rommem[14751] <= 12'hC08;
rommem[14752] <= 12'h0FD;
rommem[14753] <= 12'h000;
rommem[14754] <= 12'h800;
rommem[14755] <= 12'h020;
rommem[14756] <= 12'hF89;
rommem[14757] <= 12'h0C1;
rommem[14758] <= 12'h063;
rommem[14759] <= 12'h026;
rommem[14760] <= 12'hF85;
rommem[14761] <= 12'h0CC;
rommem[14762] <= 12'hFFE;
rommem[14763] <= 12'hA12;
rommem[14764] <= 12'h0FD;
rommem[14765] <= 12'h000;
rommem[14766] <= 12'h804;
rommem[14767] <= 12'h0CC;
rommem[14768] <= 12'hFFE;
rommem[14769] <= 12'h2F5;
rommem[14770] <= 12'h0FD;
rommem[14771] <= 12'h000;
rommem[14772] <= 12'h800;
rommem[14773] <= 12'h020;
rommem[14774] <= 12'hF77;
rommem[14775] <= 12'h0C1;
rommem[14776] <= 12'h03F;
rommem[14777] <= 12'h0FC;
rommem[14778] <= 12'h000;
rommem[14779] <= 12'h910;
rommem[14780] <= 12'h0FD;
rommem[14781] <= 12'h000;
rommem[14782] <= 12'h920;
rommem[14783] <= 12'h0FC;
rommem[14784] <= 12'h000;
rommem[14785] <= 12'h912;
rommem[14786] <= 12'h0FD;
rommem[14787] <= 12'h000;
rommem[14788] <= 12'h922;
rommem[14789] <= 12'h08D;
rommem[14790] <= 12'hFE5;
rommem[14791] <= 12'h08D;
rommem[14792] <= 12'h02F;
rommem[14793] <= 12'h0FC;
rommem[14794] <= 12'h000;
rommem[14795] <= 12'h910;
rommem[14796] <= 12'h0FD;
rommem[14797] <= 12'h000;
rommem[14798] <= 12'h924;
rommem[14799] <= 12'h0FC;
rommem[14800] <= 12'h000;
rommem[14801] <= 12'h912;
rommem[14802] <= 12'h0FD;
rommem[14803] <= 12'h000;
rommem[14804] <= 12'h926;
rommem[14805] <= 12'h039;
rommem[14806] <= 12'h08D;
rommem[14807] <= 12'hFDD;
rommem[14808] <= 12'h0FC;
rommem[14809] <= 12'h000;
rommem[14810] <= 12'h926;
rommem[14811] <= 12'h0B3;
rommem[14812] <= 12'h000;
rommem[14813] <= 12'h922;
rommem[14814] <= 12'h0FC;
rommem[14815] <= 12'h000;
rommem[14816] <= 12'h924;
rommem[14817] <= 12'h0F2;
rommem[14818] <= 12'h000;
rommem[14819] <= 12'h921;
rommem[14820] <= 12'h0B2;
rommem[14821] <= 12'h000;
rommem[14822] <= 12'h920;
rommem[14823] <= 12'h125;
rommem[14824] <= 12'h000;
rommem[14825] <= 12'h074;
rommem[14826] <= 12'h039;
rommem[14827] <= 12'h078;
rommem[14828] <= 12'h000;
rommem[14829] <= 12'h913;
rommem[14830] <= 12'h079;
rommem[14831] <= 12'h000;
rommem[14832] <= 12'h912;
rommem[14833] <= 12'h079;
rommem[14834] <= 12'h000;
rommem[14835] <= 12'h911;
rommem[14836] <= 12'h079;
rommem[14837] <= 12'h000;
rommem[14838] <= 12'h910;
rommem[14839] <= 12'h039;
rommem[14840] <= 12'h04F;
rommem[14841] <= 12'h05F;
rommem[14842] <= 12'h0FD;
rommem[14843] <= 12'h000;
rommem[14844] <= 12'h910;
rommem[14845] <= 12'h0FD;
rommem[14846] <= 12'h000;
rommem[14847] <= 12'h912;
rommem[14848] <= 12'h034;
rommem[14849] <= 12'h010;
rommem[14850] <= 12'h08E;
rommem[14851] <= 12'h000;
rommem[14852] <= 12'h000;
rommem[14853] <= 12'h08D;
rommem[14854] <= 12'hF99;
rommem[14855] <= 12'h08D;
rommem[14856] <= 12'h01F;
rommem[14857] <= 12'h0C1;
rommem[14858] <= 12'hFFF;
rommem[14859] <= 12'h027;
rommem[14860] <= 12'h017;
rommem[14861] <= 12'h08D;
rommem[14862] <= 12'hFDC;
rommem[14863] <= 12'h08D;
rommem[14864] <= 12'hFDA;
rommem[14865] <= 12'h08D;
rommem[14866] <= 12'hFD8;
rommem[14867] <= 12'h08D;
rommem[14868] <= 12'hFD6;
rommem[14869] <= 12'h0C4;
rommem[14870] <= 12'h00F;
rommem[14871] <= 12'h0FA;
rommem[14872] <= 12'h000;
rommem[14873] <= 12'h913;
rommem[14874] <= 12'h0F7;
rommem[14875] <= 12'h000;
rommem[14876] <= 12'h913;
rommem[14877] <= 12'h030;
rommem[14878] <= 12'h001;
rommem[14879] <= 12'h08C;
rommem[14880] <= 12'h000;
rommem[14881] <= 12'h00C;
rommem[14882] <= 12'h025;
rommem[14883] <= 12'hFE1;
rommem[14884] <= 12'h01F;
rommem[14885] <= 12'h010;
rommem[14886] <= 12'h035;
rommem[14887] <= 12'h090;
rommem[14888] <= 12'h0C1;
rommem[14889] <= 12'h030;
rommem[14890] <= 12'h025;
rommem[14891] <= 12'h021;
rommem[14892] <= 12'h0C1;
rommem[14893] <= 12'h039;
rommem[14894] <= 12'h022;
rommem[14895] <= 12'h003;
rommem[14896] <= 12'h0C0;
rommem[14897] <= 12'h030;
rommem[14898] <= 12'h039;
rommem[14899] <= 12'h0C1;
rommem[14900] <= 12'h041;
rommem[14901] <= 12'h025;
rommem[14902] <= 12'h016;
rommem[14777] <= 12'h026;
rommem[14778] <= 12'h008;
rommem[14779] <= 12'h0CC;
rommem[14780] <= 12'hFFF;
rommem[14781] <= 12'hB0E;
rommem[14782] <= 12'h017;
rommem[14783] <= 12'hFFE;
rommem[14784] <= 12'h9F5;
rommem[14785] <= 12'h020;
rommem[14786] <= 12'hF6B;
rommem[14787] <= 12'h0C1;
rommem[14788] <= 12'h043;
rommem[14789] <= 12'h026;
rommem[14790] <= 12'h008;
rommem[14791] <= 12'h017;
rommem[14792] <= 12'hFFE;
rommem[14793] <= 12'h85B;
rommem[14794] <= 12'h017;
rommem[14795] <= 12'hFFE;
rommem[14796] <= 12'h8CB;
rommem[14797] <= 12'h020;
rommem[14798] <= 12'hF5F;
rommem[14799] <= 12'h0C1;
rommem[14800] <= 12'h044;
rommem[14801] <= 12'h026;
rommem[14802] <= 12'h008;
rommem[14803] <= 12'h08D;
rommem[14804] <= 12'h05B;
rommem[14805] <= 12'h0C1;
rommem[14806] <= 12'h052;
rommem[14807] <= 12'h026;
rommem[14808] <= 12'h26F;
rommem[14809] <= 12'h020;
rommem[14810] <= 12'h309;
rommem[14811] <= 12'h0C1;
rommem[14812] <= 12'h03A;
rommem[14813] <= 12'h026;
rommem[14814] <= 12'h003;
rommem[14815] <= 12'h016;
rommem[14816] <= 12'h000;
rommem[14817] <= 12'h2C2;
rommem[14818] <= 12'h0C1;
rommem[14819] <= 12'h046;
rommem[14820] <= 12'h026;
rommem[14821] <= 12'h016;
rommem[14822] <= 12'h08D;
rommem[14823] <= 12'h048;
rommem[14824] <= 12'h0C1;
rommem[14825] <= 12'h049;
rommem[14826] <= 12'h026;
rommem[14827] <= 12'h009;
rommem[14828] <= 12'h08D;
rommem[14829] <= 12'h042;
rommem[14830] <= 12'h0C1;
rommem[14831] <= 12'h047;
rommem[14832] <= 12'h026;
rommem[14833] <= 12'hF3C;
rommem[14834] <= 12'h07E;
rommem[14835] <= 12'hFE0;
rommem[14836] <= 12'h000;
rommem[14837] <= 12'h0C1;
rommem[14838] <= 12'h04C;
rommem[14839] <= 12'h026;
rommem[14840] <= 12'hF35;
rommem[14841] <= 12'h016;
rommem[14842] <= 12'h000;
rommem[14843] <= 12'h3A9;
rommem[14844] <= 12'h0C1;
rommem[14845] <= 12'h04A;
rommem[14846] <= 12'h127;
rommem[14847] <= 12'h000;
rommem[14848] <= 12'h32E;
rommem[14849] <= 12'h0C1;
rommem[14850] <= 12'h052;
rommem[14851] <= 12'h026;
rommem[14852] <= 12'h006;
rommem[14853] <= 12'h0CE;
rommem[14854] <= 12'hFFF;
rommem[14855] <= 12'h92E;
rommem[14856] <= 12'h016;
rommem[14857] <= 12'hFFD;
rommem[14858] <= 12'h9F5;
rommem[14859] <= 12'h0C1;
rommem[14860] <= 12'h073;
rommem[14861] <= 12'h026;
rommem[14862] <= 12'h005;
rommem[14863] <= 12'h017;
rommem[14864] <= 12'hFFF;
rommem[14865] <= 12'h293;
rommem[14866] <= 12'h020;
rommem[14867] <= 12'hF1A;
rommem[14868] <= 12'h0C1;
rommem[14869] <= 12'h054;
rommem[14870] <= 12'h026;
rommem[14871] <= 12'h011;
rommem[14872] <= 12'h08D;
rommem[14873] <= 12'h016;
rommem[14874] <= 12'h0C1;
rommem[14875] <= 12'h049;
rommem[14876] <= 12'h026;
rommem[14877] <= 12'hF10;
rommem[14878] <= 12'h08D;
rommem[14879] <= 12'h010;
rommem[14880] <= 12'h0C1;
rommem[14881] <= 12'h052;
rommem[14882] <= 12'h026;
rommem[14883] <= 12'hF0A;
rommem[14884] <= 12'h017;
rommem[14885] <= 12'hFFE;
rommem[14886] <= 12'hAA0;
rommem[14887] <= 12'h020;
rommem[14888] <= 12'hF05;
rommem[14889] <= 12'h0C1;
rommem[14890] <= 12'h055;
rommem[14891] <= 12'h026;
rommem[14892] <= 12'hF01;
rommem[14893] <= 12'h016;
rommem[14894] <= 12'hFFF;
rommem[14895] <= 12'h984;
rommem[14896] <= 12'h0E6;
rommem[14897] <= 12'hA04;
rommem[14898] <= 12'h031;
rommem[14899] <= 12'h201;
rommem[14900] <= 12'h039;
rommem[14901] <= 12'h08D;
rommem[14902] <= 12'hFF9;
rommem[14903] <= 12'h0C1;
rommem[14904] <= 12'h046;
rommem[14905] <= 12'h022;
rommem[14906] <= 12'h005;
rommem[14907] <= 12'h0C0;
rommem[14908] <= 12'h041;
rommem[14909] <= 12'h0CB;
rommem[14910] <= 12'h00A;
rommem[14911] <= 12'h039;
rommem[14912] <= 12'h0C1;
rommem[14913] <= 12'h061;
rommem[14914] <= 12'h025;
rommem[14915] <= 12'h009;
rommem[14916] <= 12'h0C1;
rommem[14917] <= 12'h07A;
rommem[14918] <= 12'h022;
rommem[14919] <= 12'h005;
rommem[14920] <= 12'h0C0;
rommem[14921] <= 12'h061;
rommem[14922] <= 12'h0CB;
rommem[14923] <= 12'h00A;
rommem[14924] <= 12'h039;
rommem[14925] <= 12'h0C6;
rommem[14926] <= 12'hFFF;
rommem[14927] <= 12'h039;
rommem[14928] <= 12'h0C1;
rommem[14929] <= 12'h030;
rommem[14930] <= 12'h024;
rommem[14931] <= 12'h007;
rommem[14932] <= 12'h0C1;
rommem[14933] <= 12'h03A;
rommem[14934] <= 12'h025;
rommem[14935] <= 12'h003;
rommem[14936] <= 12'h0C0;
rommem[14937] <= 12'h030;
rommem[14938] <= 12'h039;
rommem[14939] <= 12'h0C6;
rommem[14940] <= 12'hFFF;
rommem[14941] <= 12'h039;
rommem[14942] <= 12'h08E;
rommem[14943] <= 12'hFFF;
rommem[14944] <= 12'hA70;
rommem[14945] <= 12'h04F;
rommem[14946] <= 12'h05F;
rommem[14947] <= 12'h08D;
rommem[14948] <= 12'h003;
rommem[14949] <= 12'h07E;
rommem[14950] <= 12'hFFF;
rommem[14951] <= 12'h8AC;
rommem[14952] <= 12'h0DD;
rommem[14953] <= 12'h024;
rommem[14954] <= 12'h09F;
rommem[14955] <= 12'h026;
rommem[14956] <= 12'h0BD;
rommem[14957] <= 12'hFFE;
rommem[14958] <= 12'h3A2;
rommem[14959] <= 12'h039;
rommem[14960] <= 12'h02A;
rommem[14961] <= 12'h02A;
rommem[14962] <= 12'h045;
rommem[14963] <= 12'h072;
rommem[14964] <= 12'h072;
rommem[14965] <= 12'h00D;
rommem[14966] <= 12'h00A;
rommem[14967] <= 12'h000;
rommem[14968] <= 12'h03F;
rommem[14969] <= 12'h020;
rommem[14970] <= 12'h03D;
rommem[14971] <= 12'h020;
rommem[14972] <= 12'h044;
rommem[14973] <= 12'h069;
rommem[14974] <= 12'h073;
rommem[14975] <= 12'h070;
rommem[14976] <= 12'h06C;
rommem[14977] <= 12'h061;
rommem[14978] <= 12'h079;
rommem[14979] <= 12'h020;
rommem[14980] <= 12'h068;
rommem[14981] <= 12'h065;
rommem[14982] <= 12'h06C;
rommem[14983] <= 12'h070;
rommem[14984] <= 12'h00D;
rommem[14985] <= 12'h00A;
rommem[14986] <= 12'h043;
rommem[14987] <= 12'h04C;
rommem[14988] <= 12'h053;
rommem[14989] <= 12'h020;
rommem[14990] <= 12'h03D;
rommem[14991] <= 12'h020;
rommem[14992] <= 12'h063;
rommem[14993] <= 12'h06C;
rommem[14994] <= 12'h065;
rommem[14995] <= 12'h061;
rommem[14996] <= 12'h072;
rommem[14997] <= 12'h020;
rommem[14998] <= 12'h073;
rommem[14999] <= 12'h063;
rommem[15000] <= 12'h072;
rommem[15001] <= 12'h065;
rommem[15002] <= 12'h065;
rommem[15003] <= 12'h06E;
rommem[15004] <= 12'h00D;
rommem[15005] <= 12'h00A;
rommem[15006] <= 12'h044;
rommem[15007] <= 12'h052;
rommem[15008] <= 12'h020;
rommem[15009] <= 12'h03D;
rommem[15010] <= 12'h020;
rommem[15011] <= 12'h044;
rommem[15012] <= 12'h075;
rommem[15013] <= 12'h06D;
rommem[15014] <= 12'h070;
rommem[15015] <= 12'h020;
rommem[15016] <= 12'h072;
rommem[15017] <= 12'h065;
rommem[15018] <= 12'h067;
rommem[15019] <= 12'h069;
rommem[15020] <= 12'h073;
rommem[15021] <= 12'h074;
rommem[15022] <= 12'h065;
rommem[15023] <= 12'h072;
rommem[15024] <= 12'h073;
rommem[15025] <= 12'h00D;
rommem[15026] <= 12'h00A;
rommem[15027] <= 12'h044;
rommem[15028] <= 12'h020;
rommem[15029] <= 12'h03D;
rommem[15030] <= 12'h020;
rommem[15031] <= 12'h044;
rommem[15032] <= 12'h075;
rommem[15033] <= 12'h06D;
rommem[15034] <= 12'h070;
rommem[15035] <= 12'h020;
rommem[15036] <= 12'h06D;
rommem[15037] <= 12'h065;
rommem[15038] <= 12'h06D;
rommem[15039] <= 12'h06F;
rommem[15040] <= 12'h072;
rommem[15041] <= 12'h079;
rommem[15042] <= 12'h00D;
rommem[15043] <= 12'h00A;
rommem[15044] <= 12'h046;
rommem[15045] <= 12'h049;
rommem[15046] <= 12'h047;
rommem[15047] <= 12'h020;
rommem[15048] <= 12'h03D;
rommem[15049] <= 12'h020;
rommem[15050] <= 12'h073;
rommem[15051] <= 12'h074;
rommem[15052] <= 12'h061;
rommem[15053] <= 12'h072;
rommem[15054] <= 12'h074;
rommem[15055] <= 12'h020;
rommem[15056] <= 12'h046;
rommem[15057] <= 12'h049;
rommem[15058] <= 12'h047;
rommem[15059] <= 12'h020;
rommem[15060] <= 12'h046;
rommem[15061] <= 12'h06F;
rommem[15062] <= 12'h072;
rommem[15063] <= 12'h074;
rommem[15064] <= 12'h068;
rommem[15065] <= 12'h00D;
rommem[15066] <= 12'h00A;
rommem[15067] <= 12'h04A;
rommem[15068] <= 12'h020;
rommem[15069] <= 12'h03D;
rommem[15070] <= 12'h020;
rommem[15071] <= 12'h04A;
rommem[15072] <= 12'h075;
rommem[15073] <= 12'h06D;
rommem[15074] <= 12'h070;
rommem[15075] <= 12'h020;
rommem[15076] <= 12'h074;
rommem[15077] <= 12'h06F;
rommem[15078] <= 12'h020;
rommem[15079] <= 12'h063;
rommem[15080] <= 12'h06F;
rommem[15081] <= 12'h064;
rommem[15082] <= 12'h065;
rommem[15083] <= 12'h00D;
rommem[15084] <= 12'h00A;
rommem[15085] <= 12'h052;
rommem[15086] <= 12'h041;
rommem[15087] <= 12'h04D;
rommem[15088] <= 12'h020;
rommem[15089] <= 12'h03D;
rommem[15090] <= 12'h020;
rommem[15091] <= 12'h074;
rommem[15092] <= 12'h065;
rommem[15093] <= 12'h073;
rommem[15094] <= 12'h074;
rommem[15095] <= 12'h020;
rommem[15096] <= 12'h052;
rommem[15097] <= 12'h041;
rommem[15098] <= 12'h04D;
rommem[15099] <= 12'h00D;
rommem[15100] <= 12'h00A;
rommem[15101] <= 12'h073;
rommem[15102] <= 12'h020;
rommem[15103] <= 12'h03D;
rommem[15104] <= 12'h020;
rommem[15105] <= 12'h073;
rommem[15106] <= 12'h065;
rommem[15107] <= 12'h072;
rommem[15108] <= 12'h069;
rommem[15109] <= 12'h061;
rommem[15110] <= 12'h06C;
rommem[15111] <= 12'h020;
rommem[15112] <= 12'h06F;
rommem[15113] <= 12'h075;
rommem[15114] <= 12'h074;
rommem[15115] <= 12'h070;
rommem[15116] <= 12'h075;
rommem[15117] <= 12'h074;
rommem[15118] <= 12'h020;
rommem[15119] <= 12'h074;
rommem[15120] <= 12'h065;
rommem[15121] <= 12'h073;
rommem[15122] <= 12'h074;
rommem[15123] <= 12'h00D;
rommem[15124] <= 12'h00A;
rommem[15125] <= 12'h054;
rommem[15126] <= 12'h049;
rommem[15127] <= 12'h020;
rommem[15128] <= 12'h03D;
rommem[14904] <= 12'h020;
rommem[14905] <= 12'h027;
rommem[14906] <= 12'hFFA;
rommem[14907] <= 12'h039;
rommem[14908] <= 12'h08D;
rommem[14909] <= 12'hFF2;
rommem[14910] <= 12'h0C1;
rommem[14911] <= 12'h020;
rommem[14912] <= 12'h027;
rommem[14913] <= 12'hFFA;
rommem[14914] <= 12'h031;
rommem[14915] <= 12'h3FF;
rommem[14916] <= 12'h039;
rommem[14917] <= 12'h08D;
rommem[14918] <= 12'hFF5;
rommem[14919] <= 12'h08D;
rommem[14920] <= 12'h046;
rommem[14921] <= 12'h0FC;
rommem[14922] <= 12'h000;
rommem[14923] <= 12'h910;
rommem[14924] <= 12'h0FD;
rommem[14925] <= 12'h000;
rommem[14926] <= 12'h920;
rommem[14927] <= 12'h0FC;
rommem[14928] <= 12'h000;
rommem[14929] <= 12'h912;
rommem[14930] <= 12'h0FD;
rommem[14931] <= 12'h000;
rommem[14932] <= 12'h922;
rommem[14933] <= 12'h08D;
rommem[14934] <= 12'hFE5;
rommem[14935] <= 12'h08D;
rommem[14936] <= 12'h036;
rommem[14937] <= 12'h0FC;
rommem[14938] <= 12'h000;
rommem[14939] <= 12'h910;
rommem[14940] <= 12'h0FD;
rommem[14941] <= 12'h000;
rommem[14942] <= 12'h924;
rommem[14943] <= 12'h0FC;
rommem[14944] <= 12'h000;
rommem[14945] <= 12'h912;
rommem[14946] <= 12'h0FD;
rommem[14947] <= 12'h000;
rommem[14948] <= 12'h926;
rommem[14949] <= 12'h039;
rommem[14950] <= 12'h08D;
rommem[14951] <= 12'hFDD;
rommem[14952] <= 12'h0FC;
rommem[14953] <= 12'h000;
rommem[14954] <= 12'h926;
rommem[14955] <= 12'h0B3;
rommem[14956] <= 12'h000;
rommem[14957] <= 12'h922;
rommem[14958] <= 12'h0FC;
rommem[14959] <= 12'h000;
rommem[14960] <= 12'h924;
rommem[14961] <= 12'h0F2;
rommem[14962] <= 12'h000;
rommem[14963] <= 12'h921;
rommem[14964] <= 12'h0B2;
rommem[14965] <= 12'h000;
rommem[14966] <= 12'h920;
rommem[14967] <= 12'h124;
rommem[14968] <= 12'h000;
rommem[14969] <= 12'h007;
rommem[14970] <= 12'h0AD;
rommem[14971] <= 12'h90F;
rommem[14972] <= 12'h000;
rommem[14973] <= 12'h80C;
rommem[14974] <= 12'h016;
rommem[14975] <= 12'hFFF;
rommem[14976] <= 12'hEAD;
rommem[14977] <= 12'h039;
rommem[14978] <= 12'h078;
rommem[14979] <= 12'h000;
rommem[14980] <= 12'h913;
rommem[14981] <= 12'h079;
rommem[14982] <= 12'h000;
rommem[14983] <= 12'h912;
rommem[14984] <= 12'h079;
rommem[14985] <= 12'h000;
rommem[14986] <= 12'h911;
rommem[14987] <= 12'h079;
rommem[14988] <= 12'h000;
rommem[14989] <= 12'h910;
rommem[14990] <= 12'h039;
rommem[14991] <= 12'h04F;
rommem[14992] <= 12'h05F;
rommem[14993] <= 12'h0FD;
rommem[14994] <= 12'h000;
rommem[14995] <= 12'h910;
rommem[14996] <= 12'h0FD;
rommem[14997] <= 12'h000;
rommem[14998] <= 12'h912;
rommem[14999] <= 12'h034;
rommem[15000] <= 12'h010;
rommem[15001] <= 12'h08E;
rommem[15002] <= 12'h000;
rommem[15003] <= 12'h000;
rommem[15004] <= 12'h08D;
rommem[15005] <= 12'hF92;
rommem[15006] <= 12'h08D;
rommem[15007] <= 12'h01F;
rommem[15008] <= 12'h0C1;
rommem[15009] <= 12'hFFF;
rommem[15010] <= 12'h027;
rommem[15011] <= 12'h017;
rommem[15012] <= 12'h08D;
rommem[15013] <= 12'hFDC;
rommem[15014] <= 12'h08D;
rommem[15015] <= 12'hFDA;
rommem[15016] <= 12'h08D;
rommem[15017] <= 12'hFD8;
rommem[15018] <= 12'h08D;
rommem[15019] <= 12'hFD6;
rommem[15020] <= 12'h0C4;
rommem[15021] <= 12'h00F;
rommem[15022] <= 12'h0FA;
rommem[15023] <= 12'h000;
rommem[15024] <= 12'h913;
rommem[15025] <= 12'h0F7;
rommem[15026] <= 12'h000;
rommem[15027] <= 12'h913;
rommem[15028] <= 12'h030;
rommem[15029] <= 12'h001;
rommem[15030] <= 12'h08C;
rommem[15031] <= 12'h000;
rommem[15032] <= 12'h00C;
rommem[15033] <= 12'h025;
rommem[15034] <= 12'hFE1;
rommem[15035] <= 12'h01F;
rommem[15036] <= 12'h010;
rommem[15037] <= 12'h035;
rommem[15038] <= 12'h090;
rommem[15039] <= 12'h0C1;
rommem[15040] <= 12'h030;
rommem[15041] <= 12'h025;
rommem[15042] <= 12'h021;
rommem[15043] <= 12'h0C1;
rommem[15044] <= 12'h039;
rommem[15045] <= 12'h022;
rommem[15046] <= 12'h003;
rommem[15047] <= 12'h0C0;
rommem[15048] <= 12'h030;
rommem[15049] <= 12'h039;
rommem[15050] <= 12'h0C1;
rommem[15051] <= 12'h041;
rommem[15052] <= 12'h025;
rommem[15053] <= 12'h016;
rommem[15054] <= 12'h0C1;
rommem[15055] <= 12'h046;
rommem[15056] <= 12'h022;
rommem[15057] <= 12'h005;
rommem[15058] <= 12'h0C0;
rommem[15059] <= 12'h041;
rommem[15060] <= 12'h0CB;
rommem[15061] <= 12'h00A;
rommem[15062] <= 12'h039;
rommem[15063] <= 12'h0C1;
rommem[15064] <= 12'h061;
rommem[15065] <= 12'h025;
rommem[15066] <= 12'h009;
rommem[15067] <= 12'h0C1;
rommem[15068] <= 12'h07A;
rommem[15069] <= 12'h022;
rommem[15070] <= 12'h005;
rommem[15071] <= 12'h0C0;
rommem[15072] <= 12'h061;
rommem[15073] <= 12'h0CB;
rommem[15074] <= 12'h00A;
rommem[15075] <= 12'h039;
rommem[15076] <= 12'h0C6;
rommem[15077] <= 12'hFFF;
rommem[15078] <= 12'h039;
rommem[15079] <= 12'h0C1;
rommem[15080] <= 12'h030;
rommem[15081] <= 12'h024;
rommem[15082] <= 12'h007;
rommem[15083] <= 12'h0C1;
rommem[15084] <= 12'h03A;
rommem[15085] <= 12'h025;
rommem[15086] <= 12'h003;
rommem[15087] <= 12'h0C0;
rommem[15088] <= 12'h030;
rommem[15089] <= 12'h039;
rommem[15090] <= 12'h0C6;
rommem[15091] <= 12'hFFF;
rommem[15092] <= 12'h039;
rommem[15093] <= 12'h0CC;
rommem[15094] <= 12'hFFF;
rommem[15095] <= 12'hB06;
rommem[15096] <= 12'h017;
rommem[15097] <= 12'hFFE;
rommem[15098] <= 12'h8BB;
rommem[15099] <= 12'h07E;
rommem[15100] <= 12'hFFF;
rommem[15101] <= 12'h92E;
rommem[15102] <= 12'h0DD;
rommem[15103] <= 12'h024;
rommem[15104] <= 12'h09F;
rommem[15105] <= 12'h026;
rommem[15106] <= 12'h0BD;
rommem[15107] <= 12'hFFE;
rommem[15108] <= 12'h3B6;
rommem[15109] <= 12'h039;
rommem[15110] <= 12'h02A;
rommem[15111] <= 12'h02A;
rommem[15112] <= 12'h045;
rommem[15113] <= 12'h072;
rommem[15114] <= 12'h072;
rommem[15115] <= 12'h00D;
rommem[15116] <= 12'h00A;
rommem[15117] <= 12'h000;
rommem[15118] <= 12'h03F;
rommem[15119] <= 12'h020;
rommem[15120] <= 12'h03D;
rommem[15121] <= 12'h020;
rommem[15122] <= 12'h044;
rommem[15123] <= 12'h069;
rommem[15124] <= 12'h073;
rommem[15125] <= 12'h070;
rommem[15126] <= 12'h06C;
rommem[15127] <= 12'h061;
rommem[15128] <= 12'h079;
rommem[15129] <= 12'h020;
rommem[15130] <= 12'h064;
rommem[15131] <= 12'h069;
rommem[15132] <= 12'h073;
rommem[15130] <= 12'h068;
rommem[15131] <= 12'h065;
rommem[15132] <= 12'h06C;
rommem[15133] <= 12'h070;
rommem[15134] <= 12'h06C;
rommem[15135] <= 12'h061;
rommem[15136] <= 12'h079;
rommem[15137] <= 12'h020;
rommem[15138] <= 12'h064;
rommem[15139] <= 12'h061;
rommem[15140] <= 12'h074;
rommem[15141] <= 12'h065;
rommem[15142] <= 12'h02F;
rommem[15143] <= 12'h074;
rommem[15144] <= 12'h069;
rommem[15145] <= 12'h06D;
rommem[15146] <= 12'h065;
rommem[15147] <= 12'h00D;
rommem[15148] <= 12'h00A;
rommem[15149] <= 12'h000;
rommem[15150] <= 12'h00D;
rommem[15151] <= 12'h00A;
rommem[15152] <= 12'h020;
rommem[15153] <= 12'h020;
rommem[15154] <= 12'h044;
rommem[15155] <= 12'h02F;
rommem[15156] <= 12'h041;
rommem[15157] <= 12'h042;
rommem[15158] <= 12'h020;
rommem[15134] <= 12'h00D;
rommem[15135] <= 12'h00A;
rommem[15136] <= 12'h043;
rommem[15137] <= 12'h04C;
rommem[15138] <= 12'h053;
rommem[15139] <= 12'h020;
rommem[15140] <= 12'h03D;
rommem[15141] <= 12'h020;
rommem[15142] <= 12'h063;
rommem[15143] <= 12'h06C;
rommem[15144] <= 12'h065;
rommem[15145] <= 12'h061;
rommem[15146] <= 12'h072;
rommem[15147] <= 12'h020;
rommem[15148] <= 12'h073;
rommem[15149] <= 12'h063;
rommem[15150] <= 12'h072;
rommem[15151] <= 12'h065;
rommem[15152] <= 12'h065;
rommem[15153] <= 12'h06E;
rommem[15154] <= 12'h00D;
rommem[15155] <= 12'h00A;
rommem[15156] <= 12'h03A;
rommem[15157] <= 12'h020;
rommem[15158] <= 12'h03D;
rommem[15159] <= 12'h020;
rommem[15160] <= 12'h020;
rommem[15161] <= 12'h020;
rommem[15162] <= 12'h020;
rommem[15163] <= 12'h058;
rommem[15160] <= 12'h045;
rommem[15161] <= 12'h064;
rommem[15162] <= 12'h069;
rommem[15163] <= 12'h074;
rommem[15164] <= 12'h020;
rommem[15165] <= 12'h020;
rommem[15166] <= 12'h020;
rommem[15167] <= 12'h020;
rommem[15168] <= 12'h020;
rommem[15169] <= 12'h020;
rommem[15170] <= 12'h059;
rommem[15165] <= 12'h06D;
rommem[15166] <= 12'h065;
rommem[15167] <= 12'h06D;
rommem[15168] <= 12'h06F;
rommem[15169] <= 12'h072;
rommem[15170] <= 12'h079;
rommem[15171] <= 12'h020;
rommem[15172] <= 12'h020;
rommem[15173] <= 12'h020;
rommem[15174] <= 12'h020;
rommem[15175] <= 12'h020;
rommem[15176] <= 12'h020;
rommem[15177] <= 12'h055;
rommem[15178] <= 12'h020;
rommem[15179] <= 12'h020;
rommem[15180] <= 12'h020;
rommem[15172] <= 12'h062;
rommem[15173] <= 12'h079;
rommem[15174] <= 12'h074;
rommem[15175] <= 12'h065;
rommem[15176] <= 12'h073;
rommem[15177] <= 12'h00D;
rommem[15178] <= 12'h00A;
rommem[15179] <= 12'h044;
rommem[15180] <= 12'h052;
rommem[15181] <= 12'h020;
rommem[15182] <= 12'h020;
rommem[15182] <= 12'h03D;
rommem[15183] <= 12'h020;
rommem[15184] <= 12'h053;
rommem[15185] <= 12'h020;
rommem[15186] <= 12'h020;
rommem[15187] <= 12'h020;
rommem[15184] <= 12'h044;
rommem[15185] <= 12'h075;
rommem[15186] <= 12'h06D;
rommem[15187] <= 12'h070;
rommem[15188] <= 12'h020;
rommem[15189] <= 12'h020;
rommem[15190] <= 12'h020;
rommem[15191] <= 12'h020;
rommem[15192] <= 12'h050;
rommem[15193] <= 12'h043;
rommem[15194] <= 12'h020;
rommem[15195] <= 12'h020;
rommem[15196] <= 12'h020;
rommem[15197] <= 12'h020;
rommem[15198] <= 12'h044;
rommem[15199] <= 12'h050;
rommem[15200] <= 12'h020;
rommem[15189] <= 12'h072;
rommem[15190] <= 12'h065;
rommem[15191] <= 12'h067;
rommem[15192] <= 12'h069;
rommem[15193] <= 12'h073;
rommem[15194] <= 12'h074;
rommem[15195] <= 12'h065;
rommem[15196] <= 12'h072;
rommem[15197] <= 12'h073;
rommem[15198] <= 12'h00D;
rommem[15199] <= 12'h00A;
rommem[15200] <= 12'h044;
rommem[15201] <= 12'h020;
rommem[15202] <= 12'h043;
rommem[15203] <= 12'h043;
rommem[15204] <= 12'h052;
rommem[15205] <= 12'h00D;
rommem[15206] <= 12'h00A;
rommem[15207] <= 12'h000;
rommem[15208] <= 12'h0BD;
rommem[15209] <= 12'hFFD;
rommem[15210] <= 12'h2D2;
rommem[15211] <= 12'h039;
rommem[15212] <= 12'h0C6;
rommem[15213] <= 12'h020;
rommem[15214] <= 12'h016;
rommem[15215] <= 12'hFFF;
rommem[15216] <= 12'h1A1;
rommem[15217] <= 12'h08D;
rommem[15218] <= 12'hE42;
rommem[15219] <= 12'h18E;
rommem[15220] <= 12'h000;
rommem[15221] <= 12'h000;
rommem[15222] <= 12'h1BE;
rommem[15223] <= 12'h000;
rommem[15224] <= 12'h922;
rommem[15225] <= 12'h017;
rommem[15226] <= 12'hFFD;
rommem[15227] <= 12'h556;
rommem[15228] <= 12'h0C6;
rommem[15229] <= 12'h03A;
rommem[15230] <= 12'h017;
rommem[15231] <= 12'hFFF;
rommem[15232] <= 12'h191;
rommem[15233] <= 12'h01F;
rommem[15234] <= 12'h020;
rommem[15235] <= 12'h017;
rommem[15236] <= 12'hFFE;
rommem[15237] <= 12'h867;
rommem[15238] <= 12'h0C6;
rommem[15239] <= 12'h020;
rommem[15240] <= 12'h017;
rommem[15241] <= 12'hFFF;
rommem[15242] <= 12'h187;
rommem[15243] <= 12'h08E;
rommem[15244] <= 12'h000;
rommem[15245] <= 12'h008;
rommem[15246] <= 12'h0E6;
rommem[15247] <= 12'hA04;
rommem[15248] <= 12'h031;
rommem[15249] <= 12'h201;
rommem[15250] <= 12'h017;
rommem[15251] <= 12'hFFE;
rommem[15252] <= 12'h861;
rommem[15253] <= 12'h0C6;
rommem[15202] <= 12'h03D;
rommem[15203] <= 12'h020;
rommem[15204] <= 12'h044;
rommem[15205] <= 12'h075;
rommem[15206] <= 12'h06D;
rommem[15207] <= 12'h070;
rommem[15208] <= 12'h020;
rommem[15209] <= 12'h06D;
rommem[15210] <= 12'h065;
rommem[15211] <= 12'h06D;
rommem[15212] <= 12'h06F;
rommem[15213] <= 12'h072;
rommem[15214] <= 12'h079;
rommem[15215] <= 12'h00D;
rommem[15216] <= 12'h00A;
rommem[15217] <= 12'h046;
rommem[15218] <= 12'h04C;
rommem[15219] <= 12'h020;
rommem[15220] <= 12'h03D;
rommem[15221] <= 12'h020;
rommem[15222] <= 12'h044;
rommem[15223] <= 12'h075;
rommem[15224] <= 12'h06D;
rommem[15225] <= 12'h070;
rommem[15226] <= 12'h020;
rommem[15227] <= 12'h049;
rommem[15228] <= 12'h02F;
rommem[15229] <= 12'h04F;
rommem[15230] <= 12'h020;
rommem[15231] <= 12'h046;
rommem[15232] <= 12'h06F;
rommem[15233] <= 12'h063;
rommem[15234] <= 12'h075;
rommem[15235] <= 12'h073;
rommem[15236] <= 12'h020;
rommem[15237] <= 12'h04C;
rommem[15238] <= 12'h069;
rommem[15239] <= 12'h073;
rommem[15240] <= 12'h074;
rommem[15241] <= 12'h00D;
rommem[15242] <= 12'h00A;
rommem[15243] <= 12'h046;
rommem[15244] <= 12'h049;
rommem[15245] <= 12'h047;
rommem[15246] <= 12'h020;
rommem[15247] <= 12'h03D;
rommem[15248] <= 12'h020;
rommem[15249] <= 12'h073;
rommem[15250] <= 12'h074;
rommem[15251] <= 12'h061;
rommem[15252] <= 12'h072;
rommem[15253] <= 12'h074;
rommem[15254] <= 12'h020;
rommem[15255] <= 12'h017;
rommem[15256] <= 12'hFFF;
rommem[15257] <= 12'h178;
rommem[15258] <= 12'h05F;
rommem[15259] <= 12'h04F;
rommem[15260] <= 12'h017;
rommem[15261] <= 12'hFFF;
rommem[15262] <= 12'h13B;
rommem[15263] <= 12'h0C1;
rommem[15264] <= 12'h003;
rommem[15265] <= 12'h027;
rommem[15266] <= 12'h024;
rommem[15267] <= 12'h030;
rommem[15268] <= 12'h1FF;
rommem[15269] <= 12'h026;
rommem[15270] <= 12'hFE7;
rommem[15271] <= 12'h0C6;
rommem[15272] <= 12'h020;
rommem[15273] <= 12'h017;
rommem[15274] <= 12'hFFF;
rommem[15275] <= 12'h166;
rommem[15276] <= 12'h08E;
rommem[15277] <= 12'h000;
rommem[15278] <= 12'h008;
rommem[15279] <= 12'h031;
rommem[15280] <= 12'h3F8;
rommem[15281] <= 12'h0E6;
rommem[15282] <= 12'hA04;
rommem[15283] <= 12'h0C1;
rommem[15284] <= 12'h020;
rommem[15285] <= 12'h024;
rommem[15286] <= 12'h002;
rommem[15287] <= 12'h0C6;
rommem[15288] <= 12'h02E;
rommem[15289] <= 12'h017;
rommem[15290] <= 12'hFFF;
rommem[15291] <= 12'h156;
rommem[15292] <= 12'h031;
rommem[15293] <= 12'h201;
rommem[15294] <= 12'h030;
rommem[15295] <= 12'h1FF;
rommem[15296] <= 12'h026;
rommem[15297] <= 12'hFEF;
rommem[15298] <= 12'h1BC;
rommem[15299] <= 12'h000;
rommem[15300] <= 12'h926;
rommem[15301] <= 12'h025;
rommem[15302] <= 12'hFB2;
rommem[15303] <= 12'h017;
rommem[15304] <= 12'hFFD;
rommem[15305] <= 12'h508;
rommem[15306] <= 12'h016;
rommem[15307] <= 12'hFFF;
rommem[15308] <= 12'hCDF;
rommem[15309] <= 12'h0CC;
rommem[15310] <= 12'hFFF;
rommem[15311] <= 12'hB2E;
rommem[15312] <= 12'h017;
rommem[15313] <= 12'hFFE;
rommem[15314] <= 12'h7CF;
rommem[15315] <= 12'h08D;
rommem[15316] <= 12'hF97;
rommem[15317] <= 12'h0FC;
rommem[15318] <= 12'h000;
rommem[15319] <= 12'h900;
rommem[15320] <= 12'h08D;
rommem[15321] <= 12'hF8E;
rommem[15322] <= 12'h08D;
rommem[15323] <= 12'hF90;
rommem[15324] <= 12'h0FC;
rommem[15325] <= 12'h000;
rommem[15326] <= 12'h902;
rommem[15327] <= 12'h08D;
rommem[15328] <= 12'hF87;
rommem[15329] <= 12'h08D;
rommem[15330] <= 12'hF89;
rommem[15331] <= 12'h0FC;
rommem[15332] <= 12'h000;
rommem[15333] <= 12'h904;
rommem[15334] <= 12'h08D;
rommem[15335] <= 12'hF80;
rommem[15336] <= 12'h08D;
rommem[15337] <= 12'hF82;
rommem[15338] <= 12'h0FC;
rommem[15339] <= 12'h000;
rommem[15340] <= 12'h906;
rommem[15341] <= 12'h08D;
rommem[15342] <= 12'hF79;
rommem[15343] <= 12'h08D;
rommem[15344] <= 12'hF7B;
rommem[15345] <= 12'h0FC;
rommem[15346] <= 12'h000;
rommem[15347] <= 12'h908;
rommem[15348] <= 12'h08D;
rommem[15349] <= 12'hF72;
rommem[15350] <= 12'h08D;
rommem[15351] <= 12'hF74;
rommem[15352] <= 12'h0F6;
rommem[15353] <= 12'h000;
rommem[15354] <= 12'h90B;
rommem[15355] <= 12'h017;
rommem[15356] <= 12'hFFE;
rommem[15357] <= 12'h7F8;
rommem[15358] <= 12'h0FC;
rommem[15359] <= 12'h000;
rommem[15360] <= 12'h90C;
rommem[15361] <= 12'h08D;
rommem[15362] <= 12'hF65;
rommem[15363] <= 12'h08D;
rommem[15364] <= 12'hF67;
rommem[15365] <= 12'h0FC;
rommem[15366] <= 12'h000;
rommem[15367] <= 12'h90E;
rommem[15368] <= 12'h0BD;
rommem[15369] <= 12'hFFD;
rommem[15370] <= 12'h2CE;
rommem[15371] <= 12'h08D;
rommem[15372] <= 12'hF5F;
rommem[15373] <= 12'h0B6;
rommem[15374] <= 12'h000;
rommem[15375] <= 12'h90F;
rommem[15376] <= 12'h017;
rommem[15377] <= 12'hFFD;
rommem[15378] <= 12'h6BB;
rommem[15379] <= 12'h08D;
rommem[15380] <= 12'hF57;
rommem[15381] <= 12'h016;
rommem[15382] <= 12'hFFF;
rommem[15383] <= 12'hC94;
rommem[15384] <= 12'h08D;
rommem[15385] <= 12'hDDE;
rommem[15386] <= 12'h01A;
rommem[15387] <= 12'h010;
rommem[15388] <= 12'h1FE;
rommem[15389] <= 12'h000;
rommem[15390] <= 12'h908;
rommem[15391] <= 12'h0CC;
rommem[15392] <= 12'hFFF;
rommem[15393] <= 12'hC53;
rommem[15394] <= 12'h034;
rommem[15395] <= 12'h006;
rommem[15396] <= 12'h0C6;
rommem[15397] <= 12'h000;
rommem[15398] <= 12'h034;
rommem[15399] <= 12'h004;
rommem[15400] <= 12'h0FC;
rommem[15401] <= 12'h000;
rommem[15402] <= 12'h912;
rommem[15403] <= 12'h034;
rommem[15404] <= 12'h006;
rommem[15405] <= 12'h0F6;
rommem[15406] <= 12'h000;
rommem[15407] <= 12'h911;
rommem[15408] <= 12'h034;
rommem[15409] <= 12'h004;
rommem[15410] <= 12'h0FC;
rommem[15411] <= 12'h000;
rommem[15412] <= 12'h906;
rommem[15413] <= 12'h034;
rommem[15414] <= 12'h006;
rommem[15415] <= 12'h0FC;
rommem[15416] <= 12'h000;
rommem[15417] <= 12'h904;
rommem[15418] <= 12'h034;
rommem[15419] <= 12'h006;
rommem[15420] <= 12'h0FC;
rommem[15421] <= 12'h000;
rommem[15422] <= 12'h902;
rommem[15423] <= 12'h034;
rommem[15424] <= 12'h006;
rommem[15425] <= 12'h0B6;
rommem[15426] <= 12'h000;
rommem[15427] <= 12'h90E;
rommem[15428] <= 12'h034;
rommem[15429] <= 12'h002;
rommem[15430] <= 12'h0FC;
rommem[15431] <= 12'h000;
rommem[15432] <= 12'h900;
rommem[15433] <= 12'h034;
rommem[15434] <= 12'h006;
rommem[15435] <= 12'h0B6;
rommem[15255] <= 12'h046;
rommem[15256] <= 12'h049;
rommem[15257] <= 12'h047;
rommem[15258] <= 12'h020;
rommem[15259] <= 12'h046;
rommem[15260] <= 12'h06F;
rommem[15261] <= 12'h072;
rommem[15262] <= 12'h074;
rommem[15263] <= 12'h068;
rommem[15264] <= 12'h00D;
rommem[15265] <= 12'h00A;
rommem[15266] <= 12'h04A;
rommem[15267] <= 12'h020;
rommem[15268] <= 12'h03D;
rommem[15269] <= 12'h020;
rommem[15270] <= 12'h04A;
rommem[15271] <= 12'h075;
rommem[15272] <= 12'h06D;
rommem[15273] <= 12'h070;
rommem[15274] <= 12'h020;
rommem[15275] <= 12'h074;
rommem[15276] <= 12'h06F;
rommem[15277] <= 12'h020;
rommem[15278] <= 12'h063;
rommem[15279] <= 12'h06F;
rommem[15280] <= 12'h064;
rommem[15281] <= 12'h065;
rommem[15282] <= 12'h00D;
rommem[15283] <= 12'h00A;
rommem[15284] <= 12'h052;
rommem[15285] <= 12'h041;
rommem[15286] <= 12'h04D;
rommem[15287] <= 12'h020;
rommem[15288] <= 12'h03D;
rommem[15289] <= 12'h020;
rommem[15290] <= 12'h074;
rommem[15291] <= 12'h065;
rommem[15292] <= 12'h073;
rommem[15293] <= 12'h074;
rommem[15294] <= 12'h020;
rommem[15295] <= 12'h052;
rommem[15296] <= 12'h041;
rommem[15297] <= 12'h04D;
rommem[15298] <= 12'h00D;
rommem[15299] <= 12'h00A;
rommem[15300] <= 12'h073;
rommem[15301] <= 12'h020;
rommem[15302] <= 12'h03D;
rommem[15303] <= 12'h020;
rommem[15304] <= 12'h073;
rommem[15305] <= 12'h065;
rommem[15306] <= 12'h072;
rommem[15307] <= 12'h069;
rommem[15308] <= 12'h061;
rommem[15309] <= 12'h06C;
rommem[15310] <= 12'h020;
rommem[15311] <= 12'h06F;
rommem[15312] <= 12'h075;
rommem[15313] <= 12'h074;
rommem[15314] <= 12'h070;
rommem[15315] <= 12'h075;
rommem[15316] <= 12'h074;
rommem[15317] <= 12'h020;
rommem[15318] <= 12'h074;
rommem[15319] <= 12'h065;
rommem[15320] <= 12'h073;
rommem[15321] <= 12'h074;
rommem[15322] <= 12'h00D;
rommem[15323] <= 12'h00A;
rommem[15324] <= 12'h054;
rommem[15325] <= 12'h049;
rommem[15326] <= 12'h020;
rommem[15327] <= 12'h03D;
rommem[15328] <= 12'h020;
rommem[15329] <= 12'h064;
rommem[15330] <= 12'h069;
rommem[15331] <= 12'h073;
rommem[15332] <= 12'h070;
rommem[15333] <= 12'h06C;
rommem[15334] <= 12'h061;
rommem[15335] <= 12'h079;
rommem[15336] <= 12'h020;
rommem[15337] <= 12'h064;
rommem[15338] <= 12'h061;
rommem[15339] <= 12'h074;
rommem[15340] <= 12'h065;
rommem[15341] <= 12'h02F;
rommem[15342] <= 12'h074;
rommem[15343] <= 12'h069;
rommem[15344] <= 12'h06D;
rommem[15345] <= 12'h065;
rommem[15346] <= 12'h00D;
rommem[15347] <= 12'h00A;
rommem[15348] <= 12'h055;
rommem[15349] <= 12'h020;
rommem[15350] <= 12'h03D;
rommem[15351] <= 12'h020;
rommem[15352] <= 12'h075;
rommem[15353] <= 12'h06E;
rommem[15354] <= 12'h061;
rommem[15355] <= 12'h073;
rommem[15356] <= 12'h073;
rommem[15357] <= 12'h065;
rommem[15358] <= 12'h06D;
rommem[15359] <= 12'h062;
rommem[15360] <= 12'h06C;
rommem[15361] <= 12'h065;
rommem[15362] <= 12'h00D;
rommem[15363] <= 12'h00A;
rommem[15364] <= 12'h000;
rommem[15365] <= 12'h00D;
rommem[15366] <= 12'h00A;
rommem[15367] <= 12'h020;
rommem[15368] <= 12'h020;
rommem[15369] <= 12'h044;
rommem[15370] <= 12'h02F;
rommem[15371] <= 12'h041;
rommem[15372] <= 12'h042;
rommem[15373] <= 12'h020;
rommem[15374] <= 12'h020;
rommem[15375] <= 12'h020;
rommem[15376] <= 12'h020;
rommem[15377] <= 12'h020;
rommem[15378] <= 12'h058;
rommem[15379] <= 12'h020;
rommem[15380] <= 12'h020;
rommem[15381] <= 12'h020;
rommem[15382] <= 12'h020;
rommem[15383] <= 12'h020;
rommem[15384] <= 12'h020;
rommem[15385] <= 12'h059;
rommem[15386] <= 12'h020;
rommem[15387] <= 12'h020;
rommem[15388] <= 12'h020;
rommem[15389] <= 12'h020;
rommem[15390] <= 12'h020;
rommem[15391] <= 12'h020;
rommem[15392] <= 12'h055;
rommem[15393] <= 12'h020;
rommem[15394] <= 12'h020;
rommem[15395] <= 12'h020;
rommem[15396] <= 12'h020;
rommem[15397] <= 12'h020;
rommem[15398] <= 12'h020;
rommem[15399] <= 12'h053;
rommem[15400] <= 12'h020;
rommem[15401] <= 12'h020;
rommem[15402] <= 12'h020;
rommem[15403] <= 12'h020;
rommem[15404] <= 12'h020;
rommem[15405] <= 12'h020;
rommem[15406] <= 12'h020;
rommem[15407] <= 12'h050;
rommem[15408] <= 12'h043;
rommem[15409] <= 12'h020;
rommem[15410] <= 12'h020;
rommem[15411] <= 12'h020;
rommem[15412] <= 12'h020;
rommem[15413] <= 12'h044;
rommem[15414] <= 12'h050;
rommem[15415] <= 12'h020;
rommem[15416] <= 12'h020;
rommem[15417] <= 12'h043;
rommem[15418] <= 12'h043;
rommem[15419] <= 12'h052;
rommem[15420] <= 12'h00D;
rommem[15421] <= 12'h00A;
rommem[15422] <= 12'h000;
rommem[15423] <= 12'h0BD;
rommem[15424] <= 12'hFFD;
rommem[15425] <= 12'h2D2;
rommem[15426] <= 12'h039;
rommem[15427] <= 12'h0C6;
rommem[15428] <= 12'h020;
rommem[15429] <= 12'h016;
rommem[15430] <= 12'hFFF;
rommem[15431] <= 12'h0CA;
rommem[15432] <= 12'h08D;
rommem[15433] <= 12'hE1C;
rommem[15434] <= 12'h18E;
rommem[15435] <= 12'h000;
rommem[15436] <= 12'h000;
rommem[15437] <= 12'h90F;
rommem[15438] <= 12'h034;
rommem[15439] <= 12'h002;
rommem[15440] <= 12'h015;
rommem[15441] <= 12'h035;
rommem[15442] <= 12'h0FF;
rommem[15443] <= 12'h1FF;
rommem[15444] <= 12'h000;
rommem[15445] <= 12'h908;
rommem[15446] <= 12'h032;
rommem[15447] <= 12'h80F;
rommem[15448] <= 12'h006;
rommem[15449] <= 12'hFFF;
rommem[15450] <= 12'h034;
rommem[15451] <= 12'h001;
rommem[15452] <= 12'h034;
rommem[15453] <= 12'h002;
rommem[15454] <= 12'h01F;
rommem[15455] <= 12'h0B8;
rommem[15456] <= 12'h0B7;
rommem[15457] <= 12'h000;
rommem[15458] <= 12'h90E;
rommem[15459] <= 12'h04F;
rommem[15460] <= 12'h01F;
rommem[15461] <= 12'h08B;
rommem[15462] <= 12'h035;
rommem[15463] <= 12'h002;
rommem[15464] <= 12'h0FD;
rommem[15465] <= 12'h000;
rommem[15466] <= 12'h900;
rommem[15467] <= 12'h0BF;
rommem[15468] <= 12'h000;
rommem[15469] <= 12'h902;
rommem[15470] <= 12'h1BF;
rommem[15471] <= 12'h000;
rommem[15472] <= 12'h904;
rommem[15473] <= 12'h0FF;
rommem[15474] <= 12'h000;
rommem[15475] <= 12'h906;
rommem[15476] <= 12'h035;
rommem[15477] <= 12'h002;
rommem[15478] <= 12'h0B7;
rommem[15479] <= 12'h000;
rommem[15480] <= 12'h90F;
rommem[15481] <= 12'h0CC;
rommem[15482] <= 12'hFFE;
rommem[15483] <= 12'hBE4;
rommem[15484] <= 12'h0FD;
rommem[15485] <= 12'h000;
rommem[15486] <= 12'h804;
rommem[15487] <= 12'h0CC;
rommem[15488] <= 12'hFFE;
rommem[15489] <= 12'h2E1;
rommem[15490] <= 12'h0FD;
rommem[15491] <= 12'h000;
rommem[15492] <= 12'h800;
rommem[15493] <= 12'h016;
rommem[15494] <= 12'hFFF;
rommem[15495] <= 12'hF45;
rommem[15496] <= 12'h01A;
rommem[15497] <= 12'h010;
rommem[15498] <= 12'h035;
rommem[15499] <= 12'h002;
rommem[15500] <= 12'h0B7;
rommem[15501] <= 12'h000;
rommem[15502] <= 12'h90F;
rommem[15503] <= 12'h035;
rommem[15504] <= 12'h07E;
rommem[15505] <= 12'h0FD;
rommem[15506] <= 12'h000;
rommem[15507] <= 12'h900;
rommem[15508] <= 12'h0BF;
rommem[15509] <= 12'h000;
rommem[15510] <= 12'h902;
rommem[15511] <= 12'h1BF;
rommem[15512] <= 12'h000;
rommem[15513] <= 12'h904;
rommem[15514] <= 12'h0FF;
rommem[15515] <= 12'h000;
rommem[15516] <= 12'h906;
rommem[15517] <= 12'h01F;
rommem[15518] <= 12'h0B8;
rommem[15519] <= 12'h0B7;
rommem[15520] <= 12'h000;
rommem[15521] <= 12'h90E;
rommem[15522] <= 12'h035;
rommem[15523] <= 12'h002;
rommem[15524] <= 12'h0B7;
rommem[15437] <= 12'h1BE;
rommem[15438] <= 12'h000;
rommem[15439] <= 12'h922;
rommem[15440] <= 12'h017;
rommem[15441] <= 12'hFFD;
rommem[15442] <= 12'h47F;
rommem[15443] <= 12'h0C6;
rommem[15444] <= 12'h03A;
rommem[15445] <= 12'h017;
rommem[15446] <= 12'hFFF;
rommem[15447] <= 12'h0BA;
rommem[15448] <= 12'h01F;
rommem[15449] <= 12'h020;
rommem[15450] <= 12'h017;
rommem[15451] <= 12'hFFE;
rommem[15452] <= 12'h7A4;
rommem[15453] <= 12'h0C6;
rommem[15454] <= 12'h020;
rommem[15455] <= 12'h017;
rommem[15456] <= 12'hFFF;
rommem[15457] <= 12'h0B0;
rommem[15458] <= 12'h08E;
rommem[15459] <= 12'h000;
rommem[15460] <= 12'h008;
rommem[15461] <= 12'h0E6;
rommem[15462] <= 12'hA04;
rommem[15463] <= 12'h031;
rommem[15464] <= 12'h201;
rommem[15465] <= 12'h017;
rommem[15466] <= 12'hFFE;
rommem[15467] <= 12'h79E;
rommem[15468] <= 12'h0C6;
rommem[15469] <= 12'h020;
rommem[15470] <= 12'h017;
rommem[15471] <= 12'hFFF;
rommem[15472] <= 12'h0A1;
rommem[15473] <= 12'h05F;
rommem[15474] <= 12'h04F;
rommem[15475] <= 12'h017;
rommem[15476] <= 12'hFFF;
rommem[15477] <= 12'h064;
rommem[15478] <= 12'h0C1;
rommem[15479] <= 12'h003;
rommem[15480] <= 12'h027;
rommem[15481] <= 12'h024;
rommem[15482] <= 12'h030;
rommem[15483] <= 12'h1FF;
rommem[15484] <= 12'h026;
rommem[15485] <= 12'hFE7;
rommem[15486] <= 12'h0C6;
rommem[15487] <= 12'h020;
rommem[15488] <= 12'h017;
rommem[15489] <= 12'hFFF;
rommem[15490] <= 12'h08F;
rommem[15491] <= 12'h08E;
rommem[15492] <= 12'h000;
rommem[15493] <= 12'h008;
rommem[15494] <= 12'h031;
rommem[15495] <= 12'h3F8;
rommem[15496] <= 12'h0E6;
rommem[15497] <= 12'hA04;
rommem[15498] <= 12'h0C1;
rommem[15499] <= 12'h020;
rommem[15500] <= 12'h024;
rommem[15501] <= 12'h002;
rommem[15502] <= 12'h0C6;
rommem[15503] <= 12'h02E;
rommem[15504] <= 12'h017;
rommem[15505] <= 12'hFFF;
rommem[15506] <= 12'h07F;
rommem[15507] <= 12'h031;
rommem[15508] <= 12'h201;
rommem[15509] <= 12'h030;
rommem[15510] <= 12'h1FF;
rommem[15511] <= 12'h026;
rommem[15512] <= 12'hFEF;
rommem[15513] <= 12'h1BC;
rommem[15514] <= 12'h000;
rommem[15515] <= 12'h926;
rommem[15516] <= 12'h025;
rommem[15517] <= 12'hFB2;
rommem[15518] <= 12'h017;
rommem[15519] <= 12'hFFD;
rommem[15520] <= 12'h431;
rommem[15521] <= 12'h016;
rommem[15522] <= 12'hFFF;
rommem[15523] <= 12'hC8A;
rommem[15524] <= 12'h0CE;
rommem[15525] <= 12'h000;
rommem[15526] <= 12'h90A;
rommem[15527] <= 12'h035;
rommem[15528] <= 12'h006;
rommem[15529] <= 12'h0FD;
rommem[15530] <= 12'h000;
rommem[15531] <= 12'h90B;
rommem[15532] <= 12'h1FF;
rommem[15533] <= 12'h000;
rommem[15534] <= 12'h908;
rommem[15535] <= 12'h1CE;
rommem[15536] <= 12'h003;
rommem[15526] <= 12'h008;
rommem[15527] <= 12'h017;
rommem[15528] <= 12'hFFF;
rommem[15529] <= 12'hDE5;
rommem[15530] <= 12'h0BE;
rommem[15531] <= 12'h000;
rommem[15532] <= 12'h922;
rommem[15533] <= 12'h017;
rommem[15534] <= 12'hFFF;
rommem[15535] <= 12'hD8C;
rommem[15536] <= 12'h017;
rommem[15537] <= 12'hFFF;
rommem[15538] <= 12'h01C;
rommem[15539] <= 12'h0EF;
rommem[15540] <= 12'h07E;
rommem[15541] <= 12'hFFF;
rommem[15542] <= 12'hBCD;
rommem[15543] <= 12'h01A;
rommem[15544] <= 12'h010;
rommem[15545] <= 12'h1FE;
rommem[15546] <= 12'h000;
rommem[15547] <= 12'h908;
rommem[15548] <= 12'h0FC;
rommem[15549] <= 12'h000;
rommem[15550] <= 12'h90B;
rommem[15551] <= 12'h034;
rommem[15552] <= 12'h006;
rommem[15553] <= 12'h0B6;
rommem[15554] <= 12'h000;
rommem[15555] <= 12'h90A;
rommem[15556] <= 12'h034;
rommem[15557] <= 12'h002;
rommem[15558] <= 12'h0FE;
rommem[15559] <= 12'h000;
rommem[15560] <= 12'h906;
rommem[15561] <= 12'h1BE;
rommem[15538] <= 12'hDDC;
rommem[15539] <= 12'h05D;
rommem[15540] <= 12'h02B;
rommem[15541] <= 12'h00C;
rommem[15542] <= 12'h0F6;
rommem[15543] <= 12'h000;
rommem[15544] <= 12'h923;
rommem[15545] <= 12'h0E7;
rommem[15546] <= 12'h800;
rommem[15547] <= 12'h033;
rommem[15548] <= 12'h5FF;
rommem[15549] <= 12'h283;
rommem[15550] <= 12'h000;
rommem[15551] <= 12'h000;
rommem[15552] <= 12'h026;
rommem[15553] <= 12'hFEB;
rommem[15554] <= 12'h017;
rommem[15555] <= 12'hFFF;
rommem[15556] <= 12'hD6B;
rommem[15557] <= 12'h0C1;
rommem[15558] <= 12'h022;
rommem[15559] <= 12'h026;
rommem[15560] <= 12'h018;
rommem[15561] <= 12'h0CE;
rommem[15562] <= 12'h000;
rommem[15563] <= 12'h904;
rommem[15564] <= 12'h0BE;
rommem[15565] <= 12'h000;
rommem[15566] <= 12'h902;
rommem[15567] <= 12'h034;
rommem[15568] <= 12'h070;
rommem[15569] <= 12'h0B6;
rommem[15570] <= 12'h000;
rommem[15571] <= 12'h90E;
rommem[15572] <= 12'h034;
rommem[15573] <= 12'h002;
rommem[15574] <= 12'h0FC;
rommem[15575] <= 12'h000;
rommem[15576] <= 12'h900;
rommem[15577] <= 12'h034;
rommem[15578] <= 12'h006;
rommem[15579] <= 12'h0B6;
rommem[15580] <= 12'h000;
rommem[15581] <= 12'h90F;
rommem[15582] <= 12'h034;
rommem[15583] <= 12'h002;
rommem[15584] <= 12'h01F;
rommem[15585] <= 12'h08A;
rommem[15586] <= 12'h01C;
rommem[15587] <= 12'h0EF;
rommem[15588] <= 12'h03B;
rommem[15589] <= 12'h03B;
rommem[15590] <= 12'h086;
rommem[15591] <= 12'h01F;
rommem[15592] <= 12'h0B7;
rommem[15593] <= 12'hFF0;
rommem[15594] <= 12'h011;
rommem[15595] <= 12'h015;
rommem[15596] <= 12'h0B7;
rommem[15597] <= 12'hFFF;
rommem[15598] <= 12'hE3F;
rommem[15599] <= 12'h010;
rommem[15600] <= 12'h015;
rommem[15601] <= 12'h0B6;
rommem[15602] <= 12'hFFF;
rommem[15603] <= 12'hE60;
rommem[15604] <= 12'h00D;
rommem[15605] <= 12'h02A;
rommem[15606] <= 12'h011;
rommem[15607] <= 12'h085;
rommem[15608] <= 12'h800;
rommem[15609] <= 12'h027;
rommem[15610] <= 12'h00D;
rommem[15611] <= 12'h015;
rommem[15612] <= 12'h07F;
rommem[15613] <= 12'hFFF;
rommem[15614] <= 12'hE60;
rommem[15615] <= 12'h012;
rommem[15616] <= 12'h015;
rommem[15617] <= 12'h07F;
rommem[15618] <= 12'hFFF;
rommem[15619] <= 12'hE60;
rommem[15620] <= 12'h013;
rommem[15621] <= 12'h07C;
rommem[15622] <= 12'hE00;
rommem[15623] <= 12'h037;
rommem[15624] <= 12'h0B6;
rommem[15625] <= 12'hFF0;
rommem[15626] <= 12'h014;
rommem[15627] <= 12'h044;
rommem[15628] <= 12'h0BA;
rommem[15629] <= 12'hFF0;
rommem[15630] <= 12'h014;
rommem[15631] <= 12'h084;
rommem[15632] <= 12'h0E0;
rommem[15633] <= 12'h0B7;
rommem[15634] <= 12'hFF0;
rommem[15635] <= 12'h014;
rommem[15636] <= 12'h03B;
rommem[15637] <= 12'h015;
rommem[15638] <= 12'h0F6;
rommem[15639] <= 12'hFFF;
rommem[15640] <= 12'hFFF;
rommem[15641] <= 12'hFE0;
rommem[15642] <= 12'h086;
rommem[15643] <= 12'h049;
rommem[15644] <= 12'h08E;
rommem[15645] <= 12'hE00;
rommem[15646] <= 12'h028;
rommem[15647] <= 12'h0A7;
rommem[15648] <= 12'h88F;
rommem[15649] <= 12'h03B;
rommem[15563] <= 12'h028;
rommem[15564] <= 12'h017;
rommem[15565] <= 12'hFFF;
rommem[15566] <= 12'hD61;
rommem[15567] <= 12'h0C1;
rommem[15568] <= 12'h022;
rommem[15569] <= 12'h026;
rommem[15570] <= 12'h005;
rommem[15571] <= 12'h0CE;
rommem[15572] <= 12'h000;
rommem[15573] <= 12'h008;
rommem[15574] <= 12'h020;
rommem[15575] <= 12'hFD5;
rommem[15576] <= 12'h0E7;
rommem[15577] <= 12'h800;
rommem[15578] <= 12'h033;
rommem[15579] <= 12'h5FF;
rommem[15580] <= 12'h283;
rommem[15581] <= 12'h000;
rommem[15582] <= 12'h000;
rommem[15583] <= 12'h022;
rommem[15584] <= 12'hFEB;
rommem[15585] <= 12'h016;
rommem[15586] <= 12'hFFF;
rommem[15587] <= 12'hC4A;
rommem[15588] <= 12'h0CC;
rommem[15589] <= 12'hFFF;
rommem[15590] <= 12'hC05;
rommem[15591] <= 12'h017;
rommem[15592] <= 12'hFFE;
rommem[15593] <= 12'h6CC;
rommem[15594] <= 12'h08D;
rommem[15595] <= 12'hF57;
rommem[15596] <= 12'h0FC;
rommem[15597] <= 12'h000;
rommem[15598] <= 12'h900;
rommem[15599] <= 12'h08D;
rommem[15600] <= 12'hF4E;
rommem[15601] <= 12'h08D;
rommem[15602] <= 12'hF50;
rommem[15603] <= 12'h0FC;
rommem[15604] <= 12'h000;
rommem[15605] <= 12'h902;
rommem[15606] <= 12'h08D;
rommem[15607] <= 12'hF47;
rommem[15608] <= 12'h08D;
rommem[15609] <= 12'hF49;
rommem[15610] <= 12'h0FC;
rommem[15611] <= 12'h000;
rommem[15612] <= 12'h904;
rommem[15613] <= 12'h08D;
rommem[15614] <= 12'hF40;
rommem[15615] <= 12'h08D;
rommem[15616] <= 12'hF42;
rommem[15617] <= 12'h0FC;
rommem[15618] <= 12'h000;
rommem[15619] <= 12'h906;
rommem[15620] <= 12'h08D;
rommem[15621] <= 12'hF39;
rommem[15622] <= 12'h08D;
rommem[15623] <= 12'hF3B;
rommem[15624] <= 12'h0FC;
rommem[15625] <= 12'h000;
rommem[15626] <= 12'h908;
rommem[15627] <= 12'h08D;
rommem[15628] <= 12'hF32;
rommem[15629] <= 12'h08D;
rommem[15630] <= 12'hF34;
rommem[15631] <= 12'h0F6;
rommem[15632] <= 12'h000;
rommem[15633] <= 12'h90B;
rommem[15634] <= 12'h017;
rommem[15635] <= 12'hFFE;
rommem[15636] <= 12'h6F5;
rommem[15637] <= 12'h0FC;
rommem[15638] <= 12'h000;
rommem[15639] <= 12'h90C;
rommem[15640] <= 12'h08D;
rommem[15641] <= 12'hF25;
rommem[15642] <= 12'h08D;
rommem[15643] <= 12'hF27;
rommem[15644] <= 12'h0FC;
rommem[15645] <= 12'h000;
rommem[15646] <= 12'h90E;
rommem[15647] <= 12'h0BD;
rommem[15648] <= 12'hFFD;
rommem[15649] <= 12'h2CE;
rommem[15650] <= 12'h08D;
rommem[15651] <= 12'hF1F;
rommem[15652] <= 12'h0B6;
rommem[15653] <= 12'h000;
rommem[15654] <= 12'h90F;
rommem[15655] <= 12'h017;
rommem[15656] <= 12'hFFD;
rommem[15657] <= 12'h5A4;
rommem[15658] <= 12'h08D;
rommem[15659] <= 12'hF17;
rommem[15660] <= 12'h016;
rommem[15661] <= 12'hFFF;
rommem[15662] <= 12'hBFF;
rommem[15663] <= 12'h08D;
rommem[15664] <= 12'hD5E;
rommem[15665] <= 12'h01A;
rommem[15666] <= 12'h010;
rommem[15667] <= 12'h1FE;
rommem[15668] <= 12'h000;
rommem[15669] <= 12'h908;
rommem[15670] <= 12'h0CC;
rommem[15671] <= 12'hFFF;
rommem[15672] <= 12'hD6A;
rommem[15673] <= 12'h034;
rommem[15674] <= 12'h006;
rommem[15675] <= 12'h0C6;
rommem[15676] <= 12'h000;
rommem[15677] <= 12'h034;
rommem[15678] <= 12'h004;
rommem[15679] <= 12'h0FC;
rommem[15680] <= 12'h000;
rommem[15681] <= 12'h912;
rommem[15682] <= 12'h034;
rommem[15683] <= 12'h006;
rommem[15684] <= 12'h0F6;
rommem[15685] <= 12'h000;
rommem[15686] <= 12'h911;
rommem[15687] <= 12'h034;
rommem[15688] <= 12'h004;
rommem[15689] <= 12'h0FC;
rommem[15690] <= 12'h000;
rommem[15691] <= 12'h906;
rommem[15692] <= 12'h034;
rommem[15693] <= 12'h006;
rommem[15694] <= 12'h0FC;
rommem[15695] <= 12'h000;
rommem[15696] <= 12'h904;
rommem[15697] <= 12'h034;
rommem[15698] <= 12'h006;
rommem[15699] <= 12'h0FC;
rommem[15700] <= 12'h000;
rommem[15701] <= 12'h902;
rommem[15702] <= 12'h034;
rommem[15703] <= 12'h006;
rommem[15704] <= 12'h0B6;
rommem[15705] <= 12'h000;
rommem[15706] <= 12'h90E;
rommem[15707] <= 12'h034;
rommem[15708] <= 12'h002;
rommem[15709] <= 12'h0FC;
rommem[15710] <= 12'h000;
rommem[15711] <= 12'h900;
rommem[15712] <= 12'h034;
rommem[15713] <= 12'h006;
rommem[15714] <= 12'h0B6;
rommem[15715] <= 12'h000;
rommem[15716] <= 12'h90F;
rommem[15717] <= 12'h034;
rommem[15718] <= 12'h002;
rommem[15719] <= 12'h015;
rommem[15720] <= 12'h035;
rommem[15721] <= 12'h0FF;
rommem[15722] <= 12'h1FF;
rommem[15723] <= 12'h000;
rommem[15724] <= 12'h908;
rommem[15725] <= 12'h032;
rommem[15726] <= 12'h80F;
rommem[15727] <= 12'h006;
rommem[15728] <= 12'hFFF;
rommem[15729] <= 12'h034;
rommem[15730] <= 12'h001;
rommem[15731] <= 12'h034;
rommem[15732] <= 12'h002;
rommem[15733] <= 12'h01F;
rommem[15734] <= 12'h0B8;
rommem[15735] <= 12'h0B7;
rommem[15736] <= 12'h000;
rommem[15737] <= 12'h90E;
rommem[15738] <= 12'h04F;
rommem[15739] <= 12'h01F;
rommem[15740] <= 12'h08B;
rommem[15741] <= 12'h035;
rommem[15742] <= 12'h002;
rommem[15743] <= 12'h0FD;
rommem[15744] <= 12'h000;
rommem[15745] <= 12'h900;
rommem[15746] <= 12'h0BF;
rommem[15747] <= 12'h000;
rommem[15748] <= 12'h902;
rommem[15749] <= 12'h1BF;
rommem[15750] <= 12'h000;
rommem[15751] <= 12'h904;
rommem[15752] <= 12'h0FF;
rommem[15753] <= 12'h000;
rommem[15754] <= 12'h906;
rommem[15755] <= 12'h035;
rommem[15756] <= 12'h002;
rommem[15757] <= 12'h0B7;
rommem[15758] <= 12'h000;
rommem[15759] <= 12'h90F;
rommem[15760] <= 12'h0CC;
rommem[15761] <= 12'hFFE;
rommem[15762] <= 12'hBE4;
rommem[15763] <= 12'h0FD;
rommem[15764] <= 12'h000;
rommem[15765] <= 12'h804;
rommem[15766] <= 12'h0CC;
rommem[15767] <= 12'hFFE;
rommem[15768] <= 12'h2F5;
rommem[15769] <= 12'h0FD;
rommem[15770] <= 12'h000;
rommem[15771] <= 12'h800;
rommem[15772] <= 12'h0FC;
rommem[15773] <= 12'hFFF;
rommem[15774] <= 12'hAF5;
rommem[15775] <= 12'h0FD;
rommem[15776] <= 12'h000;
rommem[15777] <= 12'h80C;
rommem[15778] <= 12'h016;
rommem[15779] <= 12'hFFF;
rommem[15780] <= 12'hF3F;
rommem[15781] <= 12'h08E;
rommem[15782] <= 12'h000;
rommem[15783] <= 12'h000;
rommem[15784] <= 12'h0E6;
rommem[15785] <= 12'h80A;
rommem[15786] <= 12'h000;
rommem[15787] <= 12'hFF0;
rommem[15788] <= 12'h000;
rommem[15789] <= 12'h0C1;
rommem[15790] <= 12'h018;
rommem[15791] <= 12'h026;
rommem[15792] <= 12'h00A;
rommem[15793] <= 12'h01F;
rommem[15794] <= 12'h010;
rommem[15795] <= 12'h017;
rommem[15796] <= 12'hFFE;
rommem[15797] <= 12'h654;
rommem[15798] <= 12'h0C6;
rommem[15799] <= 12'h020;
rommem[15800] <= 12'h017;
rommem[15801] <= 12'hFFE;
rommem[15802] <= 12'hF57;
rommem[15803] <= 12'h030;
rommem[15804] <= 12'h001;
rommem[15805] <= 12'h08C;
rommem[15806] <= 12'h000;
rommem[15807] <= 12'h010;
rommem[15808] <= 12'h025;
rommem[15809] <= 12'hFE6;
rommem[15810] <= 12'h017;
rommem[15811] <= 12'hFFD;
rommem[15812] <= 12'h30D;
rommem[15813] <= 12'h016;
rommem[15814] <= 12'hFFF;
rommem[15815] <= 12'hB66;
rommem[15816] <= 12'h01A;
rommem[15817] <= 12'h010;
rommem[15818] <= 12'h035;
rommem[15819] <= 12'h002;
rommem[15820] <= 12'h0B7;
rommem[15821] <= 12'h000;
rommem[15822] <= 12'h90F;
rommem[15823] <= 12'h035;
rommem[15824] <= 12'h07E;
rommem[15825] <= 12'h0FD;
rommem[15826] <= 12'h000;
rommem[15827] <= 12'h900;
rommem[15828] <= 12'h0BF;
rommem[15829] <= 12'h000;
rommem[15830] <= 12'h902;
rommem[15831] <= 12'h1BF;
rommem[15832] <= 12'h000;
rommem[15833] <= 12'h904;
rommem[15834] <= 12'h0FF;
rommem[15835] <= 12'h000;
rommem[15836] <= 12'h906;
rommem[15837] <= 12'h01F;
rommem[15838] <= 12'h0B8;
rommem[15839] <= 12'h0B7;
rommem[15840] <= 12'h000;
rommem[15841] <= 12'h90E;
rommem[15842] <= 12'h035;
rommem[15843] <= 12'h002;
rommem[15844] <= 12'h0B7;
rommem[15845] <= 12'h000;
rommem[15846] <= 12'h90A;
rommem[15847] <= 12'h035;
rommem[15848] <= 12'h006;
rommem[15849] <= 12'h0FD;
rommem[15850] <= 12'h000;
rommem[15851] <= 12'h90B;
rommem[15852] <= 12'h1FF;
rommem[15853] <= 12'h000;
rommem[15854] <= 12'h908;
rommem[15855] <= 12'h1CE;
rommem[15856] <= 12'h003;
rommem[15857] <= 12'hFFF;
rommem[15858] <= 12'h01C;
rommem[15859] <= 12'h0EF;
rommem[15860] <= 12'h07E;
rommem[15861] <= 12'hFFF;
rommem[15862] <= 12'hCE4;
rommem[15863] <= 12'h01A;
rommem[15864] <= 12'h010;
rommem[15865] <= 12'h1FE;
rommem[15866] <= 12'h000;
rommem[15867] <= 12'h908;
rommem[15868] <= 12'h0FC;
rommem[15869] <= 12'h000;
rommem[15870] <= 12'h90B;
rommem[15871] <= 12'h034;
rommem[15872] <= 12'h006;
rommem[15873] <= 12'h0B6;
rommem[15874] <= 12'h000;
rommem[15875] <= 12'h90A;
rommem[15876] <= 12'h034;
rommem[15877] <= 12'h002;
rommem[15878] <= 12'h0FE;
rommem[15879] <= 12'h000;
rommem[15880] <= 12'h906;
rommem[15881] <= 12'h1BE;
rommem[15882] <= 12'h000;
rommem[15883] <= 12'h904;
rommem[15884] <= 12'h0BE;
rommem[15885] <= 12'h000;
rommem[15886] <= 12'h902;
rommem[15887] <= 12'h034;
rommem[15888] <= 12'h070;
rommem[15889] <= 12'h0B6;
rommem[15890] <= 12'h000;
rommem[15891] <= 12'h90E;
rommem[15892] <= 12'h034;
rommem[15893] <= 12'h002;
rommem[15894] <= 12'h0FC;
rommem[15895] <= 12'h000;
rommem[15896] <= 12'h900;
rommem[15897] <= 12'h034;
rommem[15898] <= 12'h006;
rommem[15899] <= 12'h0B6;
rommem[15900] <= 12'h000;
rommem[15901] <= 12'h90F;
rommem[15902] <= 12'h034;
rommem[15903] <= 12'h002;
rommem[15904] <= 12'h01F;
rommem[15905] <= 12'h08A;
rommem[15906] <= 12'h01C;
rommem[15907] <= 12'h0EF;
rommem[15908] <= 12'h03B;
rommem[15909] <= 12'h03B;
rommem[15910] <= 12'h086;
rommem[15911] <= 12'h01F;
rommem[15912] <= 12'h0B7;
rommem[15913] <= 12'hFF0;
rommem[15914] <= 12'h011;
rommem[15915] <= 12'h015;
rommem[15916] <= 12'h0B7;
rommem[15917] <= 12'hFFF;
rommem[15918] <= 12'hE3F;
rommem[15919] <= 12'h010;
rommem[15920] <= 12'h015;
rommem[15921] <= 12'h0B6;
rommem[15922] <= 12'hFFF;
rommem[15923] <= 12'hE60;
rommem[15924] <= 12'h00D;
rommem[15925] <= 12'h02A;
rommem[15926] <= 12'h011;
rommem[15927] <= 12'h085;
rommem[15928] <= 12'h800;
rommem[15929] <= 12'h027;
rommem[15930] <= 12'h00D;
rommem[15931] <= 12'h015;
rommem[15932] <= 12'h07F;
rommem[15933] <= 12'hFFF;
rommem[15934] <= 12'hE60;
rommem[15935] <= 12'h012;
rommem[15936] <= 12'h015;
rommem[15937] <= 12'h07F;
rommem[15938] <= 12'hFFF;
rommem[15939] <= 12'hE60;
rommem[15940] <= 12'h013;
rommem[15941] <= 12'h07C;
rommem[15942] <= 12'hE00;
rommem[15943] <= 12'h037;
rommem[15944] <= 12'h0B6;
rommem[15945] <= 12'hFF0;
rommem[15946] <= 12'h014;
rommem[15947] <= 12'h044;
rommem[15948] <= 12'h0BA;
rommem[15949] <= 12'hFF0;
rommem[15950] <= 12'h014;
rommem[15951] <= 12'h084;
rommem[15952] <= 12'h0E0;
rommem[15953] <= 12'h0B7;
rommem[15954] <= 12'hFF0;
rommem[15955] <= 12'h014;
rommem[15956] <= 12'h03B;
rommem[15957] <= 12'h015;
rommem[15958] <= 12'h0F6;
rommem[15959] <= 12'hFFF;
rommem[15960] <= 12'hFFF;
rommem[15961] <= 12'hFE0;
rommem[15962] <= 12'h086;
rommem[15963] <= 12'h049;
rommem[15964] <= 12'h08E;
rommem[15965] <= 12'hE00;
rommem[15966] <= 12'h028;
rommem[15967] <= 12'h0A7;
rommem[15968] <= 12'h88F;
rommem[15969] <= 12'h03B;
rommem[16368] <= 12'hFFF;
rommem[16369] <= 12'hD21;
rommem[16369] <= 12'hE61;
rommem[16370] <= 12'hFFF;
rommem[16371] <= 12'hC88;
rommem[16371] <= 12'hDC8;
rommem[16372] <= 12'hFFF;
rommem[16373] <= 12'hD21;
rommem[16373] <= 12'hE61;
rommem[16374] <= 12'hFFF;
rommem[16375] <= 12'hCE5;
rommem[16375] <= 12'hE25;
rommem[16376] <= 12'hFFF;
rommem[16377] <= 12'hCE6;
rommem[16377] <= 12'hE26;
rommem[16378] <= 12'hFFE;
rommem[16379] <= 12'h023;
rommem[16380] <= 12'hFFF;
rommem[16381] <= 12'hD15;
rommem[16381] <= 12'hE55;
rommem[16382] <= 12'hFFE;
rommem[16383] <= 12'h023;
/trunk/software/boot/disassem.asm
45,6 → 45,7
EXT EQU 6
IMMW EQU 7
FAREXT EQU 8
TFREXG EQU 9
 
distbl1:
; 00 to 0F
80,8 → 81,8
fcb " ", 0
fcb "ANDC", IMMB
fcb "SEX ", 0
fcb "EXG ", IMMB
fcb "TFR ", IMMB
fcb "EXG ", TFREXG
fcb "TFR ", TFREXG
; 20 to 2F
fcb "BRA ", SREL
423,7 → 424,8
fcb "CMPS", EXT
 
disassem:
lbsr GetTwoParams
clr farflag
lbsr GetRange
lbsr CRLF
ldy mon_r1+2
disLoop1:
434,6 → 436,11
ldb ,y+
bitb #$300
lbne dis1
andb #$FF ; mask off extra bits
cmpb #$15
bne dis20
stb farflag
bra disLoop1
dis20:
ldx #distbl1
dis23:
497,7 → 504,7
disNot9:
pshs b
bitb #$100 ; check if indirect
bne dis4
beq dis4
ldb #'['
lbsr OUTCH
dis4:
506,7 → 513,7
bne disNotRplus
ldb ,s
bitb #$80 ; outer indexed?
bne dis5
beq dis5
ldb #']'
lbsr OUTCH
dis5:
519,7 → 526,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
530,7 → 537,7
bne disNotRplusplus
ldb ,s
bitb #$80 ; outer indexed?
bne dis6
beq dis6
ldb #']'
lbsr OUTCH
dis6:
544,7 → 551,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
555,7 → 562,7
bne disNotRminus
ldb ,s
bitb #$80 ; outer indexed?
bne dis7
beq dis7
ldb #']'
lbsr OUTCH
dis7:
568,7 → 575,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
579,7 → 586,7
bne disNotRminusminus
ldb ,s
bitb #$80 ; outer indexed?
bne dis8
beq dis8
ldb #']'
lbsr OUTCH
dis8:
593,7 → 600,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
604,7 → 611,7
bne disNotR
ldb ,s
bitb #$80 ; outer indexed?
bne dis9
beq dis9
ldb #']'
lbsr OUTCH
dis9:
615,7 → 622,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
628,7 → 635,7
lbsr OUTCH
ldb ,s
bitb #$80 ; outer indexed?
bne dis10
beq dis10
ldb #']'
lbsr OUTCH
dis10:
642,7 → 649,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
655,7 → 662,7
lbsr OUTCH
ldb ,s
bitb #$80 ; outer indexed?
bne dis11
beq dis11
ldb #']'
lbsr OUTCH
dis11:
669,7 → 676,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
683,7 → 690,7
lbsr DispWordAsHex
ldb ,s
bitb #$80 ; outer indexed?
bne dis12
beq dis12
ldb #']'
lbsr OUTCH
dis12:
697,7 → 704,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
710,7 → 717,7
lbsr DispWordAsHex
ldb ,s
bitb #$80 ; outer indexed?
bne dis13
beq dis13
ldb #']'
lbsr OUTCH
dis13:
724,7 → 731,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
739,7 → 746,7
lbsr DispWordAsHex
ldb ,s
bitb #$80 ; outer indexed?
bne dis14
beq dis14
ldb #']'
lbsr OUTCH
dis14:
753,7 → 760,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
766,7 → 773,7
lbsr OUTCH
ldb ,s
bitb #$80 ; outer indexed?
bne dis15
beq dis15
ldb #']'
lbsr OUTCH
dis15:
780,7 → 787,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
794,7 → 801,7
lbsr DispWordAsHex
ldb ,s
bitb #$80 ; outer indexed?
bne dis16
beq dis16
ldb #']'
lbsr OUTCH
dis16:
811,7 → 818,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
824,7 → 831,7
lbsr DispWordAsHex
ldb ,s
bitb #$80 ; outer indexed?
bne dis17
beq dis17
ldb #']'
lbsr OUTCH
dis17:
841,7 → 848,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
856,7 → 863,7
lbsr DispWordAsHex
ldb ,s
bitb #$80 ; outer indexed?
bne dis18
beq dis18
ldb #']'
lbsr OUTCH
dis18:
873,7 → 880,7
lbsr OUTCH
puls b
bitb #$100
lbne disNextLine
lbeq disNextLine
bitb #$80
lbne disNextLine
ldb #']'
890,8 → 897,14
disNotNdx:
cmpb #EXT
bne disNotExt
tst farflag
beq dis30
ldb ,y++
lbsr DispByteAsHex
dis30:
ldd ,y++
lbsr DispWordAsHex
clr farflag
lbra disNextLine
disNotExt:
cmpb #IMMB
910,6 → 923,12
lbsr DispWordAsHex
bra disNextLine
disNotIMMW:
cmpb #TFREXG
bne disNotTfr
ldb ,y+
bsr disTfrExg
bra disNextLine
disNotTfr:
dis1:
cmpb #$121
blo dis19
916,7 → 935,7
cmpb #$12F
bhi dis19
andb #$FF
ldx #distbl1
ldx #distbl2
aslb
aslb
abx
948,7 → 967,8
lbsr OUTCH
lbsr OUTCH
bra disNextLine
disNextLine
disNextLine:
clr farflag
lbsr CRLF
cmpy mon_r2+2
lblo disLoop1
956,6 → 976,9
 
disNdxRegs:
fcb 'X','Y','S','U'
disTfrRegs:
fcb "D X Y U S PC A B CCDP "
 
disNdxReg:
andb #$600
rolb
970,6 → 993,37
exg a,b
rts
 
disTfrReg:
pshs b,x
ldx #disTfrRegs
aslb
lda b,x
exg a,b
lbsr OUTCH
exg a,b
inx
ldb b,x
cmpb #' '
beq disTfr1
lbsr OUTCH
disTfr1:
puls b,x,pc
disTfrExg:
pshs b
rolb
rolb
rolb
rolb
andb #15
bsr disTfrReg
ldb #','
lbsr OUTCH
ldb ,s
andb #15
bsr disTfrReg
puls b,pc
 
ASMO:
pshs d
ldd #ASMOUTCH
/trunk/software/boot/keyboard.asm
62,7 → 62,7
tstb
bmi krb4 ; is input buffer full ? yes, branch
bsr Wait10ms ; wait a bit
leax -1,x
dex
bne krb3 ; go back and try again
ldd #-1 ; return -1
puls x,pc
100,7 → 100,7
andb #$40 ; check for transmit complete bit; branch if bit set
bne kwt2
bsr Wait10ms ; delay a little bit
leax -1,x
dex
bne kwt1 ; go back and try again
ldd #-1 ; timed out, return -1
puls x,pc
184,12 → 184,6
clra
ldb KEYBD ; get the scan code
clr KEYBD+1 ; clear receive register (write $00 to status reg)
; The following useful during debug.
; lbsr DispByteAsHex
; pshs b
; ldb #' '
; lbsr OUTCH
; puls b
rts
 
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
259,6 → 253,8
KeybdInit:
pshs d,y
ldy #5
clr KeyState1 ; records key up/down state
clr KeyState2 ; records shift,ctrl,alt state
kbdi0002:
bsr Wait10ms
clr KEYBD+1 ; clear receive register (write $00 to status reg)
318,9 → 314,67
msgBadKeybd:
fcb "Keyboard error",0
 
;------------------------------------------------------------------------------
; Calculate number of character in input buffer
;
; Parameters:
; y = $Cn00000 where n is core id
; Returns:
; d = number of bytes in buffer.
;------------------------------------------------------------------------------
 
kbdRcvCount:
clra
ldb kbdTailRcv,y
subb kbdHeadRcv,y
bge krcXit
ldb #$40
subb kbdHeadRcv,y
addb kbdTailRcv,y
krcXit:
rts
 
 
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
 
KeybdIRQ:
lda KEYBD+1 ; check status
bita #$80 ; was key pressed?
beq notKbdIRQ ; if not, exit
ldb KEYBD ; get the scan code
clr KEYBD+1 ; clear receive register (write $00 to status reg)
pshs b ; save it off
lda IOFocusID ; compute core memory address $Cn0000
clrb
asla
asla
asla
asla
ora #$C00 ; address $Cn0000
tfr d,y ; y =
bsr kbdRcvCount ; get count of scan codes in buffer
cmpb #64 ; check if buffer full?
bhs kbdBufFull ; if buffer full, ignore new keystroke
tfr y,x ; compute fifo address
ldb kbdTailRcv,y ; b = buffer index
puls a ; get back scancode
leax kbdFifo,x ; x = base address for fifo
sta b,x ; store in buffer
incb ; increment buffer index
andb #$3f ; wrap around at 64 chars
stb kbdTailRcv,y ; update it
lda #28 ; Keyboard is IRQ #28
sta IrqSource ; stuff a byte indicating the IRQ source for PEEK()
notKbdIRQ:
rts
kbdBufFull:
leas 1,s ; get rid of saved scancode
rts
 
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
 
DBGCheckForKey:
bra KeybdGetStatus
 
341,26 → 395,64
; +-------- = extended
 
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
; Debug versison of keyboard get routine.
; Keyboard get routine.
;
; The routine may get characters directly from the scancode input or less
; directly from the scancode buffer, if things are interrupt driven.
;
; Parameters:
; b: 0 = non blocking, otherwise blocking
; b: bit 11 = blocking status 1=blocking, 0=non blocking
; b: bit 1 = scancode source 1=scancode buffer, 0=direct
; - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
 
DBGGetKey:
pshs x
GetKey:
pshs x,y
stb KeybdBlock ; save off blocking status
dbgk2:
ldb KeybdBlock
pshs b
bitb #1 ; what is the scancode source
beq dbgk20 ; branch if direct read
lda COREID ; compute core memory address
clrb
asla
asla
asla
asla
ora #$C00
tfr d,y ; y = $Cn0000
bsr kbdRcvCount
tstb ; anything in buffer?
puls b
bne dbgk1 ; branch if something in buffer
tstb
bmi dbgk2 ; if no key and blocking - loop
dbgk20:
ldy #0
bsr KeybdGetStatus
andb #$80 ; is key available?
puls b
bne dbgk1 ; branch if key
tstb ; block?
bne dbgk2 ; If no key and blocking - loop
bmi dbgk2 ; If no key and blocking - loop
ldd #-1 ; return -1 if no block and no key
puls x,pc
dbgk1:
bsr KeybdGetScancode
cmpy #0
bne dbgk22
bsr KeybdGetScancode ; get scancode directly
bra dbgk23
dbgk22:
; Retrieve value from scancode buffer
tfr y,x
leax kbdFifo,x ; x = fifo address
ldb kbdHeadRcv,y ; b = buffer index
lda b,x ; get the scancode
incb ; increment fifo index
andb #$3f ; and wrap around
stb kbdHeadRcv,y ; save it back
tfr a,b ; the scancode is needed in accb
dbgk23:
; lbsr DispByteAsHex
; Make sure there is a small delay between scancode reads
ldx #20
370,8 → 462,7
; switch on scan code
cmpb #SC_KEYUP
bne dbgk4
clr KeyState1 ; make KeyState1 = -1
neg KeyState1
stb KeyState1 ; make KeyState1 <> 0
bra dbgk2 ; loop back
dbgk4:
cmpb #SC_EXTEND
384,7 → 475,7
cmpb #SC_CTRL
bne dbgkNotCtrl
tst KeyState1
bmi dbgk7
bne dbgk7
lda KeyState2
ora #4
sta KeyState2
400,7 → 491,7
cmpb #SC_RSHIFT
bne dbgkNotRshift
tst KeyState1
bmi dbgk9
bne dbgk9
lda KeyState2
ora #1
sta KeyState2
455,7 → 546,7
cmpb #SC_ALT
bne dbgkNotAlt
tst KeyState1
bmi dbgk11
bne dbgk11
lda KeyState2
ora #2
sta KeyState2
479,7 → 570,7
bne dbgk14
cmpb #SC_DEL
bne dbgk14
jmp [$FFFFFE] ; jump to reset vector
jmp [$FFFFFC] ; jump to NMI vector
dbgk14:
tst KeyState2 ; extended code?
bpl dbgk15
502,8 → 593,7
dbgk17:
ldx #unshiftedScanCodes
dbgk18:
abx
ldb ,x
ldb b,x ; load accb with ascii from table
clra
puls x,pc ; and return
puls x,y,pc ; and return
/trunk/software/boot/serial.asm
57,7 → 57,7
bne sini1
ldb #$09 ; dtr,rts active, rxint enabled, no parity
stb ACIA+ACIA_CMD
ldb #$1E ; baud 9600, 1 stop bit, 8 bit, internal baud gen
ldb #$1F ; baud 9600, 1 stop bit, 8 bit, internal baud gen
stb ACIA+ACIA_CTRL
ldb #$0A6 ; diable fifos, reset fifos
stb ACIA+ACIA_CTRL2

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.