OpenCores
URL https://opencores.org/ocsvn/sd_card_controller/sd_card_controller/trunk

Subversion Repositories sd_card_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sd_card_controller/trunk/bench/verilog
    from Rev 8 to Rev 12
    Reverse comparison

Rev 8 → Rev 12

/sd_data_serial_host_tb.sv
270,14 → 270,19
wait (rd == 1);
#(SD_TCLK/2);
assert(rd == 1);
//read delay !!!
#(2*SD_TCLK);
data_in = fifo_send_data[1%$size(fifo_send_data)];
for (i=2; i<cycles+1; i++) begin
for (j=0; j<32/width-1; j++) begin
#SD_TCLK;
assert(rd == 0);
if (j == 32/width-3)
assert(rd == 1);
else
assert(rd == 0);
end
#SD_TCLK;
assert(rd == 1);
assert(rd == 0);
data_in = fifo_send_data[i%$size(fifo_send_data)];
end
#SD_TCLK;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.