OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdcard_mass_storage_controller/trunk/rtl/sdc_dma
    from Rev 105 to Rev 106
    Reverse comparison

Rev 105 → Rev 106

/verilog/SD_cmd_serial_host.v
198,7 → 198,7
//----
always @ (posedge SD_CLK_IN or posedge RST_IN or posedge GO_IDLE)
begin
begin : REQ_SYNC
if (RST_IN || GO_IDLE) begin
Req_internal_in <=1'b0;
q <=1'b0;
212,7 → 212,7
end
 
always @ (posedge SD_CLK_IN or posedge RST_IN or posedge GO_IDLE)
begin
begin ACK_SYNC
if (RST_IN || GO_IDLE) begin
Ack_internal_in <=1'b0;
q1 <=1'b0;
295,7 → 295,7
 
//-------------OUTPUT_LOGIC-------
always @ (posedge SD_CLK_IN or posedge RST_IN or posedge GO_IDLE )
begin : OUTPUT_LOGIC
begin : FSM_OUT
if (RST_IN || GO_IDLE ) begin
CRC_Enable=0;
word_select_counter<=0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.