OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdcard_mass_storage_controller/trunk/rtl/sdc_dma
    from Rev 134 to Rev 136
    Reverse comparison

Rev 134 → Rev 136

/verilog/sd_defines.v
3,8 → 3,8
`define BIG_ENDIAN
//`define LITLE_ENDIAN
 
//`define SIM
`define SYN
`define SIM
//`define SYN
 
`define SDC_IRQ_ENABLE
 
/verilog/sd_cmd_master.v
28,7 → 28,7
input req_in,
input ack_in,
input [39:0] cmd_in,
input [15:0] serial_status,
input [7:0] serial_status,
input card_detect
);
102,7 → 102,7
 
//---------------Input ports---------------
 
/*
 
always @ (posedge CLK_PAD_IO or posedge RST_PAD_I )
begin
if (RST_PAD_I) begin
123,9 → 123,9
card_present<=1'b0;
end
end
*/
 
 
 
always @ (posedge CLK_PAD_IO or posedge RST_PAD_I )
begin
if (RST_PAD_I) begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.