OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpComponents
    from Rev 80 to Rev 105
    Reverse comparison

Rev 80 → Rev 105

/pkgIcs307Values/src/Ics307Values-p.vhdl
25,6 → 25,13
constant cOutputDivide_S_25MHz : std_ulogic_vector(2 downto 0) := "000";
constant cVcoDividerWord_VDW_25MHz : std_ulogic_vector(8 downto 0) := "000000111";
constant cReferenceDivider_RDW_25MHz : std_ulogic_vector(6 downto 0) := "0000001";
 
constant cCrystalLoadCapacitance_C_50MHz : std_ulogic_vector(1 downto 0) := "00";
constant cOutputDutyCycleVoltage_TTL_50MHz : std_ulogic := '1';
constant cClkFunctionSelect_R_50MHz : std_ulogic_vector(1 downto 0) := "00";
constant cOutputDivide_S_50MHz : std_ulogic_vector(2 downto 0) := "010";
constant cVcoDividerWord_VDW_50MHz : std_ulogic_vector(8 downto 0) := "000010000";
constant cReferenceDivider_RDW_50MHz : std_ulogic_vector(6 downto 0) := "0000001";
 
end package Ics307Values;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.