OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpGlobal/pkgGlobal/src
    from Rev 106 to Rev 118
    Reverse comparison

Rev 106 → Rev 118

/Global-p.vhdl
1,10 → 1,12
-------------------------------------------------
-- file: Global-p.vhdl
-- author: Rainer Kastl
--
-- Global package contains constants and functions
-- Title: -
-- File: Global-p.vhdl
-- Author: Copyright 2010: Rainer Kastl
-- Standard: VHDL'93
--
-- Description: Global package contains constants and functions
-- for use everywhere.
-------------------------------------------------
--
 
library ieee;
use ieee.std_logic_1164.all;
21,6 → 23,12
 
function LogDualis(cNumber : natural) return natural;
 
 
-- Edge detector
constant cDetectRisingEdge : natural := 0;
constant cDetectFallingEdge : natural := 1;
constant cDetectAnyEdge : natural := 2;
 
end package Global;
 
package body Global is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.