OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpGlobal
    from Rev 118 to Rev 164
    Reverse comparison

Rev 118 → Rev 164

/pkgGlobal/src/Global-p.vhdl
1,12 → 1,28
--
-- Title: -
-- File: Global-p.vhdl
-- Author: Copyright 2010: Rainer Kastl
-- Standard: VHDL'93
-- SDHC-SC-Core
-- Secure Digital High Capacity Self Configuring Core
--
-- Description: Global package contains constants and functions
-- for use everywhere.
--
-- (C) Copyright 2010 Rainer Kastl
--
-- This file is part of SDHC-SC-Core.
--
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or (at
-- your option) any later version.
--
-- SDHC-SC-Core is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/.
--
-- File : Global-p.vhdl
-- Owner : Rainer Kastl
-- Description : Global constants and functions
-- Links :
--
 
library ieee;
use ieee.std_logic_1164.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.