OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpGlobal
    from Rev 35 to Rev 75
    Reverse comparison

Rev 35 → Rev 75

/pkgGlobal/src/Global-p.vhdl
16,5 → 16,7
constant cnActivated : std_ulogic := '0';
constant cnInactivated : std_ulogic := '1';
 
subtype aLedBank is std_ulogic_vector(7 downto 0);
 
end package Global;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.